Skip to content

Commit

Permalink
Merge pull request #928 from diffblue/checker5
Browse files Browse the repository at this point in the history
KNOWNBUG tests for checkers
  • Loading branch information
tautschnig authored Jan 15, 2025
2 parents c93d1e8 + 68eae99 commit 095ae90
Show file tree
Hide file tree
Showing 4 changed files with 38 additions and 0 deletions.
9 changes: 9 additions & 0 deletions regression/verilog/checker/checker5.desc
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
KNOWNBUG
checker5.sv
--bound 20
^\[main\.c\.assert\.1\] always myChecker\.data != 10: REFUTED$
^EXIT=10$
^SIGNAL=0$
--
--
Support for checkers inside modules is missing.
9 changes: 9 additions & 0 deletions regression/verilog/checker/checker5.sv
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
module main(input clk);
checker myChecker(input logic [31:0] data);
assert property (data != 10);
endchecker

reg [31:0] counter = 0;
always_ff @(posedge clk) counter++;
myChecker c(counter);
endmodule
9 changes: 9 additions & 0 deletions regression/verilog/checker/checker6.desc
Original file line number Diff line number Diff line change
@@ -0,0 +1,9 @@
KNOWNBUG
checker6.sv
--bound 20
^\[main\.c\.assert\.1\] always myChecker\.data != 10: REFUTED$
^EXIT=10$
^SIGNAL=0$
--
--
Support for checkers inside packages is missing.
11 changes: 11 additions & 0 deletions regression/verilog/checker/checker6.sv
Original file line number Diff line number Diff line change
@@ -0,0 +1,11 @@
package my_package;
checker myChecker(input logic [31:0] data);
assert property (data != 10);
endchecker
endpackage

module main(input clk);
reg [31:0] counter = 0;
always_ff @(posedge clk) counter++;
my_package::myChecker c(counter);
endmodule

0 comments on commit 095ae90

Please sign in to comment.