From d4845d10c7577751fd1f23e6474ccb666489a1ea Mon Sep 17 00:00:00 2001
From: Daniel Kroening <dkr@amazon.com>
Date: Fri, 24 Jan 2025 11:20:13 -0800
Subject: [PATCH] Verilog: KNOWNBUG test for task invocation without
 parentheses

---
 regression/verilog/tasks/task_invocation1.desc |  9 +++++++++
 regression/verilog/tasks/task_invocation1.sv   | 12 ++++++++++++
 2 files changed, 21 insertions(+)
 create mode 100644 regression/verilog/tasks/task_invocation1.desc
 create mode 100644 regression/verilog/tasks/task_invocation1.sv

diff --git a/regression/verilog/tasks/task_invocation1.desc b/regression/verilog/tasks/task_invocation1.desc
new file mode 100644
index 00000000..e15030bc
--- /dev/null
+++ b/regression/verilog/tasks/task_invocation1.desc
@@ -0,0 +1,9 @@
+KNOWNBUG
+task_invocation1.sv
+
+^EXIT=0$
+^SIGNAL=0$
+--
+^warning: ignoring
+--
+The parser rejects invocations without ().
diff --git a/regression/verilog/tasks/task_invocation1.sv b/regression/verilog/tasks/task_invocation1.sv
new file mode 100644
index 00000000..23adc314
--- /dev/null
+++ b/regression/verilog/tasks/task_invocation1.sv
@@ -0,0 +1,12 @@
+module top(output reg [31:0] y);
+
+  task my_task;
+    y=123;
+  endtask
+
+  // the parentheses are optional
+  always_comb my_task;
+
+  assert final (y==123);
+
+endmodule