diff --git a/regression/verilog/tasks/task_invocation1.desc b/regression/verilog/tasks/task_invocation1.desc new file mode 100644 index 00000000..e15030bc --- /dev/null +++ b/regression/verilog/tasks/task_invocation1.desc @@ -0,0 +1,9 @@ +KNOWNBUG +task_invocation1.sv + +^EXIT=0$ +^SIGNAL=0$ +-- +^warning: ignoring +-- +The parser rejects invocations without (). diff --git a/regression/verilog/tasks/task_invocation1.sv b/regression/verilog/tasks/task_invocation1.sv new file mode 100644 index 00000000..23adc314 --- /dev/null +++ b/regression/verilog/tasks/task_invocation1.sv @@ -0,0 +1,12 @@ +module top(output reg [31:0] y); + + task my_task; + y=123; + endtask + + // the parentheses are optional + always_comb my_task; + + assert final (y==123); + +endmodule