Skip to content

Commit e548bdf

Browse files
committed
KNOWNBUG test for continuous assignment to variable
SystemVerilog allows continous assignments to variables. This test exposes that inconsistent constraints get generated for this case. This replicates issue #635.
1 parent 0fc2ab6 commit e548bdf

File tree

2 files changed

+24
-0
lines changed

2 files changed

+24
-0
lines changed
Lines changed: 9 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,9 @@
1+
KNOWNBUG
2+
continuous_assignment_to_variable_systemverilog2.sv
3+
--bound 1
4+
^\[main\.cover1\] cover 1: PROVED$
5+
^EXIT=0$
6+
^SIGNAL=0$
7+
--
8+
--
9+
This creates inconsistent constraints, which yields UNSAT.
Lines changed: 15 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,15 @@
1+
module main(input clk);
2+
3+
bit state = 0;
4+
5+
always_ff @(posedge clk)
6+
state = 1;
7+
8+
logic data;
9+
10+
// continuous assignment to variable
11+
assign data = state;
12+
13+
cover1: cover property (@(posedge clk) (1));
14+
15+
endmodule

0 commit comments

Comments
 (0)