diff --git a/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/rmpe_rmap_echo_controller_ent.vhd b/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/rmpe_rmap_echo_controller_ent.vhd index ded369d1..8b714c09 100644 --- a/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/rmpe_rmap_echo_controller_ent.vhd +++ b/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/rmpe_rmap_echo_controller_ent.vhd @@ -5,427 +5,478 @@ use ieee.numeric_std.all; use work.rmpe_rmap_echoing_pkg.all; entity rmpe_rmap_echo_controller_ent is - generic( - g_RMAP_FIFO_OVERFLOW_EN : std_logic; - g_FEE_CHANNEL_ID : std_logic_vector(3 downto 0); - g_RMAP_PACKAGE_ID : std_logic_vector(3 downto 0) - ); - port( - clk_i : in std_logic; - rst_i : in std_logic; - echo_en_i : in std_logic; - echo_id_en_i : in std_logic; - spw_fifo_control_i : in t_rmpe_rmap_echoing_spw_fifo_control; - rmap_fifo_control_i : in t_rmpe_rmap_echoing_rmap_fifo_control; - spw_fifo_status_o : out t_rmpe_rmap_echoing_spw_fifo_status; - rmap_fifo_status_o : out t_rmpe_rmap_echoing_rmap_fifo_status - ); + generic( + g_RMAP_FIFO_OVERFLOW_EN : std_logic; + g_FEE_CHANNEL_ID : std_logic_vector(3 downto 0); + g_RMAP_PACKAGE_ID : std_logic_vector(3 downto 0) + ); + port( + clk_i : in std_logic; + rst_i : in std_logic; + echo_en_i : in std_logic; + echo_id_en_i : in std_logic; + spw_fifo_control_i : in t_rmpe_rmap_echoing_spw_fifo_control; + rmap_fifo_control_i : in t_rmpe_rmap_echoing_rmap_fifo_control; + spw_fifo_status_o : out t_rmpe_rmap_echoing_spw_fifo_status; + rmap_fifo_status_o : out t_rmpe_rmap_echoing_rmap_fifo_status + ); end entity rmpe_rmap_echo_controller_ent; architecture RTL of rmpe_rmap_echo_controller_ent is - -- SpaceWire Data SC FIFO record - type t_spacewire_data_sc_fifo is record - rdreq : std_logic; - empty : std_logic; - rddata_flag : std_logic; - rddata_data : std_logic_vector(7 downto 0); - usedw : std_logic_vector(12 downto 0); - end record t_spacewire_data_sc_fifo; - - -- SpaceWire Data SC FIFO signals - signal s_spacewire_data_sc_fifo : t_spacewire_data_sc_fifo; - - -- RMAP Data SC FIFO record - type t_rmap_data_sc_fifo is record - wrdata_flag : std_logic; - wrdata_data : std_logic_vector(7 downto 0); - wrreq : std_logic; - full : std_logic; - usedw : std_logic_vector(4 downto 0); - end record t_rmap_data_sc_fifo; - - -- RMAP Data SC FIFO signals - signal s_rmap_data_sc_fifo : t_rmap_data_sc_fifo; - - -- RMAP Echo Controller FSM States enumeration - type t_rmpe_rmap_echo_controller_fsm is ( - IDLE, -- in idle, waiting data in the spw data fifo - WAITING_SPW_DATA, -- waiting data in the spw data fifo - FETCH_SPW_DATA, -- fetching data from the spw data fifo - RMAP_TARGET_ADDR, -- receive rmap target address - RMAP_PROTOCOL_ID, -- receive rmap protocol id - WRITE_ECHO_ID, -- write rmap echo id - WRITE_TARGET_ADDR, -- write rmap target address - WRITE_PROTOCOL_ID, -- write rmap protocol id - WRITE_RMAP_DATA, -- write rmap packet data - DISCARD_SPW_DATA -- discard spw packet data - ); - - -- RMAP Echo Controller FSM State signals - signal s_rmpe_rmap_echo_controller_state : t_rmpe_rmap_echo_controller_fsm; - signal s_rmpe_rmap_echo_controller_return_state : t_rmpe_rmap_echo_controller_fsm; - - -- RMAP constants - constant c_RMAP_PROTOCOL_ID : std_logic_vector(7 downto 0) := x"01"; - - -- RMAP data signals - signal s_rmap_target_addr : std_logic_vector(7 downto 0); - signal s_rmap_protocol_id : std_logic_vector(7 downto 0); - - -- SpaceWire Data SC FIFO signals - signal s_spw_fifo_wrdata_flag : std_logic; - signal s_spw_fifo_wrdata_data : std_logic_vector(7 downto 0); - signal s_spw_fifo_wrreq : std_logic; - signal s_spw_fifo_overflow_flag : std_logic; + -- SpaceWire Data SC FIFO record + type t_spacewire_data_sc_fifo is record + rdreq : std_logic; + empty : std_logic; + rddata_flag : std_logic; + rddata_data : std_logic_vector(7 downto 0); + usedw : std_logic_vector(12 downto 0); + end record t_spacewire_data_sc_fifo; + + -- SpaceWire Data SC FIFO signals + signal s_spacewire_data_sc_fifo : t_spacewire_data_sc_fifo; + + -- RMAP Data SC FIFO record + type t_rmap_data_sc_fifo is record + wrdata_flag : std_logic; + wrdata_data : std_logic_vector(7 downto 0); + wrreq : std_logic; + full : std_logic; + usedw : std_logic_vector(4 downto 0); + end record t_rmap_data_sc_fifo; + + -- RMAP Data SC FIFO signals + signal s_rmap_data_sc_fifo : t_rmap_data_sc_fifo; + + -- RMAP Echo Controller FSM States enumeration + type t_rmpe_rmap_echo_controller_fsm is ( + DISABLED, -- disabled, keep the spw data fifo cleared + IDLE, -- in idle, waiting data in the spw data fifo + WAITING_SPW_DATA, -- waiting data in the spw data fifo + FETCH_SPW_DATA, -- fetching data from the spw data fifo + RMAP_TARGET_ADDR, -- receive rmap target address + RMAP_PROTOCOL_ID, -- receive rmap protocol id + WRITE_ECHO_ID, -- write rmap echo id + WRITE_TARGET_ADDR, -- write rmap target address + WRITE_PROTOCOL_ID, -- write rmap protocol id + WRITE_RMAP_DATA, -- write rmap packet data + DISCARD_SPW_DATA -- discard spw packet data + ); + + -- RMAP Echo Controller FSM State signals + signal s_rmpe_rmap_echo_controller_state : t_rmpe_rmap_echo_controller_fsm; + signal s_rmpe_rmap_echo_controller_return_state : t_rmpe_rmap_echo_controller_fsm; + + -- RMAP constants + constant c_RMAP_PROTOCOL_ID : std_logic_vector(7 downto 0) := x"01"; + + -- RMAP data signals + signal s_rmap_target_addr : std_logic_vector(7 downto 0); + signal s_rmap_protocol_id : std_logic_vector(7 downto 0); + + -- SpaceWire Data SC FIFO signals + signal s_spw_fifo_wrdata_flag : std_logic; + signal s_spw_fifo_wrdata_data : std_logic_vector(7 downto 0); + signal s_spw_fifo_wrreq : std_logic; + signal s_spw_fifo_overflow_flag : std_logic; begin - -- SpaceWire Data SC FIFO instantiation - spacewire_data_sc_fifo_inst : entity work.spacewire_data_sc_fifo - port map( - aclr => rst_i, - clock => clk_i, - data(8) => s_spw_fifo_wrdata_flag, - data(7 downto 0) => s_spw_fifo_wrdata_data, - rdreq => s_spacewire_data_sc_fifo.rdreq, - sclr => rst_i, - wrreq => s_spw_fifo_wrreq, - empty => s_spacewire_data_sc_fifo.empty, - full => spw_fifo_status_o.full, - q(8) => s_spacewire_data_sc_fifo.rddata_flag, - q(7 downto 0) => s_spacewire_data_sc_fifo.rddata_data, - usedw => s_spacewire_data_sc_fifo.usedw - ); - - -- RMAP Data SC FIFO instantiation - rmap_data_sc_fifo_inst : entity work.rmap_data_sc_fifo - port map( - aclr => rst_i, - clock => clk_i, - data(8) => s_rmap_data_sc_fifo.wrdata_flag, - data(7 downto 0) => s_rmap_data_sc_fifo.wrdata_data, - rdreq => rmap_fifo_control_i.rdreq, - sclr => rst_i, - wrreq => s_rmap_data_sc_fifo.wrreq, - empty => rmap_fifo_status_o.empty, - full => s_rmap_data_sc_fifo.full, - q(8) => rmap_fifo_status_o.rddata_flag, - q(7 downto 0) => rmap_fifo_status_o.rddata_data, - usedw => s_rmap_data_sc_fifo.usedw - ); - - -- RMAP Echo Controller process - p_rmpe_rmap_echo_controller : process(clk_i, rst_i) is - begin - if (rst_i = '1') then - -- fsm state reset - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - -- internal signals reset - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - s_rmap_target_addr <= (others => '0'); - s_rmap_protocol_id <= (others => '0'); - s_spw_fifo_wrdata_flag <= '0'; - s_spw_fifo_wrdata_data <= (others => '0'); - s_spw_fifo_wrreq <= '0'; - s_spw_fifo_overflow_flag <= '0'; - -- outputs reset - elsif (rising_edge(clk_i)) then - - -- SpaceWire Data SC FIFO Write Manager - -- standart signals value - s_spw_fifo_wrdata_flag <= '0'; - s_spw_fifo_wrdata_data <= (others => '0'); - s_spw_fifo_wrreq <= '0'; - -- check if a write was requested and the echo is enabled - if ((spw_fifo_control_i.wrreq = '1') and (echo_en_i = '1')) then - -- a write was requested and the echo is enabled - -- check if the data is an end of package - if (spw_fifo_control_i.wrdata_flag = '1') then - -- the data is an end of package - -- check if an overflow occured previously - if (s_spw_fifo_overflow_flag = '1') then - -- an overflow occured previously - -- write an eep in the buffer - s_spw_fifo_wrdata_flag <= '1'; - s_spw_fifo_wrdata_data <= x"01"; - s_spw_fifo_wrreq <= '1'; - s_spw_fifo_overflow_flag <= '0'; - else - -- an overflow has not occured - -- write the original end of package - s_spw_fifo_wrdata_flag <= '1'; - s_spw_fifo_wrdata_data <= spw_fifo_control_i.wrdata_data; - s_spw_fifo_wrreq <= '1'; - s_spw_fifo_overflow_flag <= '0'; - end if; - else - -- the data is not an end of package - -- check if there is space in the spw data fifo - if (unsigned(s_spacewire_data_sc_fifo.usedw) < ((2**s_spacewire_data_sc_fifo.usedw'length - 1) - 2)) then - -- there is space in the spw data fifo - -- write data in the fifo - s_spw_fifo_wrdata_flag <= '0'; - s_spw_fifo_wrdata_data <= spw_fifo_control_i.wrdata_data; - s_spw_fifo_wrreq <= '1'; - else - -- there is no more space in the spw data fifo - -- set the overflow flag - s_spw_fifo_overflow_flag <= '1'; - end if; - end if; - end if; - - -- States transitions FSM - case (s_rmpe_rmap_echo_controller_state) is - - -- state "IDLE" - when IDLE => - -- in idle, waiting data in the spw data fifo - -- default state transition - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - s_rmap_target_addr <= (others => '0'); - s_rmap_protocol_id <= (others => '0'); - -- conditional state transition - -- check if there is data in the spw data fifo - if (s_spacewire_data_sc_fifo.empty = '0') then - -- there is data in the spw data fifo - -- fetch data from spw fifo - s_spacewire_data_sc_fifo.rdreq <= '1'; - s_rmpe_rmap_echo_controller_state <= FETCH_SPW_DATA; - s_rmpe_rmap_echo_controller_return_state <= RMAP_TARGET_ADDR; - end if; - - -- state "WAITING_SPW_DATA" - when WAITING_SPW_DATA => - -- waiting data in the spw data fifo - -- default state transition - s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if there is data in the spw data fifo - if (s_spacewire_data_sc_fifo.empty = '0') then - -- there is data in the spw data fifo - -- fetch data from spw fifo - s_spacewire_data_sc_fifo.rdreq <= '1'; - s_rmpe_rmap_echo_controller_state <= FETCH_SPW_DATA; - end if; - - -- state "FETCH_SPW_DATA" - when FETCH_SPW_DATA => - -- fetching data from the spw data fifo - -- default state transition - s_rmpe_rmap_echo_controller_state <= s_rmpe_rmap_echo_controller_return_state; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - - -- state "RMAP_TARGET_ADDR" - when RMAP_TARGET_ADDR => - -- receive rmap target address - -- default state transition - s_rmpe_rmap_echo_controller_state <= RMAP_TARGET_ADDR; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if an end of packet was received - if (s_spacewire_data_sc_fifo.rddata_flag = '1') then - -- end of packet received, return to idle - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - else - -- data received, go to rmap protocol id - s_rmap_target_addr <= s_spacewire_data_sc_fifo.rddata_data; - s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; - s_rmpe_rmap_echo_controller_return_state <= RMAP_PROTOCOL_ID; - end if; - - -- state "RMAP_PROTOCOL_ID" - when RMAP_PROTOCOL_ID => - -- receive rmap protocol id - -- default state transition - s_rmpe_rmap_echo_controller_state <= RMAP_PROTOCOL_ID; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if an end of packet was received - if (s_spacewire_data_sc_fifo.rddata_flag = '1') then - -- end of packet received, return to idle - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - else - -- data received, check if the protocol id is valid - s_rmap_protocol_id <= s_spacewire_data_sc_fifo.rddata_data; - if (s_spacewire_data_sc_fifo.rddata_data = c_RMAP_PROTOCOL_ID) then - -- protocol id is valid, - -- check if an echo id must be added - if (echo_id_en_i = '1') then - -- echo id must be added, go to write echo id - s_rmpe_rmap_echo_controller_state <= WRITE_ECHO_ID; - s_rmpe_rmap_echo_controller_return_state <= WRITE_ECHO_ID; - else - -- no need for an echo id, go to write target addr - s_rmpe_rmap_echo_controller_state <= WRITE_TARGET_ADDR; - s_rmpe_rmap_echo_controller_return_state <= WRITE_TARGET_ADDR; - end if; - else - -- protocol id is not valid, go to discard spw data - s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; - s_rmpe_rmap_echo_controller_return_state <= DISCARD_SPW_DATA; - end if; - end if; - - -- state "WRITE_ECHO_ID" - when WRITE_ECHO_ID => - -- write rmap echo id - -- default state transition - s_rmpe_rmap_echo_controller_state <= WRITE_ECHO_ID; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if the rmap data fifo can receive data or if the overflow is enabled - if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then - -- rmap data fifo can receive data, write echo id - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data(7 downto 4) <= g_RMAP_PACKAGE_ID; - s_rmap_data_sc_fifo.wrdata_data(3 downto 0) <= g_FEE_CHANNEL_ID; - s_rmap_data_sc_fifo.wrreq <= '1'; - -- go to write target address - s_rmpe_rmap_echo_controller_state <= WRITE_TARGET_ADDR; - s_rmpe_rmap_echo_controller_return_state <= WRITE_TARGET_ADDR; - end if; - - -- state "WRITE_TARGET_ADDR" - when WRITE_TARGET_ADDR => - -- write rmap target address - -- default state transition - s_rmpe_rmap_echo_controller_state <= WRITE_TARGET_ADDR; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if the rmap data fifo can receive data or if the overflow is enabled - if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then - -- rmap data fifo can receive data, write target address - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= s_rmap_target_addr; - s_rmap_data_sc_fifo.wrreq <= '1'; - -- go to write target address - s_rmpe_rmap_echo_controller_state <= WRITE_PROTOCOL_ID; - s_rmpe_rmap_echo_controller_return_state <= WRITE_PROTOCOL_ID; - end if; - - -- state "WRITE_PROTOCOL_ID" - when WRITE_PROTOCOL_ID => - -- write rmap protocol id - -- default state transition - s_rmpe_rmap_echo_controller_state <= WRITE_PROTOCOL_ID; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if the rmap data fifo can receive data or if the overflow is enabled - if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then - -- rmap data fifo can receive data, write protocol id - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= s_rmap_protocol_id; - s_rmap_data_sc_fifo.wrreq <= '1'; - -- go to waiting spw data, to return to write rmap data - s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; - s_rmpe_rmap_echo_controller_return_state <= WRITE_RMAP_DATA; - end if; - - -- state "WRITE_RMAP_DATA" - when WRITE_RMAP_DATA => - -- write rmap packet data - -- default state transition - s_rmpe_rmap_echo_controller_state <= WRITE_RMAP_DATA; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if the rmap data fifo can receive data or if the overflow is enabled - if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then - -- rmap data fifo can receive data, write rmap data - s_rmap_data_sc_fifo.wrdata_flag <= s_spacewire_data_sc_fifo.rddata_flag; - s_rmap_data_sc_fifo.wrdata_data <= s_spacewire_data_sc_fifo.rddata_data; - s_rmap_data_sc_fifo.wrreq <= '1'; - -- check if a end of package was received - if (s_spacewire_data_sc_fifo.rddata_flag = '1') then - -- a end of package was received - -- return to idle - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - else - -- data was received - -- go to waiting spw data, to return to write rmap data - s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; - s_rmpe_rmap_echo_controller_return_state <= WRITE_RMAP_DATA; - end if; - end if; - - -- state "DISCARD_SPW_DATA" - when DISCARD_SPW_DATA => - -- discard spw packet data - -- default state transition - s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; - s_rmpe_rmap_echo_controller_return_state <= DISCARD_SPW_DATA; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - s_rmap_target_addr <= (others => '0'); - s_rmap_protocol_id <= (others => '0'); - -- conditional state transition - -- check if a end of package was received - if (s_spacewire_data_sc_fifo.rddata_flag = '1') then - -- a end of package was received - -- return to idle - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - end if; - - -- all the other states (not defined) - when others => - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - - end case; - - end if; - end process p_rmpe_rmap_echo_controller; + -- SpaceWire Data SC FIFO instantiation + spacewire_data_sc_fifo_inst : entity work.spacewire_data_sc_fifo + port map( + aclr => rst_i, + clock => clk_i, + data(8) => s_spw_fifo_wrdata_flag, + data(7 downto 0) => s_spw_fifo_wrdata_data, + rdreq => s_spacewire_data_sc_fifo.rdreq, + sclr => rst_i, + wrreq => s_spw_fifo_wrreq, + empty => s_spacewire_data_sc_fifo.empty, + full => spw_fifo_status_o.full, + q(8) => s_spacewire_data_sc_fifo.rddata_flag, + q(7 downto 0) => s_spacewire_data_sc_fifo.rddata_data, + usedw => s_spacewire_data_sc_fifo.usedw + ); + + -- RMAP Data SC FIFO instantiation + rmap_data_sc_fifo_inst : entity work.rmap_data_sc_fifo + port map( + aclr => rst_i, + clock => clk_i, + data(8) => s_rmap_data_sc_fifo.wrdata_flag, + data(7 downto 0) => s_rmap_data_sc_fifo.wrdata_data, + rdreq => rmap_fifo_control_i.rdreq, + sclr => rst_i, + wrreq => s_rmap_data_sc_fifo.wrreq, + empty => rmap_fifo_status_o.empty, + full => s_rmap_data_sc_fifo.full, + q(8) => rmap_fifo_status_o.rddata_flag, + q(7 downto 0) => rmap_fifo_status_o.rddata_data, + usedw => s_rmap_data_sc_fifo.usedw + ); + + -- RMAP Echo Controller process + p_rmpe_rmap_echo_controller : process(clk_i, rst_i) is + begin + if (rst_i = '1') then + -- fsm state reset + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + -- internal signals reset + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + s_rmap_target_addr <= (others => '0'); + s_rmap_protocol_id <= (others => '0'); + s_spw_fifo_wrdata_flag <= '0'; + s_spw_fifo_wrdata_data <= (others => '0'); + s_spw_fifo_wrreq <= '0'; + s_spw_fifo_overflow_flag <= '0'; + -- outputs reset + elsif (rising_edge(clk_i)) then + + -- States transitions FSM + case (s_rmpe_rmap_echo_controller_state) is + + -- state "DISABLED" + when DISABLED => + -- disabled, keep the spw data fifo cleared + -- default state transition + s_rmpe_rmap_echo_controller_state <= DISABLED; + s_rmpe_rmap_echo_controller_return_state <= DISABLED; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + s_rmap_target_addr <= (others => '0'); + s_rmap_protocol_id <= (others => '0'); + -- conditional state transition + -- check if there is data in the spw data fifo + if (s_spacewire_data_sc_fifo.empty = '0') then + -- there is data in the spw data fifo + -- discard data from spw fifo + s_spacewire_data_sc_fifo.rdreq <= '1'; + else + -- there is no data in the spw data fifo + -- check if echo is enabled + if (echo_en_i = '1') then + -- echo is enabled + -- go to idle state + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + end if; + end if; + + -- state "IDLE" + when IDLE => + -- in idle, waiting data in the spw data fifo + -- default state transition + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + s_rmap_target_addr <= (others => '0'); + s_rmap_protocol_id <= (others => '0'); + -- conditional state transition + -- check if there is data in the spw data fifo + if (s_spacewire_data_sc_fifo.empty = '0') then + -- there is data in the spw data fifo + -- fetch data from spw fifo + s_spacewire_data_sc_fifo.rdreq <= '1'; + s_rmpe_rmap_echo_controller_state <= FETCH_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= RMAP_TARGET_ADDR; + end if; + + -- state "WAITING_SPW_DATA" + when WAITING_SPW_DATA => + -- waiting data in the spw data fifo + -- default state transition + s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if there is data in the spw data fifo + if (s_spacewire_data_sc_fifo.empty = '0') then + -- there is data in the spw data fifo + -- fetch data from spw fifo + s_spacewire_data_sc_fifo.rdreq <= '1'; + s_rmpe_rmap_echo_controller_state <= FETCH_SPW_DATA; + end if; + + -- state "FETCH_SPW_DATA" + when FETCH_SPW_DATA => + -- fetching data from the spw data fifo + -- default state transition + s_rmpe_rmap_echo_controller_state <= s_rmpe_rmap_echo_controller_return_state; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + + -- state "RMAP_TARGET_ADDR" + when RMAP_TARGET_ADDR => + -- receive rmap target address + -- default state transition + s_rmpe_rmap_echo_controller_state <= RMAP_TARGET_ADDR; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if an end of packet was received + if (s_spacewire_data_sc_fifo.rddata_flag = '1') then + -- end of packet received, return to idle + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + else + -- data received, go to rmap protocol id + s_rmap_target_addr <= s_spacewire_data_sc_fifo.rddata_data; + s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= RMAP_PROTOCOL_ID; + end if; + + -- state "RMAP_PROTOCOL_ID" + when RMAP_PROTOCOL_ID => + -- receive rmap protocol id + -- default state transition + s_rmpe_rmap_echo_controller_state <= RMAP_PROTOCOL_ID; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if an end of packet was received + if (s_spacewire_data_sc_fifo.rddata_flag = '1') then + -- end of packet received, return to idle + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + else + -- data received, check if the protocol id is valid + s_rmap_protocol_id <= s_spacewire_data_sc_fifo.rddata_data; + if (s_spacewire_data_sc_fifo.rddata_data = c_RMAP_PROTOCOL_ID) then + -- protocol id is valid, + -- check if an echo id must be added + if (echo_id_en_i = '1') then + -- echo id must be added, go to write echo id + s_rmpe_rmap_echo_controller_state <= WRITE_ECHO_ID; + s_rmpe_rmap_echo_controller_return_state <= WRITE_ECHO_ID; + else + -- no need for an echo id, go to write target addr + s_rmpe_rmap_echo_controller_state <= WRITE_TARGET_ADDR; + s_rmpe_rmap_echo_controller_return_state <= WRITE_TARGET_ADDR; + end if; + else + -- protocol id is not valid, go to discard spw data + s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= DISCARD_SPW_DATA; + end if; + end if; + + -- state "WRITE_ECHO_ID" + when WRITE_ECHO_ID => + -- write rmap echo id + -- default state transition + s_rmpe_rmap_echo_controller_state <= WRITE_ECHO_ID; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if the rmap data fifo can receive data or if the overflow is enabled + if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then + -- rmap data fifo can receive data, write echo id + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data(7 downto 4) <= g_RMAP_PACKAGE_ID; + s_rmap_data_sc_fifo.wrdata_data(3 downto 0) <= g_FEE_CHANNEL_ID; + s_rmap_data_sc_fifo.wrreq <= '1'; + -- go to write target address + s_rmpe_rmap_echo_controller_state <= WRITE_TARGET_ADDR; + s_rmpe_rmap_echo_controller_return_state <= WRITE_TARGET_ADDR; + end if; + + -- state "WRITE_TARGET_ADDR" + when WRITE_TARGET_ADDR => + -- write rmap target address + -- default state transition + s_rmpe_rmap_echo_controller_state <= WRITE_TARGET_ADDR; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if the rmap data fifo can receive data or if the overflow is enabled + if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then + -- rmap data fifo can receive data, write target address + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= s_rmap_target_addr; + s_rmap_data_sc_fifo.wrreq <= '1'; + -- go to write target address + s_rmpe_rmap_echo_controller_state <= WRITE_PROTOCOL_ID; + s_rmpe_rmap_echo_controller_return_state <= WRITE_PROTOCOL_ID; + end if; + + -- state "WRITE_PROTOCOL_ID" + when WRITE_PROTOCOL_ID => + -- write rmap protocol id + -- default state transition + s_rmpe_rmap_echo_controller_state <= WRITE_PROTOCOL_ID; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if the rmap data fifo can receive data or if the overflow is enabled + if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then + -- rmap data fifo can receive data, write protocol id + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= s_rmap_protocol_id; + s_rmap_data_sc_fifo.wrreq <= '1'; + -- go to waiting spw data, to return to write rmap data + s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= WRITE_RMAP_DATA; + end if; + + -- state "WRITE_RMAP_DATA" + when WRITE_RMAP_DATA => + -- write rmap packet data + -- default state transition + s_rmpe_rmap_echo_controller_state <= WRITE_RMAP_DATA; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if the rmap data fifo can receive data or if the overflow is enabled + if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then + -- rmap data fifo can receive data, write rmap data + s_rmap_data_sc_fifo.wrdata_flag <= s_spacewire_data_sc_fifo.rddata_flag; + s_rmap_data_sc_fifo.wrdata_data <= s_spacewire_data_sc_fifo.rddata_data; + s_rmap_data_sc_fifo.wrreq <= '1'; + -- check if a end of package was received + if (s_spacewire_data_sc_fifo.rddata_flag = '1') then + -- a end of package was received + -- return to idle + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + else + -- data was received + -- check if there is data in the spw data fifo + if (s_spacewire_data_sc_fifo.empty = '0') then + -- there is data in the spw data fifo + -- fetch data from spw fifo + s_spacewire_data_sc_fifo.rdreq <= '1'; + -- go to fetch spw data, to return to write rmap data + s_rmpe_rmap_echo_controller_state <= FETCH_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= WRITE_RMAP_DATA; + else + -- there is no data in the spw data fifo + -- go to waiting spw data, to return to write rmap data + s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= WRITE_RMAP_DATA; + end if; + end if; + end if; + + -- state "DISCARD_SPW_DATA" + when DISCARD_SPW_DATA => + -- discard spw packet data + -- default state transition + s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= DISCARD_SPW_DATA; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + s_rmap_target_addr <= (others => '0'); + s_rmap_protocol_id <= (others => '0'); + -- conditional state transition + -- check if a end of package was received + if (s_spacewire_data_sc_fifo.rddata_flag = '1') then + -- a end of package was received + -- return to idle + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + end if; + + -- all the other states (not defined) + when others => + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + + end case; + + -- SpaceWire Data SC FIFO Write Manager + -- standart signals value + s_spw_fifo_wrdata_flag <= '0'; + s_spw_fifo_wrdata_data <= (others => '0'); + s_spw_fifo_wrreq <= '0'; + -- check if echo is disabled + if (echo_en_i = '0') then + -- echo is disabled + -- force state machine to go to disabled state + s_rmpe_rmap_echo_controller_state <= DISABLED; + s_rmpe_rmap_echo_controller_return_state <= DISABLED; + else + -- echo is enabled + -- check if a write was requested + if (spw_fifo_control_i.wrreq = '1') then + -- a write was requested + -- check if the data is an end of package + if (spw_fifo_control_i.wrdata_flag = '1') then + -- the data is an end of package + -- check if an overflow occured previously + if (s_spw_fifo_overflow_flag = '1') then + -- an overflow occured previously + -- write an eep in the buffer + s_spw_fifo_wrdata_flag <= '1'; + s_spw_fifo_wrdata_data <= x"01"; + s_spw_fifo_wrreq <= '1'; + s_spw_fifo_overflow_flag <= '0'; + else + -- an overflow has not occured + -- write the original end of package + s_spw_fifo_wrdata_flag <= '1'; + s_spw_fifo_wrdata_data <= spw_fifo_control_i.wrdata_data; + s_spw_fifo_wrreq <= '1'; + s_spw_fifo_overflow_flag <= '0'; + end if; + else + -- the data is not an end of package + -- check if there is space in the spw data fifo + if (unsigned(s_spacewire_data_sc_fifo.usedw) < ((2 ** s_spacewire_data_sc_fifo.usedw'length - 1) - 2)) then + -- there is space in the spw data fifo + -- write data in the fifo + s_spw_fifo_wrdata_flag <= '0'; + s_spw_fifo_wrdata_data <= spw_fifo_control_i.wrdata_data; + s_spw_fifo_wrreq <= '1'; + else + -- there is no more space in the spw data fifo + -- set the overflow flag + s_spw_fifo_overflow_flag <= '1'; + end if; + end if; + end if; + end if; + + end if; + end process p_rmpe_rmap_echo_controller; end architecture RTL; diff --git a/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd b/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd index 8b61a5ee..4c410c92 100644 --- a/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd +++ b/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd @@ -5,774 +5,1044 @@ use ieee.numeric_std.all; use work.rmpe_rmap_echoing_pkg.all; entity rmpe_rmap_echo_transmitter_ent is - port( - clk_i : in std_logic; - rst_i : in std_logic; - fee_0_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_0_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_1_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_1_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_2_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_2_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_3_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_3_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_4_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_4_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_5_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_5_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - spw_codec_status_i : in t_rmpe_rmap_echoing_spw_codec_status; - fee_0_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_0_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_1_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_1_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_2_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_2_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_3_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_3_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_4_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_4_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_5_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_5_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - spw_codec_control_o : out t_rmpe_rmap_echoing_spw_codec_control - ); + port( + clk_i : in std_logic; + rst_i : in std_logic; + fee_0_rmap_echo_en_i : in std_logic; + fee_1_rmap_echo_en_i : in std_logic; + fee_2_rmap_echo_en_i : in std_logic; + fee_3_rmap_echo_en_i : in std_logic; + fee_4_rmap_echo_en_i : in std_logic; + fee_5_rmap_echo_en_i : in std_logic; + fee_0_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_0_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_1_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_1_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_2_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_2_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_3_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_3_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_4_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_4_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_5_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_5_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + spw_codec_status_i : in t_rmpe_rmap_echoing_spw_codec_status; + fee_0_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_0_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_1_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_1_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_2_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_2_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_3_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_3_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_4_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_4_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_5_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_5_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + spw_codec_control_o : out t_rmpe_rmap_echoing_spw_codec_control + ); end entity rmpe_rmap_echo_transmitter_ent; architecture RTL of rmpe_rmap_echo_transmitter_ent is - type t_rmap_fifo_list is ( - fifo_none, - fifo_incoming_fee_0, - fifo_outgoing_fee_0, - fifo_incoming_fee_1, - fifo_outgoing_fee_1, - fifo_incoming_fee_2, - fifo_outgoing_fee_2, - fifo_incoming_fee_3, - fifo_outgoing_fee_3, - fifo_incoming_fee_4, - fifo_outgoing_fee_4, - fifo_incoming_fee_5, - fifo_outgoing_fee_5 - ); - signal s_selected_fifo : t_rmap_fifo_list; - - subtype t_rmap_fifo_queue_index is natural range 0 to 12; - type t_rmap_fifo_queue is array (0 to t_rmap_fifo_queue_index'high) of t_rmap_fifo_list; - signal s_rmap_fifo_queue : t_rmap_fifo_queue; - - signal s_fifo_incoming_fee_0_queued : std_logic; - signal s_fifo_outgoing_fee_0_queued : std_logic; - signal s_fifo_incoming_fee_1_queued : std_logic; - signal s_fifo_outgoing_fee_1_queued : std_logic; - signal s_fifo_incoming_fee_2_queued : std_logic; - signal s_fifo_outgoing_fee_2_queued : std_logic; - signal s_fifo_incoming_fee_3_queued : std_logic; - signal s_fifo_outgoing_fee_3_queued : std_logic; - signal s_fifo_incoming_fee_4_queued : std_logic; - signal s_fifo_outgoing_fee_4_queued : std_logic; - signal s_fifo_incoming_fee_5_queued : std_logic; - signal s_fifo_outgoing_fee_5_queued : std_logic; - - signal s_fifo_incoming_fee_0_in_use : std_logic; - signal s_fifo_outgoing_fee_0_in_use : std_logic; - signal s_fifo_incoming_fee_1_in_use : std_logic; - signal s_fifo_outgoing_fee_1_in_use : std_logic; - signal s_fifo_incoming_fee_2_in_use : std_logic; - signal s_fifo_outgoing_fee_2_in_use : std_logic; - signal s_fifo_incoming_fee_3_in_use : std_logic; - signal s_fifo_outgoing_fee_3_in_use : std_logic; - signal s_fifo_incoming_fee_4_in_use : std_logic; - signal s_fifo_outgoing_fee_4_in_use : std_logic; - signal s_fifo_incoming_fee_5_in_use : std_logic; - signal s_fifo_outgoing_fee_5_in_use : std_logic; - - type t_rmpe_rmap_echo_transmitter_fsm is ( - IDLE, -- in idle, waiting data in the rmap data fifo - WAITING_RMAP_DATA, -- waiting data in the rmap data fifo - FETCH_RMAP_DATA, -- fetching data from the rmap data fifo - WRITE_RMAP_DATA -- write rmap packet data - ); - signal s_rmpe_rmap_echo_transmitter_state : t_rmpe_rmap_echo_transmitter_fsm; + type t_rmap_fifo_list is ( + fifo_none, + fifo_incoming_fee_0, + fifo_outgoing_fee_0, + fifo_incoming_fee_1, + fifo_outgoing_fee_1, + fifo_incoming_fee_2, + fifo_outgoing_fee_2, + fifo_incoming_fee_3, + fifo_outgoing_fee_3, + fifo_incoming_fee_4, + fifo_outgoing_fee_4, + fifo_incoming_fee_5, + fifo_outgoing_fee_5 + ); + signal s_selected_fifo : t_rmap_fifo_list; + + subtype t_rmap_fifo_queue_index is natural range 0 to 120; + type t_rmap_fifo_queue is array (0 to t_rmap_fifo_queue_index'high) of t_rmap_fifo_list; + signal s_rmap_fifo_queue : t_rmap_fifo_queue; + + signal s_fifo_incoming_fee_0_queued : std_logic; + signal s_fifo_outgoing_fee_0_queued : std_logic; + signal s_fifo_incoming_fee_1_queued : std_logic; + signal s_fifo_outgoing_fee_1_queued : std_logic; + signal s_fifo_incoming_fee_2_queued : std_logic; + signal s_fifo_outgoing_fee_2_queued : std_logic; + signal s_fifo_incoming_fee_3_queued : std_logic; + signal s_fifo_outgoing_fee_3_queued : std_logic; + signal s_fifo_incoming_fee_4_queued : std_logic; + signal s_fifo_outgoing_fee_4_queued : std_logic; + signal s_fifo_incoming_fee_5_queued : std_logic; + signal s_fifo_outgoing_fee_5_queued : std_logic; + + signal s_fifo_incoming_fee_0_in_use : std_logic; + signal s_fifo_outgoing_fee_0_in_use : std_logic; + signal s_fifo_incoming_fee_1_in_use : std_logic; + signal s_fifo_outgoing_fee_1_in_use : std_logic; + signal s_fifo_incoming_fee_2_in_use : std_logic; + signal s_fifo_outgoing_fee_2_in_use : std_logic; + signal s_fifo_incoming_fee_3_in_use : std_logic; + signal s_fifo_outgoing_fee_3_in_use : std_logic; + signal s_fifo_incoming_fee_4_in_use : std_logic; + signal s_fifo_outgoing_fee_4_in_use : std_logic; + signal s_fifo_incoming_fee_5_in_use : std_logic; + signal s_fifo_outgoing_fee_5_in_use : std_logic; + + type t_rmpe_rmap_echo_transmitter_fsm is ( + IDLE, -- in idle, waiting data in the rmap data fifo + WAITING_RMAP_DATA, -- waiting data in the rmap data fifo + FETCH_RMAP_DATA, -- fetching data from the rmap data fifo + WRITE_RMAP_DATA -- write rmap packet data + ); + signal s_rmpe_rmap_echo_transmitter_state : t_rmpe_rmap_echo_transmitter_fsm; begin - p_rmpe_rmap_echo_transmitter : process(clk_i, rst_i) is - variable v_fifo_queue_index : t_rmap_fifo_queue_index := 0; - variable v_rmpe_rmap_echo_transmitter_state : t_rmpe_rmap_echo_transmitter_fsm; - begin - if (rst_i = '1') then - s_selected_fifo <= fifo_none; - s_rmap_fifo_queue <= (others => fifo_none); - s_fifo_incoming_fee_0_queued <= '0'; - s_fifo_outgoing_fee_0_queued <= '0'; - s_fifo_incoming_fee_1_queued <= '0'; - s_fifo_outgoing_fee_1_queued <= '0'; - s_fifo_incoming_fee_2_queued <= '0'; - s_fifo_outgoing_fee_2_queued <= '0'; - s_fifo_incoming_fee_3_queued <= '0'; - s_fifo_outgoing_fee_3_queued <= '0'; - s_fifo_incoming_fee_4_queued <= '0'; - s_fifo_outgoing_fee_4_queued <= '0'; - s_fifo_incoming_fee_5_queued <= '0'; - s_fifo_outgoing_fee_5_queued <= '0'; - s_fifo_incoming_fee_0_in_use <= '0'; - s_fifo_outgoing_fee_0_in_use <= '0'; - s_fifo_incoming_fee_1_in_use <= '0'; - s_fifo_outgoing_fee_1_in_use <= '0'; - s_fifo_incoming_fee_2_in_use <= '0'; - s_fifo_outgoing_fee_2_in_use <= '0'; - s_fifo_incoming_fee_3_in_use <= '0'; - s_fifo_outgoing_fee_3_in_use <= '0'; - s_fifo_incoming_fee_4_in_use <= '0'; - s_fifo_outgoing_fee_4_in_use <= '0'; - s_fifo_incoming_fee_5_in_use <= '0'; - s_fifo_outgoing_fee_5_in_use <= '0'; - v_fifo_queue_index := 0; - elsif rising_edge(clk_i) then - - -- check if fee 0 rmap incoming fifo has data available and is not queued - if ((fee_0_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_0_queued = '0')) then - -- fee 0 rmap incoming fifo has data available and is not queued - -- put fee 0 rmap incoming fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_0; - s_fifo_incoming_fee_0_queued <= '1'; - s_fifo_incoming_fee_0_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 1 rmap incoming fifo has data available and is not queued - if ((fee_1_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_1_queued = '0')) then - -- fee 1 rmap incoming fifo has data available and is not queued - -- put fee 1 rmap incoming fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_1; - s_fifo_incoming_fee_1_queued <= '1'; - s_fifo_incoming_fee_1_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 2 rmap incoming fifo has data available and is not queued - if ((fee_2_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_2_queued = '0')) then - -- fee 2 rmap incoming fifo has data available and is not queued - -- put fee 2 rmap incoming fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_2; - s_fifo_incoming_fee_2_queued <= '1'; - s_fifo_incoming_fee_2_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 3 rmap incoming fifo has data available and is not queued - if ((fee_3_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_3_queued = '0')) then - -- fee 3 rmap incoming fifo has data available and is not queued - -- put fee 3 rmap incoming fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_3; - s_fifo_incoming_fee_3_queued <= '1'; - s_fifo_incoming_fee_3_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 4 rmap incoming fifo has data available and is not queued - if ((fee_4_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_4_queued = '0')) then - -- fee 4 rmap incoming fifo has data available and is not queued - -- put fee 4 rmap incoming fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_4; - s_fifo_incoming_fee_4_queued <= '1'; - s_fifo_incoming_fee_4_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 5 rmap incoming fifo has data available and is not queued - if ((fee_5_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_5_queued = '0')) then - -- fee 5 rmap incoming fifo has data available and is not queued - -- put fee 5 rmap incoming fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_5; - s_fifo_incoming_fee_5_queued <= '1'; - s_fifo_incoming_fee_5_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 0 rmap outgoing fifo has data available and is not queued - if ((fee_0_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_0_queued = '0')) then - -- fee 0 rmap outgoing fifo has data available and is not queued - -- put fee 0 rmap outgoing fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_0; - s_fifo_outgoing_fee_0_queued <= '1'; - s_fifo_outgoing_fee_0_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 1 rmap outgoing fifo has data available and is not queued - if ((fee_1_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_1_queued = '0')) then - -- fee 1 rmap outgoing fifo has data available and is not queued - -- put fee 1 rmap outgoing fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_1; - s_fifo_outgoing_fee_1_queued <= '1'; - s_fifo_outgoing_fee_1_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 2 rmap outgoing fifo has data available and is not queued - if ((fee_2_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_2_queued = '0')) then - -- fee 2 rmap outgoing fifo has data available and is not queued - -- put fee 2 rmap outgoing fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_2; - s_fifo_outgoing_fee_2_queued <= '1'; - s_fifo_outgoing_fee_2_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 3 rmap outgoing fifo has data available and is not queued - if ((fee_3_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_3_queued = '0')) then - -- fee 3 rmap outgoing fifo has data available and is not queued - -- put fee 3 rmap outgoing fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_3; - s_fifo_outgoing_fee_3_queued <= '1'; - s_fifo_outgoing_fee_3_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 4 rmap outgoing fifo has data available and is not queued - if ((fee_4_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_4_queued = '0')) then - -- fee 4 rmap outgoing fifo has data available and is not queued - -- put fee 4 rmap outgoing fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_4; - s_fifo_outgoing_fee_4_queued <= '1'; - s_fifo_outgoing_fee_4_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 5 rmap outgoing fifo has data available and is not queued - if ((fee_5_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_5_queued = '0')) then - -- fee 5 rmap outgoing fifo has data available and is not queued - -- put fee 5 rmap outgoing fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_5; - s_fifo_outgoing_fee_5_queued <= '1'; - s_fifo_outgoing_fee_5_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- fifo queue management - -- case to handle the fifo queue - case (s_rmap_fifo_queue(0)) is - - when fifo_none => - -- no fifo waiting at the queue - s_selected_fifo <= fifo_none; - - when fifo_incoming_fee_0 => - -- fee 0 rmap incoming fifo at top of the queue - s_selected_fifo <= fifo_incoming_fee_0; - -- check if the fifo use is over - if (s_fifo_incoming_fee_0_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_incoming_fee_0_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_incoming_fee_1 => - -- fee 1 rmap incoming fifo at top of the queue - s_selected_fifo <= fifo_incoming_fee_1; - -- check if the fifo use is over - if (s_fifo_incoming_fee_1_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_incoming_fee_1_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_incoming_fee_2 => - -- fee 2 rmap incoming fifo at top of the queue - s_selected_fifo <= fifo_incoming_fee_2; - -- check if the fifo use is over - if (s_fifo_incoming_fee_2_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_incoming_fee_2_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_incoming_fee_3 => - -- fee 3 rmap incoming fifo at top of the queue - s_selected_fifo <= fifo_incoming_fee_3; - -- check if the fifo use is over - if (s_fifo_incoming_fee_3_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_incoming_fee_3_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_incoming_fee_4 => - -- fee 4 rmap incoming fifo at top of the queue - s_selected_fifo <= fifo_incoming_fee_4; - -- check if the fifo use is over - if (s_fifo_incoming_fee_4_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_incoming_fee_4_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_incoming_fee_5 => - -- fee 5 rmap incoming fifo at top of the queue - s_selected_fifo <= fifo_incoming_fee_5; - -- check if the fifo use is over - if (s_fifo_incoming_fee_5_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_incoming_fee_5_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_outgoing_fee_0 => - -- fee 0 rmap outgoing fifo at top of the queue - s_selected_fifo <= fifo_outgoing_fee_0; - -- check if the fifo use is over - if (s_fifo_outgoing_fee_0_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_outgoing_fee_0_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_outgoing_fee_1 => - -- fee 1 rmap outgoing fifo at top of the queue - s_selected_fifo <= fifo_outgoing_fee_1; - -- check if the fifo use is over - if (s_fifo_outgoing_fee_1_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_outgoing_fee_1_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_outgoing_fee_2 => - -- fee 2 rmap outgoing fifo at top of the queue - s_selected_fifo <= fifo_outgoing_fee_2; - -- check if the fifo use is over - if (s_fifo_outgoing_fee_2_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_outgoing_fee_2_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_outgoing_fee_3 => - -- fee 3 rmap outgoing fifo at top of the queue - s_selected_fifo <= fifo_outgoing_fee_3; - -- check if the fifo use is over - if (s_fifo_outgoing_fee_3_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_outgoing_fee_3_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_outgoing_fee_4 => - -- fee 4 rmap outgoing fifo at top of the queue - s_selected_fifo <= fifo_outgoing_fee_4; - -- check if the fifo use is over - if (s_fifo_outgoing_fee_4_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_outgoing_fee_4_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_outgoing_fee_5 => - -- fee 5 rmap outgoing fifo at top of the queue - s_selected_fifo <= fifo_outgoing_fee_5; - -- check if the fifo use is over - if (s_fifo_outgoing_fee_5_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_outgoing_fee_5_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - end case; - - -- Output generation default values - - fee_0_rmap_incoming_fifo_control_o.rdreq <= '0'; - fee_0_rmap_outgoing_fifo_control_o.rdreq <= '0'; - fee_1_rmap_incoming_fifo_control_o.rdreq <= '0'; - fee_1_rmap_outgoing_fifo_control_o.rdreq <= '0'; - fee_2_rmap_incoming_fifo_control_o.rdreq <= '0'; - fee_2_rmap_outgoing_fifo_control_o.rdreq <= '0'; - fee_3_rmap_incoming_fifo_control_o.rdreq <= '0'; - fee_3_rmap_outgoing_fifo_control_o.rdreq <= '0'; - fee_4_rmap_incoming_fifo_control_o.rdreq <= '0'; - fee_4_rmap_outgoing_fifo_control_o.rdreq <= '0'; - fee_5_rmap_incoming_fifo_control_o.rdreq <= '0'; - fee_5_rmap_outgoing_fifo_control_o.rdreq <= '0'; - spw_codec_control_o.txwrite <= '0'; - spw_codec_control_o.txflag <= '0'; - spw_codec_control_o.txdata <= (others => '0'); - - -- States transitions FSM - case (s_rmpe_rmap_echo_transmitter_state) is - - -- state "IDLE" - when IDLE => - -- in idle, waiting a fifo be selected - -- default state transition - s_rmpe_rmap_echo_transmitter_state <= IDLE; - v_rmpe_rmap_echo_transmitter_state := IDLE; - -- default internal signal values - -- conditional state transition - -- check if there is a fifo was selected - if (s_selected_fifo /= fifo_none) then - -- a fifo was selected, go to waiting rmap data - s_rmpe_rmap_echo_transmitter_state <= WAITING_RMAP_DATA; - v_rmpe_rmap_echo_transmitter_state := WAITING_RMAP_DATA; - end if; - - -- state "WAITING_RMAP_DATA" - when WAITING_RMAP_DATA => - -- waiting data in the rmap data fifo - -- default state transition - s_rmpe_rmap_echo_transmitter_state <= WAITING_RMAP_DATA; - v_rmpe_rmap_echo_transmitter_state := WAITING_RMAP_DATA; - -- default internal signal values - -- conditional state transition - -- check if there is not a fifo selected - if (s_selected_fifo = fifo_none) then - -- no fifo selected (error) return to idle - s_rmpe_rmap_echo_transmitter_state <= IDLE; - v_rmpe_rmap_echo_transmitter_state := IDLE; - -- check if there is space available in the spw codec and the selected fifo have data available - elsif ((spw_codec_status_i.txrdy = '1') and (((s_selected_fifo = fifo_incoming_fee_0) and (fee_0_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_1) and (fee_1_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_2) and (fee_2_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_3) and (fee_3_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_4) and (fee_4_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_5) and (fee_5_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_0) and (fee_0_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_1) and (fee_1_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_2) and (fee_2_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_3) and (fee_3_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_4) and (fee_4_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_5) and (fee_5_rmap_outgoing_fifo_status_i.empty = '0')))) then - -- there is space available in the spw codec and the selected fifo have data available, fetch data - s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; - v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; - -- output generation - -- fetch data from the selected fifo - case (s_selected_fifo) is - when fifo_none => - null; - when fifo_incoming_fee_0 => - fee_0_rmap_incoming_fifo_control_o.rdreq <= '1'; - when fifo_incoming_fee_1 => - fee_1_rmap_incoming_fifo_control_o.rdreq <= '1'; - when fifo_incoming_fee_2 => - fee_2_rmap_incoming_fifo_control_o.rdreq <= '1'; - when fifo_incoming_fee_3 => - fee_3_rmap_incoming_fifo_control_o.rdreq <= '1'; - when fifo_incoming_fee_4 => - fee_4_rmap_incoming_fifo_control_o.rdreq <= '1'; - when fifo_incoming_fee_5 => - fee_5_rmap_incoming_fifo_control_o.rdreq <= '1'; - when fifo_outgoing_fee_0 => - fee_0_rmap_outgoing_fifo_control_o.rdreq <= '1'; - when fifo_outgoing_fee_1 => - fee_1_rmap_outgoing_fifo_control_o.rdreq <= '1'; - when fifo_outgoing_fee_2 => - fee_2_rmap_outgoing_fifo_control_o.rdreq <= '1'; - when fifo_outgoing_fee_3 => - fee_3_rmap_outgoing_fifo_control_o.rdreq <= '1'; - when fifo_outgoing_fee_4 => - fee_4_rmap_outgoing_fifo_control_o.rdreq <= '1'; - when fifo_outgoing_fee_5 => - fee_5_rmap_outgoing_fifo_control_o.rdreq <= '1'; - end case; - end if; - - -- state "FETCH_RMAP_DATA" - when FETCH_RMAP_DATA => - -- fetching data from the rmap data fifo - -- default state transition - s_rmpe_rmap_echo_transmitter_state <= WRITE_RMAP_DATA; - v_rmpe_rmap_echo_transmitter_state := WRITE_RMAP_DATA; - -- default internal signal values - -- conditional state transition - - -- state "WRITE_RMAP_DATA" - when WRITE_RMAP_DATA => - -- write rmap packet data - -- default state transition - s_rmpe_rmap_echo_transmitter_state <= WAITING_RMAP_DATA; - v_rmpe_rmap_echo_transmitter_state := WAITING_RMAP_DATA; - -- default internal signal values - -- conditional state transition - -- check if there is not a fifo selected - if (s_selected_fifo = fifo_none) then - -- no fifo selected (error) return to idle - s_rmpe_rmap_echo_transmitter_state <= IDLE; - v_rmpe_rmap_echo_transmitter_state := IDLE; - -- check if an end of packet was received - elsif (((s_selected_fifo = fifo_incoming_fee_0) and (fee_0_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_1) and (fee_1_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_2) and (fee_2_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_3) and (fee_3_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_4) and (fee_4_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_5) and (fee_5_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_0) and (fee_0_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_1) and (fee_1_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_2) and (fee_2_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_3) and (fee_3_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_4) and (fee_4_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_5) and (fee_5_rmap_outgoing_fifo_status_i.rddata_flag = '1'))) then - -- end of packet was received, return to idle - case (s_selected_fifo) is - when fifo_none => - null; - when fifo_incoming_fee_0 => - s_fifo_incoming_fee_0_in_use <= '0'; - when fifo_incoming_fee_1 => - s_fifo_incoming_fee_1_in_use <= '0'; - when fifo_incoming_fee_2 => - s_fifo_incoming_fee_2_in_use <= '0'; - when fifo_incoming_fee_3 => - s_fifo_incoming_fee_3_in_use <= '0'; - when fifo_incoming_fee_4 => - s_fifo_incoming_fee_4_in_use <= '0'; - when fifo_incoming_fee_5 => - s_fifo_incoming_fee_5_in_use <= '0'; - when fifo_outgoing_fee_0 => - s_fifo_outgoing_fee_0_in_use <= '0'; - when fifo_outgoing_fee_1 => - s_fifo_outgoing_fee_1_in_use <= '0'; - when fifo_outgoing_fee_2 => - s_fifo_outgoing_fee_2_in_use <= '0'; - when fifo_outgoing_fee_3 => - s_fifo_outgoing_fee_3_in_use <= '0'; - when fifo_outgoing_fee_4 => - s_fifo_outgoing_fee_4_in_use <= '0'; - when fifo_outgoing_fee_5 => - s_fifo_outgoing_fee_5_in_use <= '0'; - end case; - s_rmpe_rmap_echo_transmitter_state <= IDLE; - v_rmpe_rmap_echo_transmitter_state := IDLE; - end if; - -- output generation - -- write data to the spw codec - case (s_selected_fifo) is - when fifo_none => - null; - when fifo_incoming_fee_0 => - spw_codec_control_o.txflag <= fee_0_rmap_incoming_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_0_rmap_incoming_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_incoming_fee_1 => - spw_codec_control_o.txflag <= fee_1_rmap_incoming_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_1_rmap_incoming_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_incoming_fee_2 => - spw_codec_control_o.txflag <= fee_2_rmap_incoming_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_2_rmap_incoming_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_incoming_fee_3 => - spw_codec_control_o.txflag <= fee_3_rmap_incoming_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_3_rmap_incoming_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_incoming_fee_4 => - spw_codec_control_o.txflag <= fee_4_rmap_incoming_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_4_rmap_incoming_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_incoming_fee_5 => - spw_codec_control_o.txflag <= fee_5_rmap_incoming_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_5_rmap_incoming_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_outgoing_fee_0 => - spw_codec_control_o.txflag <= fee_0_rmap_outgoing_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_0_rmap_outgoing_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_outgoing_fee_1 => - spw_codec_control_o.txflag <= fee_1_rmap_outgoing_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_1_rmap_outgoing_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_outgoing_fee_2 => - spw_codec_control_o.txflag <= fee_2_rmap_outgoing_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_2_rmap_outgoing_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_outgoing_fee_3 => - spw_codec_control_o.txflag <= fee_3_rmap_outgoing_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_3_rmap_outgoing_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_outgoing_fee_4 => - spw_codec_control_o.txflag <= fee_4_rmap_outgoing_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_4_rmap_outgoing_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_outgoing_fee_5 => - spw_codec_control_o.txflag <= fee_5_rmap_outgoing_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_5_rmap_outgoing_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - end case; - - -- all the other states (not defined) - when others => - s_rmpe_rmap_echo_transmitter_state <= IDLE; - v_rmpe_rmap_echo_transmitter_state := IDLE; - - end case; - - -- Output generation default values - - -- Output generation FSM - - case (v_rmpe_rmap_echo_transmitter_state) is - - -- state "IDLE" - when IDLE => - -- in idle, waiting a fifo be selected - -- default output signals - -- conditional output signals - - -- state "WAITING_RMAP_DATA" - when WAITING_RMAP_DATA => - -- waiting data in the rmap data fifo - -- default output signals - -- conditional output signals - - -- state "FETCH_RMAP_DATA" - when FETCH_RMAP_DATA => - -- fetching data from the rmap data fifo - -- default output signals - -- conditional output signals - - -- state "WRITE_RMAP_DATA" - when WRITE_RMAP_DATA => - -- write rmap packet data - -- default output signals - -- conditional output signals - - end case; - - end if; - end process p_rmpe_rmap_echo_transmitter; + p_rmpe_rmap_echo_transmitter : process(clk_i, rst_i) is + variable v_fifo_queue_index : t_rmap_fifo_queue_index := 0; + variable v_rmpe_rmap_echo_transmitter_state : t_rmpe_rmap_echo_transmitter_fsm; + begin + if (rst_i = '1') then + s_selected_fifo <= fifo_none; + s_rmap_fifo_queue <= (others => fifo_none); + s_fifo_incoming_fee_0_queued <= '0'; + s_fifo_outgoing_fee_0_queued <= '0'; + s_fifo_incoming_fee_1_queued <= '0'; + s_fifo_outgoing_fee_1_queued <= '0'; + s_fifo_incoming_fee_2_queued <= '0'; + s_fifo_outgoing_fee_2_queued <= '0'; + s_fifo_incoming_fee_3_queued <= '0'; + s_fifo_outgoing_fee_3_queued <= '0'; + s_fifo_incoming_fee_4_queued <= '0'; + s_fifo_outgoing_fee_4_queued <= '0'; + s_fifo_incoming_fee_5_queued <= '0'; + s_fifo_outgoing_fee_5_queued <= '0'; + s_fifo_incoming_fee_0_in_use <= '0'; + s_fifo_outgoing_fee_0_in_use <= '0'; + s_fifo_incoming_fee_1_in_use <= '0'; + s_fifo_outgoing_fee_1_in_use <= '0'; + s_fifo_incoming_fee_2_in_use <= '0'; + s_fifo_outgoing_fee_2_in_use <= '0'; + s_fifo_incoming_fee_3_in_use <= '0'; + s_fifo_outgoing_fee_3_in_use <= '0'; + s_fifo_incoming_fee_4_in_use <= '0'; + s_fifo_outgoing_fee_4_in_use <= '0'; + s_fifo_incoming_fee_5_in_use <= '0'; + s_fifo_outgoing_fee_5_in_use <= '0'; + v_fifo_queue_index := 0; + fee_0_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_0_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_1_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_1_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_2_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_2_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_3_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_3_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_4_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_4_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_5_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_5_rmap_outgoing_fifo_control_o.rdreq <= '0'; + spw_codec_control_o.txwrite <= '0'; + spw_codec_control_o.txflag <= '0'; + spw_codec_control_o.txdata <= (others => '0'); + elsif rising_edge(clk_i) then + + -- check if fee 0 rmap incoming fifo is enabled, has data available and is not queued + if ((fee_0_rmap_echo_en_i = '1') and (fee_0_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_0_queued = '0')) then + -- fee 0 rmap incoming fifo has data available and is not queued + -- put fee 0 rmap incoming fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_0; + s_fifo_incoming_fee_0_queued <= '1'; + s_fifo_incoming_fee_0_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 1 rmap incoming fifo is enabled, has data available and is not queued + if ((fee_1_rmap_echo_en_i = '1') and (fee_1_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_1_queued = '0')) then + -- fee 1 rmap incoming fifo has data available and is not queued + -- put fee 1 rmap incoming fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_1; + s_fifo_incoming_fee_1_queued <= '1'; + s_fifo_incoming_fee_1_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 2 rmap incoming fifo is enabled, has data available and is not queued + if ((fee_2_rmap_echo_en_i = '1') and (fee_2_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_2_queued = '0')) then + -- fee 2 rmap incoming fifo has data available and is not queued + -- put fee 2 rmap incoming fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_2; + s_fifo_incoming_fee_2_queued <= '1'; + s_fifo_incoming_fee_2_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 3 rmap incoming fifo is enabled, has data available and is not queued + if ((fee_3_rmap_echo_en_i = '1') and (fee_3_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_3_queued = '0')) then + -- fee 3 rmap incoming fifo has data available and is not queued + -- put fee 3 rmap incoming fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_3; + s_fifo_incoming_fee_3_queued <= '1'; + s_fifo_incoming_fee_3_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 4 rmap incoming fifo is enabled, has data available and is not queued + if ((fee_4_rmap_echo_en_i = '1') and (fee_4_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_4_queued = '0')) then + -- fee 4 rmap incoming fifo has data available and is not queued + -- put fee 4 rmap incoming fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_4; + s_fifo_incoming_fee_4_queued <= '1'; + s_fifo_incoming_fee_4_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 5 rmap incoming fifo is enabled, has data available and is not queued + if ((fee_5_rmap_echo_en_i = '1') and (fee_5_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_5_queued = '0')) then + -- fee 5 rmap incoming fifo has data available and is not queued + -- put fee 5 rmap incoming fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_5; + s_fifo_incoming_fee_5_queued <= '1'; + s_fifo_incoming_fee_5_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 0 rmap outgoing fifo is enabled, has data available and is not queued + if ((fee_0_rmap_echo_en_i = '1') and (fee_0_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_0_queued = '0')) then + -- fee 0 rmap outgoing fifo has data available and is not queued + -- put fee 0 rmap outgoing fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_0; + s_fifo_outgoing_fee_0_queued <= '1'; + s_fifo_outgoing_fee_0_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 1 rmap outgoing fifo is enabled, has data available and is not queued + if ((fee_1_rmap_echo_en_i = '1') and (fee_1_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_1_queued = '0')) then + -- fee 1 rmap outgoing fifo has data available and is not queued + -- put fee 1 rmap outgoing fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_1; + s_fifo_outgoing_fee_1_queued <= '1'; + s_fifo_outgoing_fee_1_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 2 rmap outgoing fifo is enabled, has data available and is not queued + if ((fee_2_rmap_echo_en_i = '1') and (fee_2_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_2_queued = '0')) then + -- fee 2 rmap outgoing fifo has data available and is not queued + -- put fee 2 rmap outgoing fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_2; + s_fifo_outgoing_fee_2_queued <= '1'; + s_fifo_outgoing_fee_2_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 3 rmap outgoing fifo is enabled, has data available and is not queued + if ((fee_3_rmap_echo_en_i = '1') and (fee_3_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_3_queued = '0')) then + -- fee 3 rmap outgoing fifo has data available and is not queued + -- put fee 3 rmap outgoing fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_3; + s_fifo_outgoing_fee_3_queued <= '1'; + s_fifo_outgoing_fee_3_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 4 rmap outgoing fifo is enabled, has data available and is not queued + if ((fee_4_rmap_echo_en_i = '1') and (fee_4_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_4_queued = '0')) then + -- fee 4 rmap outgoing fifo has data available and is not queued + -- put fee 4 rmap outgoing fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_4; + s_fifo_outgoing_fee_4_queued <= '1'; + s_fifo_outgoing_fee_4_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 5 rmap outgoing fifo is enabled, has data available and is not queued + if ((fee_5_rmap_echo_en_i = '1') and (fee_5_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_5_queued = '0')) then + -- fee 5 rmap outgoing fifo has data available and is not queued + -- put fee 5 rmap outgoing fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_5; + s_fifo_outgoing_fee_5_queued <= '1'; + s_fifo_outgoing_fee_5_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- fifo queue management + -- case to handle the fifo queue + case (s_rmap_fifo_queue(0)) is + + when fifo_none => + -- no fifo waiting at the queue + s_selected_fifo <= fifo_none; + + when fifo_incoming_fee_0 => + -- fee 0 rmap incoming fifo at top of the queue + s_selected_fifo <= fifo_incoming_fee_0; + -- check if the fifo use is over + if (s_fifo_incoming_fee_0_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_incoming_fee_0_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_incoming_fee_1 => + -- fee 1 rmap incoming fifo at top of the queue + s_selected_fifo <= fifo_incoming_fee_1; + -- check if the fifo use is over + if (s_fifo_incoming_fee_1_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_incoming_fee_1_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_incoming_fee_2 => + -- fee 2 rmap incoming fifo at top of the queue + s_selected_fifo <= fifo_incoming_fee_2; + -- check if the fifo use is over + if (s_fifo_incoming_fee_2_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_incoming_fee_2_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_incoming_fee_3 => + -- fee 3 rmap incoming fifo at top of the queue + s_selected_fifo <= fifo_incoming_fee_3; + -- check if the fifo use is over + if (s_fifo_incoming_fee_3_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_incoming_fee_3_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_incoming_fee_4 => + -- fee 4 rmap incoming fifo at top of the queue + s_selected_fifo <= fifo_incoming_fee_4; + -- check if the fifo use is over + if (s_fifo_incoming_fee_4_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_incoming_fee_4_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_incoming_fee_5 => + -- fee 5 rmap incoming fifo at top of the queue + s_selected_fifo <= fifo_incoming_fee_5; + -- check if the fifo use is over + if (s_fifo_incoming_fee_5_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_incoming_fee_5_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_outgoing_fee_0 => + -- fee 0 rmap outgoing fifo at top of the queue + s_selected_fifo <= fifo_outgoing_fee_0; + -- check if the fifo use is over + if (s_fifo_outgoing_fee_0_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_outgoing_fee_0_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_outgoing_fee_1 => + -- fee 1 rmap outgoing fifo at top of the queue + s_selected_fifo <= fifo_outgoing_fee_1; + -- check if the fifo use is over + if (s_fifo_outgoing_fee_1_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_outgoing_fee_1_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_outgoing_fee_2 => + -- fee 2 rmap outgoing fifo at top of the queue + s_selected_fifo <= fifo_outgoing_fee_2; + -- check if the fifo use is over + if (s_fifo_outgoing_fee_2_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_outgoing_fee_2_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_outgoing_fee_3 => + -- fee 3 rmap outgoing fifo at top of the queue + s_selected_fifo <= fifo_outgoing_fee_3; + -- check if the fifo use is over + if (s_fifo_outgoing_fee_3_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_outgoing_fee_3_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_outgoing_fee_4 => + -- fee 4 rmap outgoing fifo at top of the queue + s_selected_fifo <= fifo_outgoing_fee_4; + -- check if the fifo use is over + if (s_fifo_outgoing_fee_4_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_outgoing_fee_4_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_outgoing_fee_5 => + -- fee 5 rmap outgoing fifo at top of the queue + s_selected_fifo <= fifo_outgoing_fee_5; + -- check if the fifo use is over + if (s_fifo_outgoing_fee_5_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_outgoing_fee_5_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + end case; + + -- Output generation default values + + fee_0_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_0_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_1_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_1_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_2_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_2_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_3_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_3_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_4_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_4_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_5_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_5_rmap_outgoing_fifo_control_o.rdreq <= '0'; + spw_codec_control_o.txwrite <= '0'; + spw_codec_control_o.txflag <= '0'; + spw_codec_control_o.txdata <= (others => '0'); + + -- States transitions FSM + case (s_rmpe_rmap_echo_transmitter_state) is + + -- state "IDLE" + when IDLE => + -- in idle, waiting a fifo be selected + -- default state transition + s_rmpe_rmap_echo_transmitter_state <= IDLE; + v_rmpe_rmap_echo_transmitter_state := IDLE; + -- default internal signal values + -- conditional state transition + -- check if there is a fifo was selected + if (s_selected_fifo /= fifo_none) then + -- a fifo was selected, go to waiting rmap data + s_rmpe_rmap_echo_transmitter_state <= WAITING_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := WAITING_RMAP_DATA; + end if; + + -- state "WAITING_RMAP_DATA" + when WAITING_RMAP_DATA => + -- waiting data in the rmap data fifo + -- default state transition + s_rmpe_rmap_echo_transmitter_state <= WAITING_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := WAITING_RMAP_DATA; + -- default internal signal values + -- conditional state transition + -- check if there is not a fifo selected + if (s_selected_fifo = fifo_none) then + -- no fifo selected (error) return to idle + s_rmpe_rmap_echo_transmitter_state <= IDLE; + v_rmpe_rmap_echo_transmitter_state := IDLE; + -- check if there is space available in the spw codec and the selected fifo have data available + elsif ((spw_codec_status_i.txrdy = '1') and (((s_selected_fifo = fifo_incoming_fee_0) and (fee_0_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_1) and (fee_1_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_2) and (fee_2_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_3) and (fee_3_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_4) and (fee_4_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_5) and (fee_5_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_0) and (fee_0_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_1) and (fee_1_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_2) and (fee_2_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_3) and (fee_3_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_4) and (fee_4_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_5) and (fee_5_rmap_outgoing_fifo_status_i.empty = '0')))) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + -- output generation + -- fetch data from the selected fifo + case (s_selected_fifo) is + when fifo_none => + null; + when fifo_incoming_fee_0 => + fee_0_rmap_incoming_fifo_control_o.rdreq <= '1'; + when fifo_incoming_fee_1 => + fee_1_rmap_incoming_fifo_control_o.rdreq <= '1'; + when fifo_incoming_fee_2 => + fee_2_rmap_incoming_fifo_control_o.rdreq <= '1'; + when fifo_incoming_fee_3 => + fee_3_rmap_incoming_fifo_control_o.rdreq <= '1'; + when fifo_incoming_fee_4 => + fee_4_rmap_incoming_fifo_control_o.rdreq <= '1'; + when fifo_incoming_fee_5 => + fee_5_rmap_incoming_fifo_control_o.rdreq <= '1'; + when fifo_outgoing_fee_0 => + fee_0_rmap_outgoing_fifo_control_o.rdreq <= '1'; + when fifo_outgoing_fee_1 => + fee_1_rmap_outgoing_fifo_control_o.rdreq <= '1'; + when fifo_outgoing_fee_2 => + fee_2_rmap_outgoing_fifo_control_o.rdreq <= '1'; + when fifo_outgoing_fee_3 => + fee_3_rmap_outgoing_fifo_control_o.rdreq <= '1'; + when fifo_outgoing_fee_4 => + fee_4_rmap_outgoing_fifo_control_o.rdreq <= '1'; + when fifo_outgoing_fee_5 => + fee_5_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end case; + end if; + + -- state "FETCH_RMAP_DATA" + when FETCH_RMAP_DATA => + -- fetching data from the rmap data fifo + -- default state transition + s_rmpe_rmap_echo_transmitter_state <= WRITE_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := WRITE_RMAP_DATA; + -- default internal signal values + -- conditional state transition + + -- state "WRITE_RMAP_DATA" + when WRITE_RMAP_DATA => + -- write rmap packet data + -- default state transition + s_rmpe_rmap_echo_transmitter_state <= WAITING_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := WAITING_RMAP_DATA; + -- default internal signal values + -- conditional state transition + -- check if there is not a fifo selected + if (s_selected_fifo = fifo_none) then + -- no fifo selected (error) return to idle + s_rmpe_rmap_echo_transmitter_state <= IDLE; + v_rmpe_rmap_echo_transmitter_state := IDLE; + -- check if an end of packet was received + elsif (((s_selected_fifo = fifo_incoming_fee_0) and (fee_0_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_1) and (fee_1_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_2) and (fee_2_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_3) and (fee_3_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_4) and (fee_4_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_5) and (fee_5_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_0) and (fee_0_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_1) and (fee_1_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_2) and (fee_2_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_3) and (fee_3_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_4) and (fee_4_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_5) and (fee_5_rmap_outgoing_fifo_status_i.rddata_flag = '1'))) then + -- end of packet was received, return to idle + case (s_selected_fifo) is + when fifo_none => + null; + when fifo_incoming_fee_0 => + s_fifo_incoming_fee_0_in_use <= '0'; + when fifo_incoming_fee_1 => + s_fifo_incoming_fee_1_in_use <= '0'; + when fifo_incoming_fee_2 => + s_fifo_incoming_fee_2_in_use <= '0'; + when fifo_incoming_fee_3 => + s_fifo_incoming_fee_3_in_use <= '0'; + when fifo_incoming_fee_4 => + s_fifo_incoming_fee_4_in_use <= '0'; + when fifo_incoming_fee_5 => + s_fifo_incoming_fee_5_in_use <= '0'; + when fifo_outgoing_fee_0 => + s_fifo_outgoing_fee_0_in_use <= '0'; + when fifo_outgoing_fee_1 => + s_fifo_outgoing_fee_1_in_use <= '0'; + when fifo_outgoing_fee_2 => + s_fifo_outgoing_fee_2_in_use <= '0'; + when fifo_outgoing_fee_3 => + s_fifo_outgoing_fee_3_in_use <= '0'; + when fifo_outgoing_fee_4 => + s_fifo_outgoing_fee_4_in_use <= '0'; + when fifo_outgoing_fee_5 => + s_fifo_outgoing_fee_5_in_use <= '0'; + end case; + s_rmpe_rmap_echo_transmitter_state <= IDLE; + v_rmpe_rmap_echo_transmitter_state := IDLE; + end if; + -- output generation + -- write data to the spw codec + case (s_selected_fifo) is + when fifo_none => + null; + when fifo_incoming_fee_0 => + spw_codec_control_o.txflag <= fee_0_rmap_incoming_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_0_rmap_incoming_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_0_rmap_incoming_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_0_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + when fifo_incoming_fee_1 => + spw_codec_control_o.txflag <= fee_1_rmap_incoming_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_1_rmap_incoming_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_1_rmap_incoming_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_1_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + when fifo_incoming_fee_2 => + spw_codec_control_o.txflag <= fee_2_rmap_incoming_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_2_rmap_incoming_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_2_rmap_incoming_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_2_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + when fifo_incoming_fee_3 => + spw_codec_control_o.txflag <= fee_3_rmap_incoming_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_3_rmap_incoming_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_3_rmap_incoming_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_3_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + when fifo_incoming_fee_4 => + spw_codec_control_o.txflag <= fee_4_rmap_incoming_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_4_rmap_incoming_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_4_rmap_incoming_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_4_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + when fifo_incoming_fee_5 => + spw_codec_control_o.txflag <= fee_5_rmap_incoming_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_5_rmap_incoming_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_5_rmap_incoming_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_5_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + when fifo_outgoing_fee_0 => + spw_codec_control_o.txflag <= fee_0_rmap_outgoing_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_0_rmap_outgoing_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_0_rmap_outgoing_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_0_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + when fifo_outgoing_fee_1 => + spw_codec_control_o.txflag <= fee_1_rmap_outgoing_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_1_rmap_outgoing_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_1_rmap_outgoing_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_1_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + when fifo_outgoing_fee_2 => + spw_codec_control_o.txflag <= fee_2_rmap_outgoing_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_2_rmap_outgoing_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_2_rmap_outgoing_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_2_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + when fifo_outgoing_fee_3 => + spw_codec_control_o.txflag <= fee_3_rmap_outgoing_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_3_rmap_outgoing_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_3_rmap_outgoing_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_3_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + when fifo_outgoing_fee_4 => + spw_codec_control_o.txflag <= fee_4_rmap_outgoing_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_4_rmap_outgoing_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_4_rmap_outgoing_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_4_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + when fifo_outgoing_fee_5 => + spw_codec_control_o.txflag <= fee_5_rmap_outgoing_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_5_rmap_outgoing_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_5_rmap_outgoing_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_5_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + end case; + + -- all the other states (not defined) + when others => + s_rmpe_rmap_echo_transmitter_state <= IDLE; + v_rmpe_rmap_echo_transmitter_state := IDLE; + + end case; + + -- Output generation default values + + -- Output generation FSM + + case (v_rmpe_rmap_echo_transmitter_state) is + + -- state "IDLE" + when IDLE => + -- in idle, waiting a fifo be selected + -- default output signals + -- conditional output signals + + -- state "WAITING_RMAP_DATA" + when WAITING_RMAP_DATA => + -- waiting data in the rmap data fifo + -- default output signals + -- conditional output signals + + -- state "FETCH_RMAP_DATA" + when FETCH_RMAP_DATA => + -- fetching data from the rmap data fifo + -- default output signals + -- conditional output signals + + -- state "WRITE_RMAP_DATA" + when WRITE_RMAP_DATA => + -- write rmap packet data + -- default output signals + -- conditional output signals + + end case; + + -- Echoing Enable Manager + + -- check if fee 0 rmap echo is disabled + if (fee_0_rmap_echo_en_i = '0') then + -- fee 0 rmap echo is disabled + -- clear internal queue signals + s_fifo_incoming_fee_0_queued <= '0'; + s_fifo_outgoing_fee_0_queued <= '0'; + -- clear all fifo data + fee_0_rmap_incoming_fifo_control_o.rdreq <= '0'; + if (fee_0_rmap_incoming_fifo_status_i.empty = '0') then + fee_0_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + fee_0_rmap_outgoing_fifo_control_o.rdreq <= '0'; + if (fee_0_rmap_outgoing_fifo_status_i.empty = '0') then + fee_0_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + -- check if there is a ongoing transmission and finishes it with an EEP + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_incoming_fee_0_in_use = '1')) then + s_fifo_incoming_fee_0_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_outgoing_fee_0_in_use = '1')) then + s_fifo_outgoing_fee_0_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + end if; + -- check if fee 1 rmap echo is disabled + if (fee_1_rmap_echo_en_i = '0') then + -- fee 1 rmap echo is disabled + -- clear internal queue signals + s_fifo_incoming_fee_1_queued <= '0'; + s_fifo_outgoing_fee_1_queued <= '0'; + -- clear all fifo data + fee_1_rmap_incoming_fifo_control_o.rdreq <= '0'; + if (fee_1_rmap_incoming_fifo_status_i.empty = '0') then + fee_1_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + fee_1_rmap_outgoing_fifo_control_o.rdreq <= '0'; + if (fee_1_rmap_outgoing_fifo_status_i.empty = '0') then + fee_1_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + -- check if there is a ongoing transmission and finishes it with an EEP + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_incoming_fee_1_in_use = '1')) then + s_fifo_incoming_fee_1_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_outgoing_fee_1_in_use = '1')) then + s_fifo_outgoing_fee_1_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + end if; + -- check if fee 2 rmap echo is disabled + if (fee_2_rmap_echo_en_i = '0') then + -- fee 2 rmap echo is disabled + -- clear internal queue signals + s_fifo_incoming_fee_2_queued <= '0'; + s_fifo_outgoing_fee_2_queued <= '0'; + -- clear all fifo data + fee_2_rmap_incoming_fifo_control_o.rdreq <= '0'; + if (fee_2_rmap_incoming_fifo_status_i.empty = '0') then + fee_2_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + fee_2_rmap_outgoing_fifo_control_o.rdreq <= '0'; + if (fee_2_rmap_outgoing_fifo_status_i.empty = '0') then + fee_2_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + -- check if there is a ongoing transmission and finishes it with an EEP + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_incoming_fee_2_in_use = '1')) then + s_fifo_incoming_fee_2_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_outgoing_fee_2_in_use = '1')) then + s_fifo_outgoing_fee_2_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + end if; + -- check if fee 3 rmap echo is disabled + if (fee_3_rmap_echo_en_i = '0') then + -- fee 3 rmap echo is disabled + -- clear internal queue signals + s_fifo_incoming_fee_3_queued <= '0'; + s_fifo_outgoing_fee_3_queued <= '0'; + -- clear all fifo data + fee_3_rmap_incoming_fifo_control_o.rdreq <= '0'; + if (fee_3_rmap_incoming_fifo_status_i.empty = '0') then + fee_3_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + fee_3_rmap_outgoing_fifo_control_o.rdreq <= '0'; + if (fee_3_rmap_outgoing_fifo_status_i.empty = '0') then + fee_3_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + -- check if there is a ongoing transmission and finishes it with an EEP + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_incoming_fee_3_in_use = '1')) then + s_fifo_incoming_fee_3_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_outgoing_fee_3_in_use = '1')) then + s_fifo_outgoing_fee_3_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + end if; + -- check if fee 4 rmap echo is disabled + if (fee_4_rmap_echo_en_i = '0') then + -- fee 4 rmap echo is disabled + -- clear internal queue signals + s_fifo_incoming_fee_4_queued <= '0'; + s_fifo_outgoing_fee_4_queued <= '0'; + -- clear all fifo data + fee_4_rmap_incoming_fifo_control_o.rdreq <= '0'; + if (fee_4_rmap_incoming_fifo_status_i.empty = '0') then + fee_4_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + fee_4_rmap_outgoing_fifo_control_o.rdreq <= '0'; + if (fee_4_rmap_outgoing_fifo_status_i.empty = '0') then + fee_4_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + -- check if there is a ongoing transmission and finishes it with an EEP + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_incoming_fee_4_in_use = '1')) then + s_fifo_incoming_fee_4_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_outgoing_fee_4_in_use = '1')) then + s_fifo_outgoing_fee_4_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + end if; + -- check if fee 5 rmap echo is disabled + if (fee_5_rmap_echo_en_i = '0') then + -- fee 5 rmap echo is disabled + -- clear internal queue signals + s_fifo_incoming_fee_5_queued <= '0'; + s_fifo_outgoing_fee_5_queued <= '0'; + -- clear all fifo data + fee_5_rmap_incoming_fifo_control_o.rdreq <= '0'; + if (fee_5_rmap_incoming_fifo_status_i.empty = '0') then + fee_5_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + fee_5_rmap_outgoing_fifo_control_o.rdreq <= '0'; + if (fee_5_rmap_outgoing_fifo_status_i.empty = '0') then + fee_5_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + -- check if there is a ongoing transmission and finishes it with an EEP + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_incoming_fee_5_in_use = '1')) then + s_fifo_incoming_fee_5_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_outgoing_fee_5_in_use = '1')) then + s_fifo_outgoing_fee_5_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + end if; + + end if; + end process p_rmpe_rmap_echo_transmitter; end architecture RTL; diff --git a/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd b/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd index 969ff615..7f1ae8e6 100644 --- a/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd +++ b/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd @@ -4,41 +4,41 @@ use ieee.numeric_std.all; package rmpe_rmap_echoing_pkg is - constant c_RMAP_FIFO_OVERFLOW_EN : std_logic := '0'; - - constant c_RMAP_PACKAGE_ID_INCOMING : std_logic_vector(3 downto 0) := x"0"; - constant c_RMAP_PACKAGE_ID_OUTGOING : std_logic_vector(3 downto 0) := x"1"; - - type t_rmpe_rmap_echoing_spw_fifo_control is record - wrdata_flag : std_logic; - wrdata_data : std_logic_vector(7 downto 0); - wrreq : std_logic; - end record t_rmpe_rmap_echoing_spw_fifo_control; - - type t_rmpe_rmap_echoing_spw_fifo_status is record - full : std_logic; - end record t_rmpe_rmap_echoing_spw_fifo_status; - - type t_rmpe_rmap_echoing_rmap_fifo_control is record - rdreq : std_logic; - end record t_rmpe_rmap_echoing_rmap_fifo_control; - - type t_rmpe_rmap_echoing_rmap_fifo_status is record - empty : std_logic; - rddata_flag : std_logic; - rddata_data : std_logic_vector(7 downto 0); - end record t_rmpe_rmap_echoing_rmap_fifo_status; - - type t_rmpe_rmap_echoing_spw_codec_control is record - txwrite : std_logic; - txflag : std_logic; - txdata : std_logic_vector(7 downto 0); - end record t_rmpe_rmap_echoing_spw_codec_control; - - type t_rmpe_rmap_echoing_spw_codec_status is record - txrdy : std_logic; - txhalff : std_logic; - end record t_rmpe_rmap_echoing_spw_codec_status; + constant c_RMAP_FIFO_OVERFLOW_EN : std_logic := '0'; + + constant c_RMAP_PACKAGE_ID_INCOMING : std_logic_vector(3 downto 0) := x"0"; + constant c_RMAP_PACKAGE_ID_OUTGOING : std_logic_vector(3 downto 0) := x"1"; + + type t_rmpe_rmap_echoing_spw_fifo_control is record + wrdata_flag : std_logic; + wrdata_data : std_logic_vector(7 downto 0); + wrreq : std_logic; + end record t_rmpe_rmap_echoing_spw_fifo_control; + + type t_rmpe_rmap_echoing_spw_fifo_status is record + full : std_logic; + end record t_rmpe_rmap_echoing_spw_fifo_status; + + type t_rmpe_rmap_echoing_rmap_fifo_control is record + rdreq : std_logic; + end record t_rmpe_rmap_echoing_rmap_fifo_control; + + type t_rmpe_rmap_echoing_rmap_fifo_status is record + empty : std_logic; + rddata_flag : std_logic; + rddata_data : std_logic_vector(7 downto 0); + end record t_rmpe_rmap_echoing_rmap_fifo_status; + + type t_rmpe_rmap_echoing_spw_codec_control is record + txwrite : std_logic; + txflag : std_logic; + txdata : std_logic_vector(7 downto 0); + end record t_rmpe_rmap_echoing_spw_codec_control; + + type t_rmpe_rmap_echoing_spw_codec_status is record + txrdy : std_logic; + txhalff : std_logic; + end record t_rmpe_rmap_echoing_spw_codec_status; end package rmpe_rmap_echoing_pkg; diff --git a/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_top.vhd b/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_top.vhd index 597fe529..e628cce8 100644 --- a/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_top.vhd +++ b/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_top.vhd @@ -17,6 +17,7 @@ use work.rmpe_rmap_echoing_pkg.all; entity rmpe_rmap_echoing_top is port( reset_i : in std_logic := '0'; -- -- reset_sink.reset + echo_rst_i : in std_logic := '0'; -- -- echo_rst_sink.reset clk_100_i : in std_logic := '0'; -- -- clock_sink_100mhz.clk rmap_echo_0_echo_en_i : in std_logic := '0'; -- -- conduit_end_rmap_echo_0_in.echo_en_signal rmap_echo_0_echo_id_en_i : in std_logic := '0'; -- -- .echo_id_en_signal @@ -104,11 +105,14 @@ end entity rmpe_rmap_echoing_top; architecture rtl of rmpe_rmap_echoing_top is + -- Signals -- + signal s_global_rst : std_logic; + -- Alias -- -- Basic Alias alias a_avs_clock_i is clk_100_i; - alias a_reset_i is reset_i; + alias a_reset_i is s_global_rst; -- Constants -- @@ -389,6 +393,12 @@ begin port map( clk_i => a_avs_clock_i, rst_i => a_reset_i, + fee_0_rmap_echo_en_i => rmap_echo_0_echo_en_i, + fee_1_rmap_echo_en_i => rmap_echo_1_echo_en_i, + fee_2_rmap_echo_en_i => rmap_echo_2_echo_en_i, + fee_3_rmap_echo_en_i => rmap_echo_3_echo_en_i, + fee_4_rmap_echo_en_i => rmap_echo_4_echo_en_i, + fee_5_rmap_echo_en_i => rmap_echo_5_echo_en_i, fee_0_rmap_incoming_fifo_status_i => s_rmap_echo_0_in_fifo_status, fee_0_rmap_outgoing_fifo_status_i => s_rmap_echo_0_out_fifo_status, fee_1_rmap_incoming_fifo_status_i => s_rmap_echo_1_in_fifo_status, @@ -422,6 +432,9 @@ begin -- Signals Assignments and Processes -- + -- Global Reset Assignments + s_global_rst <= reset_i or echo_rst_i; + -- SpaceWire Channel Codec Configuration p_spwc_codec_config : process(a_avs_clock_i, a_reset_i) is begin diff --git a/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing_hw.tcl b/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing_hw.tcl index dc3b9804..373cb81b 100644 --- a/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing_hw.tcl +++ b/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing_hw.tcl @@ -4,7 +4,7 @@ # -# RMAP_Echoing "RMAP_Echoing" v1.3 +# RMAP_Echoing "RMAP_Echoing" v1.4 # rfranca 2019.12.21.00:27:05 # # @@ -20,7 +20,7 @@ package require -exact qsys 16.1 # set_module_property DESCRIPTION "" set_module_property NAME RMAP_Echoing -set_module_property VERSION 1.3 +set_module_property VERSION 1.4 set_module_property INTERNAL false set_module_property OPAQUE_ADDRESS_MAP true set_module_property AUTHOR rfranca @@ -83,6 +83,21 @@ set_interface_property reset_sink SVD_ADDRESS_GROUP "" add_interface_port reset_sink reset_i reset Input 1 +# +# connection point echo_rst_sink +# +add_interface echo_rst_sink reset end +set_interface_property echo_rst_sink associatedClock clock_sink_100mhz +set_interface_property echo_rst_sink synchronousEdges DEASSERT +set_interface_property echo_rst_sink ENABLED true +set_interface_property echo_rst_sink EXPORT_OF "" +set_interface_property echo_rst_sink PORT_NAME_MAP "" +set_interface_property echo_rst_sink CMSIS_SVD_VARIABLES "" +set_interface_property echo_rst_sink SVD_ADDRESS_GROUP "" + +add_interface_port echo_rst_sink echo_rst_i reset Input 1 + + # # connection point clock_sink_100mhz # diff --git a/FPGA_Developments/RMAP_Echoing/Development/Testbench/RMAP_Echoing_tb.cr.mti b/FPGA_Developments/RMAP_Echoing/Development/Testbench/RMAP_Echoing_tb.cr.mti index a1d626cd..0fa1807f 100644 --- a/FPGA_Developments/RMAP_Echoing/Development/Testbench/RMAP_Echoing_tb.cr.mti +++ b/FPGA_Developments/RMAP_Echoing/Development/Testbench/RMAP_Echoing_tb.cr.mti @@ -25,7 +25,7 @@ Model Technology ModelSim - Intel FPGA Edition vcom 10.5b Compiler 2016.10 Oct ** Error (suppressible): D:/rfranca/Development/GitHub/SimuCam_Development/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/rmpe_rmap_echo_controller_ent.vhd(115): (vcom-1272) Length of formal "usedw" is 5; length of actual is 13. ** Error: D:/rfranca/Development/GitHub/SimuCam_Development/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/rmpe_rmap_echo_controller_ent.vhd(431): VHDL Compiler exiting -} {14.0 15.0} {}} ../Testbench/rmap_fifo_stimuli.vhd {1 {vcom -work work -2002 -explicit -stats=none D:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/Testbench/rmap_fifo_stimuli.vhd +} {14.0 15.0} {}} ../Testbench/rmap_fifo_stimuli.vhd {1 {vcom -work work -2002 -explicit -stats=none C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/Testbench/rmap_fifo_stimuli.vhd Model Technology ModelSim - Intel FPGA Edition vcom 10.5b Compiler 2016.10 Oct 5 2016 -- Loading package STANDARD -- Loading package TEXTIO @@ -168,7 +168,7 @@ Model Technology ModelSim - Intel FPGA Edition vcom 10.5b Compiler 2016.10 Oct ** Error: D:/rfranca/Development/GitHub/SimuCam_Development/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd(495): Attribute "high" returns type (error); expecting type t_fifo_queue_index. ** Error: D:/rfranca/Development/GitHub/SimuCam_Development/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd(712): VHDL Compiler exiting -} {10.0 134.0} {}} ../RMAP_Echoing/RMAP_ECHO_CONTROLLER/altera_ip/scfifo/spacewire_data_sc_fifo/spacewire_data_sc_fifo.vhd {1 {vcom -work work -2002 -explicit -stats=none D:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/altera_ip/scfifo/spacewire_data_sc_fifo/spacewire_data_sc_fifo.vhd +} {10.0 134.0} {}} ../RMAP_Echoing/RMAP_ECHO_CONTROLLER/altera_ip/scfifo/spacewire_data_sc_fifo/spacewire_data_sc_fifo.vhd {1 {vcom -work work -2002 -explicit -stats=none C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/altera_ip/scfifo/spacewire_data_sc_fifo/spacewire_data_sc_fifo.vhd Model Technology ModelSim - Intel FPGA Edition vcom 10.5b Compiler 2016.10 Oct 5 2016 -- Loading package STANDARD -- Loading package TEXTIO @@ -184,7 +184,7 @@ Model Technology ModelSim - Intel FPGA Edition vcom 10.5b Compiler 2016.10 Oct -- Compiling entity spacewire_data_sc_fifo -- Compiling architecture SYN of spacewire_data_sc_fifo -} {} {}} ../RMAP_Echoing/RMAP_ECHO_CONTROLLER/rmpe_rmap_echo_controller_ent.vhd {1 {vcom -work work -2002 -explicit -stats=none D:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/rmpe_rmap_echo_controller_ent.vhd +} {} {}} ../RMAP_Echoing/RMAP_ECHO_CONTROLLER/rmpe_rmap_echo_controller_ent.vhd {1 {vcom -work work -2002 -explicit -stats=none C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/rmpe_rmap_echo_controller_ent.vhd Model Technology ModelSim - Intel FPGA Edition vcom 10.5b Compiler 2016.10 Oct 5 2016 -- Loading package STANDARD -- Loading package TEXTIO @@ -196,7 +196,7 @@ Model Technology ModelSim - Intel FPGA Edition vcom 10.5b Compiler 2016.10 Oct -- Loading entity spacewire_data_sc_fifo -- Loading entity rmap_data_sc_fifo -} {} {}} ../RMAP_Echoing/RMAP_ECHO_CONTROLLER/altera_ip/scfifo/rmap_data_sc_fifo/rmap_data_sc_fifo.vhd {1 {vcom -work work -2002 -explicit -stats=none D:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/altera_ip/scfifo/rmap_data_sc_fifo/rmap_data_sc_fifo.vhd +} {} {}} ../RMAP_Echoing/RMAP_ECHO_CONTROLLER/altera_ip/scfifo/rmap_data_sc_fifo/rmap_data_sc_fifo.vhd {1 {vcom -work work -2002 -explicit -stats=none C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/altera_ip/scfifo/rmap_data_sc_fifo/rmap_data_sc_fifo.vhd Model Technology ModelSim - Intel FPGA Edition vcom 10.5b Compiler 2016.10 Oct 5 2016 -- Loading package STANDARD -- Loading package TEXTIO @@ -212,7 +212,7 @@ Model Technology ModelSim - Intel FPGA Edition vcom 10.5b Compiler 2016.10 Oct -- Compiling entity rmap_data_sc_fifo -- Compiling architecture SYN of rmap_data_sc_fifo -} {} {}} ../RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd {1 {vcom -work work -2002 -explicit -stats=none D:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd +} {} {}} ../RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd {1 {vcom -work work -2002 -explicit -stats=none C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd Model Technology ModelSim - Intel FPGA Edition vcom 10.5b Compiler 2016.10 Oct 5 2016 -- Loading package STANDARD -- Loading package TEXTIO @@ -222,7 +222,7 @@ Model Technology ModelSim - Intel FPGA Edition vcom 10.5b Compiler 2016.10 Oct -- Compiling entity rmpe_rmap_echo_transmitter_ent -- Compiling architecture RTL of rmpe_rmap_echo_transmitter_ent -} {} {}} ../Testbench/testbench_top.vhd {1 {vcom -work work -2002 -explicit -stats=none D:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/Testbench/testbench_top.vhd +} {} {}} ../Testbench/testbench_top.vhd {1 {vcom -work work -2002 -explicit -stats=none C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/Testbench/testbench_top.vhd Model Technology ModelSim - Intel FPGA Edition vcom 10.5b Compiler 2016.10 Oct 5 2016 -- Loading package STANDARD -- Loading package TEXTIO @@ -234,7 +234,7 @@ Model Technology ModelSim - Intel FPGA Edition vcom 10.5b Compiler 2016.10 Oct -- Loading package rmpe_rmap_echoing_pkg -- Loading entity rmpe_rmap_echoing_top -} {} {}} ../RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd {1 {vcom -work work -2002 -explicit -stats=none D:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd +} {} {}} ../RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd {1 {vcom -work work -2002 -explicit -stats=none C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd Model Technology ModelSim - Intel FPGA Edition vcom 10.5b Compiler 2016.10 Oct 5 2016 -- Loading package STANDARD -- Loading package TEXTIO @@ -244,26 +244,26 @@ Model Technology ModelSim - Intel FPGA Edition vcom 10.5b Compiler 2016.10 Oct -- Compiling package body rmpe_rmap_echoing_pkg -- Loading package rmpe_rmap_echoing_pkg -} {} {}} ../RMAP_Echoing/rmpe_rmap_echoing_top.vhd {1 {vcom -work work -2002 -explicit -stats=none D:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_top.vhd +} {} {}} D:/rfranca/Development/GitHub/SimuCam_Development/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd {1 {vcom -work work -2002 -explicit -stats=none D:/rfranca/Development/GitHub/SimuCam_Development/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd Model Technology ModelSim - Intel FPGA Edition vcom 10.5b Compiler 2016.10 Oct 5 2016 -- Loading package STANDARD -- Loading package TEXTIO -- Loading package std_logic_1164 -- Loading package NUMERIC_STD +-- Compiling package rmpe_rmap_echoing_pkg +-- Compiling package body rmpe_rmap_echoing_pkg -- Loading package rmpe_rmap_echoing_pkg --- Compiling entity rmpe_rmap_echoing_top --- Compiling architecture rtl of rmpe_rmap_echoing_top --- Loading entity rmpe_rmap_echo_controller_ent --- Loading entity rmpe_rmap_echo_transmitter_ent -} {} {}} D:/rfranca/Development/GitHub/SimuCam_Development/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd {1 {vcom -work work -2002 -explicit -stats=none D:/rfranca/Development/GitHub/SimuCam_Development/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd +} {} {}} ../RMAP_Echoing/rmpe_rmap_echoing_top.vhd {1 {vcom -work work -2002 -explicit -stats=none C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_top.vhd Model Technology ModelSim - Intel FPGA Edition vcom 10.5b Compiler 2016.10 Oct 5 2016 -- Loading package STANDARD -- Loading package TEXTIO -- Loading package std_logic_1164 -- Loading package NUMERIC_STD --- Compiling package rmpe_rmap_echoing_pkg --- Compiling package body rmpe_rmap_echoing_pkg -- Loading package rmpe_rmap_echoing_pkg +-- Compiling entity rmpe_rmap_echoing_top +-- Compiling architecture rtl of rmpe_rmap_echoing_top +-- Loading entity rmpe_rmap_echo_controller_ent +-- Loading entity rmpe_rmap_echo_transmitter_ent } {} {}} diff --git a/FPGA_Developments/RMAP_Echoing/Development/Testbench/RMAP_Echoing_tb.mpf b/FPGA_Developments/RMAP_Echoing/Development/Testbench/RMAP_Echoing_tb.mpf index 49bda6af..5e399e12 100644 --- a/FPGA_Developments/RMAP_Echoing/Development/Testbench/RMAP_Echoing_tb.mpf +++ b/FPGA_Developments/RMAP_Echoing/Development/Testbench/RMAP_Echoing_tb.mpf @@ -411,22 +411,22 @@ Project_Version = 6 Project_DefaultLib = work Project_SortMethod = unused Project_Files_Count = 8 -Project_File_0 = ../Testbench/testbench_top.vhd -Project_File_P_0 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1621347057 vhdl_disableopt 0 cover_excludedefault 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} cover_covercells 0 vhdl_warn3 1 vhdl_options {} cover_optlevel 3 voptflow 1 vhdl_warn4 1 ood 0 toggle - vhdl_warn5 1 compile_to work cover_noshort 0 compile_order 7 dont_compile 0 cover_nosub 0 vhdl_use93 2002 +Project_File_0 = ../RMAP_Echoing/RMAP_ECHO_CONTROLLER/altera_ip/scfifo/rmap_data_sc_fifo/rmap_data_sc_fifo.vhd +Project_File_P_0 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1675870629 vhdl_disableopt 0 cover_excludedefault 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} cover_covercells 0 vhdl_warn3 1 vhdl_options {} cover_optlevel 3 voptflow 1 vhdl_warn4 1 ood 0 toggle - vhdl_warn5 1 compile_to work cover_noshort 0 compile_order 1 dont_compile 0 cover_nosub 0 vhdl_use93 2002 Project_File_1 = ../RMAP_Echoing/RMAP_ECHO_CONTROLLER/rmpe_rmap_echo_controller_ent.vhd -Project_File_P_1 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1621347057 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 3 cover_nosub 0 dont_compile 0 vhdl_use93 2002 -Project_File_2 = ../RMAP_Echoing/RMAP_ECHO_CONTROLLER/altera_ip/scfifo/rmap_data_sc_fifo/rmap_data_sc_fifo.vhd -Project_File_P_2 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1621347057 vhdl_disableopt 0 cover_excludedefault 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} cover_covercells 0 vhdl_warn3 1 vhdl_options {} cover_optlevel 3 voptflow 1 vhdl_warn4 1 ood 0 toggle - vhdl_warn5 1 compile_to work cover_noshort 0 compile_order 1 dont_compile 0 cover_nosub 0 vhdl_use93 2002 -Project_File_3 = ../RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd -Project_File_P_3 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1621347057 vhdl_disableopt 0 cover_excludedefault 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} cover_covercells 0 vhdl_warn3 1 vhdl_options {} cover_optlevel 3 voptflow 1 vhdl_warn4 1 ood 0 toggle - vhdl_warn5 1 compile_to work cover_noshort 0 compile_order 0 dont_compile 0 cover_nosub 0 vhdl_use93 2002 +Project_File_P_1 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1680750707 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 3 cover_nosub 0 dont_compile 0 vhdl_use93 2002 +Project_File_2 = ../Testbench/testbench_top.vhd +Project_File_P_2 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1675870652 vhdl_disableopt 0 cover_excludedefault 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} cover_covercells 0 vhdl_warn3 1 vhdl_options {} cover_optlevel 3 voptflow 1 vhdl_warn4 1 ood 0 toggle - vhdl_warn5 1 compile_to work cover_noshort 0 compile_order 7 dont_compile 0 cover_nosub 0 vhdl_use93 2002 +Project_File_3 = ../RMAP_Echoing/RMAP_ECHO_CONTROLLER/altera_ip/scfifo/spacewire_data_sc_fifo/spacewire_data_sc_fifo.vhd +Project_File_P_3 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1675870629 vhdl_disableopt 0 cover_excludedefault 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} cover_covercells 0 vhdl_warn3 1 vhdl_options {} cover_optlevel 3 voptflow 1 vhdl_warn4 1 ood 0 toggle - vhdl_warn5 1 compile_to work cover_noshort 0 compile_order 2 dont_compile 0 cover_nosub 0 vhdl_use93 2002 Project_File_4 = ../RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd -Project_File_P_4 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1621347057 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 4 cover_nosub 0 dont_compile 0 vhdl_use93 2002 -Project_File_5 = ../RMAP_Echoing/RMAP_ECHO_CONTROLLER/altera_ip/scfifo/spacewire_data_sc_fifo/spacewire_data_sc_fifo.vhd -Project_File_P_5 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1621347057 vhdl_disableopt 0 cover_excludedefault 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} cover_covercells 0 vhdl_warn3 1 vhdl_options {} cover_optlevel 3 voptflow 1 vhdl_warn4 1 ood 0 toggle - vhdl_warn5 1 compile_to work cover_noshort 0 compile_order 2 dont_compile 0 cover_nosub 0 vhdl_use93 2002 -Project_File_6 = ../Testbench/rmap_fifo_stimuli.vhd -Project_File_P_6 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1621347057 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 6 cover_nosub 0 dont_compile 0 vhdl_use93 2002 -Project_File_7 = ../RMAP_Echoing/rmpe_rmap_echoing_top.vhd -Project_File_P_7 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1635357924 vhdl_disableopt 0 cover_excludedefault 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} cover_covercells 0 vhdl_warn3 1 vhdl_options {} cover_optlevel 3 voptflow 1 vhdl_warn4 1 ood 0 toggle - vhdl_warn5 1 compile_to work cover_noshort 0 compile_order 5 dont_compile 0 cover_nosub 0 vhdl_use93 2002 +Project_File_P_4 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1680751686 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 4 cover_nosub 0 dont_compile 0 vhdl_use93 2002 +Project_File_5 = ../RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd +Project_File_P_5 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1680751624 vhdl_disableopt 0 cover_excludedefault 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} cover_covercells 0 vhdl_warn3 1 vhdl_options {} cover_optlevel 3 voptflow 1 vhdl_warn4 1 ood 0 toggle - vhdl_warn5 1 compile_to work cover_noshort 0 compile_order 0 dont_compile 0 cover_nosub 0 vhdl_use93 2002 +Project_File_6 = ../RMAP_Echoing/rmpe_rmap_echoing_top.vhd +Project_File_P_6 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1680751620 vhdl_disableopt 0 cover_excludedefault 0 vhdl_vital 0 vhdl_warn1 1 vhdl_showsource 0 vhdl_explicit 1 vhdl_warn2 1 vhdl_0InOptions {} cover_covercells 0 vhdl_warn3 1 vhdl_options {} cover_optlevel 3 voptflow 1 vhdl_warn4 1 ood 0 toggle - vhdl_warn5 1 compile_to work cover_noshort 0 compile_order 5 dont_compile 0 cover_nosub 0 vhdl_use93 2002 +Project_File_7 = ../Testbench/rmap_fifo_stimuli.vhd +Project_File_P_7 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1675870629 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 6 cover_nosub 0 dont_compile 0 vhdl_use93 2002 Project_Sim_Count = 0 Project_Folder_Count = 0 Echo_Compile_Output = 0 diff --git a/FPGA_Developments/RMAP_Echoing/Development/Testbench/transcript b/FPGA_Developments/RMAP_Echoing/Development/Testbench/transcript index 61f81de1..5583ac53 100644 --- a/FPGA_Developments/RMAP_Echoing/Development/Testbench/transcript +++ b/FPGA_Developments/RMAP_Echoing/Development/Testbench/transcript @@ -1,5 +1,5 @@ # Reading C:/intelFPGA/18.1/modelsim_ase/tcl/vsim/pref.tcl -# OpenFile D:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/Testbench/RMAP_Echoing_tb.mpf +# OpenFile C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/Testbench/RMAP_Echoing_tb.mpf # Loading project RMAP_Echoing_tb # Compile of rmpe_rmap_echoing_pkg.vhd was successful. # Compile of rmap_data_sc_fifo.vhd was successful. diff --git a/FPGA_Developments/RMAP_Echoing/Development/Testbench/work/_info b/FPGA_Developments/RMAP_Echoing/Development/Testbench/work/_info index ef0ac6b1..083dda0b 100644 --- a/FPGA_Developments/RMAP_Echoing/Development/Testbench/work/_info +++ b/FPGA_Developments/RMAP_Echoing/Development/Testbench/work/_info @@ -9,23 +9,23 @@ z2 cModel Technology dD:/rfranca/Development/GitHub/SimuCam_Development3/FPGA_Developments/COM_Module_v1_8/Development/Testbench Ermap_data_sc_fifo -Z0 w1621347057 +Z0 w1675870629 Z1 DPx3 std 6 textio 0 22 zE1`LPoLg^DX3Oz^4Fj1K3 Z2 DPx4 ieee 14 std_logic_1164 0 22 eNV`TJ_GofJTzYa?f<@Oe1 -Z3 dD:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/Testbench -Z4 8D:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/altera_ip/scfifo/rmap_data_sc_fifo/rmap_data_sc_fifo.vhd -Z5 FD:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/altera_ip/scfifo/rmap_data_sc_fifo/rmap_data_sc_fifo.vhd +Z3 dC:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/Testbench +Z4 8C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/altera_ip/scfifo/rmap_data_sc_fifo/rmap_data_sc_fifo.vhd +Z5 FC:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/altera_ip/scfifo/rmap_data_sc_fifo/rmap_data_sc_fifo.vhd l0 L42 V[64;K_VP=XIEUdaT^c=Xc1 !s100 z[0oV3MPii^Q4R:U7f3 !s100 `YCj@LfQhWEznJN=aW=h81 R6 32 -Z17 !s110 1635357988 +Z17 !s110 1680751713 !i10b 1 -Z18 !s108 1635357988.000000 -Z19 !s90 -reportprogress|300|-work|work|-2002|-explicit|-stats=none|D:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/Testbench/rmap_fifo_stimuli.vhd| -Z20 !s107 D:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/Testbench/rmap_fifo_stimuli.vhd| +Z18 !s108 1680751713.000000 +Z19 !s90 -reportprogress|300|-work|work|-2002|-explicit|-stats=none|C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/Testbench/rmap_fifo_stimuli.vhd| +Z20 !s107 C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/Testbench/rmap_fifo_stimuli.vhd| !i113 1 R11 R12 @@ -89,90 +89,90 @@ R20 R11 R12 Ermpe_rmap_echo_controller_ent -R0 -Z22 DPx4 work 21 rmpe_rmap_echoing_pkg 0 22 YdOkRcKJS24ZX;bZR8_Mo0 +Z22 w1680750707 +Z23 DPx4 work 21 rmpe_rmap_echoing_pkg 0 22 S1PIh>5aBLCUo65iNGg[A2 R14 R1 R2 R3 -Z23 8D:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/rmpe_rmap_echo_controller_ent.vhd -Z24 FD:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/rmpe_rmap_echo_controller_ent.vhd +Z24 8C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/rmpe_rmap_echo_controller_ent.vhd +Z25 FC:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_CONTROLLER/rmpe_rmap_echo_controller_ent.vhd l0 L7 -V9eMV5z`DFaJ[7A_4TnWLd0 -!s100 NQ4S>KC6ZBa0 R6 32 -R7 +R17 !i10b 1 R8 -R25 R26 +R27 !i113 1 R11 R12 Ermpe_rmap_echo_transmitter_ent -R0 -R22 +Z30 w1680751686 +R23 R14 R1 R2 R3 -Z29 8D:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd -Z30 FD:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd +Z31 8C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd +Z32 FC:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd l0 L7 -V=gSmNMMHE^X]0>:ZaRn5m0 -!s100 :ZaRn5m0 -l97 -L40 -VG5Fg0BaS:]MFE60z[EZlZ1 -!s100 78<9UccnzHLPBiP8XiZY60 +Z35 DEx4 work 30 rmpe_rmap_echo_transmitter_ent 0 22 HIY`hATab[E]NS=iY>NQVeb;0SJ=1 R6 32 -R7 +R17 !i10b 1 -R8 -R31 -R32 +R18 +R33 +R34 !i113 1 R11 R12 @@ -180,26 +180,26 @@ Prmpe_rmap_echoing_pkg R14 R1 R2 -R0 +Z36 w1680751624 R3 -Z34 8D:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd -Z35 FD:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd +Z37 8C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd +Z38 FC:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd l0 L5 -VYdOkRcKJS24ZX;bZR8_Mo0 -!s100 4;gQffl9Xge3?A6Ag2k^`0 +VS1PIh>5aBLCUo65iNGg[A2 +!s100 g4^;Cz6I_^SWI55D3YQSF3 R6 32 R7 !i10b 1 -Z36 !s108 1635357986.000000 -Z37 !s90 -reportprogress|300|-work|work|-2002|-explicit|-stats=none|D:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd| -Z38 !s107 D:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd| +R8 +Z39 !s90 -reportprogress|300|-work|work|-2002|-explicit|-stats=none|C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd| +Z40 !s107 C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd| !i113 1 R11 R12 Bbody -R22 +R23 R14 R1 R2 @@ -211,54 +211,54 @@ R6 32 R7 !i10b 1 -R36 -R37 -R38 +R8 +R39 +R40 !i113 1 R11 R12 Ermpe_rmap_echoing_top -Z39 w1635357924 -R22 +Z41 w1680751620 +R23 R14 R1 R2 R3 -Z40 8D:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_top.vhd -Z41 FD:/rfranca/Development/GitHub/SimuCam_Development4/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_top.vhd +Z42 8C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_top.vhd +Z43 FC:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/FPGA_Developments/RMAP_Echoing/Development/RMAP_Echoing/rmpe_rmap_echoing_top.vhd l0 L17 -VmXG1:Z9LmzUSnGQBWOfOa0 -!s100 7A?@zK8FCKd4kT>6:H8^f1 +Vg rst_i, - clock => clk_i, - data(8) => s_spw_fifo_wrdata_flag, - data(7 downto 0) => s_spw_fifo_wrdata_data, - rdreq => s_spacewire_data_sc_fifo.rdreq, - sclr => rst_i, - wrreq => s_spw_fifo_wrreq, - empty => s_spacewire_data_sc_fifo.empty, - full => spw_fifo_status_o.full, - q(8) => s_spacewire_data_sc_fifo.rddata_flag, - q(7 downto 0) => s_spacewire_data_sc_fifo.rddata_data, - usedw => s_spacewire_data_sc_fifo.usedw - ); - - -- RMAP Data SC FIFO instantiation - rmap_data_sc_fifo_inst : entity work.rmap_data_sc_fifo - port map( - aclr => rst_i, - clock => clk_i, - data(8) => s_rmap_data_sc_fifo.wrdata_flag, - data(7 downto 0) => s_rmap_data_sc_fifo.wrdata_data, - rdreq => rmap_fifo_control_i.rdreq, - sclr => rst_i, - wrreq => s_rmap_data_sc_fifo.wrreq, - empty => rmap_fifo_status_o.empty, - full => s_rmap_data_sc_fifo.full, - q(8) => rmap_fifo_status_o.rddata_flag, - q(7 downto 0) => rmap_fifo_status_o.rddata_data, - usedw => s_rmap_data_sc_fifo.usedw - ); - - -- RMAP Echo Controller process - p_rmpe_rmap_echo_controller : process(clk_i, rst_i) is - begin - if (rst_i = '1') then - -- fsm state reset - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - -- internal signals reset - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - s_rmap_target_addr <= (others => '0'); - s_rmap_protocol_id <= (others => '0'); - s_spw_fifo_wrdata_flag <= '0'; - s_spw_fifo_wrdata_data <= (others => '0'); - s_spw_fifo_wrreq <= '0'; - s_spw_fifo_overflow_flag <= '0'; - -- outputs reset - elsif (rising_edge(clk_i)) then - - -- SpaceWire Data SC FIFO Write Manager - -- standart signals value - s_spw_fifo_wrdata_flag <= '0'; - s_spw_fifo_wrdata_data <= (others => '0'); - s_spw_fifo_wrreq <= '0'; - -- check if a write was requested and the echo is enabled - if ((spw_fifo_control_i.wrreq = '1') and (echo_en_i = '1')) then - -- a write was requested and the echo is enabled - -- check if the data is an end of package - if (spw_fifo_control_i.wrdata_flag = '1') then - -- the data is an end of package - -- check if an overflow occured previously - if (s_spw_fifo_overflow_flag = '1') then - -- an overflow occured previously - -- write an eep in the buffer - s_spw_fifo_wrdata_flag <= '1'; - s_spw_fifo_wrdata_data <= x"01"; - s_spw_fifo_wrreq <= '1'; - s_spw_fifo_overflow_flag <= '0'; - else - -- an overflow has not occured - -- write the original end of package - s_spw_fifo_wrdata_flag <= '1'; - s_spw_fifo_wrdata_data <= spw_fifo_control_i.wrdata_data; - s_spw_fifo_wrreq <= '1'; - s_spw_fifo_overflow_flag <= '0'; - end if; - else - -- the data is not an end of package - -- check if there is space in the spw data fifo - if (unsigned(s_spacewire_data_sc_fifo.usedw) < ((2**s_spacewire_data_sc_fifo.usedw'length - 1) - 2)) then - -- there is space in the spw data fifo - -- write data in the fifo - s_spw_fifo_wrdata_flag <= '0'; - s_spw_fifo_wrdata_data <= spw_fifo_control_i.wrdata_data; - s_spw_fifo_wrreq <= '1'; - else - -- there is no more space in the spw data fifo - -- set the overflow flag - s_spw_fifo_overflow_flag <= '1'; - end if; - end if; - end if; - - -- States transitions FSM - case (s_rmpe_rmap_echo_controller_state) is - - -- state "IDLE" - when IDLE => - -- in idle, waiting data in the spw data fifo - -- default state transition - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - s_rmap_target_addr <= (others => '0'); - s_rmap_protocol_id <= (others => '0'); - -- conditional state transition - -- check if there is data in the spw data fifo - if (s_spacewire_data_sc_fifo.empty = '0') then - -- there is data in the spw data fifo - -- fetch data from spw fifo - s_spacewire_data_sc_fifo.rdreq <= '1'; - s_rmpe_rmap_echo_controller_state <= FETCH_SPW_DATA; - s_rmpe_rmap_echo_controller_return_state <= RMAP_TARGET_ADDR; - end if; - - -- state "WAITING_SPW_DATA" - when WAITING_SPW_DATA => - -- waiting data in the spw data fifo - -- default state transition - s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if there is data in the spw data fifo - if (s_spacewire_data_sc_fifo.empty = '0') then - -- there is data in the spw data fifo - -- fetch data from spw fifo - s_spacewire_data_sc_fifo.rdreq <= '1'; - s_rmpe_rmap_echo_controller_state <= FETCH_SPW_DATA; - end if; - - -- state "FETCH_SPW_DATA" - when FETCH_SPW_DATA => - -- fetching data from the spw data fifo - -- default state transition - s_rmpe_rmap_echo_controller_state <= s_rmpe_rmap_echo_controller_return_state; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - - -- state "RMAP_TARGET_ADDR" - when RMAP_TARGET_ADDR => - -- receive rmap target address - -- default state transition - s_rmpe_rmap_echo_controller_state <= RMAP_TARGET_ADDR; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if an end of packet was received - if (s_spacewire_data_sc_fifo.rddata_flag = '1') then - -- end of packet received, return to idle - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - else - -- data received, go to rmap protocol id - s_rmap_target_addr <= s_spacewire_data_sc_fifo.rddata_data; - s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; - s_rmpe_rmap_echo_controller_return_state <= RMAP_PROTOCOL_ID; - end if; - - -- state "RMAP_PROTOCOL_ID" - when RMAP_PROTOCOL_ID => - -- receive rmap protocol id - -- default state transition - s_rmpe_rmap_echo_controller_state <= RMAP_PROTOCOL_ID; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if an end of packet was received - if (s_spacewire_data_sc_fifo.rddata_flag = '1') then - -- end of packet received, return to idle - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - else - -- data received, check if the protocol id is valid - s_rmap_protocol_id <= s_spacewire_data_sc_fifo.rddata_data; - if (s_spacewire_data_sc_fifo.rddata_data = c_RMAP_PROTOCOL_ID) then - -- protocol id is valid, - -- check if an echo id must be added - if (echo_id_en_i = '1') then - -- echo id must be added, go to write echo id - s_rmpe_rmap_echo_controller_state <= WRITE_ECHO_ID; - s_rmpe_rmap_echo_controller_return_state <= WRITE_ECHO_ID; - else - -- no need for an echo id, go to write target addr - s_rmpe_rmap_echo_controller_state <= WRITE_TARGET_ADDR; - s_rmpe_rmap_echo_controller_return_state <= WRITE_TARGET_ADDR; - end if; - else - -- protocol id is not valid, go to discard spw data - s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; - s_rmpe_rmap_echo_controller_return_state <= DISCARD_SPW_DATA; - end if; - end if; - - -- state "WRITE_ECHO_ID" - when WRITE_ECHO_ID => - -- write rmap echo id - -- default state transition - s_rmpe_rmap_echo_controller_state <= WRITE_ECHO_ID; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if the rmap data fifo can receive data or if the overflow is enabled - if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then - -- rmap data fifo can receive data, write echo id - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data(7 downto 4) <= g_RMAP_PACKAGE_ID; - s_rmap_data_sc_fifo.wrdata_data(3 downto 0) <= g_FEE_CHANNEL_ID; - s_rmap_data_sc_fifo.wrreq <= '1'; - -- go to write target address - s_rmpe_rmap_echo_controller_state <= WRITE_TARGET_ADDR; - s_rmpe_rmap_echo_controller_return_state <= WRITE_TARGET_ADDR; - end if; - - -- state "WRITE_TARGET_ADDR" - when WRITE_TARGET_ADDR => - -- write rmap target address - -- default state transition - s_rmpe_rmap_echo_controller_state <= WRITE_TARGET_ADDR; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if the rmap data fifo can receive data or if the overflow is enabled - if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then - -- rmap data fifo can receive data, write target address - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= s_rmap_target_addr; - s_rmap_data_sc_fifo.wrreq <= '1'; - -- go to write target address - s_rmpe_rmap_echo_controller_state <= WRITE_PROTOCOL_ID; - s_rmpe_rmap_echo_controller_return_state <= WRITE_PROTOCOL_ID; - end if; - - -- state "WRITE_PROTOCOL_ID" - when WRITE_PROTOCOL_ID => - -- write rmap protocol id - -- default state transition - s_rmpe_rmap_echo_controller_state <= WRITE_PROTOCOL_ID; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if the rmap data fifo can receive data or if the overflow is enabled - if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then - -- rmap data fifo can receive data, write protocol id - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= s_rmap_protocol_id; - s_rmap_data_sc_fifo.wrreq <= '1'; - -- go to waiting spw data, to return to write rmap data - s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; - s_rmpe_rmap_echo_controller_return_state <= WRITE_RMAP_DATA; - end if; - - -- state "WRITE_RMAP_DATA" - when WRITE_RMAP_DATA => - -- write rmap packet data - -- default state transition - s_rmpe_rmap_echo_controller_state <= WRITE_RMAP_DATA; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if the rmap data fifo can receive data or if the overflow is enabled - if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then - -- rmap data fifo can receive data, write rmap data - s_rmap_data_sc_fifo.wrdata_flag <= s_spacewire_data_sc_fifo.rddata_flag; - s_rmap_data_sc_fifo.wrdata_data <= s_spacewire_data_sc_fifo.rddata_data; - s_rmap_data_sc_fifo.wrreq <= '1'; - -- check if a end of package was received - if (s_spacewire_data_sc_fifo.rddata_flag = '1') then - -- a end of package was received - -- return to idle - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - else - -- data was received - -- go to waiting spw data, to return to write rmap data - s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; - s_rmpe_rmap_echo_controller_return_state <= WRITE_RMAP_DATA; - end if; - end if; - - -- state "DISCARD_SPW_DATA" - when DISCARD_SPW_DATA => - -- discard spw packet data - -- default state transition - s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; - s_rmpe_rmap_echo_controller_return_state <= DISCARD_SPW_DATA; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - s_rmap_target_addr <= (others => '0'); - s_rmap_protocol_id <= (others => '0'); - -- conditional state transition - -- check if a end of package was received - if (s_spacewire_data_sc_fifo.rddata_flag = '1') then - -- a end of package was received - -- return to idle - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - end if; - - -- all the other states (not defined) - when others => - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - - end case; - - end if; - end process p_rmpe_rmap_echo_controller; + -- SpaceWire Data SC FIFO instantiation + spacewire_data_sc_fifo_inst : entity work.spacewire_data_sc_fifo + port map( + aclr => rst_i, + clock => clk_i, + data(8) => s_spw_fifo_wrdata_flag, + data(7 downto 0) => s_spw_fifo_wrdata_data, + rdreq => s_spacewire_data_sc_fifo.rdreq, + sclr => rst_i, + wrreq => s_spw_fifo_wrreq, + empty => s_spacewire_data_sc_fifo.empty, + full => spw_fifo_status_o.full, + q(8) => s_spacewire_data_sc_fifo.rddata_flag, + q(7 downto 0) => s_spacewire_data_sc_fifo.rddata_data, + usedw => s_spacewire_data_sc_fifo.usedw + ); + + -- RMAP Data SC FIFO instantiation + rmap_data_sc_fifo_inst : entity work.rmap_data_sc_fifo + port map( + aclr => rst_i, + clock => clk_i, + data(8) => s_rmap_data_sc_fifo.wrdata_flag, + data(7 downto 0) => s_rmap_data_sc_fifo.wrdata_data, + rdreq => rmap_fifo_control_i.rdreq, + sclr => rst_i, + wrreq => s_rmap_data_sc_fifo.wrreq, + empty => rmap_fifo_status_o.empty, + full => s_rmap_data_sc_fifo.full, + q(8) => rmap_fifo_status_o.rddata_flag, + q(7 downto 0) => rmap_fifo_status_o.rddata_data, + usedw => s_rmap_data_sc_fifo.usedw + ); + + -- RMAP Echo Controller process + p_rmpe_rmap_echo_controller : process(clk_i, rst_i) is + begin + if (rst_i = '1') then + -- fsm state reset + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + -- internal signals reset + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + s_rmap_target_addr <= (others => '0'); + s_rmap_protocol_id <= (others => '0'); + s_spw_fifo_wrdata_flag <= '0'; + s_spw_fifo_wrdata_data <= (others => '0'); + s_spw_fifo_wrreq <= '0'; + s_spw_fifo_overflow_flag <= '0'; + -- outputs reset + elsif (rising_edge(clk_i)) then + + -- States transitions FSM + case (s_rmpe_rmap_echo_controller_state) is + + -- state "DISABLED" + when DISABLED => + -- disabled, keep the spw data fifo cleared + -- default state transition + s_rmpe_rmap_echo_controller_state <= DISABLED; + s_rmpe_rmap_echo_controller_return_state <= DISABLED; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + s_rmap_target_addr <= (others => '0'); + s_rmap_protocol_id <= (others => '0'); + -- conditional state transition + -- check if there is data in the spw data fifo + if (s_spacewire_data_sc_fifo.empty = '0') then + -- there is data in the spw data fifo + -- discard data from spw fifo + s_spacewire_data_sc_fifo.rdreq <= '1'; + else + -- there is no data in the spw data fifo + -- check if echo is enabled + if (echo_en_i = '1') then + -- echo is enabled + -- go to idle state + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + end if; + end if; + + -- state "IDLE" + when IDLE => + -- in idle, waiting data in the spw data fifo + -- default state transition + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + s_rmap_target_addr <= (others => '0'); + s_rmap_protocol_id <= (others => '0'); + -- conditional state transition + -- check if there is data in the spw data fifo + if (s_spacewire_data_sc_fifo.empty = '0') then + -- there is data in the spw data fifo + -- fetch data from spw fifo + s_spacewire_data_sc_fifo.rdreq <= '1'; + s_rmpe_rmap_echo_controller_state <= FETCH_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= RMAP_TARGET_ADDR; + end if; + + -- state "WAITING_SPW_DATA" + when WAITING_SPW_DATA => + -- waiting data in the spw data fifo + -- default state transition + s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if there is data in the spw data fifo + if (s_spacewire_data_sc_fifo.empty = '0') then + -- there is data in the spw data fifo + -- fetch data from spw fifo + s_spacewire_data_sc_fifo.rdreq <= '1'; + s_rmpe_rmap_echo_controller_state <= FETCH_SPW_DATA; + end if; + + -- state "FETCH_SPW_DATA" + when FETCH_SPW_DATA => + -- fetching data from the spw data fifo + -- default state transition + s_rmpe_rmap_echo_controller_state <= s_rmpe_rmap_echo_controller_return_state; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + + -- state "RMAP_TARGET_ADDR" + when RMAP_TARGET_ADDR => + -- receive rmap target address + -- default state transition + s_rmpe_rmap_echo_controller_state <= RMAP_TARGET_ADDR; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if an end of packet was received + if (s_spacewire_data_sc_fifo.rddata_flag = '1') then + -- end of packet received, return to idle + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + else + -- data received, go to rmap protocol id + s_rmap_target_addr <= s_spacewire_data_sc_fifo.rddata_data; + s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= RMAP_PROTOCOL_ID; + end if; + + -- state "RMAP_PROTOCOL_ID" + when RMAP_PROTOCOL_ID => + -- receive rmap protocol id + -- default state transition + s_rmpe_rmap_echo_controller_state <= RMAP_PROTOCOL_ID; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if an end of packet was received + if (s_spacewire_data_sc_fifo.rddata_flag = '1') then + -- end of packet received, return to idle + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + else + -- data received, check if the protocol id is valid + s_rmap_protocol_id <= s_spacewire_data_sc_fifo.rddata_data; + if (s_spacewire_data_sc_fifo.rddata_data = c_RMAP_PROTOCOL_ID) then + -- protocol id is valid, + -- check if an echo id must be added + if (echo_id_en_i = '1') then + -- echo id must be added, go to write echo id + s_rmpe_rmap_echo_controller_state <= WRITE_ECHO_ID; + s_rmpe_rmap_echo_controller_return_state <= WRITE_ECHO_ID; + else + -- no need for an echo id, go to write target addr + s_rmpe_rmap_echo_controller_state <= WRITE_TARGET_ADDR; + s_rmpe_rmap_echo_controller_return_state <= WRITE_TARGET_ADDR; + end if; + else + -- protocol id is not valid, go to discard spw data + s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= DISCARD_SPW_DATA; + end if; + end if; + + -- state "WRITE_ECHO_ID" + when WRITE_ECHO_ID => + -- write rmap echo id + -- default state transition + s_rmpe_rmap_echo_controller_state <= WRITE_ECHO_ID; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if the rmap data fifo can receive data or if the overflow is enabled + if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then + -- rmap data fifo can receive data, write echo id + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data(7 downto 4) <= g_RMAP_PACKAGE_ID; + s_rmap_data_sc_fifo.wrdata_data(3 downto 0) <= g_FEE_CHANNEL_ID; + s_rmap_data_sc_fifo.wrreq <= '1'; + -- go to write target address + s_rmpe_rmap_echo_controller_state <= WRITE_TARGET_ADDR; + s_rmpe_rmap_echo_controller_return_state <= WRITE_TARGET_ADDR; + end if; + + -- state "WRITE_TARGET_ADDR" + when WRITE_TARGET_ADDR => + -- write rmap target address + -- default state transition + s_rmpe_rmap_echo_controller_state <= WRITE_TARGET_ADDR; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if the rmap data fifo can receive data or if the overflow is enabled + if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then + -- rmap data fifo can receive data, write target address + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= s_rmap_target_addr; + s_rmap_data_sc_fifo.wrreq <= '1'; + -- go to write target address + s_rmpe_rmap_echo_controller_state <= WRITE_PROTOCOL_ID; + s_rmpe_rmap_echo_controller_return_state <= WRITE_PROTOCOL_ID; + end if; + + -- state "WRITE_PROTOCOL_ID" + when WRITE_PROTOCOL_ID => + -- write rmap protocol id + -- default state transition + s_rmpe_rmap_echo_controller_state <= WRITE_PROTOCOL_ID; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if the rmap data fifo can receive data or if the overflow is enabled + if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then + -- rmap data fifo can receive data, write protocol id + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= s_rmap_protocol_id; + s_rmap_data_sc_fifo.wrreq <= '1'; + -- go to waiting spw data, to return to write rmap data + s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= WRITE_RMAP_DATA; + end if; + + -- state "WRITE_RMAP_DATA" + when WRITE_RMAP_DATA => + -- write rmap packet data + -- default state transition + s_rmpe_rmap_echo_controller_state <= WRITE_RMAP_DATA; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if the rmap data fifo can receive data or if the overflow is enabled + if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then + -- rmap data fifo can receive data, write rmap data + s_rmap_data_sc_fifo.wrdata_flag <= s_spacewire_data_sc_fifo.rddata_flag; + s_rmap_data_sc_fifo.wrdata_data <= s_spacewire_data_sc_fifo.rddata_data; + s_rmap_data_sc_fifo.wrreq <= '1'; + -- check if a end of package was received + if (s_spacewire_data_sc_fifo.rddata_flag = '1') then + -- a end of package was received + -- return to idle + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + else + -- data was received + -- check if there is data in the spw data fifo + if (s_spacewire_data_sc_fifo.empty = '0') then + -- there is data in the spw data fifo + -- fetch data from spw fifo + s_spacewire_data_sc_fifo.rdreq <= '1'; + -- go to fetch spw data, to return to write rmap data + s_rmpe_rmap_echo_controller_state <= FETCH_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= WRITE_RMAP_DATA; + else + -- there is no data in the spw data fifo + -- go to waiting spw data, to return to write rmap data + s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= WRITE_RMAP_DATA; + end if; + end if; + end if; + + -- state "DISCARD_SPW_DATA" + when DISCARD_SPW_DATA => + -- discard spw packet data + -- default state transition + s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= DISCARD_SPW_DATA; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + s_rmap_target_addr <= (others => '0'); + s_rmap_protocol_id <= (others => '0'); + -- conditional state transition + -- check if a end of package was received + if (s_spacewire_data_sc_fifo.rddata_flag = '1') then + -- a end of package was received + -- return to idle + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + end if; + + -- all the other states (not defined) + when others => + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + + end case; + + -- SpaceWire Data SC FIFO Write Manager + -- standart signals value + s_spw_fifo_wrdata_flag <= '0'; + s_spw_fifo_wrdata_data <= (others => '0'); + s_spw_fifo_wrreq <= '0'; + -- check if echo is disabled + if (echo_en_i = '0') then + -- echo is disabled + -- force state machine to go to disabled state + s_rmpe_rmap_echo_controller_state <= DISABLED; + s_rmpe_rmap_echo_controller_return_state <= DISABLED; + else + -- echo is enabled + -- check if a write was requested + if (spw_fifo_control_i.wrreq = '1') then + -- a write was requested + -- check if the data is an end of package + if (spw_fifo_control_i.wrdata_flag = '1') then + -- the data is an end of package + -- check if an overflow occured previously + if (s_spw_fifo_overflow_flag = '1') then + -- an overflow occured previously + -- write an eep in the buffer + s_spw_fifo_wrdata_flag <= '1'; + s_spw_fifo_wrdata_data <= x"01"; + s_spw_fifo_wrreq <= '1'; + s_spw_fifo_overflow_flag <= '0'; + else + -- an overflow has not occured + -- write the original end of package + s_spw_fifo_wrdata_flag <= '1'; + s_spw_fifo_wrdata_data <= spw_fifo_control_i.wrdata_data; + s_spw_fifo_wrreq <= '1'; + s_spw_fifo_overflow_flag <= '0'; + end if; + else + -- the data is not an end of package + -- check if there is space in the spw data fifo + if (unsigned(s_spacewire_data_sc_fifo.usedw) < ((2 ** s_spacewire_data_sc_fifo.usedw'length - 1) - 2)) then + -- there is space in the spw data fifo + -- write data in the fifo + s_spw_fifo_wrdata_flag <= '0'; + s_spw_fifo_wrdata_data <= spw_fifo_control_i.wrdata_data; + s_spw_fifo_wrreq <= '1'; + else + -- there is no more space in the spw data fifo + -- set the overflow flag + s_spw_fifo_overflow_flag <= '1'; + end if; + end if; + end if; + end if; + + end if; + end process p_rmpe_rmap_echo_controller; end architecture RTL; diff --git a/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd b/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd index 8b61a5ee..4c410c92 100644 --- a/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd +++ b/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Echoing/RMAP_ECHO_TRANSMITTER/rmpe_rmap_echo_transmitter_ent.vhd @@ -5,774 +5,1044 @@ use ieee.numeric_std.all; use work.rmpe_rmap_echoing_pkg.all; entity rmpe_rmap_echo_transmitter_ent is - port( - clk_i : in std_logic; - rst_i : in std_logic; - fee_0_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_0_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_1_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_1_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_2_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_2_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_3_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_3_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_4_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_4_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_5_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_5_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - spw_codec_status_i : in t_rmpe_rmap_echoing_spw_codec_status; - fee_0_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_0_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_1_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_1_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_2_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_2_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_3_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_3_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_4_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_4_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_5_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_5_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - spw_codec_control_o : out t_rmpe_rmap_echoing_spw_codec_control - ); + port( + clk_i : in std_logic; + rst_i : in std_logic; + fee_0_rmap_echo_en_i : in std_logic; + fee_1_rmap_echo_en_i : in std_logic; + fee_2_rmap_echo_en_i : in std_logic; + fee_3_rmap_echo_en_i : in std_logic; + fee_4_rmap_echo_en_i : in std_logic; + fee_5_rmap_echo_en_i : in std_logic; + fee_0_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_0_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_1_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_1_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_2_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_2_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_3_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_3_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_4_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_4_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_5_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_5_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + spw_codec_status_i : in t_rmpe_rmap_echoing_spw_codec_status; + fee_0_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_0_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_1_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_1_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_2_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_2_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_3_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_3_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_4_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_4_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_5_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_5_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + spw_codec_control_o : out t_rmpe_rmap_echoing_spw_codec_control + ); end entity rmpe_rmap_echo_transmitter_ent; architecture RTL of rmpe_rmap_echo_transmitter_ent is - type t_rmap_fifo_list is ( - fifo_none, - fifo_incoming_fee_0, - fifo_outgoing_fee_0, - fifo_incoming_fee_1, - fifo_outgoing_fee_1, - fifo_incoming_fee_2, - fifo_outgoing_fee_2, - fifo_incoming_fee_3, - fifo_outgoing_fee_3, - fifo_incoming_fee_4, - fifo_outgoing_fee_4, - fifo_incoming_fee_5, - fifo_outgoing_fee_5 - ); - signal s_selected_fifo : t_rmap_fifo_list; - - subtype t_rmap_fifo_queue_index is natural range 0 to 12; - type t_rmap_fifo_queue is array (0 to t_rmap_fifo_queue_index'high) of t_rmap_fifo_list; - signal s_rmap_fifo_queue : t_rmap_fifo_queue; - - signal s_fifo_incoming_fee_0_queued : std_logic; - signal s_fifo_outgoing_fee_0_queued : std_logic; - signal s_fifo_incoming_fee_1_queued : std_logic; - signal s_fifo_outgoing_fee_1_queued : std_logic; - signal s_fifo_incoming_fee_2_queued : std_logic; - signal s_fifo_outgoing_fee_2_queued : std_logic; - signal s_fifo_incoming_fee_3_queued : std_logic; - signal s_fifo_outgoing_fee_3_queued : std_logic; - signal s_fifo_incoming_fee_4_queued : std_logic; - signal s_fifo_outgoing_fee_4_queued : std_logic; - signal s_fifo_incoming_fee_5_queued : std_logic; - signal s_fifo_outgoing_fee_5_queued : std_logic; - - signal s_fifo_incoming_fee_0_in_use : std_logic; - signal s_fifo_outgoing_fee_0_in_use : std_logic; - signal s_fifo_incoming_fee_1_in_use : std_logic; - signal s_fifo_outgoing_fee_1_in_use : std_logic; - signal s_fifo_incoming_fee_2_in_use : std_logic; - signal s_fifo_outgoing_fee_2_in_use : std_logic; - signal s_fifo_incoming_fee_3_in_use : std_logic; - signal s_fifo_outgoing_fee_3_in_use : std_logic; - signal s_fifo_incoming_fee_4_in_use : std_logic; - signal s_fifo_outgoing_fee_4_in_use : std_logic; - signal s_fifo_incoming_fee_5_in_use : std_logic; - signal s_fifo_outgoing_fee_5_in_use : std_logic; - - type t_rmpe_rmap_echo_transmitter_fsm is ( - IDLE, -- in idle, waiting data in the rmap data fifo - WAITING_RMAP_DATA, -- waiting data in the rmap data fifo - FETCH_RMAP_DATA, -- fetching data from the rmap data fifo - WRITE_RMAP_DATA -- write rmap packet data - ); - signal s_rmpe_rmap_echo_transmitter_state : t_rmpe_rmap_echo_transmitter_fsm; + type t_rmap_fifo_list is ( + fifo_none, + fifo_incoming_fee_0, + fifo_outgoing_fee_0, + fifo_incoming_fee_1, + fifo_outgoing_fee_1, + fifo_incoming_fee_2, + fifo_outgoing_fee_2, + fifo_incoming_fee_3, + fifo_outgoing_fee_3, + fifo_incoming_fee_4, + fifo_outgoing_fee_4, + fifo_incoming_fee_5, + fifo_outgoing_fee_5 + ); + signal s_selected_fifo : t_rmap_fifo_list; + + subtype t_rmap_fifo_queue_index is natural range 0 to 120; + type t_rmap_fifo_queue is array (0 to t_rmap_fifo_queue_index'high) of t_rmap_fifo_list; + signal s_rmap_fifo_queue : t_rmap_fifo_queue; + + signal s_fifo_incoming_fee_0_queued : std_logic; + signal s_fifo_outgoing_fee_0_queued : std_logic; + signal s_fifo_incoming_fee_1_queued : std_logic; + signal s_fifo_outgoing_fee_1_queued : std_logic; + signal s_fifo_incoming_fee_2_queued : std_logic; + signal s_fifo_outgoing_fee_2_queued : std_logic; + signal s_fifo_incoming_fee_3_queued : std_logic; + signal s_fifo_outgoing_fee_3_queued : std_logic; + signal s_fifo_incoming_fee_4_queued : std_logic; + signal s_fifo_outgoing_fee_4_queued : std_logic; + signal s_fifo_incoming_fee_5_queued : std_logic; + signal s_fifo_outgoing_fee_5_queued : std_logic; + + signal s_fifo_incoming_fee_0_in_use : std_logic; + signal s_fifo_outgoing_fee_0_in_use : std_logic; + signal s_fifo_incoming_fee_1_in_use : std_logic; + signal s_fifo_outgoing_fee_1_in_use : std_logic; + signal s_fifo_incoming_fee_2_in_use : std_logic; + signal s_fifo_outgoing_fee_2_in_use : std_logic; + signal s_fifo_incoming_fee_3_in_use : std_logic; + signal s_fifo_outgoing_fee_3_in_use : std_logic; + signal s_fifo_incoming_fee_4_in_use : std_logic; + signal s_fifo_outgoing_fee_4_in_use : std_logic; + signal s_fifo_incoming_fee_5_in_use : std_logic; + signal s_fifo_outgoing_fee_5_in_use : std_logic; + + type t_rmpe_rmap_echo_transmitter_fsm is ( + IDLE, -- in idle, waiting data in the rmap data fifo + WAITING_RMAP_DATA, -- waiting data in the rmap data fifo + FETCH_RMAP_DATA, -- fetching data from the rmap data fifo + WRITE_RMAP_DATA -- write rmap packet data + ); + signal s_rmpe_rmap_echo_transmitter_state : t_rmpe_rmap_echo_transmitter_fsm; begin - p_rmpe_rmap_echo_transmitter : process(clk_i, rst_i) is - variable v_fifo_queue_index : t_rmap_fifo_queue_index := 0; - variable v_rmpe_rmap_echo_transmitter_state : t_rmpe_rmap_echo_transmitter_fsm; - begin - if (rst_i = '1') then - s_selected_fifo <= fifo_none; - s_rmap_fifo_queue <= (others => fifo_none); - s_fifo_incoming_fee_0_queued <= '0'; - s_fifo_outgoing_fee_0_queued <= '0'; - s_fifo_incoming_fee_1_queued <= '0'; - s_fifo_outgoing_fee_1_queued <= '0'; - s_fifo_incoming_fee_2_queued <= '0'; - s_fifo_outgoing_fee_2_queued <= '0'; - s_fifo_incoming_fee_3_queued <= '0'; - s_fifo_outgoing_fee_3_queued <= '0'; - s_fifo_incoming_fee_4_queued <= '0'; - s_fifo_outgoing_fee_4_queued <= '0'; - s_fifo_incoming_fee_5_queued <= '0'; - s_fifo_outgoing_fee_5_queued <= '0'; - s_fifo_incoming_fee_0_in_use <= '0'; - s_fifo_outgoing_fee_0_in_use <= '0'; - s_fifo_incoming_fee_1_in_use <= '0'; - s_fifo_outgoing_fee_1_in_use <= '0'; - s_fifo_incoming_fee_2_in_use <= '0'; - s_fifo_outgoing_fee_2_in_use <= '0'; - s_fifo_incoming_fee_3_in_use <= '0'; - s_fifo_outgoing_fee_3_in_use <= '0'; - s_fifo_incoming_fee_4_in_use <= '0'; - s_fifo_outgoing_fee_4_in_use <= '0'; - s_fifo_incoming_fee_5_in_use <= '0'; - s_fifo_outgoing_fee_5_in_use <= '0'; - v_fifo_queue_index := 0; - elsif rising_edge(clk_i) then - - -- check if fee 0 rmap incoming fifo has data available and is not queued - if ((fee_0_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_0_queued = '0')) then - -- fee 0 rmap incoming fifo has data available and is not queued - -- put fee 0 rmap incoming fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_0; - s_fifo_incoming_fee_0_queued <= '1'; - s_fifo_incoming_fee_0_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 1 rmap incoming fifo has data available and is not queued - if ((fee_1_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_1_queued = '0')) then - -- fee 1 rmap incoming fifo has data available and is not queued - -- put fee 1 rmap incoming fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_1; - s_fifo_incoming_fee_1_queued <= '1'; - s_fifo_incoming_fee_1_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 2 rmap incoming fifo has data available and is not queued - if ((fee_2_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_2_queued = '0')) then - -- fee 2 rmap incoming fifo has data available and is not queued - -- put fee 2 rmap incoming fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_2; - s_fifo_incoming_fee_2_queued <= '1'; - s_fifo_incoming_fee_2_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 3 rmap incoming fifo has data available and is not queued - if ((fee_3_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_3_queued = '0')) then - -- fee 3 rmap incoming fifo has data available and is not queued - -- put fee 3 rmap incoming fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_3; - s_fifo_incoming_fee_3_queued <= '1'; - s_fifo_incoming_fee_3_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 4 rmap incoming fifo has data available and is not queued - if ((fee_4_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_4_queued = '0')) then - -- fee 4 rmap incoming fifo has data available and is not queued - -- put fee 4 rmap incoming fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_4; - s_fifo_incoming_fee_4_queued <= '1'; - s_fifo_incoming_fee_4_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 5 rmap incoming fifo has data available and is not queued - if ((fee_5_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_5_queued = '0')) then - -- fee 5 rmap incoming fifo has data available and is not queued - -- put fee 5 rmap incoming fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_5; - s_fifo_incoming_fee_5_queued <= '1'; - s_fifo_incoming_fee_5_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 0 rmap outgoing fifo has data available and is not queued - if ((fee_0_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_0_queued = '0')) then - -- fee 0 rmap outgoing fifo has data available and is not queued - -- put fee 0 rmap outgoing fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_0; - s_fifo_outgoing_fee_0_queued <= '1'; - s_fifo_outgoing_fee_0_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 1 rmap outgoing fifo has data available and is not queued - if ((fee_1_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_1_queued = '0')) then - -- fee 1 rmap outgoing fifo has data available and is not queued - -- put fee 1 rmap outgoing fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_1; - s_fifo_outgoing_fee_1_queued <= '1'; - s_fifo_outgoing_fee_1_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 2 rmap outgoing fifo has data available and is not queued - if ((fee_2_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_2_queued = '0')) then - -- fee 2 rmap outgoing fifo has data available and is not queued - -- put fee 2 rmap outgoing fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_2; - s_fifo_outgoing_fee_2_queued <= '1'; - s_fifo_outgoing_fee_2_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 3 rmap outgoing fifo has data available and is not queued - if ((fee_3_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_3_queued = '0')) then - -- fee 3 rmap outgoing fifo has data available and is not queued - -- put fee 3 rmap outgoing fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_3; - s_fifo_outgoing_fee_3_queued <= '1'; - s_fifo_outgoing_fee_3_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 4 rmap outgoing fifo has data available and is not queued - if ((fee_4_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_4_queued = '0')) then - -- fee 4 rmap outgoing fifo has data available and is not queued - -- put fee 4 rmap outgoing fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_4; - s_fifo_outgoing_fee_4_queued <= '1'; - s_fifo_outgoing_fee_4_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 5 rmap outgoing fifo has data available and is not queued - if ((fee_5_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_5_queued = '0')) then - -- fee 5 rmap outgoing fifo has data available and is not queued - -- put fee 5 rmap outgoing fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_5; - s_fifo_outgoing_fee_5_queued <= '1'; - s_fifo_outgoing_fee_5_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- fifo queue management - -- case to handle the fifo queue - case (s_rmap_fifo_queue(0)) is - - when fifo_none => - -- no fifo waiting at the queue - s_selected_fifo <= fifo_none; - - when fifo_incoming_fee_0 => - -- fee 0 rmap incoming fifo at top of the queue - s_selected_fifo <= fifo_incoming_fee_0; - -- check if the fifo use is over - if (s_fifo_incoming_fee_0_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_incoming_fee_0_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_incoming_fee_1 => - -- fee 1 rmap incoming fifo at top of the queue - s_selected_fifo <= fifo_incoming_fee_1; - -- check if the fifo use is over - if (s_fifo_incoming_fee_1_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_incoming_fee_1_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_incoming_fee_2 => - -- fee 2 rmap incoming fifo at top of the queue - s_selected_fifo <= fifo_incoming_fee_2; - -- check if the fifo use is over - if (s_fifo_incoming_fee_2_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_incoming_fee_2_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_incoming_fee_3 => - -- fee 3 rmap incoming fifo at top of the queue - s_selected_fifo <= fifo_incoming_fee_3; - -- check if the fifo use is over - if (s_fifo_incoming_fee_3_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_incoming_fee_3_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_incoming_fee_4 => - -- fee 4 rmap incoming fifo at top of the queue - s_selected_fifo <= fifo_incoming_fee_4; - -- check if the fifo use is over - if (s_fifo_incoming_fee_4_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_incoming_fee_4_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_incoming_fee_5 => - -- fee 5 rmap incoming fifo at top of the queue - s_selected_fifo <= fifo_incoming_fee_5; - -- check if the fifo use is over - if (s_fifo_incoming_fee_5_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_incoming_fee_5_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_outgoing_fee_0 => - -- fee 0 rmap outgoing fifo at top of the queue - s_selected_fifo <= fifo_outgoing_fee_0; - -- check if the fifo use is over - if (s_fifo_outgoing_fee_0_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_outgoing_fee_0_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_outgoing_fee_1 => - -- fee 1 rmap outgoing fifo at top of the queue - s_selected_fifo <= fifo_outgoing_fee_1; - -- check if the fifo use is over - if (s_fifo_outgoing_fee_1_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_outgoing_fee_1_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_outgoing_fee_2 => - -- fee 2 rmap outgoing fifo at top of the queue - s_selected_fifo <= fifo_outgoing_fee_2; - -- check if the fifo use is over - if (s_fifo_outgoing_fee_2_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_outgoing_fee_2_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_outgoing_fee_3 => - -- fee 3 rmap outgoing fifo at top of the queue - s_selected_fifo <= fifo_outgoing_fee_3; - -- check if the fifo use is over - if (s_fifo_outgoing_fee_3_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_outgoing_fee_3_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_outgoing_fee_4 => - -- fee 4 rmap outgoing fifo at top of the queue - s_selected_fifo <= fifo_outgoing_fee_4; - -- check if the fifo use is over - if (s_fifo_outgoing_fee_4_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_outgoing_fee_4_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_outgoing_fee_5 => - -- fee 5 rmap outgoing fifo at top of the queue - s_selected_fifo <= fifo_outgoing_fee_5; - -- check if the fifo use is over - if (s_fifo_outgoing_fee_5_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_outgoing_fee_5_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - end case; - - -- Output generation default values - - fee_0_rmap_incoming_fifo_control_o.rdreq <= '0'; - fee_0_rmap_outgoing_fifo_control_o.rdreq <= '0'; - fee_1_rmap_incoming_fifo_control_o.rdreq <= '0'; - fee_1_rmap_outgoing_fifo_control_o.rdreq <= '0'; - fee_2_rmap_incoming_fifo_control_o.rdreq <= '0'; - fee_2_rmap_outgoing_fifo_control_o.rdreq <= '0'; - fee_3_rmap_incoming_fifo_control_o.rdreq <= '0'; - fee_3_rmap_outgoing_fifo_control_o.rdreq <= '0'; - fee_4_rmap_incoming_fifo_control_o.rdreq <= '0'; - fee_4_rmap_outgoing_fifo_control_o.rdreq <= '0'; - fee_5_rmap_incoming_fifo_control_o.rdreq <= '0'; - fee_5_rmap_outgoing_fifo_control_o.rdreq <= '0'; - spw_codec_control_o.txwrite <= '0'; - spw_codec_control_o.txflag <= '0'; - spw_codec_control_o.txdata <= (others => '0'); - - -- States transitions FSM - case (s_rmpe_rmap_echo_transmitter_state) is - - -- state "IDLE" - when IDLE => - -- in idle, waiting a fifo be selected - -- default state transition - s_rmpe_rmap_echo_transmitter_state <= IDLE; - v_rmpe_rmap_echo_transmitter_state := IDLE; - -- default internal signal values - -- conditional state transition - -- check if there is a fifo was selected - if (s_selected_fifo /= fifo_none) then - -- a fifo was selected, go to waiting rmap data - s_rmpe_rmap_echo_transmitter_state <= WAITING_RMAP_DATA; - v_rmpe_rmap_echo_transmitter_state := WAITING_RMAP_DATA; - end if; - - -- state "WAITING_RMAP_DATA" - when WAITING_RMAP_DATA => - -- waiting data in the rmap data fifo - -- default state transition - s_rmpe_rmap_echo_transmitter_state <= WAITING_RMAP_DATA; - v_rmpe_rmap_echo_transmitter_state := WAITING_RMAP_DATA; - -- default internal signal values - -- conditional state transition - -- check if there is not a fifo selected - if (s_selected_fifo = fifo_none) then - -- no fifo selected (error) return to idle - s_rmpe_rmap_echo_transmitter_state <= IDLE; - v_rmpe_rmap_echo_transmitter_state := IDLE; - -- check if there is space available in the spw codec and the selected fifo have data available - elsif ((spw_codec_status_i.txrdy = '1') and (((s_selected_fifo = fifo_incoming_fee_0) and (fee_0_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_1) and (fee_1_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_2) and (fee_2_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_3) and (fee_3_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_4) and (fee_4_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_5) and (fee_5_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_0) and (fee_0_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_1) and (fee_1_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_2) and (fee_2_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_3) and (fee_3_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_4) and (fee_4_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_5) and (fee_5_rmap_outgoing_fifo_status_i.empty = '0')))) then - -- there is space available in the spw codec and the selected fifo have data available, fetch data - s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; - v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; - -- output generation - -- fetch data from the selected fifo - case (s_selected_fifo) is - when fifo_none => - null; - when fifo_incoming_fee_0 => - fee_0_rmap_incoming_fifo_control_o.rdreq <= '1'; - when fifo_incoming_fee_1 => - fee_1_rmap_incoming_fifo_control_o.rdreq <= '1'; - when fifo_incoming_fee_2 => - fee_2_rmap_incoming_fifo_control_o.rdreq <= '1'; - when fifo_incoming_fee_3 => - fee_3_rmap_incoming_fifo_control_o.rdreq <= '1'; - when fifo_incoming_fee_4 => - fee_4_rmap_incoming_fifo_control_o.rdreq <= '1'; - when fifo_incoming_fee_5 => - fee_5_rmap_incoming_fifo_control_o.rdreq <= '1'; - when fifo_outgoing_fee_0 => - fee_0_rmap_outgoing_fifo_control_o.rdreq <= '1'; - when fifo_outgoing_fee_1 => - fee_1_rmap_outgoing_fifo_control_o.rdreq <= '1'; - when fifo_outgoing_fee_2 => - fee_2_rmap_outgoing_fifo_control_o.rdreq <= '1'; - when fifo_outgoing_fee_3 => - fee_3_rmap_outgoing_fifo_control_o.rdreq <= '1'; - when fifo_outgoing_fee_4 => - fee_4_rmap_outgoing_fifo_control_o.rdreq <= '1'; - when fifo_outgoing_fee_5 => - fee_5_rmap_outgoing_fifo_control_o.rdreq <= '1'; - end case; - end if; - - -- state "FETCH_RMAP_DATA" - when FETCH_RMAP_DATA => - -- fetching data from the rmap data fifo - -- default state transition - s_rmpe_rmap_echo_transmitter_state <= WRITE_RMAP_DATA; - v_rmpe_rmap_echo_transmitter_state := WRITE_RMAP_DATA; - -- default internal signal values - -- conditional state transition - - -- state "WRITE_RMAP_DATA" - when WRITE_RMAP_DATA => - -- write rmap packet data - -- default state transition - s_rmpe_rmap_echo_transmitter_state <= WAITING_RMAP_DATA; - v_rmpe_rmap_echo_transmitter_state := WAITING_RMAP_DATA; - -- default internal signal values - -- conditional state transition - -- check if there is not a fifo selected - if (s_selected_fifo = fifo_none) then - -- no fifo selected (error) return to idle - s_rmpe_rmap_echo_transmitter_state <= IDLE; - v_rmpe_rmap_echo_transmitter_state := IDLE; - -- check if an end of packet was received - elsif (((s_selected_fifo = fifo_incoming_fee_0) and (fee_0_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_1) and (fee_1_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_2) and (fee_2_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_3) and (fee_3_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_4) and (fee_4_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_5) and (fee_5_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_0) and (fee_0_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_1) and (fee_1_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_2) and (fee_2_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_3) and (fee_3_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_4) and (fee_4_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_5) and (fee_5_rmap_outgoing_fifo_status_i.rddata_flag = '1'))) then - -- end of packet was received, return to idle - case (s_selected_fifo) is - when fifo_none => - null; - when fifo_incoming_fee_0 => - s_fifo_incoming_fee_0_in_use <= '0'; - when fifo_incoming_fee_1 => - s_fifo_incoming_fee_1_in_use <= '0'; - when fifo_incoming_fee_2 => - s_fifo_incoming_fee_2_in_use <= '0'; - when fifo_incoming_fee_3 => - s_fifo_incoming_fee_3_in_use <= '0'; - when fifo_incoming_fee_4 => - s_fifo_incoming_fee_4_in_use <= '0'; - when fifo_incoming_fee_5 => - s_fifo_incoming_fee_5_in_use <= '0'; - when fifo_outgoing_fee_0 => - s_fifo_outgoing_fee_0_in_use <= '0'; - when fifo_outgoing_fee_1 => - s_fifo_outgoing_fee_1_in_use <= '0'; - when fifo_outgoing_fee_2 => - s_fifo_outgoing_fee_2_in_use <= '0'; - when fifo_outgoing_fee_3 => - s_fifo_outgoing_fee_3_in_use <= '0'; - when fifo_outgoing_fee_4 => - s_fifo_outgoing_fee_4_in_use <= '0'; - when fifo_outgoing_fee_5 => - s_fifo_outgoing_fee_5_in_use <= '0'; - end case; - s_rmpe_rmap_echo_transmitter_state <= IDLE; - v_rmpe_rmap_echo_transmitter_state := IDLE; - end if; - -- output generation - -- write data to the spw codec - case (s_selected_fifo) is - when fifo_none => - null; - when fifo_incoming_fee_0 => - spw_codec_control_o.txflag <= fee_0_rmap_incoming_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_0_rmap_incoming_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_incoming_fee_1 => - spw_codec_control_o.txflag <= fee_1_rmap_incoming_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_1_rmap_incoming_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_incoming_fee_2 => - spw_codec_control_o.txflag <= fee_2_rmap_incoming_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_2_rmap_incoming_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_incoming_fee_3 => - spw_codec_control_o.txflag <= fee_3_rmap_incoming_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_3_rmap_incoming_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_incoming_fee_4 => - spw_codec_control_o.txflag <= fee_4_rmap_incoming_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_4_rmap_incoming_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_incoming_fee_5 => - spw_codec_control_o.txflag <= fee_5_rmap_incoming_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_5_rmap_incoming_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_outgoing_fee_0 => - spw_codec_control_o.txflag <= fee_0_rmap_outgoing_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_0_rmap_outgoing_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_outgoing_fee_1 => - spw_codec_control_o.txflag <= fee_1_rmap_outgoing_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_1_rmap_outgoing_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_outgoing_fee_2 => - spw_codec_control_o.txflag <= fee_2_rmap_outgoing_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_2_rmap_outgoing_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_outgoing_fee_3 => - spw_codec_control_o.txflag <= fee_3_rmap_outgoing_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_3_rmap_outgoing_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_outgoing_fee_4 => - spw_codec_control_o.txflag <= fee_4_rmap_outgoing_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_4_rmap_outgoing_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_outgoing_fee_5 => - spw_codec_control_o.txflag <= fee_5_rmap_outgoing_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_5_rmap_outgoing_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - end case; - - -- all the other states (not defined) - when others => - s_rmpe_rmap_echo_transmitter_state <= IDLE; - v_rmpe_rmap_echo_transmitter_state := IDLE; - - end case; - - -- Output generation default values - - -- Output generation FSM - - case (v_rmpe_rmap_echo_transmitter_state) is - - -- state "IDLE" - when IDLE => - -- in idle, waiting a fifo be selected - -- default output signals - -- conditional output signals - - -- state "WAITING_RMAP_DATA" - when WAITING_RMAP_DATA => - -- waiting data in the rmap data fifo - -- default output signals - -- conditional output signals - - -- state "FETCH_RMAP_DATA" - when FETCH_RMAP_DATA => - -- fetching data from the rmap data fifo - -- default output signals - -- conditional output signals - - -- state "WRITE_RMAP_DATA" - when WRITE_RMAP_DATA => - -- write rmap packet data - -- default output signals - -- conditional output signals - - end case; - - end if; - end process p_rmpe_rmap_echo_transmitter; + p_rmpe_rmap_echo_transmitter : process(clk_i, rst_i) is + variable v_fifo_queue_index : t_rmap_fifo_queue_index := 0; + variable v_rmpe_rmap_echo_transmitter_state : t_rmpe_rmap_echo_transmitter_fsm; + begin + if (rst_i = '1') then + s_selected_fifo <= fifo_none; + s_rmap_fifo_queue <= (others => fifo_none); + s_fifo_incoming_fee_0_queued <= '0'; + s_fifo_outgoing_fee_0_queued <= '0'; + s_fifo_incoming_fee_1_queued <= '0'; + s_fifo_outgoing_fee_1_queued <= '0'; + s_fifo_incoming_fee_2_queued <= '0'; + s_fifo_outgoing_fee_2_queued <= '0'; + s_fifo_incoming_fee_3_queued <= '0'; + s_fifo_outgoing_fee_3_queued <= '0'; + s_fifo_incoming_fee_4_queued <= '0'; + s_fifo_outgoing_fee_4_queued <= '0'; + s_fifo_incoming_fee_5_queued <= '0'; + s_fifo_outgoing_fee_5_queued <= '0'; + s_fifo_incoming_fee_0_in_use <= '0'; + s_fifo_outgoing_fee_0_in_use <= '0'; + s_fifo_incoming_fee_1_in_use <= '0'; + s_fifo_outgoing_fee_1_in_use <= '0'; + s_fifo_incoming_fee_2_in_use <= '0'; + s_fifo_outgoing_fee_2_in_use <= '0'; + s_fifo_incoming_fee_3_in_use <= '0'; + s_fifo_outgoing_fee_3_in_use <= '0'; + s_fifo_incoming_fee_4_in_use <= '0'; + s_fifo_outgoing_fee_4_in_use <= '0'; + s_fifo_incoming_fee_5_in_use <= '0'; + s_fifo_outgoing_fee_5_in_use <= '0'; + v_fifo_queue_index := 0; + fee_0_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_0_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_1_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_1_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_2_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_2_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_3_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_3_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_4_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_4_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_5_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_5_rmap_outgoing_fifo_control_o.rdreq <= '0'; + spw_codec_control_o.txwrite <= '0'; + spw_codec_control_o.txflag <= '0'; + spw_codec_control_o.txdata <= (others => '0'); + elsif rising_edge(clk_i) then + + -- check if fee 0 rmap incoming fifo is enabled, has data available and is not queued + if ((fee_0_rmap_echo_en_i = '1') and (fee_0_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_0_queued = '0')) then + -- fee 0 rmap incoming fifo has data available and is not queued + -- put fee 0 rmap incoming fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_0; + s_fifo_incoming_fee_0_queued <= '1'; + s_fifo_incoming_fee_0_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 1 rmap incoming fifo is enabled, has data available and is not queued + if ((fee_1_rmap_echo_en_i = '1') and (fee_1_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_1_queued = '0')) then + -- fee 1 rmap incoming fifo has data available and is not queued + -- put fee 1 rmap incoming fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_1; + s_fifo_incoming_fee_1_queued <= '1'; + s_fifo_incoming_fee_1_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 2 rmap incoming fifo is enabled, has data available and is not queued + if ((fee_2_rmap_echo_en_i = '1') and (fee_2_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_2_queued = '0')) then + -- fee 2 rmap incoming fifo has data available and is not queued + -- put fee 2 rmap incoming fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_2; + s_fifo_incoming_fee_2_queued <= '1'; + s_fifo_incoming_fee_2_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 3 rmap incoming fifo is enabled, has data available and is not queued + if ((fee_3_rmap_echo_en_i = '1') and (fee_3_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_3_queued = '0')) then + -- fee 3 rmap incoming fifo has data available and is not queued + -- put fee 3 rmap incoming fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_3; + s_fifo_incoming_fee_3_queued <= '1'; + s_fifo_incoming_fee_3_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 4 rmap incoming fifo is enabled, has data available and is not queued + if ((fee_4_rmap_echo_en_i = '1') and (fee_4_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_4_queued = '0')) then + -- fee 4 rmap incoming fifo has data available and is not queued + -- put fee 4 rmap incoming fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_4; + s_fifo_incoming_fee_4_queued <= '1'; + s_fifo_incoming_fee_4_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 5 rmap incoming fifo is enabled, has data available and is not queued + if ((fee_5_rmap_echo_en_i = '1') and (fee_5_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_5_queued = '0')) then + -- fee 5 rmap incoming fifo has data available and is not queued + -- put fee 5 rmap incoming fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_5; + s_fifo_incoming_fee_5_queued <= '1'; + s_fifo_incoming_fee_5_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 0 rmap outgoing fifo is enabled, has data available and is not queued + if ((fee_0_rmap_echo_en_i = '1') and (fee_0_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_0_queued = '0')) then + -- fee 0 rmap outgoing fifo has data available and is not queued + -- put fee 0 rmap outgoing fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_0; + s_fifo_outgoing_fee_0_queued <= '1'; + s_fifo_outgoing_fee_0_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 1 rmap outgoing fifo is enabled, has data available and is not queued + if ((fee_1_rmap_echo_en_i = '1') and (fee_1_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_1_queued = '0')) then + -- fee 1 rmap outgoing fifo has data available and is not queued + -- put fee 1 rmap outgoing fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_1; + s_fifo_outgoing_fee_1_queued <= '1'; + s_fifo_outgoing_fee_1_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 2 rmap outgoing fifo is enabled, has data available and is not queued + if ((fee_2_rmap_echo_en_i = '1') and (fee_2_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_2_queued = '0')) then + -- fee 2 rmap outgoing fifo has data available and is not queued + -- put fee 2 rmap outgoing fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_2; + s_fifo_outgoing_fee_2_queued <= '1'; + s_fifo_outgoing_fee_2_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 3 rmap outgoing fifo is enabled, has data available and is not queued + if ((fee_3_rmap_echo_en_i = '1') and (fee_3_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_3_queued = '0')) then + -- fee 3 rmap outgoing fifo has data available and is not queued + -- put fee 3 rmap outgoing fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_3; + s_fifo_outgoing_fee_3_queued <= '1'; + s_fifo_outgoing_fee_3_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 4 rmap outgoing fifo is enabled, has data available and is not queued + if ((fee_4_rmap_echo_en_i = '1') and (fee_4_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_4_queued = '0')) then + -- fee 4 rmap outgoing fifo has data available and is not queued + -- put fee 4 rmap outgoing fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_4; + s_fifo_outgoing_fee_4_queued <= '1'; + s_fifo_outgoing_fee_4_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 5 rmap outgoing fifo is enabled, has data available and is not queued + if ((fee_5_rmap_echo_en_i = '1') and (fee_5_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_5_queued = '0')) then + -- fee 5 rmap outgoing fifo has data available and is not queued + -- put fee 5 rmap outgoing fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_5; + s_fifo_outgoing_fee_5_queued <= '1'; + s_fifo_outgoing_fee_5_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- fifo queue management + -- case to handle the fifo queue + case (s_rmap_fifo_queue(0)) is + + when fifo_none => + -- no fifo waiting at the queue + s_selected_fifo <= fifo_none; + + when fifo_incoming_fee_0 => + -- fee 0 rmap incoming fifo at top of the queue + s_selected_fifo <= fifo_incoming_fee_0; + -- check if the fifo use is over + if (s_fifo_incoming_fee_0_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_incoming_fee_0_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_incoming_fee_1 => + -- fee 1 rmap incoming fifo at top of the queue + s_selected_fifo <= fifo_incoming_fee_1; + -- check if the fifo use is over + if (s_fifo_incoming_fee_1_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_incoming_fee_1_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_incoming_fee_2 => + -- fee 2 rmap incoming fifo at top of the queue + s_selected_fifo <= fifo_incoming_fee_2; + -- check if the fifo use is over + if (s_fifo_incoming_fee_2_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_incoming_fee_2_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_incoming_fee_3 => + -- fee 3 rmap incoming fifo at top of the queue + s_selected_fifo <= fifo_incoming_fee_3; + -- check if the fifo use is over + if (s_fifo_incoming_fee_3_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_incoming_fee_3_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_incoming_fee_4 => + -- fee 4 rmap incoming fifo at top of the queue + s_selected_fifo <= fifo_incoming_fee_4; + -- check if the fifo use is over + if (s_fifo_incoming_fee_4_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_incoming_fee_4_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_incoming_fee_5 => + -- fee 5 rmap incoming fifo at top of the queue + s_selected_fifo <= fifo_incoming_fee_5; + -- check if the fifo use is over + if (s_fifo_incoming_fee_5_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_incoming_fee_5_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_outgoing_fee_0 => + -- fee 0 rmap outgoing fifo at top of the queue + s_selected_fifo <= fifo_outgoing_fee_0; + -- check if the fifo use is over + if (s_fifo_outgoing_fee_0_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_outgoing_fee_0_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_outgoing_fee_1 => + -- fee 1 rmap outgoing fifo at top of the queue + s_selected_fifo <= fifo_outgoing_fee_1; + -- check if the fifo use is over + if (s_fifo_outgoing_fee_1_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_outgoing_fee_1_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_outgoing_fee_2 => + -- fee 2 rmap outgoing fifo at top of the queue + s_selected_fifo <= fifo_outgoing_fee_2; + -- check if the fifo use is over + if (s_fifo_outgoing_fee_2_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_outgoing_fee_2_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_outgoing_fee_3 => + -- fee 3 rmap outgoing fifo at top of the queue + s_selected_fifo <= fifo_outgoing_fee_3; + -- check if the fifo use is over + if (s_fifo_outgoing_fee_3_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_outgoing_fee_3_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_outgoing_fee_4 => + -- fee 4 rmap outgoing fifo at top of the queue + s_selected_fifo <= fifo_outgoing_fee_4; + -- check if the fifo use is over + if (s_fifo_outgoing_fee_4_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_outgoing_fee_4_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_outgoing_fee_5 => + -- fee 5 rmap outgoing fifo at top of the queue + s_selected_fifo <= fifo_outgoing_fee_5; + -- check if the fifo use is over + if (s_fifo_outgoing_fee_5_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_outgoing_fee_5_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + end case; + + -- Output generation default values + + fee_0_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_0_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_1_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_1_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_2_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_2_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_3_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_3_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_4_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_4_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_5_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_5_rmap_outgoing_fifo_control_o.rdreq <= '0'; + spw_codec_control_o.txwrite <= '0'; + spw_codec_control_o.txflag <= '0'; + spw_codec_control_o.txdata <= (others => '0'); + + -- States transitions FSM + case (s_rmpe_rmap_echo_transmitter_state) is + + -- state "IDLE" + when IDLE => + -- in idle, waiting a fifo be selected + -- default state transition + s_rmpe_rmap_echo_transmitter_state <= IDLE; + v_rmpe_rmap_echo_transmitter_state := IDLE; + -- default internal signal values + -- conditional state transition + -- check if there is a fifo was selected + if (s_selected_fifo /= fifo_none) then + -- a fifo was selected, go to waiting rmap data + s_rmpe_rmap_echo_transmitter_state <= WAITING_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := WAITING_RMAP_DATA; + end if; + + -- state "WAITING_RMAP_DATA" + when WAITING_RMAP_DATA => + -- waiting data in the rmap data fifo + -- default state transition + s_rmpe_rmap_echo_transmitter_state <= WAITING_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := WAITING_RMAP_DATA; + -- default internal signal values + -- conditional state transition + -- check if there is not a fifo selected + if (s_selected_fifo = fifo_none) then + -- no fifo selected (error) return to idle + s_rmpe_rmap_echo_transmitter_state <= IDLE; + v_rmpe_rmap_echo_transmitter_state := IDLE; + -- check if there is space available in the spw codec and the selected fifo have data available + elsif ((spw_codec_status_i.txrdy = '1') and (((s_selected_fifo = fifo_incoming_fee_0) and (fee_0_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_1) and (fee_1_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_2) and (fee_2_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_3) and (fee_3_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_4) and (fee_4_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_5) and (fee_5_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_0) and (fee_0_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_1) and (fee_1_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_2) and (fee_2_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_3) and (fee_3_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_4) and (fee_4_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_5) and (fee_5_rmap_outgoing_fifo_status_i.empty = '0')))) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + -- output generation + -- fetch data from the selected fifo + case (s_selected_fifo) is + when fifo_none => + null; + when fifo_incoming_fee_0 => + fee_0_rmap_incoming_fifo_control_o.rdreq <= '1'; + when fifo_incoming_fee_1 => + fee_1_rmap_incoming_fifo_control_o.rdreq <= '1'; + when fifo_incoming_fee_2 => + fee_2_rmap_incoming_fifo_control_o.rdreq <= '1'; + when fifo_incoming_fee_3 => + fee_3_rmap_incoming_fifo_control_o.rdreq <= '1'; + when fifo_incoming_fee_4 => + fee_4_rmap_incoming_fifo_control_o.rdreq <= '1'; + when fifo_incoming_fee_5 => + fee_5_rmap_incoming_fifo_control_o.rdreq <= '1'; + when fifo_outgoing_fee_0 => + fee_0_rmap_outgoing_fifo_control_o.rdreq <= '1'; + when fifo_outgoing_fee_1 => + fee_1_rmap_outgoing_fifo_control_o.rdreq <= '1'; + when fifo_outgoing_fee_2 => + fee_2_rmap_outgoing_fifo_control_o.rdreq <= '1'; + when fifo_outgoing_fee_3 => + fee_3_rmap_outgoing_fifo_control_o.rdreq <= '1'; + when fifo_outgoing_fee_4 => + fee_4_rmap_outgoing_fifo_control_o.rdreq <= '1'; + when fifo_outgoing_fee_5 => + fee_5_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end case; + end if; + + -- state "FETCH_RMAP_DATA" + when FETCH_RMAP_DATA => + -- fetching data from the rmap data fifo + -- default state transition + s_rmpe_rmap_echo_transmitter_state <= WRITE_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := WRITE_RMAP_DATA; + -- default internal signal values + -- conditional state transition + + -- state "WRITE_RMAP_DATA" + when WRITE_RMAP_DATA => + -- write rmap packet data + -- default state transition + s_rmpe_rmap_echo_transmitter_state <= WAITING_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := WAITING_RMAP_DATA; + -- default internal signal values + -- conditional state transition + -- check if there is not a fifo selected + if (s_selected_fifo = fifo_none) then + -- no fifo selected (error) return to idle + s_rmpe_rmap_echo_transmitter_state <= IDLE; + v_rmpe_rmap_echo_transmitter_state := IDLE; + -- check if an end of packet was received + elsif (((s_selected_fifo = fifo_incoming_fee_0) and (fee_0_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_1) and (fee_1_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_2) and (fee_2_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_3) and (fee_3_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_4) and (fee_4_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_5) and (fee_5_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_0) and (fee_0_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_1) and (fee_1_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_2) and (fee_2_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_3) and (fee_3_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_4) and (fee_4_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_5) and (fee_5_rmap_outgoing_fifo_status_i.rddata_flag = '1'))) then + -- end of packet was received, return to idle + case (s_selected_fifo) is + when fifo_none => + null; + when fifo_incoming_fee_0 => + s_fifo_incoming_fee_0_in_use <= '0'; + when fifo_incoming_fee_1 => + s_fifo_incoming_fee_1_in_use <= '0'; + when fifo_incoming_fee_2 => + s_fifo_incoming_fee_2_in_use <= '0'; + when fifo_incoming_fee_3 => + s_fifo_incoming_fee_3_in_use <= '0'; + when fifo_incoming_fee_4 => + s_fifo_incoming_fee_4_in_use <= '0'; + when fifo_incoming_fee_5 => + s_fifo_incoming_fee_5_in_use <= '0'; + when fifo_outgoing_fee_0 => + s_fifo_outgoing_fee_0_in_use <= '0'; + when fifo_outgoing_fee_1 => + s_fifo_outgoing_fee_1_in_use <= '0'; + when fifo_outgoing_fee_2 => + s_fifo_outgoing_fee_2_in_use <= '0'; + when fifo_outgoing_fee_3 => + s_fifo_outgoing_fee_3_in_use <= '0'; + when fifo_outgoing_fee_4 => + s_fifo_outgoing_fee_4_in_use <= '0'; + when fifo_outgoing_fee_5 => + s_fifo_outgoing_fee_5_in_use <= '0'; + end case; + s_rmpe_rmap_echo_transmitter_state <= IDLE; + v_rmpe_rmap_echo_transmitter_state := IDLE; + end if; + -- output generation + -- write data to the spw codec + case (s_selected_fifo) is + when fifo_none => + null; + when fifo_incoming_fee_0 => + spw_codec_control_o.txflag <= fee_0_rmap_incoming_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_0_rmap_incoming_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_0_rmap_incoming_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_0_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + when fifo_incoming_fee_1 => + spw_codec_control_o.txflag <= fee_1_rmap_incoming_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_1_rmap_incoming_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_1_rmap_incoming_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_1_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + when fifo_incoming_fee_2 => + spw_codec_control_o.txflag <= fee_2_rmap_incoming_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_2_rmap_incoming_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_2_rmap_incoming_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_2_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + when fifo_incoming_fee_3 => + spw_codec_control_o.txflag <= fee_3_rmap_incoming_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_3_rmap_incoming_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_3_rmap_incoming_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_3_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + when fifo_incoming_fee_4 => + spw_codec_control_o.txflag <= fee_4_rmap_incoming_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_4_rmap_incoming_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_4_rmap_incoming_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_4_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + when fifo_incoming_fee_5 => + spw_codec_control_o.txflag <= fee_5_rmap_incoming_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_5_rmap_incoming_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_5_rmap_incoming_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_5_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + when fifo_outgoing_fee_0 => + spw_codec_control_o.txflag <= fee_0_rmap_outgoing_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_0_rmap_outgoing_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_0_rmap_outgoing_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_0_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + when fifo_outgoing_fee_1 => + spw_codec_control_o.txflag <= fee_1_rmap_outgoing_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_1_rmap_outgoing_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_1_rmap_outgoing_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_1_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + when fifo_outgoing_fee_2 => + spw_codec_control_o.txflag <= fee_2_rmap_outgoing_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_2_rmap_outgoing_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_2_rmap_outgoing_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_2_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + when fifo_outgoing_fee_3 => + spw_codec_control_o.txflag <= fee_3_rmap_outgoing_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_3_rmap_outgoing_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_3_rmap_outgoing_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_3_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + when fifo_outgoing_fee_4 => + spw_codec_control_o.txflag <= fee_4_rmap_outgoing_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_4_rmap_outgoing_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_4_rmap_outgoing_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_4_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + when fifo_outgoing_fee_5 => + spw_codec_control_o.txflag <= fee_5_rmap_outgoing_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_5_rmap_outgoing_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_5_rmap_outgoing_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_5_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + end case; + + -- all the other states (not defined) + when others => + s_rmpe_rmap_echo_transmitter_state <= IDLE; + v_rmpe_rmap_echo_transmitter_state := IDLE; + + end case; + + -- Output generation default values + + -- Output generation FSM + + case (v_rmpe_rmap_echo_transmitter_state) is + + -- state "IDLE" + when IDLE => + -- in idle, waiting a fifo be selected + -- default output signals + -- conditional output signals + + -- state "WAITING_RMAP_DATA" + when WAITING_RMAP_DATA => + -- waiting data in the rmap data fifo + -- default output signals + -- conditional output signals + + -- state "FETCH_RMAP_DATA" + when FETCH_RMAP_DATA => + -- fetching data from the rmap data fifo + -- default output signals + -- conditional output signals + + -- state "WRITE_RMAP_DATA" + when WRITE_RMAP_DATA => + -- write rmap packet data + -- default output signals + -- conditional output signals + + end case; + + -- Echoing Enable Manager + + -- check if fee 0 rmap echo is disabled + if (fee_0_rmap_echo_en_i = '0') then + -- fee 0 rmap echo is disabled + -- clear internal queue signals + s_fifo_incoming_fee_0_queued <= '0'; + s_fifo_outgoing_fee_0_queued <= '0'; + -- clear all fifo data + fee_0_rmap_incoming_fifo_control_o.rdreq <= '0'; + if (fee_0_rmap_incoming_fifo_status_i.empty = '0') then + fee_0_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + fee_0_rmap_outgoing_fifo_control_o.rdreq <= '0'; + if (fee_0_rmap_outgoing_fifo_status_i.empty = '0') then + fee_0_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + -- check if there is a ongoing transmission and finishes it with an EEP + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_incoming_fee_0_in_use = '1')) then + s_fifo_incoming_fee_0_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_outgoing_fee_0_in_use = '1')) then + s_fifo_outgoing_fee_0_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + end if; + -- check if fee 1 rmap echo is disabled + if (fee_1_rmap_echo_en_i = '0') then + -- fee 1 rmap echo is disabled + -- clear internal queue signals + s_fifo_incoming_fee_1_queued <= '0'; + s_fifo_outgoing_fee_1_queued <= '0'; + -- clear all fifo data + fee_1_rmap_incoming_fifo_control_o.rdreq <= '0'; + if (fee_1_rmap_incoming_fifo_status_i.empty = '0') then + fee_1_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + fee_1_rmap_outgoing_fifo_control_o.rdreq <= '0'; + if (fee_1_rmap_outgoing_fifo_status_i.empty = '0') then + fee_1_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + -- check if there is a ongoing transmission and finishes it with an EEP + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_incoming_fee_1_in_use = '1')) then + s_fifo_incoming_fee_1_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_outgoing_fee_1_in_use = '1')) then + s_fifo_outgoing_fee_1_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + end if; + -- check if fee 2 rmap echo is disabled + if (fee_2_rmap_echo_en_i = '0') then + -- fee 2 rmap echo is disabled + -- clear internal queue signals + s_fifo_incoming_fee_2_queued <= '0'; + s_fifo_outgoing_fee_2_queued <= '0'; + -- clear all fifo data + fee_2_rmap_incoming_fifo_control_o.rdreq <= '0'; + if (fee_2_rmap_incoming_fifo_status_i.empty = '0') then + fee_2_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + fee_2_rmap_outgoing_fifo_control_o.rdreq <= '0'; + if (fee_2_rmap_outgoing_fifo_status_i.empty = '0') then + fee_2_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + -- check if there is a ongoing transmission and finishes it with an EEP + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_incoming_fee_2_in_use = '1')) then + s_fifo_incoming_fee_2_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_outgoing_fee_2_in_use = '1')) then + s_fifo_outgoing_fee_2_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + end if; + -- check if fee 3 rmap echo is disabled + if (fee_3_rmap_echo_en_i = '0') then + -- fee 3 rmap echo is disabled + -- clear internal queue signals + s_fifo_incoming_fee_3_queued <= '0'; + s_fifo_outgoing_fee_3_queued <= '0'; + -- clear all fifo data + fee_3_rmap_incoming_fifo_control_o.rdreq <= '0'; + if (fee_3_rmap_incoming_fifo_status_i.empty = '0') then + fee_3_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + fee_3_rmap_outgoing_fifo_control_o.rdreq <= '0'; + if (fee_3_rmap_outgoing_fifo_status_i.empty = '0') then + fee_3_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + -- check if there is a ongoing transmission and finishes it with an EEP + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_incoming_fee_3_in_use = '1')) then + s_fifo_incoming_fee_3_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_outgoing_fee_3_in_use = '1')) then + s_fifo_outgoing_fee_3_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + end if; + -- check if fee 4 rmap echo is disabled + if (fee_4_rmap_echo_en_i = '0') then + -- fee 4 rmap echo is disabled + -- clear internal queue signals + s_fifo_incoming_fee_4_queued <= '0'; + s_fifo_outgoing_fee_4_queued <= '0'; + -- clear all fifo data + fee_4_rmap_incoming_fifo_control_o.rdreq <= '0'; + if (fee_4_rmap_incoming_fifo_status_i.empty = '0') then + fee_4_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + fee_4_rmap_outgoing_fifo_control_o.rdreq <= '0'; + if (fee_4_rmap_outgoing_fifo_status_i.empty = '0') then + fee_4_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + -- check if there is a ongoing transmission and finishes it with an EEP + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_incoming_fee_4_in_use = '1')) then + s_fifo_incoming_fee_4_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_outgoing_fee_4_in_use = '1')) then + s_fifo_outgoing_fee_4_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + end if; + -- check if fee 5 rmap echo is disabled + if (fee_5_rmap_echo_en_i = '0') then + -- fee 5 rmap echo is disabled + -- clear internal queue signals + s_fifo_incoming_fee_5_queued <= '0'; + s_fifo_outgoing_fee_5_queued <= '0'; + -- clear all fifo data + fee_5_rmap_incoming_fifo_control_o.rdreq <= '0'; + if (fee_5_rmap_incoming_fifo_status_i.empty = '0') then + fee_5_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + fee_5_rmap_outgoing_fifo_control_o.rdreq <= '0'; + if (fee_5_rmap_outgoing_fifo_status_i.empty = '0') then + fee_5_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + -- check if there is a ongoing transmission and finishes it with an EEP + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_incoming_fee_5_in_use = '1')) then + s_fifo_incoming_fee_5_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_outgoing_fee_5_in_use = '1')) then + s_fifo_outgoing_fee_5_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + end if; + + end if; + end process p_rmpe_rmap_echo_transmitter; end architecture RTL; diff --git a/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd b/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd index 969ff615..7f1ae8e6 100644 --- a/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd +++ b/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Echoing/rmpe_rmap_echoing_pkg.vhd @@ -4,41 +4,41 @@ use ieee.numeric_std.all; package rmpe_rmap_echoing_pkg is - constant c_RMAP_FIFO_OVERFLOW_EN : std_logic := '0'; - - constant c_RMAP_PACKAGE_ID_INCOMING : std_logic_vector(3 downto 0) := x"0"; - constant c_RMAP_PACKAGE_ID_OUTGOING : std_logic_vector(3 downto 0) := x"1"; - - type t_rmpe_rmap_echoing_spw_fifo_control is record - wrdata_flag : std_logic; - wrdata_data : std_logic_vector(7 downto 0); - wrreq : std_logic; - end record t_rmpe_rmap_echoing_spw_fifo_control; - - type t_rmpe_rmap_echoing_spw_fifo_status is record - full : std_logic; - end record t_rmpe_rmap_echoing_spw_fifo_status; - - type t_rmpe_rmap_echoing_rmap_fifo_control is record - rdreq : std_logic; - end record t_rmpe_rmap_echoing_rmap_fifo_control; - - type t_rmpe_rmap_echoing_rmap_fifo_status is record - empty : std_logic; - rddata_flag : std_logic; - rddata_data : std_logic_vector(7 downto 0); - end record t_rmpe_rmap_echoing_rmap_fifo_status; - - type t_rmpe_rmap_echoing_spw_codec_control is record - txwrite : std_logic; - txflag : std_logic; - txdata : std_logic_vector(7 downto 0); - end record t_rmpe_rmap_echoing_spw_codec_control; - - type t_rmpe_rmap_echoing_spw_codec_status is record - txrdy : std_logic; - txhalff : std_logic; - end record t_rmpe_rmap_echoing_spw_codec_status; + constant c_RMAP_FIFO_OVERFLOW_EN : std_logic := '0'; + + constant c_RMAP_PACKAGE_ID_INCOMING : std_logic_vector(3 downto 0) := x"0"; + constant c_RMAP_PACKAGE_ID_OUTGOING : std_logic_vector(3 downto 0) := x"1"; + + type t_rmpe_rmap_echoing_spw_fifo_control is record + wrdata_flag : std_logic; + wrdata_data : std_logic_vector(7 downto 0); + wrreq : std_logic; + end record t_rmpe_rmap_echoing_spw_fifo_control; + + type t_rmpe_rmap_echoing_spw_fifo_status is record + full : std_logic; + end record t_rmpe_rmap_echoing_spw_fifo_status; + + type t_rmpe_rmap_echoing_rmap_fifo_control is record + rdreq : std_logic; + end record t_rmpe_rmap_echoing_rmap_fifo_control; + + type t_rmpe_rmap_echoing_rmap_fifo_status is record + empty : std_logic; + rddata_flag : std_logic; + rddata_data : std_logic_vector(7 downto 0); + end record t_rmpe_rmap_echoing_rmap_fifo_status; + + type t_rmpe_rmap_echoing_spw_codec_control is record + txwrite : std_logic; + txflag : std_logic; + txdata : std_logic_vector(7 downto 0); + end record t_rmpe_rmap_echoing_spw_codec_control; + + type t_rmpe_rmap_echoing_spw_codec_status is record + txrdy : std_logic; + txhalff : std_logic; + end record t_rmpe_rmap_echoing_spw_codec_status; end package rmpe_rmap_echoing_pkg; diff --git a/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Echoing/rmpe_rmap_echoing_top.vhd b/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Echoing/rmpe_rmap_echoing_top.vhd index 597fe529..e628cce8 100644 --- a/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Echoing/rmpe_rmap_echoing_top.vhd +++ b/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Echoing/rmpe_rmap_echoing_top.vhd @@ -17,6 +17,7 @@ use work.rmpe_rmap_echoing_pkg.all; entity rmpe_rmap_echoing_top is port( reset_i : in std_logic := '0'; -- -- reset_sink.reset + echo_rst_i : in std_logic := '0'; -- -- echo_rst_sink.reset clk_100_i : in std_logic := '0'; -- -- clock_sink_100mhz.clk rmap_echo_0_echo_en_i : in std_logic := '0'; -- -- conduit_end_rmap_echo_0_in.echo_en_signal rmap_echo_0_echo_id_en_i : in std_logic := '0'; -- -- .echo_id_en_signal @@ -104,11 +105,14 @@ end entity rmpe_rmap_echoing_top; architecture rtl of rmpe_rmap_echoing_top is + -- Signals -- + signal s_global_rst : std_logic; + -- Alias -- -- Basic Alias alias a_avs_clock_i is clk_100_i; - alias a_reset_i is reset_i; + alias a_reset_i is s_global_rst; -- Constants -- @@ -389,6 +393,12 @@ begin port map( clk_i => a_avs_clock_i, rst_i => a_reset_i, + fee_0_rmap_echo_en_i => rmap_echo_0_echo_en_i, + fee_1_rmap_echo_en_i => rmap_echo_1_echo_en_i, + fee_2_rmap_echo_en_i => rmap_echo_2_echo_en_i, + fee_3_rmap_echo_en_i => rmap_echo_3_echo_en_i, + fee_4_rmap_echo_en_i => rmap_echo_4_echo_en_i, + fee_5_rmap_echo_en_i => rmap_echo_5_echo_en_i, fee_0_rmap_incoming_fifo_status_i => s_rmap_echo_0_in_fifo_status, fee_0_rmap_outgoing_fifo_status_i => s_rmap_echo_0_out_fifo_status, fee_1_rmap_incoming_fifo_status_i => s_rmap_echo_1_in_fifo_status, @@ -422,6 +432,9 @@ begin -- Signals Assignments and Processes -- + -- Global Reset Assignments + s_global_rst <= reset_i or echo_rst_i; + -- SpaceWire Channel Codec Configuration p_spwc_codec_config : process(a_avs_clock_i, a_reset_i) is begin diff --git a/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Echoing_hw.tcl b/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Echoing_hw.tcl index dc3b9804..373cb81b 100644 --- a/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Echoing_hw.tcl +++ b/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Echoing_hw.tcl @@ -4,7 +4,7 @@ # -# RMAP_Echoing "RMAP_Echoing" v1.3 +# RMAP_Echoing "RMAP_Echoing" v1.4 # rfranca 2019.12.21.00:27:05 # # @@ -20,7 +20,7 @@ package require -exact qsys 16.1 # set_module_property DESCRIPTION "" set_module_property NAME RMAP_Echoing -set_module_property VERSION 1.3 +set_module_property VERSION 1.4 set_module_property INTERNAL false set_module_property OPAQUE_ADDRESS_MAP true set_module_property AUTHOR rfranca @@ -83,6 +83,21 @@ set_interface_property reset_sink SVD_ADDRESS_GROUP "" add_interface_port reset_sink reset_i reset Input 1 +# +# connection point echo_rst_sink +# +add_interface echo_rst_sink reset end +set_interface_property echo_rst_sink associatedClock clock_sink_100mhz +set_interface_property echo_rst_sink synchronousEdges DEASSERT +set_interface_property echo_rst_sink ENABLED true +set_interface_property echo_rst_sink EXPORT_OF "" +set_interface_property echo_rst_sink PORT_NAME_MAP "" +set_interface_property echo_rst_sink CMSIS_SVD_VARIABLES "" +set_interface_property echo_rst_sink SVD_ADDRESS_GROUP "" + +add_interface_port echo_rst_sink echo_rst_i reset Input 1 + + # # connection point clock_sink_100mhz # diff --git a/G3U_HW_V02_2GB/Hardware_Project/MebX_TopLevel.vhd b/G3U_HW_V02_2GB/Hardware_Project/MebX_TopLevel.vhd index 1b9a6980..5dfed49e 100644 --- a/G3U_HW_V02_2GB/Hardware_Project/MebX_TopLevel.vhd +++ b/G3U_HW_V02_2GB/Hardware_Project/MebX_TopLevel.vhd @@ -298,10 +298,11 @@ architecture bhv of MebX_TopLevel is ----------------------------------------- -- Clock e reset ----------------------------------------- - signal rst_ctrl_input : std_logic := '0'; - signal simucam_rst : std_logic := '0'; - signal rst_n : std_logic; - signal ftdi_rst : std_logic; + signal rst_ctrl_input : std_logic := '0'; + signal simucam_rst : std_logic := '0'; + signal rst_n : std_logic; + signal rmap_echoing_rst : std_logic; + signal ftdi_rst : std_logic; ----------------------------------------- -- Ethernet @@ -523,6 +524,9 @@ architecture bhv of MebX_TopLevel is spwc_h_lvds_spw_lvds_p_strobe_out_signal : out std_logic; -- -- spw_lvds_p_strobe_out_signal spwc_h_lvds_spw_lvds_n_strobe_out_signal : out std_logic; -- -- spw_lvds_n_strobe_out_signal -- + rmap_echoing_echo_rst_sink_reset : in std_logic := '0'; -- reset + pio_rmap_echoing_module_reset_external_connection_export : out std_logic; -- export + -- comm_1_sync_sync_signal : in std_logic := '0'; -- sync_signal comm_2_sync_sync_signal : in std_logic := '0'; -- sync_signal comm_3_sync_sync_signal : in std_logic := '0'; -- sync_signal @@ -658,8 +662,8 @@ begin -- rst_reset_n => rst_n, -- - rst_controller_conduit_reset_input_t_reset_input_signal => rst_ctrl_input, -- -- rst_controller_conduit_reset_input.t_reset_input_signal - rst_controller_conduit_simucam_reset_t_simucam_reset_signal => simucam_rst, -- -- rst_controller_conduit_simucam_reset.t_simucam_reset_signal + rst_controller_conduit_reset_input_t_reset_input_signal => rst_ctrl_input, -- -- rst_controller_conduit_reset_input.t_reset_input_signal + rst_controller_conduit_simucam_reset_t_simucam_reset_signal => simucam_rst, -- -- rst_controller_conduit_simucam_reset.t_simucam_reset_signal -- led_de4_export => leds_b, led_painel_export => leds_p, @@ -672,7 +676,7 @@ begin ext_export => EXT_IO, -- ctrl_io_lvds_export => ctrl_io_lvds, - pio_iso_logic_signal_enable_export => iso_logic_enable, -- pio_iso_logic_signal_enable.export + pio_iso_logic_signal_enable_export => iso_logic_enable, -- -- pio_iso_logic_signal_enable.export -- tristate_conduit_tcm_address_out => FSM_A, tristate_conduit_tcm_data_out => FSM_D, @@ -721,134 +725,137 @@ begin m2_ddr2_i2c_scl_export => M2_DDR2_SCL, m2_ddr2_i2c_sda_export => M2_DDR2_SDA, -- - spwc_a_lvds_spw_lvds_p_data_in_signal => HSMB_LVDS_RX_SPWA_DI_P, -- spwc_a_lvds.spw_lvds_p_data_in_signal - spwc_a_lvds_spw_lvds_n_data_in_signal => HSMB_LVDS_RX_SPWA_DI_N, -- .spw_lvds_n_data_in_signal - spwc_a_lvds_spw_lvds_p_strobe_in_signal => HSMB_LVDS_RX_SPWA_SI_P, -- .spw_lvds_p_strobe_in_signal - spwc_a_lvds_spw_lvds_n_strobe_in_signal => HSMB_LVDS_RX_SPWA_SI_N, -- .spw_lvds_n_strobe_in_signal - spwc_a_lvds_spw_lvds_p_data_out_signal => HSMB_LVDS_TX_SPWA_DO_P, -- .spw_lvds_p_data_out_signal - spwc_a_lvds_spw_lvds_n_data_out_signal => HSMB_LVDS_TX_SPWA_DO_N, -- .spw_lvds_n_data_out_signal - spwc_a_lvds_spw_lvds_p_strobe_out_signal => HSMB_LVDS_TX_SPWA_SO_P, -- .spw_lvds_p_strobe_out_signal - spwc_a_lvds_spw_lvds_n_strobe_out_signal => HSMB_LVDS_TX_SPWA_SO_N, -- .spw_lvds_n_strobe_out_signal - -- - spwc_b_lvds_spw_lvds_p_data_in_signal => HSMB_LVDS_RX_SPWB_DI_P, -- spwc_b_lvds.spw_lvds_p_data_in_signal - spwc_b_lvds_spw_lvds_n_data_in_signal => HSMB_LVDS_RX_SPWB_DI_N, -- .spw_lvds_n_data_in_signal - spwc_b_lvds_spw_lvds_p_strobe_in_signal => HSMB_LVDS_RX_SPWB_SI_P, -- .spw_lvds_p_strobe_in_signal - spwc_b_lvds_spw_lvds_n_strobe_in_signal => HSMB_LVDS_RX_SPWB_SI_N, -- .spw_lvds_n_strobe_in_signal - spwc_b_lvds_spw_lvds_p_data_out_signal => HSMB_LVDS_TX_SPWB_DO_P, -- .spw_lvds_p_data_out_signal - spwc_b_lvds_spw_lvds_n_data_out_signal => HSMB_LVDS_TX_SPWB_DO_N, -- .spw_lvds_n_data_out_signal - spwc_b_lvds_spw_lvds_p_strobe_out_signal => HSMB_LVDS_TX_SPWB_SO_P, -- .spw_lvds_p_strobe_out_signal - spwc_b_lvds_spw_lvds_n_strobe_out_signal => HSMB_LVDS_TX_SPWB_SO_N, -- .spw_lvds_n_strobe_out_signal - -- - spwc_c_lvds_spw_lvds_p_data_in_signal => HSMB_LVDS_RX_SPWC_DI_P, -- spwc_c_lvds.spw_lvds_p_data_in_signal - spwc_c_lvds_spw_lvds_n_data_in_signal => HSMB_LVDS_RX_SPWC_DI_N, -- .spw_lvds_n_data_in_signal - spwc_c_lvds_spw_lvds_p_strobe_in_signal => HSMB_LVDS_RX_SPWC_SI_P, -- .spw_lvds_p_strobe_in_signal - spwc_c_lvds_spw_lvds_n_strobe_in_signal => HSMB_LVDS_RX_SPWC_SI_N, -- .spw_lvds_n_strobe_in_signal - spwc_c_lvds_spw_lvds_p_data_out_signal => HSMB_LVDS_TX_SPWC_DO_P, -- .spw_lvds_p_data_out_signal - spwc_c_lvds_spw_lvds_n_data_out_signal => HSMB_LVDS_TX_SPWC_DO_N, -- .spw_lvds_n_data_out_signal - spwc_c_lvds_spw_lvds_p_strobe_out_signal => HSMB_LVDS_TX_SPWC_SO_P, -- .spw_lvds_p_strobe_out_signal - spwc_c_lvds_spw_lvds_n_strobe_out_signal => HSMB_LVDS_TX_SPWC_SO_N, -- .spw_lvds_n_strobe_out_signal - -- - spwc_d_lvds_spw_lvds_p_data_in_signal => HSMB_LVDS_RX_SPWD_DI_P, -- spwc_d_lvds.spw_lvds_p_data_in_signal - spwc_d_lvds_spw_lvds_n_data_in_signal => HSMB_LVDS_RX_SPWD_DI_N, -- .spw_lvds_n_data_in_signal - spwc_d_lvds_spw_lvds_p_strobe_in_signal => HSMB_LVDS_RX_SPWD_SI_P, -- .spw_lvds_p_strobe_in_signal - spwc_d_lvds_spw_lvds_n_strobe_in_signal => HSMB_LVDS_RX_SPWD_SI_N, -- .spw_lvds_n_strobe_in_signal - spwc_d_lvds_spw_lvds_p_data_out_signal => HSMB_LVDS_TX_SPWD_DO_P, -- .spw_lvds_p_data_out_signal - spwc_d_lvds_spw_lvds_n_data_out_signal => HSMB_LVDS_TX_SPWD_DO_N, -- .spw_lvds_n_data_out_signal - spwc_d_lvds_spw_lvds_p_strobe_out_signal => HSMB_LVDS_TX_SPWD_SO_P, -- .spw_lvds_p_strobe_out_signal - spwc_d_lvds_spw_lvds_n_strobe_out_signal => HSMB_LVDS_TX_SPWD_SO_N, -- .spw_lvds_n_strobe_out_signal - -- - spwc_e_lvds_spw_lvds_p_data_in_signal => HSMB_LVDS_RX_SPWE_DI_P, -- spwc_e_lvds.spw_lvds_p_data_in_signal - spwc_e_lvds_spw_lvds_n_data_in_signal => HSMB_LVDS_RX_SPWE_DI_N, -- .spw_lvds_n_data_in_signal - spwc_e_lvds_spw_lvds_p_strobe_in_signal => HSMB_LVDS_RX_SPWE_SI_P, -- .spw_lvds_p_strobe_in_signal - spwc_e_lvds_spw_lvds_n_strobe_in_signal => HSMB_LVDS_RX_SPWE_SI_N, -- .spw_lvds_n_strobe_in_signal - spwc_e_lvds_spw_lvds_p_data_out_signal => HSMB_LVDS_TX_SPWE_DO_P, -- .spw_lvds_p_data_out_signal - spwc_e_lvds_spw_lvds_n_data_out_signal => HSMB_LVDS_TX_SPWE_DO_N, -- .spw_lvds_n_data_out_signal - spwc_e_lvds_spw_lvds_p_strobe_out_signal => HSMB_LVDS_TX_SPWE_SO_P, -- .spw_lvds_p_strobe_out_signal - spwc_e_lvds_spw_lvds_n_strobe_out_signal => HSMB_LVDS_TX_SPWE_SO_N, -- .spw_lvds_n_strobe_out_signal - -- - spwc_f_lvds_spw_lvds_p_data_in_signal => HSMB_LVDS_RX_SPWF_DI_P, -- spwc_f_lvds.spw_lvds_p_data_in_signal - spwc_f_lvds_spw_lvds_n_data_in_signal => HSMB_LVDS_RX_SPWF_DI_N, -- .spw_lvds_n_data_in_signal - spwc_f_lvds_spw_lvds_p_strobe_in_signal => HSMB_LVDS_RX_SPWF_SI_P, -- .spw_lvds_p_strobe_in_signal - spwc_f_lvds_spw_lvds_n_strobe_in_signal => HSMB_LVDS_RX_SPWF_SI_N, -- .spw_lvds_n_strobe_in_signal - spwc_f_lvds_spw_lvds_p_data_out_signal => HSMB_LVDS_TX_SPWF_DO_P, -- .spw_lvds_p_data_out_signal - spwc_f_lvds_spw_lvds_n_data_out_signal => HSMB_LVDS_TX_SPWF_DO_N, -- .spw_lvds_n_data_out_signal - spwc_f_lvds_spw_lvds_p_strobe_out_signal => HSMB_LVDS_TX_SPWF_SO_P, -- .spw_lvds_p_strobe_out_signal - spwc_f_lvds_spw_lvds_n_strobe_out_signal => HSMB_LVDS_TX_SPWF_SO_N, -- .spw_lvds_n_strobe_out_signal - -- - spwc_g_lvds_spw_lvds_p_data_in_signal => HSMB_LVDS_RX_SPWG_DI_P, -- spwc_g_lvds.spw_lvds_p_data_in_signal - spwc_g_lvds_spw_lvds_n_data_in_signal => HSMB_LVDS_RX_SPWG_DI_N, -- .spw_lvds_n_data_in_signal - spwc_g_lvds_spw_lvds_p_strobe_in_signal => HSMB_LVDS_RX_SPWG_SI_P, -- .spw_lvds_p_strobe_in_signal - spwc_g_lvds_spw_lvds_n_strobe_in_signal => HSMB_LVDS_RX_SPWG_SI_N, -- .spw_lvds_n_strobe_in_signal - spwc_g_lvds_spw_lvds_p_data_out_signal => HSMB_LVDS_TX_SPWG_DO_P, -- .spw_lvds_p_data_out_signal - spwc_g_lvds_spw_lvds_n_data_out_signal => HSMB_LVDS_TX_SPWG_DO_N, -- .spw_lvds_n_data_out_signal - spwc_g_lvds_spw_lvds_p_strobe_out_signal => HSMB_LVDS_TX_SPWG_SO_P, -- .spw_lvds_p_strobe_out_signal - spwc_g_lvds_spw_lvds_n_strobe_out_signal => HSMB_LVDS_TX_SPWG_SO_N, -- .spw_lvds_n_strobe_out_signal - -- - spwc_h_lvds_spw_lvds_p_data_in_signal => HSMB_LVDS_RX_SPWH_DI_P, -- spwc_h_lvds.spw_lvds_p_data_in_signal - spwc_h_lvds_spw_lvds_n_data_in_signal => HSMB_LVDS_RX_SPWH_DI_N, -- .spw_lvds_n_data_in_signal - spwc_h_lvds_spw_lvds_p_strobe_in_signal => HSMB_LVDS_RX_SPWH_SI_P, -- .spw_lvds_p_strobe_in_signal - spwc_h_lvds_spw_lvds_n_strobe_in_signal => HSMB_LVDS_RX_SPWH_SI_N, -- .spw_lvds_n_strobe_in_signal - spwc_h_lvds_spw_lvds_p_data_out_signal => HSMB_LVDS_TX_SPWH_DO_P, -- .spw_lvds_p_data_out_signal - spwc_h_lvds_spw_lvds_n_data_out_signal => HSMB_LVDS_TX_SPWH_DO_N, -- .spw_lvds_n_data_out_signal - spwc_h_lvds_spw_lvds_p_strobe_out_signal => HSMB_LVDS_TX_SPWH_SO_P, -- .spw_lvds_p_strobe_out_signal - spwc_h_lvds_spw_lvds_n_strobe_out_signal => HSMB_LVDS_TX_SPWH_SO_N, -- .spw_lvds_n_strobe_out_signal - -- - comm_1_sync_sync_signal => comm_1_sync, -- -- comm_1_sync.sync_signal - comm_2_sync_sync_signal => comm_2_sync, -- -- comm_2_sync.sync_signal - comm_3_sync_sync_signal => comm_3_sync, -- -- comm_3_sync.sync_signal - comm_4_sync_sync_signal => comm_4_sync, -- -- comm_4_sync.sync_signal - comm_5_sync_sync_signal => comm_5_sync, -- -- comm_5_sync.sync_signal - comm_6_sync_sync_signal => comm_6_sync, -- -- comm_6_sync.sync_signal - -- - comm_1_measurements_measurements_signal => comm_1_measure, -- -- comm_1_measurements.measurements_signal - comm_2_measurements_measurements_signal => comm_2_measure, -- -- comm_2_measurements.measurements_signal - comm_3_measurements_measurements_signal => comm_3_measure, -- -- comm_3_measurements.measurements_signal - comm_4_measurements_measurements_signal => comm_4_measure, -- -- comm_4_measurements.measurements_signal - comm_5_measurements_measurements_signal => comm_5_measure, -- -- comm_5_measurements.measurements_signal - comm_6_measurements_measurements_signal => comm_6_measure, -- -- comm_6_measurements.measurements_signal - -- - scom_0_sync_sync_signal => comm_7_sync, -- -- scom_0_sync.sync_signal - -- - spwc_a_leds_spw_red_status_led_signal => spw_a_red_led, -- -- spwc_a_leds.spw_red_status_led_signal - spwc_a_leds_spw_green_status_led_signal => spw_a_green_led, -- -- .spw_green_status_led_signal - -- - spwc_b_leds_spw_red_status_led_signal => spw_b_red_led, -- -- spwc_b_leds.spw_red_status_led_signal - spwc_b_leds_spw_green_status_led_signal => spw_b_green_led, -- -- .spw_green_status_led_signal - -- - spwc_c_leds_spw_red_status_led_signal => spw_c_red_led, -- -- spwc_c_leds.spw_red_status_led_signal - spwc_c_leds_spw_green_status_led_signal => spw_c_green_led, -- -- .spw_green_status_led_signal - -- - spwc_d_leds_spw_red_status_led_signal => spw_d_red_led, -- -- spwc_d_leds.spw_red_status_led_signal - spwc_d_leds_spw_green_status_led_signal => spw_d_green_led, -- -- .spw_green_status_led_signal - -- - spwc_e_leds_spw_red_status_led_signal => spw_e_red_led, -- -- spwc_e_leds.spw_red_status_led_signal - spwc_e_leds_spw_green_status_led_signal => spw_e_green_led, -- -- .spw_green_status_led_signal - -- - spwc_f_leds_spw_red_status_led_signal => spw_f_red_led, -- -- spwc_f_leds.spw_red_status_led_signal - spwc_f_leds_spw_green_status_led_signal => spw_f_green_led, -- -- .spw_green_status_led_signal - -- - spwc_g_leds_spw_red_status_led_signal => spw_g_red_led, -- -- spwc_g_leds.spw_red_status_led_signal - spwc_g_leds_spw_green_status_led_signal => spw_g_green_led, -- -- .spw_green_status_led_signal - -- - spwc_h_leds_spw_red_status_led_signal => spw_h_red_led, -- -- spwc_h_leds.spw_red_status_led_signal - spwc_h_leds_spw_green_status_led_signal => spw_h_green_led, -- -- .spw_green_status_led_signal - -- - spwc_a_enable_spw_rx_enable_signal => iso_logic_enable, -- -- spwc_a_enable.spw_rx_enable_signal - spwc_a_enable_spw_tx_enable_signal => iso_logic_enable, -- -- .spw_tx_enable_signal - spwc_b_enable_spw_rx_enable_signal => iso_logic_enable, -- -- spwc_b_enable.spw_rx_enable_signal - spwc_b_enable_spw_tx_enable_signal => iso_logic_enable, -- -- .spw_tx_enable_signal - spwc_c_enable_spw_rx_enable_signal => iso_logic_enable, -- -- spwc_c_enable.spw_rx_enable_signal - spwc_c_enable_spw_tx_enable_signal => iso_logic_enable, -- -- .spw_tx_enable_signal - spwc_d_enable_spw_rx_enable_signal => iso_logic_enable, -- -- spwc_d_enable.spw_rx_enable_signal - spwc_d_enable_spw_tx_enable_signal => iso_logic_enable, -- -- .spw_tx_enable_signal - spwc_e_enable_spw_rx_enable_signal => iso_logic_enable, -- -- spwc_e_enable.spw_rx_enable_signal - spwc_e_enable_spw_tx_enable_signal => iso_logic_enable, -- -- .spw_tx_enable_signal - spwc_f_enable_spw_rx_enable_signal => iso_logic_enable, -- -- spwc_f_enable.spw_rx_enable_signal - spwc_f_enable_spw_tx_enable_signal => iso_logic_enable, -- -- .spw_tx_enable_signal - spwc_g_enable_spw_rx_enable_signal => iso_logic_enable, -- -- spwc_g_enable.spw_rx_enable_signal - spwc_g_enable_spw_tx_enable_signal => iso_logic_enable, -- -- .spw_tx_enable_signal - spwc_h_enable_spw_rx_enable_signal => iso_logic_enable, -- -- spwc_h_enable.spw_rx_enable_signal - spwc_h_enable_spw_tx_enable_signal => iso_logic_enable, -- -- .spw_tx_enable_signal + spwc_a_lvds_spw_lvds_p_data_in_signal => HSMB_LVDS_RX_SPWA_DI_P, -- spwc_a_lvds.spw_lvds_p_data_in_signal + spwc_a_lvds_spw_lvds_n_data_in_signal => HSMB_LVDS_RX_SPWA_DI_N, -- .spw_lvds_n_data_in_signal + spwc_a_lvds_spw_lvds_p_strobe_in_signal => HSMB_LVDS_RX_SPWA_SI_P, -- .spw_lvds_p_strobe_in_signal + spwc_a_lvds_spw_lvds_n_strobe_in_signal => HSMB_LVDS_RX_SPWA_SI_N, -- .spw_lvds_n_strobe_in_signal + spwc_a_lvds_spw_lvds_p_data_out_signal => HSMB_LVDS_TX_SPWA_DO_P, -- .spw_lvds_p_data_out_signal + spwc_a_lvds_spw_lvds_n_data_out_signal => HSMB_LVDS_TX_SPWA_DO_N, -- .spw_lvds_n_data_out_signal + spwc_a_lvds_spw_lvds_p_strobe_out_signal => HSMB_LVDS_TX_SPWA_SO_P, -- .spw_lvds_p_strobe_out_signal + spwc_a_lvds_spw_lvds_n_strobe_out_signal => HSMB_LVDS_TX_SPWA_SO_N, -- .spw_lvds_n_strobe_out_signal + -- + spwc_b_lvds_spw_lvds_p_data_in_signal => HSMB_LVDS_RX_SPWB_DI_P, -- spwc_b_lvds.spw_lvds_p_data_in_signal + spwc_b_lvds_spw_lvds_n_data_in_signal => HSMB_LVDS_RX_SPWB_DI_N, -- .spw_lvds_n_data_in_signal + spwc_b_lvds_spw_lvds_p_strobe_in_signal => HSMB_LVDS_RX_SPWB_SI_P, -- .spw_lvds_p_strobe_in_signal + spwc_b_lvds_spw_lvds_n_strobe_in_signal => HSMB_LVDS_RX_SPWB_SI_N, -- .spw_lvds_n_strobe_in_signal + spwc_b_lvds_spw_lvds_p_data_out_signal => HSMB_LVDS_TX_SPWB_DO_P, -- .spw_lvds_p_data_out_signal + spwc_b_lvds_spw_lvds_n_data_out_signal => HSMB_LVDS_TX_SPWB_DO_N, -- .spw_lvds_n_data_out_signal + spwc_b_lvds_spw_lvds_p_strobe_out_signal => HSMB_LVDS_TX_SPWB_SO_P, -- .spw_lvds_p_strobe_out_signal + spwc_b_lvds_spw_lvds_n_strobe_out_signal => HSMB_LVDS_TX_SPWB_SO_N, -- .spw_lvds_n_strobe_out_signal + -- + spwc_c_lvds_spw_lvds_p_data_in_signal => HSMB_LVDS_RX_SPWC_DI_P, -- spwc_c_lvds.spw_lvds_p_data_in_signal + spwc_c_lvds_spw_lvds_n_data_in_signal => HSMB_LVDS_RX_SPWC_DI_N, -- .spw_lvds_n_data_in_signal + spwc_c_lvds_spw_lvds_p_strobe_in_signal => HSMB_LVDS_RX_SPWC_SI_P, -- .spw_lvds_p_strobe_in_signal + spwc_c_lvds_spw_lvds_n_strobe_in_signal => HSMB_LVDS_RX_SPWC_SI_N, -- .spw_lvds_n_strobe_in_signal + spwc_c_lvds_spw_lvds_p_data_out_signal => HSMB_LVDS_TX_SPWC_DO_P, -- .spw_lvds_p_data_out_signal + spwc_c_lvds_spw_lvds_n_data_out_signal => HSMB_LVDS_TX_SPWC_DO_N, -- .spw_lvds_n_data_out_signal + spwc_c_lvds_spw_lvds_p_strobe_out_signal => HSMB_LVDS_TX_SPWC_SO_P, -- .spw_lvds_p_strobe_out_signal + spwc_c_lvds_spw_lvds_n_strobe_out_signal => HSMB_LVDS_TX_SPWC_SO_N, -- .spw_lvds_n_strobe_out_signal + -- + spwc_d_lvds_spw_lvds_p_data_in_signal => HSMB_LVDS_RX_SPWD_DI_P, -- spwc_d_lvds.spw_lvds_p_data_in_signal + spwc_d_lvds_spw_lvds_n_data_in_signal => HSMB_LVDS_RX_SPWD_DI_N, -- .spw_lvds_n_data_in_signal + spwc_d_lvds_spw_lvds_p_strobe_in_signal => HSMB_LVDS_RX_SPWD_SI_P, -- .spw_lvds_p_strobe_in_signal + spwc_d_lvds_spw_lvds_n_strobe_in_signal => HSMB_LVDS_RX_SPWD_SI_N, -- .spw_lvds_n_strobe_in_signal + spwc_d_lvds_spw_lvds_p_data_out_signal => HSMB_LVDS_TX_SPWD_DO_P, -- .spw_lvds_p_data_out_signal + spwc_d_lvds_spw_lvds_n_data_out_signal => HSMB_LVDS_TX_SPWD_DO_N, -- .spw_lvds_n_data_out_signal + spwc_d_lvds_spw_lvds_p_strobe_out_signal => HSMB_LVDS_TX_SPWD_SO_P, -- .spw_lvds_p_strobe_out_signal + spwc_d_lvds_spw_lvds_n_strobe_out_signal => HSMB_LVDS_TX_SPWD_SO_N, -- .spw_lvds_n_strobe_out_signal + -- + spwc_e_lvds_spw_lvds_p_data_in_signal => HSMB_LVDS_RX_SPWE_DI_P, -- spwc_e_lvds.spw_lvds_p_data_in_signal + spwc_e_lvds_spw_lvds_n_data_in_signal => HSMB_LVDS_RX_SPWE_DI_N, -- .spw_lvds_n_data_in_signal + spwc_e_lvds_spw_lvds_p_strobe_in_signal => HSMB_LVDS_RX_SPWE_SI_P, -- .spw_lvds_p_strobe_in_signal + spwc_e_lvds_spw_lvds_n_strobe_in_signal => HSMB_LVDS_RX_SPWE_SI_N, -- .spw_lvds_n_strobe_in_signal + spwc_e_lvds_spw_lvds_p_data_out_signal => HSMB_LVDS_TX_SPWE_DO_P, -- .spw_lvds_p_data_out_signal + spwc_e_lvds_spw_lvds_n_data_out_signal => HSMB_LVDS_TX_SPWE_DO_N, -- .spw_lvds_n_data_out_signal + spwc_e_lvds_spw_lvds_p_strobe_out_signal => HSMB_LVDS_TX_SPWE_SO_P, -- .spw_lvds_p_strobe_out_signal + spwc_e_lvds_spw_lvds_n_strobe_out_signal => HSMB_LVDS_TX_SPWE_SO_N, -- .spw_lvds_n_strobe_out_signal + -- + spwc_f_lvds_spw_lvds_p_data_in_signal => HSMB_LVDS_RX_SPWF_DI_P, -- spwc_f_lvds.spw_lvds_p_data_in_signal + spwc_f_lvds_spw_lvds_n_data_in_signal => HSMB_LVDS_RX_SPWF_DI_N, -- .spw_lvds_n_data_in_signal + spwc_f_lvds_spw_lvds_p_strobe_in_signal => HSMB_LVDS_RX_SPWF_SI_P, -- .spw_lvds_p_strobe_in_signal + spwc_f_lvds_spw_lvds_n_strobe_in_signal => HSMB_LVDS_RX_SPWF_SI_N, -- .spw_lvds_n_strobe_in_signal + spwc_f_lvds_spw_lvds_p_data_out_signal => HSMB_LVDS_TX_SPWF_DO_P, -- .spw_lvds_p_data_out_signal + spwc_f_lvds_spw_lvds_n_data_out_signal => HSMB_LVDS_TX_SPWF_DO_N, -- .spw_lvds_n_data_out_signal + spwc_f_lvds_spw_lvds_p_strobe_out_signal => HSMB_LVDS_TX_SPWF_SO_P, -- .spw_lvds_p_strobe_out_signal + spwc_f_lvds_spw_lvds_n_strobe_out_signal => HSMB_LVDS_TX_SPWF_SO_N, -- .spw_lvds_n_strobe_out_signal + -- + spwc_g_lvds_spw_lvds_p_data_in_signal => HSMB_LVDS_RX_SPWG_DI_P, -- spwc_g_lvds.spw_lvds_p_data_in_signal + spwc_g_lvds_spw_lvds_n_data_in_signal => HSMB_LVDS_RX_SPWG_DI_N, -- .spw_lvds_n_data_in_signal + spwc_g_lvds_spw_lvds_p_strobe_in_signal => HSMB_LVDS_RX_SPWG_SI_P, -- .spw_lvds_p_strobe_in_signal + spwc_g_lvds_spw_lvds_n_strobe_in_signal => HSMB_LVDS_RX_SPWG_SI_N, -- .spw_lvds_n_strobe_in_signal + spwc_g_lvds_spw_lvds_p_data_out_signal => HSMB_LVDS_TX_SPWG_DO_P, -- .spw_lvds_p_data_out_signal + spwc_g_lvds_spw_lvds_n_data_out_signal => HSMB_LVDS_TX_SPWG_DO_N, -- .spw_lvds_n_data_out_signal + spwc_g_lvds_spw_lvds_p_strobe_out_signal => HSMB_LVDS_TX_SPWG_SO_P, -- .spw_lvds_p_strobe_out_signal + spwc_g_lvds_spw_lvds_n_strobe_out_signal => HSMB_LVDS_TX_SPWG_SO_N, -- .spw_lvds_n_strobe_out_signal + -- + spwc_h_lvds_spw_lvds_p_data_in_signal => HSMB_LVDS_RX_SPWH_DI_P, -- spwc_h_lvds.spw_lvds_p_data_in_signal + spwc_h_lvds_spw_lvds_n_data_in_signal => HSMB_LVDS_RX_SPWH_DI_N, -- .spw_lvds_n_data_in_signal + spwc_h_lvds_spw_lvds_p_strobe_in_signal => HSMB_LVDS_RX_SPWH_SI_P, -- .spw_lvds_p_strobe_in_signal + spwc_h_lvds_spw_lvds_n_strobe_in_signal => HSMB_LVDS_RX_SPWH_SI_N, -- .spw_lvds_n_strobe_in_signal + spwc_h_lvds_spw_lvds_p_data_out_signal => HSMB_LVDS_TX_SPWH_DO_P, -- .spw_lvds_p_data_out_signal + spwc_h_lvds_spw_lvds_n_data_out_signal => HSMB_LVDS_TX_SPWH_DO_N, -- .spw_lvds_n_data_out_signal + spwc_h_lvds_spw_lvds_p_strobe_out_signal => HSMB_LVDS_TX_SPWH_SO_P, -- .spw_lvds_p_strobe_out_signal + spwc_h_lvds_spw_lvds_n_strobe_out_signal => HSMB_LVDS_TX_SPWH_SO_N, -- .spw_lvds_n_strobe_out_signal + -- + rmap_echoing_echo_rst_sink_reset => rmap_echoing_rst, -- -- rmap_echoing_echo_rst_sink.reset + pio_rmap_echoing_module_reset_external_connection_export => rmap_echoing_rst, -- -- pio_rmap_echoing_module_reset_external_connection.export + -- + comm_1_sync_sync_signal => comm_1_sync, -- -- comm_1_sync.sync_signal + comm_2_sync_sync_signal => comm_2_sync, -- -- comm_2_sync.sync_signal + comm_3_sync_sync_signal => comm_3_sync, -- -- comm_3_sync.sync_signal + comm_4_sync_sync_signal => comm_4_sync, -- -- comm_4_sync.sync_signal + comm_5_sync_sync_signal => comm_5_sync, -- -- comm_5_sync.sync_signal + comm_6_sync_sync_signal => comm_6_sync, -- -- comm_6_sync.sync_signal + -- + comm_1_measurements_measurements_signal => comm_1_measure, -- -- comm_1_measurements.measurements_signal + comm_2_measurements_measurements_signal => comm_2_measure, -- -- comm_2_measurements.measurements_signal + comm_3_measurements_measurements_signal => comm_3_measure, -- -- comm_3_measurements.measurements_signal + comm_4_measurements_measurements_signal => comm_4_measure, -- -- comm_4_measurements.measurements_signal + comm_5_measurements_measurements_signal => comm_5_measure, -- -- comm_5_measurements.measurements_signal + comm_6_measurements_measurements_signal => comm_6_measure, -- -- comm_6_measurements.measurements_signal + -- + scom_0_sync_sync_signal => comm_7_sync, -- -- scom_0_sync.sync_signal + -- + spwc_a_leds_spw_red_status_led_signal => spw_a_red_led, -- -- spwc_a_leds.spw_red_status_led_signal + spwc_a_leds_spw_green_status_led_signal => spw_a_green_led, -- -- .spw_green_status_led_signal + -- + spwc_b_leds_spw_red_status_led_signal => spw_b_red_led, -- -- spwc_b_leds.spw_red_status_led_signal + spwc_b_leds_spw_green_status_led_signal => spw_b_green_led, -- -- .spw_green_status_led_signal + -- + spwc_c_leds_spw_red_status_led_signal => spw_c_red_led, -- -- spwc_c_leds.spw_red_status_led_signal + spwc_c_leds_spw_green_status_led_signal => spw_c_green_led, -- -- .spw_green_status_led_signal + -- + spwc_d_leds_spw_red_status_led_signal => spw_d_red_led, -- -- spwc_d_leds.spw_red_status_led_signal + spwc_d_leds_spw_green_status_led_signal => spw_d_green_led, -- -- .spw_green_status_led_signal + -- + spwc_e_leds_spw_red_status_led_signal => spw_e_red_led, -- -- spwc_e_leds.spw_red_status_led_signal + spwc_e_leds_spw_green_status_led_signal => spw_e_green_led, -- -- .spw_green_status_led_signal + -- + spwc_f_leds_spw_red_status_led_signal => spw_f_red_led, -- -- spwc_f_leds.spw_red_status_led_signal + spwc_f_leds_spw_green_status_led_signal => spw_f_green_led, -- -- .spw_green_status_led_signal + -- + spwc_g_leds_spw_red_status_led_signal => spw_g_red_led, -- -- spwc_g_leds.spw_red_status_led_signal + spwc_g_leds_spw_green_status_led_signal => spw_g_green_led, -- -- .spw_green_status_led_signal + -- + spwc_h_leds_spw_red_status_led_signal => spw_h_red_led, -- -- spwc_h_leds.spw_red_status_led_signal + spwc_h_leds_spw_green_status_led_signal => spw_h_green_led, -- -- .spw_green_status_led_signal + -- + spwc_a_enable_spw_rx_enable_signal => iso_logic_enable, -- -- spwc_a_enable.spw_rx_enable_signal + spwc_a_enable_spw_tx_enable_signal => iso_logic_enable, -- -- .spw_tx_enable_signal + spwc_b_enable_spw_rx_enable_signal => iso_logic_enable, -- -- spwc_b_enable.spw_rx_enable_signal + spwc_b_enable_spw_tx_enable_signal => iso_logic_enable, -- -- .spw_tx_enable_signal + spwc_c_enable_spw_rx_enable_signal => iso_logic_enable, -- -- spwc_c_enable.spw_rx_enable_signal + spwc_c_enable_spw_tx_enable_signal => iso_logic_enable, -- -- .spw_tx_enable_signal + spwc_d_enable_spw_rx_enable_signal => iso_logic_enable, -- -- spwc_d_enable.spw_rx_enable_signal + spwc_d_enable_spw_tx_enable_signal => iso_logic_enable, -- -- .spw_tx_enable_signal + spwc_e_enable_spw_rx_enable_signal => iso_logic_enable, -- -- spwc_e_enable.spw_rx_enable_signal + spwc_e_enable_spw_tx_enable_signal => iso_logic_enable, -- -- .spw_tx_enable_signal + spwc_f_enable_spw_rx_enable_signal => iso_logic_enable, -- -- spwc_f_enable.spw_rx_enable_signal + spwc_f_enable_spw_tx_enable_signal => iso_logic_enable, -- -- .spw_tx_enable_signal + spwc_g_enable_spw_rx_enable_signal => iso_logic_enable, -- -- spwc_g_enable.spw_rx_enable_signal + spwc_g_enable_spw_tx_enable_signal => iso_logic_enable, -- -- .spw_tx_enable_signal + spwc_h_enable_spw_rx_enable_signal => iso_logic_enable, -- -- spwc_h_enable.spw_rx_enable_signal + spwc_h_enable_spw_tx_enable_signal => iso_logic_enable, -- -- .spw_tx_enable_signal -- temp_scl_export => TEMP_SMCLK, temp_sda_export => TEMP_SMDAT, @@ -865,21 +872,21 @@ begin -- rtcc_sdi_export => RTCC_SDI, -- rtcc_sdo_export => RTCC_SDO, -- - sync_unfiltered_sig_unfiltered_sig_signal => s_sync_in_unfiltered, ---- sync_unfiltered_sig.unfiltered_sig_signal - sync_filtered_sig_filtered_sig_signal => s_sync_in_filtered, -- -- sync_filtered_sig.filtered_sig_signal - -- - sync_in_conduit => s_sync_in_filtered, -- -- sync_in.conduit - sync_in_en_conduit => iso_logic_enable, -- -- sync_in_en_conduit.conduit - sync_out_en_conduit => iso_logic_enable, -- -- sync_out_en_conduit.conduit - sync_out_conduit => s_sync_out, -- -- sync_out.conduit - sync_spw1_conduit => comm_1_sync, -- -- sync_spw1.conduit - sync_spw2_conduit => comm_2_sync, -- -- sync_spw2.conduit - sync_spw3_conduit => comm_3_sync, -- -- sync_spw3.conduit - sync_spw4_conduit => comm_4_sync, -- -- sync_spw4.conduit - sync_spw5_conduit => comm_5_sync, -- -- sync_spw5.conduit - sync_spw6_conduit => comm_6_sync, -- -- sync_spw6.conduit - sync_spw7_conduit => comm_7_sync, -- -- sync_spw7.conduit - sync_spw8_conduit => comm_8_sync, -- -- sync_spw8.conduit + sync_unfiltered_sig_unfiltered_sig_signal => s_sync_in_unfiltered, ---- sync_unfiltered_sig.unfiltered_sig_signal + sync_filtered_sig_filtered_sig_signal => s_sync_in_filtered, -- -- sync_filtered_sig.filtered_sig_signal + -- + sync_in_conduit => s_sync_in_filtered, -- -- sync_in.conduit + sync_in_en_conduit => iso_logic_enable, -- -- sync_in_en_conduit.conduit + sync_out_en_conduit => iso_logic_enable, -- -- sync_out_en_conduit.conduit + sync_out_conduit => s_sync_out, -- -- sync_out.conduit + sync_spw1_conduit => comm_1_sync, -- -- sync_spw1.conduit + sync_spw2_conduit => comm_2_sync, -- -- sync_spw2.conduit + sync_spw3_conduit => comm_3_sync, -- -- sync_spw3.conduit + sync_spw4_conduit => comm_4_sync, -- -- sync_spw4.conduit + sync_spw5_conduit => comm_5_sync, -- -- sync_spw5.conduit + sync_spw6_conduit => comm_6_sync, -- -- sync_spw6.conduit + sync_spw7_conduit => comm_7_sync, -- -- sync_spw7.conduit + sync_spw8_conduit => comm_8_sync, -- -- sync_spw8.conduit -- -- sd_card_wp_n_io_export => I_SD_CARD_WP_n, -- -- sd_card_wp_n_io.export -- sd_card_ip_b_SD_cmd => B_SD_CARD_CMD, -- -- sd_card_ip.b_SD_cmd @@ -887,25 +894,25 @@ begin -- sd_card_ip_b_SD_dat3 => B_SD_CARD_DAT3, -- -- .b_SD_dat3 -- sd_card_ip_o_SD_clock => O_SD_CARD_CLOCK, -- -- .o_SD_clock -- - rs232_uart_rxd => I_RS232_UART_RXD, -- -- rs232_uart.rxd - rs232_uart_txd => O_RS232_UART_TXD, -- -- .txd - -- - ftdi_clk_clk => FTDI_CLOCK, -- -- ftdi_clk.clk - -- - pio_ftdi_umft601a_module_reset_export => ftdi_rst, -- -- pio_ftdi_umft601a_module_reset.export - -- - umft601a_pins_umft_data_signal => FTDI_DATA, -- -- umft601a_pins.umft_data_signal - umft601a_pins_umft_reset_n_signal => open, -- -- .umft_reset_n_signal - umft601a_pins_umft_rxf_n_signal => FTDI_RXF_N, -- -- .umft_rxf_n_signal - umft601a_pins_umft_clock_signal => '0', -- -- .umft_clock_signal - umft601a_pins_umft_wakeup_n_signal => FTDI_WAKEUP_N, -- -- .umft_wakeup_n_signal - umft601a_pins_umft_be_signal => FTDI_BE, -- -- .umft_be_signal - umft601a_pins_umft_txe_n_signal => FTDI_TXE_N, -- -- .umft_txe_n_signal - umft601a_pins_umft_gpio_bus_signal => FTDI_GPIO, -- -- .umft_gpio_bus_signal - umft601a_pins_umft_wr_n_signal => FTDI_WR_N, -- -- .umft_wr_n_signal - umft601a_pins_umft_rd_n_signal => FTDI_RD_N, -- -- .umft_rd_n_signal - umft601a_pins_umft_oe_n_signal => FTDI_OE_N, -- -- .umft_oe_n_signal - umft601a_pins_umft_siwu_n_signal => FTDI_SIWU_N -- -- .umft_siwu_n_signal + rs232_uart_rxd => I_RS232_UART_RXD, -- -- rs232_uart.rxd + rs232_uart_txd => O_RS232_UART_TXD, -- -- .txd + -- + ftdi_clk_clk => FTDI_CLOCK, -- -- ftdi_clk.clk + -- + pio_ftdi_umft601a_module_reset_export => ftdi_rst, -- -- pio_ftdi_umft601a_module_reset.export + -- + umft601a_pins_umft_data_signal => FTDI_DATA, -- -- umft601a_pins.umft_data_signal + umft601a_pins_umft_reset_n_signal => open, -- -- .umft_reset_n_signal + umft601a_pins_umft_rxf_n_signal => FTDI_RXF_N, -- -- .umft_rxf_n_signal + umft601a_pins_umft_clock_signal => '0', -- -- .umft_clock_signal + umft601a_pins_umft_wakeup_n_signal => FTDI_WAKEUP_N, -- -- .umft_wakeup_n_signal + umft601a_pins_umft_be_signal => FTDI_BE, -- -- .umft_be_signal + umft601a_pins_umft_txe_n_signal => FTDI_TXE_N, -- -- .umft_txe_n_signal + umft601a_pins_umft_gpio_bus_signal => FTDI_GPIO, -- -- .umft_gpio_bus_signal + umft601a_pins_umft_wr_n_signal => FTDI_WR_N, -- -- .umft_wr_n_signal + umft601a_pins_umft_rd_n_signal => FTDI_RD_N, -- -- .umft_rd_n_signal + umft601a_pins_umft_oe_n_signal => FTDI_OE_N, -- -- .umft_oe_n_signal + umft601a_pins_umft_siwu_n_signal => FTDI_SIWU_N -- -- .umft_siwu_n_signal ); --==========-- diff --git a/G3U_HW_V02_2GB/Qsys_Project/.qsys_edit/MebX_Qsys_Project.xml b/G3U_HW_V02_2GB/Qsys_Project/.qsys_edit/MebX_Qsys_Project.xml index 1b369697..44e9dbe9 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/.qsys_edit/MebX_Qsys_Project.xml +++ b/G3U_HW_V02_2GB/Qsys_Project/.qsys_edit/MebX_Qsys_Project.xml @@ -827,7 +827,7 @@ false - + dock.single.Clock\ Domains\ \-\ Beta dock.single.IP\ Catalog @@ -853,7 +853,7 @@ - + dock.single.Hierarchy @@ -880,7 +880,7 @@ - + dock.single.Connections dock.single.System\ Contents @@ -965,7 +965,7 @@ - + dock.single.Messages dock.single.Generation\ Messages @@ -996,65 +996,6 @@ - - - 0 - - 0 - dock.PlaceholderList - - - dock.single.Hierarchy - - true - - index - id - placeholder - - 0 - 0 - dock.single.Hierarchy - - - - dock.single.Device\ Family - - true - - index - id - placeholder - - 1 - 1 - dock.single.Device\ Family - - - - - - - - - Hierarchy - - - - - - - - - - Device Family - - - - - - - @@ -1183,6 +1124,65 @@ + + + 0 + + 0 + dock.PlaceholderList + + + dock.single.Hierarchy + + true + + index + id + placeholder + + 0 + 0 + dock.single.Hierarchy + + + + dock.single.Device\ Family + + true + + index + id + placeholder + + 1 + 1 + dock.single.Device\ Family + + + + + + + + + Hierarchy + + + + + + + + + + Device Family + + + + + + + diff --git a/G3U_HW_V02_2GB/Qsys_Project/.qsys_edit/MebX_Qsys_Project_schematic.nlv b/G3U_HW_V02_2GB/Qsys_Project/.qsys_edit/MebX_Qsys_Project_schematic.nlv index e8b9bf51..aade0473 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/.qsys_edit/MebX_Qsys_Project_schematic.nlv +++ b/G3U_HW_V02_2GB/Qsys_Project/.qsys_edit/MebX_Qsys_Project_schematic.nlv @@ -1,285 +1,266 @@ # # File gsaved with Nlview version 6.3.8 2013-12-19 bk=1.2992 VDI=34 GEI=35 # -preplace inst MebX_Qsys_Project.rmap_mem_nfee_comm_3 -pg 1 -lvl 3 -y 1530 +preplace inst MebX_Qsys_Project.rmap_mem_nfee_comm_3 -pg 1 -lvl 3 -y 1470 preplace inst MebX_Qsys_Project.m2_ddr2_memory.afi_reset -pg 1 preplace inst MebX_Qsys_Project.m1_ddr2_memory.pll0 -pg 1 preplace inst MebX_Qsys_Project.clk_50 -pg 1 -lvl 3 -y 3410 preplace inst MebX_Qsys_Project.m1_ddr2_memory.afi_clk -pg 1 preplace inst MebX_Qsys_Project.rmap_mem_nfee_comm_4 -pg 1 -lvl 3 -y 30 preplace inst MebX_Qsys_Project.m2_ddr2_memory.pll0 -pg 1 -preplace inst MebX_Qsys_Project.Synchronization_COMM_0 -pg 1 -lvl 9 -y 850 +preplace inst MebX_Qsys_Project.Synchronization_COMM_0 -pg 1 -lvl 11 -y 850 preplace inst MebX_Qsys_Project.ext_flash.tda -pg 1 preplace inst MebX_Qsys_Project.rmap_mem_nfee_comm_5 -pg 1 -lvl 3 -y 470 +preplace inst MebX_Qsys_Project.m1_clock_bridge -pg 1 -lvl 9 -y 3060 preplace inst MebX_Qsys_Project.rmap_mem_nfee_comm_6 -pg 1 -lvl 3 -y 1270 -preplace inst MebX_Qsys_Project.pio_EXT -pg 1 -lvl 9 -y 5160 +preplace inst MebX_Qsys_Project.pio_EXT -pg 1 -lvl 11 -y 5160 preplace inst MebX_Qsys_Project.m1_ddr2_memory.afi_reset_export -pg 1 -preplace inst MebX_Qsys_Project.csense_sdo -pg 1 -lvl 9 -y 2480 -preplace inst MebX_Qsys_Project.temp_scl -pg 1 -lvl 9 -y 6150 +preplace inst MebX_Qsys_Project.csense_sdo -pg 1 -lvl 11 -y 2480 +preplace inst MebX_Qsys_Project.temp_scl -pg 1 -lvl 11 -y 6150 preplace inst MebX_Qsys_Project.m1_ddr2_memory.soft_reset -pg 1 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ch5_rmap -pg 1 -lvl 7 -y 4000 +preplace inst MebX_Qsys_Project.ext_flash -pg 1 -lvl 10 -y 4840 +preplace inst MebX_Qsys_Project.SpaceWire_Channel_A -pg 1 -lvl 11 -y 3250 preplace inst MebX_Qsys_Project.m1_ddr2_memory.c0.a0 -pg 1 -preplace inst MebX_Qsys_Project.ext_flash -pg 1 -lvl 8 -y 4840 -preplace inst MebX_Qsys_Project.SpaceWire_Channel_A -pg 1 -lvl 9 -y 3250 -preplace inst MebX_Qsys_Project.clock_bridge_afi_50 -pg 1 -lvl 4 -y 4710 -preplace inst MebX_Qsys_Project.csense_adc_fo -pg 1 -lvl 9 -y 1210 -preplace inst MebX_Qsys_Project.SpaceWire_Channel_B -pg 1 -lvl 9 -y 3450 +preplace inst MebX_Qsys_Project.clock_bridge_afi_50 -pg 1 -lvl 4 -y 4650 +preplace inst MebX_Qsys_Project.csense_adc_fo -pg 1 -lvl 11 -y 1210 +preplace inst MebX_Qsys_Project.SpaceWire_Channel_B -pg 1 -lvl 11 -y 3450 preplace inst MebX_Qsys_Project.ext_flash.reset -pg 1 -preplace inst MebX_Qsys_Project.pio_LED -pg 1 -lvl 9 -y 5260 -preplace inst MebX_Qsys_Project.jtag_uart_0 -pg 1 -lvl 9 -y 4760 +preplace inst MebX_Qsys_Project.pio_LED -pg 1 -lvl 11 -y 5260 +preplace inst MebX_Qsys_Project.jtag_uart_0 -pg 1 -lvl 11 -y 4760 preplace inst MebX_Qsys_Project.Memory_Filler -pg 1 -lvl 4 -y 4570 -preplace inst MebX_Qsys_Project.SpaceWire_Channel_C -pg 1 -lvl 9 -y 3610 -preplace inst MebX_Qsys_Project.SpaceWire_Channel_D -pg 1 -lvl 9 -y 3770 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ch6_right -pg 1 -lvl 7 -y 3500 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ch2_rmap -pg 1 -lvl 7 -y 2080 +preplace inst MebX_Qsys_Project.SpaceWire_Channel_C -pg 1 -lvl 11 -y 3610 +preplace inst MebX_Qsys_Project.SpaceWire_Channel_D -pg 1 -lvl 11 -y 3770 preplace inst MebX_Qsys_Project.ddr2_address_span_extender -pg 1 -lvl 3 -y 4780 preplace inst MebX_Qsys_Project.m2_ddr2_memory.c0.a0 -pg 1 -preplace inst MebX_Qsys_Project.m1_ddr2_memory.p0 -pg 1 preplace inst MebX_Qsys_Project.m1_ddr2_memory.afi_reset -pg 1 -preplace inst MebX_Qsys_Project.SpaceWire_Channel_E -pg 1 -lvl 9 -y 3930 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ch6_left -pg 1 -lvl 7 -y 3800 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ch4_left -pg 1 -lvl 7 -y 2560 -preplace inst MebX_Qsys_Project.SpaceWire_Channel_F -pg 1 -lvl 9 -y 4090 +preplace inst MebX_Qsys_Project.SpaceWire_Channel_E -pg 1 -lvl 11 -y 3930 +preplace inst MebX_Qsys_Project.m1_ddr2_memory.p0 -pg 1 +preplace inst MebX_Qsys_Project.SpaceWire_Channel_F -pg 1 -lvl 11 -y 4090 preplace inst MebX_Qsys_Project.pio_iso_logic_signal_enable -pg 1 -lvl 5 -y 1770 -preplace inst MebX_Qsys_Project.SpaceWire_Channel_G -pg 1 -lvl 9 -y 4250 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ch5_right -pg 1 -lvl 7 -y 3660 -preplace inst MebX_Qsys_Project.m1_ddr2_memory.m0 -pg 1 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ch1_rmap -pg 1 -lvl 7 -y 1580 -preplace inst MebX_Qsys_Project.csense_cs_n -pg 1 -lvl 9 -y 1310 +preplace inst MebX_Qsys_Project.SpaceWire_Channel_G -pg 1 -lvl 11 -y 4250 +preplace inst MebX_Qsys_Project.csense_cs_n -pg 1 -lvl 11 -y 1310 preplace inst MebX_Qsys_Project.clk_200 -pg 1 -lvl 5 -y 3550 preplace inst MebX_Qsys_Project -pg 1 -lvl 1 -y 40 -regy -20 -preplace inst MebX_Qsys_Project.SpaceWire_Channel_H -pg 1 -lvl 9 -y 4440 +preplace inst MebX_Qsys_Project.SpaceWire_Channel_H -pg 1 -lvl 11 -y 4440 +preplace inst MebX_Qsys_Project.m1_ddr2_memory.m0 -pg 1 preplace inst MebX_Qsys_Project.m2_ddr2_memory.c0.ng0 -pg 1 -preplace inst MebX_Qsys_Project.sync -pg 1 -lvl 9 -y 6590 -preplace inst MebX_Qsys_Project.m1_ddr2_memory.c0 -pg 1 +preplace inst MebX_Qsys_Project.sync -pg 1 -lvl 11 -y 6590 preplace inst MebX_Qsys_Project.ext_flash.slave_translator -pg 1 preplace inst MebX_Qsys_Project.Sync_Signal_Filter_Latch_0 -pg 1 -lvl 6 -y 3150 +preplace inst MebX_Qsys_Project.m1_ddr2_memory.c0 -pg 1 preplace inst MebX_Qsys_Project.m2_ddr2_memory.afi_half_clk -pg 1 preplace inst MebX_Qsys_Project.m1_ddr2_memory.dll0 -pg 1 preplace inst MebX_Qsys_Project.m2_ddr2_memory.c0 -pg 1 preplace inst MebX_Qsys_Project.m1_ddr2_memory.c0.afi_reset -pg 1 -preplace inst MebX_Qsys_Project.RMAP_Echoing -pg 1 -lvl 9 -y 1410 -preplace inst MebX_Qsys_Project.pio_LED_painel -pg 1 -lvl 9 -y 5360 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ftdi -pg 1 -lvl 7 -y 1720 +preplace inst MebX_Qsys_Project.RMAP_Echoing -pg 1 -lvl 11 -y 1410 +preplace inst MebX_Qsys_Project.pio_LED_painel -pg 1 -lvl 11 -y 5360 preplace inst MebX_Qsys_Project.nios2_gen2_0.cpu -pg 1 preplace inst MebX_Qsys_Project.m2_ddr2_memory.dll_bridge -pg 1 -preplace inst MebX_Qsys_Project.m1_ddr2_memory.c0.ng0 -pg 1 -preplace inst MebX_Qsys_Project.m1_ddr2_memory -pg 1 -lvl 8 -y 2750 +preplace inst MebX_Qsys_Project.m1_ddr2_memory -pg 1 -lvl 10 -y 2750 preplace inst MebX_Qsys_Project.ext_flash.tdt -pg 1 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ch6_rmap -pg 1 -lvl 7 -y 1330 -preplace inst MebX_Qsys_Project.temp_sda -pg 1 -lvl 9 -y 6250 +preplace inst MebX_Qsys_Project.m1_ddr2_memory.c0.ng0 -pg 1 +preplace inst MebX_Qsys_Project.temp_sda -pg 1 -lvl 11 -y 6250 preplace inst MebX_Qsys_Project.m2_ddr2_memory.oct0 -pg 1 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ch2_right -pg 1 -lvl 7 -y 1960 preplace inst MebX_Qsys_Project.clk_100 -pg 1 -lvl 1 -y 4550 preplace inst MebX_Qsys_Project.m2_ddr2_memory.soft_reset -pg 1 preplace inst MebX_Qsys_Project.clk_ftdi -pg 1 -lvl 2 -y 4800 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ch1_left -pg 1 -lvl 7 -y 960 preplace inst MebX_Qsys_Project.m2_ddr2_memory.pll_bridge -pg 1 preplace inst MebX_Qsys_Project.m1_ddr2_memory.as0 -pg 1 preplace inst MebX_Qsys_Project.m2_ddr2_memory.s0 -pg 1 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ch4_right -pg 1 -lvl 7 -y 2920 -preplace inst MebX_Qsys_Project.sysid_qsys -pg 1 -lvl 9 -y 4680 +preplace inst MebX_Qsys_Project.sysid_qsys -pg 1 -lvl 11 -y 4680 preplace inst MebX_Qsys_Project.m2_ddr2_memory.c0.afi_half_clk -pg 1 preplace inst MebX_Qsys_Project.m1_ddr2_memory.s0 -pg 1 -preplace inst MebX_Qsys_Project.m1_ddr2_i2c_scl -pg 1 -lvl 9 -y 2610 +preplace inst MebX_Qsys_Project.m1_ddr2_i2c_scl -pg 1 -lvl 11 -y 2610 preplace inst MebX_Qsys_Project.m2_ddr2_memory.pll_ref_clk -pg 1 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ch5_left -pg 1 -lvl 7 -y 3380 preplace inst MebX_Qsys_Project.m2_ddr2_memory.dll0 -pg 1 -preplace inst MebX_Qsys_Project.sd_card_wp_n -pg 1 -lvl 9 -y 6050 +preplace inst MebX_Qsys_Project.sd_card_wp_n -pg 1 -lvl 11 -y 6050 preplace inst MebX_Qsys_Project.m2_ddr2_memory.c0.afi_clk -pg 1 preplace inst MebX_Qsys_Project.m2_ddr2_memory.afi_clk -pg 1 -preplace inst MebX_Qsys_Project.m2_ddr2_i2c_scl -pg 1 -lvl 9 -y 2950 +preplace inst MebX_Qsys_Project.m2_ddr2_i2c_scl -pg 1 -lvl 11 -y 2950 preplace inst MebX_Qsys_Project.m1_ddr2_memory.oct0 -pg 1 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ch2_left -pg 1 -lvl 7 -y 2740 preplace inst MebX_Qsys_Project.nios2_gen2_0.clock_bridge -pg 1 preplace inst MebX_Qsys_Project.nios2_gen2_0 -pg 1 -lvl 2 -y 4600 -preplace inst MebX_Qsys_Project.rs232_uart -pg 1 -lvl 9 -y 5630 -preplace inst MebX_Qsys_Project.pio_ctrl_io_lvds -pg 1 -lvl 9 -y 4860 -preplace inst MebX_Qsys_Project.m1_ddr2_i2c_sda -pg 1 -lvl 9 -y 2710 +preplace inst MebX_Qsys_Project.rs232_uart -pg 1 -lvl 11 -y 5630 +preplace inst MebX_Qsys_Project.pio_ctrl_io_lvds -pg 1 -lvl 11 -y 4860 +preplace inst MebX_Qsys_Project.m1_ddr2_i2c_sda -pg 1 -lvl 11 -y 2710 preplace inst MebX_Qsys_Project.FTDI_UMFT601A_Module -pg 1 -lvl 3 -y 4580 preplace inst MebX_Qsys_Project.m1_ddr2_memory.pll_ref_clk -pg 1 -preplace inst MebX_Qsys_Project.timer_1ms -pg 1 -lvl 9 -y 6350 -preplace inst MebX_Qsys_Project.pio_BUTTON -pg 1 -lvl 9 -y 1070 -preplace inst MebX_Qsys_Project.onchip_memory -pg 1 -lvl 9 -y 4600 +preplace inst MebX_Qsys_Project.timer_1ms -pg 1 -lvl 11 -y 6350 +preplace inst MebX_Qsys_Project.pio_BUTTON -pg 1 -lvl 11 -y 1070 +preplace inst MebX_Qsys_Project.onchip_memory -pg 1 -lvl 11 -y 4600 preplace inst MebX_Qsys_Project.m2_ddr2_memory.c0.afi_reset -pg 1 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ch1_right -pg 1 -lvl 7 -y 1120 preplace inst MebX_Qsys_Project.nios2_gen2_0.reset_bridge -pg 1 preplace inst MebX_Qsys_Project.m2_ddr2_memory.p0 -pg 1 preplace inst MebX_Qsys_Project.m2_ddr2_memory.global_reset -pg 1 preplace inst MebX_Qsys_Project.m1_ddr2_memory.global_reset -pg 1 -preplace inst MebX_Qsys_Project.csense_sck -pg 1 -lvl 9 -y 2250 +preplace inst MebX_Qsys_Project.csense_sck -pg 1 -lvl 11 -y 2250 preplace inst MebX_Qsys_Project.m2_ddr2_memory.afi_reset_export -pg 1 -preplace inst MebX_Qsys_Project.m2_ddr2_i2c_sda -pg 1 -lvl 9 -y 3050 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ch3_left -pg 1 -lvl 7 -y 2200 -preplace inst MebX_Qsys_Project.Communication_Module_v2_Ch1 -pg 1 -lvl 3 -y 2750 -preplace inst MebX_Qsys_Project.tristate_conduit_bridge_0 -pg 1 -lvl 9 -y 4960 -preplace inst MebX_Qsys_Project.timer_1us -pg 1 -lvl 9 -y 6470 +preplace inst MebX_Qsys_Project.m2_ddr2_i2c_sda -pg 1 -lvl 11 -y 3050 +preplace inst MebX_Qsys_Project.Communication_Module_v2_Ch1 -pg 1 -lvl 3 -y 2710 +preplace inst MebX_Qsys_Project.tristate_conduit_bridge_0 -pg 1 -lvl 11 -y 4960 +preplace inst MebX_Qsys_Project.timer_1us -pg 1 -lvl 11 -y 6470 preplace inst MebX_Qsys_Project.m2_ddr2_memory.m0 -pg 1 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ch4_rmap -pg 1 -lvl 7 -y 3040 -preplace inst MebX_Qsys_Project.Communication_Module_v2_Ch2 -pg 1 -lvl 3 -y 2170 +preplace inst MebX_Qsys_Project.Communication_Module_v2_Ch2 -pg 1 -lvl 3 -y 2150 +preplace inst MebX_Qsys_Project.m1_ddr2_memory.afi_half_clk -pg 1 +preplace inst MebX_Qsys_Project.Communication_Module_v2_Ch3 -pg 1 -lvl 3 -y 1670 preplace inst MebX_Qsys_Project.m2_ddr2_memory -pg 1 -lvl 4 -y 3090 preplace inst MebX_Qsys_Project.m1_ddr2_memory.c0.afi_clk -pg 1 -preplace inst MebX_Qsys_Project.m1_ddr2_memory.afi_half_clk -pg 1 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ch3_rmap -pg 1 -lvl 7 -y 2440 -preplace inst MebX_Qsys_Project.Communication_Module_v2_Ch3 -pg 1 -lvl 3 -y 1690 -preplace inst MebX_Qsys_Project.m1_clock_bridge_ch3_right -pg 1 -lvl 7 -y 2320 -preplace inst MebX_Qsys_Project.rmap_mem_nfee_scom_0 -pg 1 -lvl 9 -y 690 +preplace inst MebX_Qsys_Project.rmap_mem_nfee_scom_0 -pg 1 -lvl 11 -y 690 preplace inst MebX_Qsys_Project.pio_ftdi_umft601a_module_reset -pg 1 -lvl 5 -y 1670 -preplace inst MebX_Qsys_Project.m1_ddr2_memory.c0.afi_half_clk -pg 1 -preplace inst MebX_Qsys_Project.csense_sdi -pg 1 -lvl 9 -y 2350 +preplace inst MebX_Qsys_Project.csense_sdi -pg 1 -lvl 11 -y 2350 preplace inst MebX_Qsys_Project.Communication_Module_v2_Ch4 -pg 1 -lvl 3 -y 190 -preplace inst MebX_Qsys_Project.rmap_mem_nfee_comm_1 -pg 1 -lvl 3 -y 2510 +preplace inst MebX_Qsys_Project.m1_ddr2_memory.c0.afi_half_clk -pg 1 +preplace inst MebX_Qsys_Project.rmap_mem_nfee_comm_1 -pg 1 -lvl 3 -y 2490 preplace inst MebX_Qsys_Project.m2_ddr2_memory.as0 -pg 1 preplace inst MebX_Qsys_Project.Communication_Module_v2_Ch5 -pg 1 -lvl 3 -y 630 -preplace inst MebX_Qsys_Project.m1_clock_bridge_general -pg 1 -lvl 7 -y 1840 -preplace inst MebX_Qsys_Project.rst_controller -pg 1 -lvl 5 -y 4810 -preplace inst MebX_Qsys_Project.rmap_mem_nfee_comm_2 -pg 1 -lvl 3 -y 2010 -preplace inst MebX_Qsys_Project.pio_DIP -pg 1 -lvl 9 -y 5060 +preplace inst MebX_Qsys_Project.rst_controller -pg 1 -lvl 5 -y 4770 +preplace inst MebX_Qsys_Project.rmap_mem_nfee_comm_2 -pg 1 -lvl 3 -y 1990 +preplace inst MebX_Qsys_Project.pio_DIP -pg 1 -lvl 11 -y 5060 preplace inst MebX_Qsys_Project.Communication_Module_v2_Ch6 -pg 1 -lvl 3 -y 930 preplace inst MebX_Qsys_Project.ext_flash.clk -pg 1 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.m1_ddr2_i2c_sda,(SLAVE)m1_ddr2_i2c_sda.external_connection) 1 0 9 NJ 2740 NJ 2740 NJ 2740 NJ 2690 NJ 2690 NJ 2690 NJ 3210 NJ 2690 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.m1_ddr2_i2c_sda,(SLAVE)m1_ddr2_i2c_sda.external_connection) 1 0 11 NJ 2700 NJ 2700 NJ 2700 NJ 2690 NJ 2690 NJ 2690 NJ 3200 NJ 2690 NJ 2670 NJ 2670 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_B.conduit_end_spacewire_enable,(SLAVE)MebX_Qsys_Project.spwc_b_enable) 1 0 11 NJ 3480 NJ 3480 NJ 3480 NJ 3470 NJ 3470 NJ 3470 NJ 3470 NJ 3600 NJ 3520 NJ 3520 NJ preplace netloc EXPORTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch6.conduit_end_channel_sync,(SLAVE)MebX_Qsys_Project.comm_6_sync) 1 0 3 NJ 1000 NJ 1000 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)Synchronization_COMM_0.conduit_end_channel_sync,(SLAVE)MebX_Qsys_Project.scom_0_sync) 1 0 9 NJ 920 NJ 920 NJ 920 NJ 920 NJ 920 NJ 920 NJ 920 NJ 920 NJ -preplace netloc INTERCONNECTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch1.reset_sink,(SLAVE)m1_clock_bridge_ch2_rmap.s0_reset,(SLAVE)SpaceWire_Channel_F.reset_sink,(SLAVE)m1_clock_bridge_ch2_rmap.m0_reset,(SLAVE)sd_card_wp_n.reset,(SLAVE)m1_ddr2_memory.soft_reset,(SLAVE)m1_clock_bridge_ch1_left.m0_reset,(SLAVE)m1_clock_bridge_ch3_rmap.m0_reset,(SLAVE)rst_controller.reset_sink,(SLAVE)m1_clock_bridge_ch6_right.s0_reset,(SLAVE)csense_cs_n.reset,(SLAVE)m1_ddr2_i2c_scl.reset,(SLAVE)m1_clock_bridge_ch3_right.m0_reset,(SLAVE)pio_LED_painel.reset,(SLAVE)SpaceWire_Channel_D.reset_sink,(SLAVE)m1_clock_bridge_ch5_rmap.s0_reset,(SLAVE)m1_clock_bridge_ch5_left.s0_reset,(SLAVE)csense_sdo.reset,(SLAVE)Communication_Module_v2_Ch2.reset_sink,(SLAVE)m1_clock_bridge_ch3_left.s0_reset,(SLAVE)timer_1us.reset,(SLAVE)Memory_Filler.reset_sink,(SLAVE)SpaceWire_Channel_B.reset_sink,(SLAVE)rmap_mem_nfee_scom_0.reset_sink,(SLAVE)Sync_Signal_Filter_Latch_0.reset_sink,(MASTER)m2_ddr2_memory.afi_reset,(MASTER)clk_200.clk_reset,(SLAVE)clock_bridge_afi_50.s0_reset,(SLAVE)m2_ddr2_memory.global_reset,(SLAVE)rmap_mem_nfee_comm_5.reset_sink,(SLAVE)m1_clock_bridge_ch3_rmap.s0_reset,(SLAVE)m1_clock_bridge_ch5_right.m0_reset,(SLAVE)clk_ftdi.clk_in_reset,(SLAVE)pio_ftdi_umft601a_module_reset.reset,(SLAVE)pio_BUTTON.reset,(SLAVE)SpaceWire_Channel_C.reset_sink,(SLAVE)m1_clock_bridge_ch1_right.m0_reset,(SLAVE)m1_clock_bridge_ch6_right.m0_reset,(SLAVE)m1_clock_bridge_ch5_right.s0_reset,(SLAVE)pio_DIP.reset,(SLAVE)pio_iso_logic_signal_enable.reset,(SLAVE)nios2_gen2_0.reset,(SLAVE)m2_ddr2_i2c_sda.reset,(SLAVE)Communication_Module_v2_Ch3.reset_sink,(SLAVE)m1_clock_bridge_ch1_rmap.s0_reset,(SLAVE)ddr2_address_span_extender.reset,(SLAVE)m1_clock_bridge_ch5_left.m0_reset,(SLAVE)rmap_mem_nfee_comm_4.reset_sink,(SLAVE)SpaceWire_Channel_H.reset_sink,(MASTER)rst_controller.reset_source_rs232,(SLAVE)clk_200.clk_in_reset,(SLAVE)RMAP_Echoing.reset_sink,(SLAVE)csense_adc_fo.reset,(SLAVE)m2_ddr2_i2c_scl.reset,(SLAVE)m2_ddr2_memory.soft_reset,(SLAVE)pio_LED.reset,(SLAVE)m1_clock_bridge_general.m0_reset,(SLAVE)m1_clock_bridge_ch6_rmap.m0_reset,(SLAVE)m1_clock_bridge_general.s0_reset,(SLAVE)Communication_Module_v2_Ch6.reset_sink,(SLAVE)m1_clock_bridge_ch4_left.s0_reset,(SLAVE)pio_ctrl_io_lvds.reset,(SLAVE)onchip_memory.reset1,(SLAVE)SpaceWire_Channel_A.reset_sink,(SLAVE)SpaceWire_Channel_E.reset_sink,(SLAVE)rmap_mem_nfee_comm_3.reset_sink,(SLAVE)m1_clock_bridge_ch4_right.m0_reset,(SLAVE)m1_clock_bridge_ch5_rmap.m0_reset,(SLAVE)jtag_uart_0.reset,(SLAVE)m1_clock_bridge_ch1_left.s0_reset,(SLAVE)rmap_mem_nfee_comm_6.reset_sink,(SLAVE)m1_clock_bridge_ch2_left.s0_reset,(SLAVE)ext_flash.reset,(SLAVE)Communication_Module_v2_Ch5.reset_sink,(MASTER)clk_50.clk_reset,(SLAVE)timer_1ms.reset,(SLAVE)sync.reset,(SLAVE)m1_clock_bridge_ch2_right.m0_reset,(SLAVE)m1_clock_bridge_ch1_right.s0_reset,(SLAVE)m1_clock_bridge_ch2_left.m0_reset,(SLAVE)m1_ddr2_memory.global_reset,(SLAVE)m1_clock_bridge_ch4_right.s0_reset,(SLAVE)clock_bridge_afi_50.m0_reset,(SLAVE)csense_sck.reset,(SLAVE)clk_100.clk_in_reset,(SLAVE)m1_ddr2_i2c_sda.reset,(SLAVE)rmap_mem_nfee_comm_1.reset_sink,(SLAVE)m1_clock_bridge_ch4_rmap.s0_reset,(SLAVE)sysid_qsys.reset,(SLAVE)tristate_conduit_bridge_0.reset,(SLAVE)temp_scl.reset,(SLAVE)m1_clock_bridge_ch6_left.m0_reset,(SLAVE)m1_clock_bridge_ch4_rmap.m0_reset,(SLAVE)m1_clock_bridge_ftdi.s0_reset,(SLAVE)m1_clock_bridge_ch3_right.s0_reset,(SLAVE)FTDI_UMFT601A_Module.reset_sink,(SLAVE)Synchronization_COMM_0.reset_sink,(SLAVE)rs232_uart.reset,(SLAVE)SpaceWire_Channel_G.reset_sink,(SLAVE)csense_sdi.reset,(SLAVE)m1_clock_bridge_ch6_left.s0_reset,(SLAVE)rmap_mem_nfee_comm_2.reset_sink,(SLAVE)Communication_Module_v2_Ch4.reset_sink,(SLAVE)m1_clock_bridge_ftdi.m0_reset,(SLAVE)m1_clock_bridge_ch4_left.m0_reset,(SLAVE)m1_clock_bridge_ch6_rmap.s0_reset,(SLAVE)m1_clock_bridge_ch3_left.m0_reset,(SLAVE)m1_clock_bridge_ch1_rmap.m0_reset,(SLAVE)temp_sda.reset,(SLAVE)pio_EXT.reset,(SLAVE)m1_clock_bridge_ch2_right.s0_reset) 1 0 9 230 4620 430 4790 930 4570 1730 4700 2410 4940 2970 3730 3990 3990 4660 4940 5520 -preplace netloc FAN_INMebX_Qsys_Project(MASTER)m1_clock_bridge_ch4_left.m0,(MASTER)m1_clock_bridge_ch3_left.m0,(MASTER)m1_clock_bridge_ch6_left.m0,(MASTER)m1_clock_bridge_ch5_left.m0,(MASTER)m1_clock_bridge_ftdi.m0,(MASTER)m1_clock_bridge_ch5_rmap.m0,(MASTER)m1_clock_bridge_ch5_right.m0,(MASTER)m1_clock_bridge_ch3_rmap.m0,(SLAVE)m1_ddr2_memory.avl,(MASTER)m1_clock_bridge_ch2_left.m0,(MASTER)m1_clock_bridge_ch1_left.m0,(MASTER)m1_clock_bridge_general.m0,(MASTER)m1_clock_bridge_ch2_rmap.m0,(MASTER)m1_clock_bridge_ch6_rmap.m0,(MASTER)m1_clock_bridge_ch2_right.m0,(MASTER)m1_clock_bridge_ch1_right.m0,(MASTER)m1_clock_bridge_ch4_rmap.m0,(MASTER)m1_clock_bridge_ch3_right.m0,(MASTER)m1_clock_bridge_ch4_right.m0,(MASTER)m1_clock_bridge_ch6_right.m0,(MASTER)m1_clock_bridge_ch1_rmap.m0) 1 7 1 4400 -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_2.conduit_end_channel_hk_in,(SLAVE)Communication_Module_v2_Ch2.conduit_end_channel_hk_out) 1 2 1 1130 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)timer_1us.external_port,(SLAVE)MebX_Qsys_Project.timer_1us_external_port) 1 0 9 NJ 6500 NJ 6500 NJ 6500 NJ 6500 NJ 6500 NJ 6500 NJ 6500 NJ 6500 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch3.conduit_end_rmap_mem_master_rmap_target,(SLAVE)rmap_mem_nfee_comm_3.conduit_end_fee_rmap_slave_0) 1 2 1 1170 -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_3.conduit_end_fee_rmap_slave_1,(SLAVE)Communication_Module_v2_Ch3.conduit_end_rmap_mem_master_fee_hk) 1 2 1 1190 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)sd_card_wp_n.external_connection,(SLAVE)MebX_Qsys_Project.sd_card_wp_n_io) 1 0 9 NJ 6080 NJ 6080 NJ 6080 NJ 6080 NJ 6080 NJ 6080 NJ 6080 NJ 6080 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)pio_EXT.external_connection,(SLAVE)MebX_Qsys_Project.ext) 1 0 9 NJ 5190 NJ 5190 NJ 5190 NJ 5190 NJ 5190 NJ 5190 NJ 5190 NJ 5190 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.sync_out,(SLAVE)sync.sync_out) 1 0 9 NJ 6720 NJ 6720 NJ 6720 NJ 6720 NJ 6720 NJ 6720 NJ 6720 NJ 6720 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Synchronization_COMM_0.conduit_end_spacewire_controller,(SLAVE)SpaceWire_Channel_G.conduit_end_spacewire_channel) 1 8 1 5680 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.timer_1ms_external_port,(SLAVE)timer_1ms.external_port) 1 0 9 NJ 6380 NJ 6380 NJ 6380 NJ 6380 NJ 6380 NJ 6380 NJ 6380 NJ 6380 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)m1_ddr2_i2c_scl.external_connection,(SLAVE)MebX_Qsys_Project.m1_ddr2_i2c_scl) 1 0 9 NJ 2700 NJ 2700 NJ 2700 NJ 2470 NJ 2470 NJ 2470 NJ 3170 NJ 2640 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.pio_iso_logic_signal_enable,(SLAVE)pio_iso_logic_signal_enable.external_connection) 1 0 5 NJ 2000 NJ 2000 NJ 2000 NJ 1800 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)m2_ddr2_memory.memory,(SLAVE)MebX_Qsys_Project.m2_ddr2_memory) 1 0 4 NJ 3200 NJ 3200 NJ 3200 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_C.conduit_end_spacewire_channel,(SLAVE)Communication_Module_v2_Ch3.conduit_end_spacewire_controller) 1 2 9 1070 3630 NJ 3630 NJ 3630 NJ 3630 NJ 3630 NJ 3660 NJ 3660 NJ 3660 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_c_leds,(SLAVE)SpaceWire_Channel_C.conduit_end_spacewire_leds) 1 0 11 NJ 3660 NJ 3660 NJ 3660 NJ 3660 NJ 3660 NJ 3660 NJ 3740 NJ 3740 NJ 3700 NJ 3700 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.ext,(SLAVE)pio_EXT.external_connection) 1 0 11 NJ 5190 NJ 5190 NJ 5190 NJ 5190 NJ 5190 NJ 5190 NJ 5190 NJ 5190 NJ 5190 NJ 5190 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.m2_ddr2_memory_dll_sharing,(SLAVE)m2_ddr2_memory.dll_sharing) 1 0 4 NJ 3120 NJ 3120 NJ 3120 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.sync_spw7,(SLAVE)sync.sync_spw7) 1 0 11 NJ 6900 NJ 6900 NJ 6900 NJ 6900 NJ 6900 NJ 6900 NJ 6900 NJ 6900 NJ 6900 NJ 6900 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_2.conduit_end_channel_hk_in,(SLAVE)Communication_Module_v2_Ch2.conduit_end_channel_hk_out) 1 2 1 1110 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)timer_1us.external_port,(SLAVE)MebX_Qsys_Project.timer_1us_external_port) 1 0 11 NJ 6500 NJ 6500 NJ 6500 NJ 6500 NJ 6500 NJ 6500 NJ 6500 NJ 6500 NJ 6500 NJ 6500 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)sync.sync_out,(SLAVE)MebX_Qsys_Project.sync_out) 1 0 11 NJ 6720 NJ 6720 NJ 6720 NJ 6720 NJ 6720 NJ 6720 NJ 6720 NJ 6720 NJ 6740 NJ 6740 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)RMAP_Echoing.conduit_end_rmap_echo_4_in,(SLAVE)Communication_Module_v2_Ch5.conduit_end_rmap_echo_out) 1 2 9 1110 1660 NJ 1570 NJ 1570 NJ 1570 NJ 1570 NJ 1570 NJ 1570 NJ 1570 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch3.conduit_end_rmap_mem_master_rmap_target,(SLAVE)rmap_mem_nfee_comm_3.conduit_end_fee_rmap_slave_0) 1 2 1 1150 +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_3.conduit_end_fee_rmap_slave_1,(SLAVE)Communication_Module_v2_Ch3.conduit_end_rmap_mem_master_fee_hk) 1 2 1 1170 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)sd_card_wp_n.external_connection,(SLAVE)MebX_Qsys_Project.sd_card_wp_n_io) 1 0 11 NJ 6080 NJ 6080 NJ 6080 NJ 6080 NJ 6080 NJ 6080 NJ 6080 NJ 6080 NJ 6080 NJ 6080 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Synchronization_COMM_0.conduit_end_spacewire_controller,(SLAVE)SpaceWire_Channel_G.conduit_end_spacewire_channel) 1 10 1 6560 +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_4.conduit_end_channel_hk_in,(SLAVE)Communication_Module_v2_Ch4.conduit_end_channel_hk_out) 1 2 1 1170 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.timer_1ms_external_port,(SLAVE)timer_1ms.external_port) 1 0 11 NJ 6380 NJ 6380 NJ 6380 NJ 6380 NJ 6380 NJ 6380 NJ 6380 NJ 6380 NJ 6380 NJ 6380 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)m1_ddr2_i2c_scl.external_connection,(SLAVE)MebX_Qsys_Project.m1_ddr2_i2c_scl) 1 0 11 NJ 2660 NJ 2660 NJ 2660 NJ 2450 NJ 2450 NJ 2450 NJ 3160 NJ 2640 NJ 2640 NJ 2640 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.pio_iso_logic_signal_enable,(SLAVE)pio_iso_logic_signal_enable.external_connection) 1 0 5 NJ 1980 NJ 1980 NJ 1980 NJ 1800 NJ preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.comm_3_measurements,(SLAVE)Communication_Module_v2_Ch3.conduit_end_comm_measurements) 1 0 3 NJ 1780 NJ 1780 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch4.conduit_end_spacewire_controller,(SLAVE)SpaceWire_Channel_D.conduit_end_spacewire_channel) 1 2 7 990 3780 NJ 3780 NJ 3780 NJ 3780 NJ 3950 NJ 3820 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_E.conduit_end_spacewire_lvds,(SLAVE)MebX_Qsys_Project.spwc_e_lvds) 1 0 9 NJ 4120 NJ 4120 NJ 4120 NJ 4120 NJ 4120 NJ 4120 NJ 4390 NJ 4040 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)RMAP_Echoing.conduit_end_rmap_echo_2_in,(SLAVE)Communication_Module_v2_Ch3.conduit_end_rmap_echo_out) 1 2 7 1150 1440 NJ 1440 NJ 1440 NJ 1440 NJ 1460 NJ 1400 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.pio_ftdi_umft601a_module_reset,(SLAVE)pio_ftdi_umft601a_module_reset.external_connection) 1 0 5 NJ 1980 NJ 1980 NJ 1980 NJ 1700 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch2.conduit_end_rmap_echo_out,(SLAVE)RMAP_Echoing.conduit_end_rmap_echo_1_in) 1 2 7 1170 2720 NJ 2490 NJ 2490 NJ 2490 NJ 3190 NJ 2660 5380 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.dip,(SLAVE)pio_DIP.external_connection) 1 0 9 NJ 5090 NJ 5090 NJ 5090 NJ 5090 NJ 5090 NJ 5090 NJ 5090 NJ 5090 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.m2_ddr2_i2c_scl,(SLAVE)m2_ddr2_i2c_scl.external_connection) 1 0 9 NJ 3000 NJ 3100 NJ 3100 NJ 2900 NJ 2900 NJ 2900 NJ 3310 NJ 2980 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.sync_filtered_sig,(SLAVE)Sync_Signal_Filter_Latch_0.conduit_end_filtered_sig) 1 0 6 NJ 3280 NJ 3280 NJ 3280 NJ 3310 NJ 3160 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_4.conduit_end_fee_rmap_slave_0,(SLAVE)Communication_Module_v2_Ch4.conduit_end_rmap_mem_master_rmap_target) 1 2 1 1170 -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch1.conduit_end_spacewire_controller,(SLAVE)SpaceWire_Channel_A.conduit_end_spacewire_channel) 1 2 7 1230 3320 NJ 3350 NJ 3370 NJ 3370 NJ 3370 NJ 3370 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.ctrl_io_lvds,(SLAVE)pio_ctrl_io_lvds.external_connection) 1 0 9 NJ 4980 NJ 4980 NJ 4980 NJ 4980 NJ 4980 NJ 4960 NJ 4960 NJ 5000 5340 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch2.conduit_end_channel_sync,(SLAVE)MebX_Qsys_Project.comm_2_sync) 1 0 3 NJ 2240 NJ 2240 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch4.conduit_end_comm_measurements,(SLAVE)MebX_Qsys_Project.comm_4_measurements) 1 0 3 NJ 280 NJ 280 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_E.conduit_end_spacewire_lvds,(SLAVE)MebX_Qsys_Project.spwc_e_lvds) 1 0 11 NJ 4120 NJ 4120 NJ 4120 NJ 4120 NJ 4120 NJ 4120 NJ 4370 NJ 4040 NJ 4040 NJ 4040 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_scom_0.conduit_end_fee_rmap_slave_0,(SLAVE)Synchronization_COMM_0.conduit_end_rmap_mem_master_rmap_target) 1 10 1 6540 +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)RMAP_Echoing.conduit_end_rmap_echo_2_in,(SLAVE)Communication_Module_v2_Ch3.conduit_end_rmap_echo_out) 1 2 9 1130 1440 NJ 1440 NJ 1440 NJ 1440 NJ 1460 NJ 1400 NJ 1480 NJ 1480 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.pio_ftdi_umft601a_module_reset,(SLAVE)pio_ftdi_umft601a_module_reset.external_connection) 1 0 5 NJ 1960 NJ 1960 NJ 1960 NJ 1700 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch2.conduit_end_rmap_echo_out,(SLAVE)RMAP_Echoing.conduit_end_rmap_echo_1_in) 1 2 9 1150 2680 NJ 2490 NJ 2490 NJ 2490 NJ 3180 NJ 2660 NJ 2690 NJ 2690 6360 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.dip,(SLAVE)pio_DIP.external_connection) 1 0 11 NJ 5090 NJ 5090 NJ 5090 NJ 5090 NJ 5090 NJ 5090 NJ 5090 NJ 5090 NJ 5090 NJ 5090 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.m2_ddr2_i2c_scl,(SLAVE)m2_ddr2_i2c_scl.external_connection) 1 0 11 NJ 3000 NJ 3100 NJ 3100 NJ 2930 NJ 2930 NJ 2930 NJ 3380 NJ 2980 NJ 3210 NJ 2980 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_4.conduit_end_fee_rmap_slave_0,(SLAVE)Communication_Module_v2_Ch4.conduit_end_rmap_mem_master_rmap_target) 1 2 1 1150 +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_1.conduit_end_fee_rmap_slave_1,(SLAVE)Communication_Module_v2_Ch1.conduit_end_rmap_mem_master_fee_hk) 1 2 1 1190 preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.clk50,(SLAVE)clk_50.clk_in) 1 0 3 NJ 3380 NJ 3380 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.csense_sdo,(SLAVE)csense_sdo.external_connection) 1 0 9 NJ 2500 NJ 2500 NJ 2500 NJ 2430 NJ 2430 NJ 2430 NJ 2910 NJ 2510 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)sync.sync_in_en,(SLAVE)MebX_Qsys_Project.sync_in_en) 1 0 9 NJ 6700 NJ 6700 NJ 6700 NJ 6700 NJ 6700 NJ 6700 NJ 6700 NJ 6700 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)m1_ddr2_memory.memory,(SLAVE)MebX_Qsys_Project.m1_ddr2_memory) 1 0 10 NJ 2940 NJ 2940 NJ 3000 NJ 2830 NJ 2890 NJ 2890 NJ 2890 NJ 2930 NJ 2930 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_6.conduit_end_fee_rmap_slave_1,(SLAVE)Communication_Module_v2_Ch6.conduit_end_rmap_mem_master_fee_hk) 1 2 1 1190 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)sync.sync_in_en,(SLAVE)MebX_Qsys_Project.sync_in_en) 1 0 11 NJ 6700 NJ 6700 NJ 6700 NJ 6700 NJ 6700 NJ 6700 NJ 6700 NJ 6700 NJ 6700 NJ 6700 NJ preplace netloc EXPORTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch6.conduit_end_comm_measurements,(SLAVE)MebX_Qsys_Project.comm_6_measurements) 1 0 3 NJ 1020 NJ 1020 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Synchronization_COMM_0.conduit_end_rmap_mem_master_rmap_target,(SLAVE)rmap_mem_nfee_scom_0.conduit_end_fee_rmap_slave_0) 1 8 1 5640 -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_2.conduit_end_fee_rmap_slave_0,(SLAVE)Communication_Module_v2_Ch2.conduit_end_rmap_mem_master_rmap_target) 1 2 1 1110 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.rst_controller_conduit_reset_input,(SLAVE)rst_controller.conduit_reset_input) 1 0 5 NJ 4910 NJ 4910 NJ 4910 NJ 4910 NJ -preplace netloc FAN_OUTMebX_Qsys_Project(MASTER)clk_100.clk,(SLAVE)FTDI_UMFT601A_Module.clock_sink,(SLAVE)clock_bridge_afi_50.s0_clk,(SLAVE)rmap_mem_nfee_scom_0.clock_sink_100mhz,(SLAVE)SpaceWire_Channel_D.clock_sink_100mhz,(SLAVE)Communication_Module_v2_Ch6.clock_sink,(SLAVE)SpaceWire_Channel_C.clock_sink_100mhz,(SLAVE)onchip_memory.clk1,(SLAVE)rmap_mem_nfee_comm_2.clock_sink_100mhz,(SLAVE)rmap_mem_nfee_comm_5.clock_sink_100mhz,(SLAVE)sysid_qsys.clk,(SLAVE)Communication_Module_v2_Ch1.clock_sink,(SLAVE)rmap_mem_nfee_comm_6.clock_sink_100mhz,(SLAVE)ext_flash.clk,(SLAVE)SpaceWire_Channel_F.clock_sink_100mhz,(SLAVE)rmap_mem_nfee_comm_1.clock_sink_100mhz,(SLAVE)RMAP_Echoing.clock_sink_100mhz,(SLAVE)SpaceWire_Channel_A.clock_sink_100mhz,(SLAVE)Communication_Module_v2_Ch2.clock_sink,(SLAVE)jtag_uart_0.clk,(SLAVE)rmap_mem_nfee_comm_4.clock_sink_100mhz,(SLAVE)ddr2_address_span_extender.clock,(SLAVE)Memory_Filler.clock_sink,(SLAVE)rmap_mem_nfee_comm_3.clock_sink_100mhz,(SLAVE)SpaceWire_Channel_H.clock_sink_100mhz,(SLAVE)tristate_conduit_bridge_0.clk,(SLAVE)Communication_Module_v2_Ch5.clock_sink,(SLAVE)SpaceWire_Channel_E.clock_sink_100mhz,(SLAVE)SpaceWire_Channel_G.clock_sink_100mhz,(SLAVE)SpaceWire_Channel_B.clock_sink_100mhz,(SLAVE)Communication_Module_v2_Ch3.clock_sink,(SLAVE)nios2_gen2_0.clk,(SLAVE)Communication_Module_v2_Ch4.clock_sink,(SLAVE)Synchronization_COMM_0.clock_sink) 1 1 8 470 4560 910 4550 1710 4860 NJ 4780 NJ 4780 NJ 4780 4320 4780 5580 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)m2_ddr2_memory.oct,(SLAVE)MebX_Qsys_Project.m2_ddr2_oct) 1 0 4 NJ 3200 NJ 3200 NJ 3200 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)m2_ddr2_i2c_sda.external_connection,(SLAVE)MebX_Qsys_Project.m2_ddr2_i2c_sda) 1 0 9 NJ 3020 NJ 3120 NJ 3120 NJ 2920 NJ 2920 NJ 2920 NJ 3350 NJ 3080 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_5.conduit_end_fee_rmap_slave_0,(SLAVE)Communication_Module_v2_Ch5.conduit_end_rmap_mem_master_rmap_target) 1 2 1 1170 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch1.conduit_end_channel_sync,(SLAVE)MebX_Qsys_Project.comm_1_sync) 1 0 3 NJ 2820 NJ 2820 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.csense_adc_fo,(SLAVE)csense_adc_fo.external_connection) 1 0 9 NJ 1240 NJ 1240 NJ 1240 NJ 1080 NJ 1080 NJ 1080 NJ 1090 NJ 1240 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)clk_200.clk_in,(MASTER)m2_ddr2_memory.afi_clk) 1 4 1 2550 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)m1_ddr2_memory.oct,(SLAVE)MebX_Qsys_Project.m1_ddr2_oct) 1 0 8 NJ 2960 NJ 2960 NJ 3040 NJ 2740 NJ 2740 NJ 2740 NJ 2870 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(MASTER)clk_ftdi.clk,(SLAVE)FTDI_UMFT601A_Module.umft601a_clock_sink) 1 2 1 850 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.comm_4_measurements,(SLAVE)Communication_Module_v2_Ch4.conduit_end_comm_measurements) 1 0 3 NJ 280 NJ 280 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)tristate_conduit_bridge_0.tcs,(MASTER)ext_flash.tcm) 1 8 1 5400 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)sync.sync_spw4,(SLAVE)MebX_Qsys_Project.sync_spw4) 1 0 9 NJ 6820 NJ 6820 NJ 6820 NJ 6820 NJ 6820 NJ 6820 NJ 6820 NJ 6820 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_4.conduit_end_fee_rmap_slave_1,(SLAVE)Communication_Module_v2_Ch4.conduit_end_rmap_mem_master_fee_hk) 1 2 1 1210 -preplace netloc FAN_OUTMebX_Qsys_Project(SLAVE)m1_clock_bridge_ch5_rmap.m0_clk,(SLAVE)m1_clock_bridge_ch5_right.m0_clk,(SLAVE)m1_clock_bridge_ch1_rmap.m0_clk,(SLAVE)m1_clock_bridge_ch6_rmap.m0_clk,(SLAVE)m1_clock_bridge_ch1_left.m0_clk,(SLAVE)m1_clock_bridge_ch1_right.m0_clk,(SLAVE)m1_clock_bridge_ch4_rmap.m0_clk,(SLAVE)m1_clock_bridge_ch3_left.m0_clk,(SLAVE)m1_clock_bridge_ch4_left.m0_clk,(SLAVE)m1_clock_bridge_ch3_right.m0_clk,(MASTER)m1_ddr2_memory.afi_half_clk,(SLAVE)m1_clock_bridge_general.m0_clk,(SLAVE)m1_clock_bridge_ch4_right.m0_clk,(SLAVE)m1_clock_bridge_ch2_left.m0_clk,(SLAVE)m1_clock_bridge_ch5_left.m0_clk,(SLAVE)m1_clock_bridge_ch6_left.m0_clk,(SLAVE)m1_clock_bridge_ch2_right.m0_clk,(SLAVE)m1_clock_bridge_ch6_right.m0_clk,(SLAVE)m1_clock_bridge_ftdi.m0_clk,(SLAVE)m1_clock_bridge_ch2_rmap.m0_clk,(SLAVE)m1_clock_bridge_ch3_rmap.m0_clk) 1 6 3 4130 2890 NJ 2930 5460 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.sync_out_en,(SLAVE)sync.sync_out_en) 1 0 9 NJ 6740 NJ 6740 NJ 6740 NJ 6740 NJ 6740 NJ 6740 NJ 6740 NJ 6740 NJ +preplace netloc INTERCONNECTMebX_Qsys_Project(SLAVE)FTDI_UMFT601A_Module.reset_sink,(SLAVE)csense_adc_fo.reset,(SLAVE)clk_ftdi.clk_in_reset,(SLAVE)csense_sck.reset,(SLAVE)rmap_mem_nfee_comm_5.reset_sink,(SLAVE)csense_sdi.reset,(SLAVE)rs232_uart.reset,(SLAVE)m2_ddr2_memory.global_reset,(SLAVE)SpaceWire_Channel_G.reset_sink,(MASTER)rst_controller.reset_source_rs232,(SLAVE)timer_1ms.reset,(SLAVE)rmap_mem_nfee_comm_4.reset_sink,(SLAVE)Sync_Signal_Filter_Latch_0.reset_sink,(SLAVE)Communication_Module_v2_Ch5.reset_sink,(SLAVE)SpaceWire_Channel_B.reset_sink,(SLAVE)clk_100.clk_in_reset,(SLAVE)m2_ddr2_i2c_sda.reset,(SLAVE)Communication_Module_v2_Ch1.reset_sink,(SLAVE)ddr2_address_span_extender.reset,(MASTER)clk_200.clk_reset,(MASTER)clk_50.clk_reset,(SLAVE)clock_bridge_afi_50.s0_reset,(SLAVE)m2_ddr2_memory.soft_reset,(SLAVE)timer_1us.reset,(SLAVE)rmap_mem_nfee_comm_3.reset_sink,(SLAVE)SpaceWire_Channel_F.reset_sink,(SLAVE)jtag_uart_0.reset,(SLAVE)Communication_Module_v2_Ch6.reset_sink,(SLAVE)Memory_Filler.reset_sink,(SLAVE)pio_iso_logic_signal_enable.reset,(SLAVE)pio_BUTTON.reset,(SLAVE)clock_bridge_afi_50.m0_reset,(SLAVE)RMAP_Echoing.reset_sink,(SLAVE)Communication_Module_v2_Ch3.reset_sink,(SLAVE)csense_sdo.reset,(SLAVE)sync.reset,(SLAVE)SpaceWire_Channel_D.reset_sink,(SLAVE)pio_LED.reset,(SLAVE)SpaceWire_Channel_A.reset_sink,(MASTER)m2_ddr2_memory.afi_reset,(SLAVE)nios2_gen2_0.reset,(SLAVE)temp_sda.reset,(SLAVE)m2_ddr2_i2c_scl.reset,(SLAVE)pio_LED_painel.reset,(SLAVE)m1_ddr2_i2c_scl.reset,(SLAVE)SpaceWire_Channel_H.reset_sink,(SLAVE)rmap_mem_nfee_scom_0.reset_sink,(SLAVE)rst_controller.reset_sink,(SLAVE)m1_ddr2_i2c_sda.reset,(SLAVE)rmap_mem_nfee_comm_6.reset_sink,(SLAVE)SpaceWire_Channel_C.reset_sink,(SLAVE)clk_200.clk_in_reset,(SLAVE)Communication_Module_v2_Ch2.reset_sink,(SLAVE)Synchronization_COMM_0.reset_sink,(SLAVE)rmap_mem_nfee_comm_2.reset_sink,(SLAVE)m1_ddr2_memory.global_reset,(SLAVE)rmap_mem_nfee_comm_1.reset_sink,(SLAVE)pio_EXT.reset,(SLAVE)ext_flash.reset,(SLAVE)sd_card_wp_n.reset,(SLAVE)Communication_Module_v2_Ch4.reset_sink,(SLAVE)sysid_qsys.reset,(SLAVE)pio_DIP.reset,(SLAVE)m1_ddr2_memory.soft_reset,(SLAVE)SpaceWire_Channel_E.reset_sink,(SLAVE)tristate_conduit_bridge_0.reset,(SLAVE)m1_clock_bridge.s0_reset,(SLAVE)m1_clock_bridge.m0_reset,(SLAVE)csense_cs_n.reset,(SLAVE)temp_scl.reset,(SLAVE)pio_ctrl_io_lvds.reset,(SLAVE)onchip_memory.reset1,(SLAVE)pio_ftdi_umft601a_module_reset.reset) 1 0 11 230 4670 430 4780 950 4570 1730 4780 2470 4900 2970 4780 NJ 4780 NJ 4780 5140 3190 5980 4940 6480 +preplace netloc FAN_OUTMebX_Qsys_Project(SLAVE)sync.sync_interrupt_sender,(SLAVE)Communication_Module_v2_Ch4.rmap_interrupt_sender,(SLAVE)Communication_Module_v2_Ch1.rmap_interrupt_sender,(SLAVE)FTDI_UMFT601A_Module.tx_interrupt_sender,(SLAVE)Communication_Module_v2_Ch5.feeb_interrupt_sender,(SLAVE)Communication_Module_v2_Ch1.feeb_interrupt_sender,(SLAVE)Communication_Module_v2_Ch3.rmap_interrupt_sender,(SLAVE)Communication_Module_v2_Ch3.feeb_interrupt_sender,(SLAVE)FTDI_UMFT601A_Module.rx_interrupt_sender,(SLAVE)Communication_Module_v2_Ch2.feeb_interrupt_sender,(SLAVE)timer_1us.irq,(SLAVE)rs232_uart.irq,(SLAVE)Communication_Module_v2_Ch5.rmap_interrupt_sender,(SLAVE)Communication_Module_v2_Ch4.feeb_interrupt_sender,(SLAVE)sync.pre_sync_interrupt_sender,(SLAVE)timer_1ms.irq,(SLAVE)Communication_Module_v2_Ch2.rmap_interrupt_sender,(SLAVE)Communication_Module_v2_Ch6.rmap_interrupt_sender,(SLAVE)Communication_Module_v2_Ch6.feeb_interrupt_sender,(MASTER)nios2_gen2_0.irq,(SLAVE)jtag_uart_0.irq) 1 2 9 990 4770 NJ 4860 NJ 4760 NJ 4760 NJ 4760 NJ 4760 NJ 4760 NJ 4760 6300 +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_2.conduit_end_fee_rmap_slave_0,(SLAVE)Communication_Module_v2_Ch2.conduit_end_rmap_mem_master_rmap_target) 1 2 1 1090 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)m2_ddr2_i2c_sda.external_connection,(SLAVE)MebX_Qsys_Project.m2_ddr2_i2c_sda) 1 0 11 NJ 3020 NJ 3160 NJ 3160 NJ 2950 NJ 2950 NJ 2950 NJ 3400 NJ 3080 NJ 3230 NJ 3080 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_6.conduit_end_fee_rmap_slave_0,(SLAVE)Communication_Module_v2_Ch6.conduit_end_rmap_mem_master_rmap_target) 1 2 1 1210 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch1.conduit_end_channel_sync,(SLAVE)MebX_Qsys_Project.comm_1_sync) 1 0 3 NJ 2780 NJ 2780 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.csense_adc_fo,(SLAVE)csense_adc_fo.external_connection) 1 0 11 NJ 1240 NJ 1240 NJ 1240 NJ 1080 NJ 1080 NJ 1080 NJ 1090 NJ 1240 NJ 1240 NJ 1240 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)m1_ddr2_memory.oct,(SLAVE)MebX_Qsys_Project.m1_ddr2_oct) 1 0 10 NJ 2960 NJ 2960 NJ 3040 NJ 2740 NJ 2740 NJ 2740 NJ 2870 NJ 2870 NJ 2870 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)tristate_conduit_bridge_0.tcs,(MASTER)ext_flash.tcm) 1 10 1 6340 +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_4.conduit_end_fee_rmap_slave_1,(SLAVE)Communication_Module_v2_Ch4.conduit_end_rmap_mem_master_fee_hk) 1 2 1 1190 preplace netloc EXPORTMebX_Qsys_Project(SLAVE)FTDI_UMFT601A_Module.conduit_umft601a_pins,(SLAVE)MebX_Qsys_Project.umft601a_pins) 1 0 3 NJ 4760 NJ 4760 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_C.conduit_end_spacewire_lvds,(SLAVE)MebX_Qsys_Project.spwc_c_lvds) 1 0 9 NJ 3800 NJ 3800 NJ 3800 NJ 3800 NJ 3800 NJ 3800 NJ 4310 NJ 3760 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)sync.sync_spw7,(SLAVE)MebX_Qsys_Project.sync_spw7) 1 0 9 NJ 6900 NJ 6900 NJ 6900 NJ 6900 NJ 6900 NJ 6900 NJ 6900 NJ 6900 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.csense_cs_n,(SLAVE)csense_cs_n.external_connection) 1 0 9 NJ 1220 NJ 1220 NJ 1220 NJ 1220 NJ 1220 NJ 1220 NJ 1110 NJ 1220 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_g_enable,(SLAVE)SpaceWire_Channel_G.conduit_end_spacewire_enable) 1 0 9 NJ 4320 NJ 4320 NJ 4320 NJ 4320 NJ 4320 NJ 4320 NJ 4530 NJ 4320 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.csense_cs_n,(SLAVE)csense_cs_n.external_connection) 1 0 11 NJ 1220 NJ 1220 NJ 1220 NJ 1220 NJ 1220 NJ 1220 NJ 1110 NJ 1220 NJ 1220 NJ 1220 6300 preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.comm_5_measurements,(SLAVE)Communication_Module_v2_Ch5.conduit_end_comm_measurements) 1 0 3 NJ 720 NJ 720 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_A.conduit_end_spacewire_enable,(SLAVE)MebX_Qsys_Project.spwc_a_enable) 1 0 9 NJ 3300 NJ 3300 NJ 3300 NJ 3330 NJ 3310 NJ 3310 NJ 3290 NJ 3320 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch6.conduit_end_channel_hk_out,(SLAVE)rmap_mem_nfee_comm_6.conduit_end_channel_hk_in) 1 2 1 1190 -preplace netloc FAN_OUTMebX_Qsys_Project(SLAVE)rst_controller.clock_sink,(SLAVE)temp_scl.clk,(SLAVE)pio_LED_painel.clk,(SLAVE)timer_1ms.clk,(SLAVE)csense_sdi.clk,(SLAVE)pio_BUTTON.clk,(SLAVE)pio_ctrl_io_lvds.clk,(SLAVE)m1_ddr2_i2c_sda.clk,(SLAVE)pio_DIP.clk,(SLAVE)rs232_uart.clk,(SLAVE)timer_1us.clk,(SLAVE)m1_ddr2_i2c_scl.clk,(SLAVE)m2_ddr2_i2c_sda.clk,(SLAVE)csense_sck.clk,(SLAVE)m2_ddr2_i2c_scl.clk,(SLAVE)sd_card_wp_n.clk,(SLAVE)pio_ftdi_umft601a_module_reset.clk,(SLAVE)pio_EXT.clk,(SLAVE)temp_sda.clk,(SLAVE)pio_iso_logic_signal_enable.clk,(SLAVE)csense_adc_fo.clk,(SLAVE)sync.clock,(SLAVE)Sync_Signal_Filter_Latch_0.clock_sink_50mhz,(SLAVE)pio_LED.clk,(SLAVE)m2_ddr2_memory.pll_ref_clk,(MASTER)clk_50.clk,(SLAVE)csense_sdo.clk,(SLAVE)clock_bridge_afi_50.m0_clk,(SLAVE)csense_cs_n.clk) 1 3 6 1950 4680 2570 3140 2990 3330 NJ 3330 NJ 3340 5420 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)m1_ddr2_memory.pll_ref_clk,(SLAVE)MebX_Qsys_Project.m1_ddr2_memory_pll_ref_clk) 1 0 8 NJ 3060 NJ 3060 NJ 3060 NJ 2760 NJ 2760 NJ 2760 NJ 3230 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_1.conduit_end_fee_rmap_slave_0,(SLAVE)Communication_Module_v2_Ch1.conduit_end_rmap_mem_master_rmap_target) 1 2 1 1150 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.rst_controller_conduit_simucam_reset,(SLAVE)rst_controller.conduit_simucam_reset) 1 0 5 NJ 4930 NJ 4930 NJ 4930 NJ 4930 NJ -preplace netloc FAN_OUTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch6.feeb_interrupt_sender,(SLAVE)FTDI_UMFT601A_Module.tx_interrupt_sender,(SLAVE)Communication_Module_v2_Ch6.rmap_interrupt_sender,(SLAVE)Communication_Module_v2_Ch1.feeb_interrupt_sender,(SLAVE)Communication_Module_v2_Ch2.feeb_interrupt_sender,(SLAVE)jtag_uart_0.irq,(SLAVE)Communication_Module_v2_Ch3.feeb_interrupt_sender,(SLAVE)Communication_Module_v2_Ch2.rmap_interrupt_sender,(MASTER)nios2_gen2_0.irq,(SLAVE)Communication_Module_v2_Ch3.rmap_interrupt_sender,(SLAVE)Communication_Module_v2_Ch5.feeb_interrupt_sender,(SLAVE)Communication_Module_v2_Ch1.rmap_interrupt_sender,(SLAVE)timer_1us.irq,(SLAVE)FTDI_UMFT601A_Module.rx_interrupt_sender,(SLAVE)sync.sync_interrupt_sender,(SLAVE)rs232_uart.irq,(SLAVE)Communication_Module_v2_Ch4.feeb_interrupt_sender,(SLAVE)Communication_Module_v2_Ch4.rmap_interrupt_sender,(SLAVE)sync.pre_sync_interrupt_sender,(SLAVE)Communication_Module_v2_Ch5.rmap_interrupt_sender,(SLAVE)timer_1ms.irq) 1 2 7 970 4770 NJ 4880 NJ 4800 NJ 4800 NJ 4800 NJ 4800 5380 -preplace netloc FAN_OUTMebX_Qsys_Project(SLAVE)m1_clock_bridge_ch2_left.s0_clk,(SLAVE)m1_clock_bridge_ch6_rmap.s0_clk,(SLAVE)m1_clock_bridge_ch5_right.s0_clk,(SLAVE)m1_clock_bridge_ch4_rmap.s0_clk,(SLAVE)m1_clock_bridge_ch5_left.s0_clk,(SLAVE)m1_clock_bridge_ch3_right.s0_clk,(SLAVE)clk_100.clk_in,(SLAVE)m1_clock_bridge_ch6_left.s0_clk,(SLAVE)m1_clock_bridge_ch1_right.s0_clk,(SLAVE)m1_clock_bridge_general.s0_clk,(SLAVE)m1_clock_bridge_ch6_right.s0_clk,(SLAVE)m1_clock_bridge_ch4_left.s0_clk,(SLAVE)m1_clock_bridge_ch5_rmap.s0_clk,(SLAVE)m1_clock_bridge_ch2_rmap.s0_clk,(SLAVE)m1_clock_bridge_ch1_rmap.s0_clk,(SLAVE)m1_clock_bridge_ftdi.s0_clk,(SLAVE)m1_clock_bridge_ch3_left.s0_clk,(SLAVE)m1_clock_bridge_ch3_rmap.s0_clk,(SLAVE)m1_clock_bridge_ch4_right.s0_clk,(MASTER)m2_ddr2_memory.afi_half_clk,(SLAVE)m1_clock_bridge_ch2_right.s0_clk,(SLAVE)m1_clock_bridge_ch1_left.s0_clk) 1 0 7 230 4400 NJ 4400 NJ 4400 NJ 4400 2450 3390 NJ 3390 4010 -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_4.conduit_end_rmap_avm_configs_in,(SLAVE)Communication_Module_v2_Ch4.conduit_end_rmap_avm_configs_out) 1 2 1 1230 -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch3.conduit_end_spacewire_controller,(SLAVE)SpaceWire_Channel_C.conduit_end_spacewire_channel) 1 2 7 1090 3750 NJ 3750 NJ 3750 NJ 3750 NJ 3930 NJ 3780 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch5.conduit_end_rmap_mem_master_fee_hk,(SLAVE)rmap_mem_nfee_comm_5.conduit_end_fee_rmap_slave_1) 1 2 1 1210 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_D.conduit_end_spacewire_lvds,(SLAVE)MebX_Qsys_Project.spwc_d_lvds) 1 0 9 NJ 3980 NJ 3980 NJ 3980 NJ 3980 NJ 3980 NJ 3980 NJ 4190 NJ 4080 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch4.conduit_end_rmap_echo_out,(SLAVE)RMAP_Echoing.conduit_end_rmap_echo_3_in) 1 2 7 1050 1500 NJ 1500 NJ 1500 NJ 1500 NJ 1520 NJ 1500 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch1.conduit_end_channel_hk_out,(SLAVE)rmap_mem_nfee_comm_1.conduit_end_channel_hk_in) 1 2 1 1210 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_A.conduit_end_spacewire_leds,(SLAVE)MebX_Qsys_Project.spwc_a_leds) 1 0 9 NJ 3400 NJ 3400 NJ 3400 NJ 3450 NJ 3450 NJ 3450 NJ 4150 NJ 3580 5440 -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch2.conduit_end_rmap_mem_master_fee_hk,(SLAVE)rmap_mem_nfee_comm_2.conduit_end_fee_rmap_slave_1) 1 2 1 1150 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch5.conduit_end_channel_sync,(SLAVE)MebX_Qsys_Project.comm_5_sync) 1 0 3 NJ 700 NJ 700 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)m2_ddr2_memory.dll_sharing,(SLAVE)MebX_Qsys_Project.m2_ddr2_memory_dll_sharing) 1 0 4 NJ 3180 NJ 3180 NJ 3180 NJ -preplace netloc INTERCONNECTMebX_Qsys_Project(SLAVE)ddr2_address_span_extender.cntl,(SLAVE)Communication_Module_v2_Ch2.avalon_mm_config_slave,(SLAVE)Communication_Module_v2_Ch4.avalon_mm_config_slave,(SLAVE)rmap_mem_nfee_scom_0.avalon_rmap_slave_0,(SLAVE)rmap_mem_nfee_comm_4.avalon_rmap_slave_0,(SLAVE)sysid_qsys.control_slave,(SLAVE)rmap_mem_nfee_comm_1.avalon_rmap_slave_0,(SLAVE)Communication_Module_v2_Ch6.avalon_mm_config_slave,(SLAVE)Synchronization_COMM_0.avalon_mm_config_slave,(SLAVE)rmap_mem_nfee_comm_2.avalon_rmap_slave_0,(MASTER)nios2_gen2_0.data_master,(SLAVE)ext_flash.uas,(SLAVE)jtag_uart_0.avalon_jtag_slave,(SLAVE)onchip_memory.s1,(SLAVE)Communication_Module_v2_Ch3.avalon_mm_config_slave,(SLAVE)rmap_mem_nfee_comm_3.avalon_rmap_slave_0,(SLAVE)FTDI_UMFT601A_Module.avalon_slave_config,(SLAVE)rmap_mem_nfee_comm_6.avalon_rmap_slave_0,(SLAVE)clock_bridge_afi_50.s0,(SLAVE)nios2_gen2_0.debug_mem_slave,(SLAVE)Communication_Module_v2_Ch5.avalon_mm_config_slave,(SLAVE)Communication_Module_v2_Ch1.avalon_mm_config_slave,(SLAVE)Memory_Filler.avalon_slave_config,(MASTER)nios2_gen2_0.instruction_master,(SLAVE)ddr2_address_span_extender.windowed_slave,(SLAVE)rmap_mem_nfee_comm_5.avalon_rmap_slave_0) 1 1 8 450 4740 870 4890 1890 4840 NJ 4760 NJ 4760 NJ 4760 4380 4760 5600 -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch6.conduit_end_rmap_mem_master_fee_hk,(SLAVE)rmap_mem_nfee_comm_6.conduit_end_fee_rmap_slave_1) 1 2 1 1210 -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)RMAP_Echoing.conduit_end_rmap_echo_0_in,(SLAVE)Communication_Module_v2_Ch1.conduit_end_rmap_echo_out) 1 2 7 1070 1480 NJ 1480 NJ 1480 NJ 1480 NJ 1500 NJ 1440 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.comm_3_sync,(SLAVE)Communication_Module_v2_Ch3.conduit_end_channel_sync) 1 0 3 NJ 1760 NJ 1760 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_b_enable,(SLAVE)SpaceWire_Channel_B.conduit_end_spacewire_enable) 1 0 9 NJ 3480 NJ 3480 NJ 3480 NJ 3480 NJ 3470 NJ 3470 NJ 3630 NJ 3480 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_H.conduit_end_spacewire_enable,(SLAVE)MebX_Qsys_Project.spwc_h_enable) 1 0 9 NJ 4380 NJ 4380 NJ 4380 NJ 4380 NJ 4380 NJ 4380 NJ 4450 NJ 4500 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)tristate_conduit_bridge_0.out,(SLAVE)MebX_Qsys_Project.tristate_conduit) 1 0 9 NJ 4960 NJ 4960 NJ 4960 NJ 4960 NJ 4960 NJ 5020 NJ 5020 NJ 5020 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_C.conduit_end_spacewire_leds,(SLAVE)MebX_Qsys_Project.spwc_c_leds) 1 0 9 NJ 3660 NJ 3660 NJ 3660 NJ 3660 NJ 3660 NJ 3660 NJ 3790 NJ 3740 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)RMAP_Echoing.conduit_end_rmap_echo_5_in,(SLAVE)Communication_Module_v2_Ch6.conduit_end_rmap_echo_out) 1 2 7 1170 1460 NJ 1460 NJ 1460 NJ 1460 NJ 1480 NJ 1420 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_2.conduit_end_rmap_avm_configs_in,(SLAVE)Communication_Module_v2_Ch2.conduit_end_rmap_avm_configs_out) 1 2 1 1230 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_E.conduit_end_spacewire_enable,(SLAVE)MebX_Qsys_Project.spwc_e_enable) 1 0 9 NJ 4000 NJ 4000 NJ 4000 NJ 4000 NJ 4000 NJ 4000 NJ 4210 NJ 4100 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_A.conduit_end_spacewire_lvds,(SLAVE)MebX_Qsys_Project.spwc_a_lvds) 1 0 11 NJ 3360 NJ 3360 NJ 3360 NJ 3390 NJ 3390 NJ 3390 NJ 3360 NJ 3360 NJ 3360 NJ 3360 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch6.conduit_end_channel_hk_out,(SLAVE)rmap_mem_nfee_comm_6.conduit_end_channel_hk_in) 1 2 1 1170 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)m1_ddr2_memory.pll_ref_clk,(SLAVE)MebX_Qsys_Project.m1_ddr2_memory_pll_ref_clk) 1 0 10 NJ 3060 NJ 3060 NJ 3060 NJ 2760 NJ 2760 NJ 2760 NJ 3220 NJ 2890 NJ 2890 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.rst_controller_conduit_simucam_reset,(SLAVE)rst_controller.conduit_simucam_reset) 1 0 5 NJ 4920 NJ 4920 NJ 4920 NJ 4920 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_d_lvds,(SLAVE)SpaceWire_Channel_D.conduit_end_spacewire_lvds) 1 0 11 NJ 3880 NJ 3880 NJ 3880 NJ 3880 NJ 3880 NJ 3880 NJ 3880 NJ 3880 NJ 3880 NJ 3880 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_4.conduit_end_rmap_avm_configs_in,(SLAVE)Communication_Module_v2_Ch4.conduit_end_rmap_avm_configs_out) 1 2 1 1210 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_f_enable,(SLAVE)SpaceWire_Channel_F.conduit_end_spacewire_enable) 1 0 11 NJ 4100 NJ 4100 NJ 4100 NJ 4100 NJ 4100 NJ 4100 NJ 4100 NJ 4160 NJ 4160 NJ 4160 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(MASTER)m2_ddr2_memory.afi_clk,(SLAVE)clk_200.clk_in) 1 4 1 2390 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.comm_5_sync,(SLAVE)Communication_Module_v2_Ch5.conduit_end_channel_sync) 1 0 3 NJ 700 NJ 700 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch5.conduit_end_rmap_mem_master_fee_hk,(SLAVE)rmap_mem_nfee_comm_5.conduit_end_fee_rmap_slave_1) 1 2 1 1190 +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_A.conduit_end_spacewire_channel,(SLAVE)Communication_Module_v2_Ch1.conduit_end_spacewire_controller) 1 2 9 1190 3320 NJ 3350 NJ 3350 NJ 3320 NJ 3320 NJ 3300 NJ 3300 NJ 3300 NJ +preplace netloc INTERCONNECTMebX_Qsys_Project(SLAVE)jtag_uart_0.avalon_jtag_slave,(SLAVE)ddr2_address_span_extender.windowed_slave,(SLAVE)rmap_mem_nfee_comm_2.avalon_rmap_slave_0,(SLAVE)Communication_Module_v2_Ch1.avalon_mm_config_slave,(SLAVE)ext_flash.uas,(SLAVE)nios2_gen2_0.debug_mem_slave,(SLAVE)rmap_mem_nfee_comm_5.avalon_rmap_slave_0,(SLAVE)FTDI_UMFT601A_Module.avalon_slave_config,(SLAVE)Communication_Module_v2_Ch2.avalon_mm_config_slave,(SLAVE)Communication_Module_v2_Ch5.avalon_mm_config_slave,(SLAVE)ddr2_address_span_extender.cntl,(SLAVE)Synchronization_COMM_0.avalon_mm_config_slave,(SLAVE)Communication_Module_v2_Ch3.avalon_mm_config_slave,(SLAVE)rmap_mem_nfee_comm_1.avalon_rmap_slave_0,(MASTER)nios2_gen2_0.instruction_master,(SLAVE)clock_bridge_afi_50.s0,(SLAVE)rmap_mem_nfee_comm_6.avalon_rmap_slave_0,(SLAVE)sysid_qsys.control_slave,(SLAVE)Memory_Filler.avalon_slave_config,(SLAVE)Communication_Module_v2_Ch4.avalon_mm_config_slave,(SLAVE)rmap_mem_nfee_scom_0.avalon_rmap_slave_0,(SLAVE)Communication_Module_v2_Ch6.avalon_mm_config_slave,(SLAVE)onchip_memory.s1,(MASTER)nios2_gen2_0.data_master,(SLAVE)rmap_mem_nfee_comm_3.avalon_rmap_slave_0,(SLAVE)rmap_mem_nfee_comm_4.avalon_rmap_slave_0) 1 1 10 470 4740 870 4750 1970 4820 NJ 4720 NJ 4720 NJ 4720 NJ 4720 NJ 4720 5900 4720 6440 +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)FTDI_UMFT601A_Module.umft601a_clock_sink,(MASTER)clk_ftdi.clk) 1 2 1 850 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)sync.sync_spw6,(SLAVE)MebX_Qsys_Project.sync_spw6) 1 0 11 NJ 6880 NJ 6880 NJ 6880 NJ 6880 NJ 6880 NJ 6880 NJ 6880 NJ 6880 NJ 6880 NJ 6880 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_A.conduit_end_spacewire_leds,(SLAVE)MebX_Qsys_Project.spwc_a_leds) 1 0 11 NJ 3400 NJ 3400 NJ 3400 NJ 3450 NJ 3450 NJ 3450 NJ 4150 NJ 3580 NJ 3340 NJ 3340 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch2.conduit_end_rmap_mem_master_fee_hk,(SLAVE)rmap_mem_nfee_comm_2.conduit_end_fee_rmap_slave_1) 1 2 1 1130 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.comm_4_sync,(SLAVE)Communication_Module_v2_Ch4.conduit_end_channel_sync) 1 0 3 NJ 260 NJ 260 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)sync.sync_in,(SLAVE)MebX_Qsys_Project.sync_in) 1 0 11 NJ 6680 NJ 6680 NJ 6680 NJ 6680 NJ 6680 NJ 6680 NJ 6680 NJ 6680 NJ 6680 NJ 6680 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.comm_3_sync,(SLAVE)Communication_Module_v2_Ch3.conduit_end_channel_sync) 1 0 3 NJ 1740 NJ 1740 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(MASTER)m1_ddr2_memory.afi_half_clk,(SLAVE)m1_clock_bridge.m0_clk) 1 8 3 5220 2710 NJ 2710 6320 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_h_lvds,(SLAVE)SpaceWire_Channel_H.conduit_end_spacewire_lvds) 1 0 11 NJ 4410 NJ 4410 NJ 4410 NJ 4410 NJ 4410 NJ 4410 NJ 4410 NJ 4410 NJ 4550 NJ 4550 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch1.conduit_end_rmap_echo_out,(SLAVE)RMAP_Echoing.conduit_end_rmap_echo_0_in) 1 2 9 1210 3020 NJ 2850 NJ 2910 NJ 2910 NJ 2910 NJ 2950 NJ 2950 NJ 2950 6340 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)pio_ctrl_io_lvds.external_connection,(SLAVE)MebX_Qsys_Project.ctrl_io_lvds) 1 0 11 NJ 4940 NJ 4940 NJ 4940 NJ 4940 NJ 4940 NJ 4960 NJ 4960 NJ 4960 NJ 4960 NJ 4960 NJ +preplace netloc INTERCONNECTMebX_Qsys_Project(MASTER)rmap_mem_nfee_comm_3.avalon_mm_rmap_master,(MASTER)Communication_Module_v2_Ch6.avalon_mm_right_buffer_master,(MASTER)rmap_mem_nfee_comm_1.avalon_mm_rmap_master,(MASTER)ddr2_address_span_extender.expanded_master,(SLAVE)m2_ddr2_memory.avl,(MASTER)FTDI_UMFT601A_Module.avalon_master_data,(MASTER)Communication_Module_v2_Ch5.avalon_mm_right_buffer_master,(MASTER)Communication_Module_v2_Ch1.avalon_mm_right_buffer_master,(MASTER)Communication_Module_v2_Ch3.avalon_mm_right_buffer_master,(MASTER)rmap_mem_nfee_comm_4.avalon_mm_rmap_master,(MASTER)Communication_Module_v2_Ch4.avalon_mm_left_buffer_master,(MASTER)rmap_mem_nfee_comm_5.avalon_mm_rmap_master,(MASTER)rmap_mem_nfee_comm_6.avalon_mm_rmap_master,(MASTER)Communication_Module_v2_Ch5.avalon_mm_left_buffer_master,(MASTER)Communication_Module_v2_Ch2.avalon_mm_right_buffer_master,(MASTER)Communication_Module_v2_Ch3.avalon_mm_left_buffer_master,(SLAVE)m1_clock_bridge.s0,(MASTER)Communication_Module_v2_Ch2.avalon_mm_left_buffer_master,(MASTER)Communication_Module_v2_Ch6.avalon_mm_left_buffer_master,(MASTER)Memory_Filler.avalon_master_data,(MASTER)Communication_Module_v2_Ch4.avalon_mm_right_buffer_master,(MASTER)rmap_mem_nfee_comm_2.avalon_mm_rmap_master,(MASTER)Communication_Module_v2_Ch1.avalon_mm_left_buffer_master) 1 3 6 1770 4560 2330 4510 NJ 4510 NJ 4430 NJ 4430 5160 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)tristate_conduit_bridge_0.out,(SLAVE)MebX_Qsys_Project.tristate_conduit) 1 0 11 NJ 4960 NJ 4960 NJ 4960 NJ 4960 NJ 4960 NJ 5020 NJ 5020 NJ 5020 NJ 4990 NJ 4990 NJ +preplace netloc FAN_OUTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_H.clock_sink_200mhz,(SLAVE)SpaceWire_Channel_B.clock_sink_200mhz,(SLAVE)Sync_Signal_Filter_Latch_0.clock_sink_200mhz,(MASTER)clk_200.clk,(SLAVE)SpaceWire_Channel_E.clock_sink_200mhz,(SLAVE)SpaceWire_Channel_G.clock_sink_200mhz,(SLAVE)SpaceWire_Channel_F.clock_sink_200mhz,(SLAVE)SpaceWire_Channel_C.clock_sink_200mhz,(SLAVE)SpaceWire_Channel_D.clock_sink_200mhz,(SLAVE)SpaceWire_Channel_A.clock_sink_200mhz) 1 5 6 2930 3300 NJ 3300 NJ 3280 NJ 3280 NJ 3280 6520 +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch3.conduit_end_rmap_avm_configs_out,(SLAVE)rmap_mem_nfee_comm_3.conduit_end_rmap_avm_configs_in) 1 2 1 1210 +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)RMAP_Echoing.conduit_end_rmap_echo_5_in,(SLAVE)Communication_Module_v2_Ch6.conduit_end_rmap_echo_out) 1 2 9 1150 1460 NJ 1460 NJ 1460 NJ 1460 NJ 1480 NJ 1420 NJ 1530 NJ 1530 NJ +preplace netloc FAN_OUTMebX_Qsys_Project(MASTER)m2_ddr2_memory.afi_half_clk,(SLAVE)m1_clock_bridge.s0_clk,(SLAVE)clk_100.clk_in) 1 0 9 230 4450 NJ 4450 NJ 4450 NJ 4450 2350 3120 NJ 3120 NJ 3260 NJ 3130 N +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_B.conduit_end_spacewire_leds,(SLAVE)MebX_Qsys_Project.spwc_b_leds) 1 0 11 NJ 3500 NJ 3500 NJ 3500 NJ 3490 NJ 3490 NJ 3490 NJ 3490 NJ 3620 NJ 3540 NJ 3540 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_G.conduit_end_spacewire_enable,(SLAVE)MebX_Qsys_Project.spwc_g_enable) 1 0 11 NJ 4320 NJ 4320 NJ 4320 NJ 4320 NJ 4320 NJ 4320 NJ 4310 NJ 4310 NJ 4380 NJ 4380 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_2.conduit_end_rmap_avm_configs_in,(SLAVE)Communication_Module_v2_Ch2.conduit_end_rmap_avm_configs_out) 1 2 1 1210 preplace netloc EXPORTMebX_Qsys_Project(SLAVE)clk_ftdi.clk_in,(SLAVE)MebX_Qsys_Project.ftdi_clk) 1 0 2 NJ 4810 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch2.conduit_end_spacewire_controller,(SLAVE)SpaceWire_Channel_B.conduit_end_spacewire_channel) 1 2 7 1130 3140 NJ 2940 NJ 2940 NJ 2940 NJ 3650 NJ 3500 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch4.conduit_end_channel_sync,(SLAVE)MebX_Qsys_Project.comm_4_sync) 1 0 3 NJ 260 NJ 260 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)pio_LED_painel.external_connection,(SLAVE)MebX_Qsys_Project.led_painel) 1 0 9 NJ 5390 NJ 5390 NJ 5390 NJ 5390 NJ 5390 NJ 5390 NJ 5390 NJ 5390 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.m2_ddr2_memory,(SLAVE)m2_ddr2_memory.memory) 1 0 4 NJ 3160 NJ 3160 NJ 3160 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)sync.sync_spw2,(SLAVE)MebX_Qsys_Project.sync_spw2) 1 0 9 NJ 6780 NJ 6780 NJ 6780 NJ 6780 NJ 6780 NJ 6780 NJ 6780 NJ 6780 NJ -preplace netloc FAN_OUTMebX_Qsys_Project(SLAVE)Sync_Signal_Filter_Latch_0.clock_sink_200mhz,(SLAVE)SpaceWire_Channel_D.clock_sink_200mhz,(SLAVE)SpaceWire_Channel_H.clock_sink_200mhz,(SLAVE)SpaceWire_Channel_A.clock_sink_200mhz,(SLAVE)SpaceWire_Channel_G.clock_sink_200mhz,(SLAVE)SpaceWire_Channel_C.clock_sink_200mhz,(SLAVE)SpaceWire_Channel_E.clock_sink_200mhz,(SLAVE)SpaceWire_Channel_F.clock_sink_200mhz,(MASTER)clk_200.clk,(SLAVE)SpaceWire_Channel_B.clock_sink_200mhz) 1 5 4 2950 3280 NJ 3270 NJ 3270 5560 +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch2.conduit_end_spacewire_controller,(SLAVE)SpaceWire_Channel_B.conduit_end_spacewire_channel) 1 2 9 1110 3180 NJ 2970 NJ 2970 NJ 2970 NJ 3650 NJ 3500 NJ 3500 NJ 3500 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_h_enable,(SLAVE)SpaceWire_Channel_H.conduit_end_spacewire_enable) 1 0 11 NJ 4530 NJ 4530 NJ 4530 NJ 4530 NJ 4530 NJ 4530 NJ 4490 NJ 4490 NJ 4570 NJ 4570 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)pio_LED_painel.external_connection,(SLAVE)MebX_Qsys_Project.led_painel) 1 0 11 NJ 5390 NJ 5390 NJ 5390 NJ 5390 NJ 5390 NJ 5390 NJ 5390 NJ 5390 NJ 5390 NJ 5390 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)Sync_Signal_Filter_Latch_0.conduit_end_filtered_sig,(SLAVE)MebX_Qsys_Project.sync_filtered_sig) 1 0 6 NJ 3280 NJ 3280 NJ 3280 NJ 3310 NJ 3200 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_c_lvds,(SLAVE)SpaceWire_Channel_C.conduit_end_spacewire_lvds) 1 0 11 NJ 3760 NJ 3760 NJ 3760 NJ 3760 NJ 3760 NJ 3760 NJ 3760 NJ 3760 NJ 3720 NJ 3720 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.scom_0_sync,(SLAVE)Synchronization_COMM_0.conduit_end_channel_sync) 1 0 11 NJ 920 NJ 920 NJ 920 NJ 920 NJ 920 NJ 920 NJ 920 NJ 920 NJ 920 NJ 920 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)sync.sync_spw2,(SLAVE)MebX_Qsys_Project.sync_spw2) 1 0 11 NJ 6780 NJ 6780 NJ 6780 NJ 6780 NJ 6780 NJ 6780 NJ 6780 NJ 6780 NJ 6800 NJ 6800 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_e_leds,(SLAVE)SpaceWire_Channel_E.conduit_end_spacewire_leds) 1 0 11 NJ 4080 NJ 4080 NJ 4080 NJ 4080 NJ 4080 NJ 4080 NJ 4080 NJ 4080 NJ 4080 NJ 4080 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch3.conduit_end_channel_hk_out,(SLAVE)rmap_mem_nfee_comm_3.conduit_end_channel_hk_in) 1 2 1 1190 preplace netloc EXPORTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch1.conduit_end_comm_measurements,(SLAVE)MebX_Qsys_Project.comm_1_measurements) 1 0 3 NJ 2840 NJ 2840 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.m2_ddr2_memory_pll_sharing,(SLAVE)m2_ddr2_memory.pll_sharing) 1 0 4 NJ 3220 NJ 3220 NJ 3220 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_1.conduit_end_rmap_avm_configs_in,(SLAVE)Communication_Module_v2_Ch1.conduit_end_rmap_avm_configs_out) 1 2 1 1230 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.button,(SLAVE)pio_BUTTON.external_connection) 1 0 9 NJ 1260 NJ 1260 NJ 1260 NJ 1100 NJ 1100 NJ 1100 NJ 950 NJ 1100 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch2.conduit_end_comm_measurements,(SLAVE)MebX_Qsys_Project.comm_2_measurements) 1 0 3 NJ 2260 NJ 2260 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.m2_ddr2_memory_pll_sharing,(SLAVE)m2_ddr2_memory.pll_sharing) 1 0 4 NJ 3240 NJ 3240 NJ 3240 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_1.conduit_end_rmap_avm_configs_in,(SLAVE)Communication_Module_v2_Ch1.conduit_end_rmap_avm_configs_out) 1 2 1 1210 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.button,(SLAVE)pio_BUTTON.external_connection) 1 0 11 NJ 1260 NJ 1260 NJ 1260 NJ 1100 NJ 1100 NJ 1100 NJ 950 NJ 1100 NJ 1100 NJ 1100 NJ +preplace netloc FAN_OUTMebX_Qsys_Project(SLAVE)csense_cs_n.clk,(SLAVE)pio_ftdi_umft601a_module_reset.clk,(SLAVE)pio_LED.clk,(SLAVE)csense_sck.clk,(SLAVE)timer_1ms.clk,(SLAVE)pio_LED_painel.clk,(MASTER)clk_50.clk,(SLAVE)m1_ddr2_i2c_sda.clk,(SLAVE)pio_BUTTON.clk,(SLAVE)clock_bridge_afi_50.m0_clk,(SLAVE)temp_scl.clk,(SLAVE)Sync_Signal_Filter_Latch_0.clock_sink_50mhz,(SLAVE)temp_sda.clk,(SLAVE)pio_DIP.clk,(SLAVE)rs232_uart.clk,(SLAVE)pio_iso_logic_signal_enable.clk,(SLAVE)sync.clock,(SLAVE)csense_sdo.clk,(SLAVE)pio_EXT.clk,(SLAVE)m2_ddr2_memory.pll_ref_clk,(SLAVE)sd_card_wp_n.clk,(SLAVE)timer_1us.clk,(SLAVE)m2_ddr2_i2c_sda.clk,(SLAVE)csense_sdi.clk,(SLAVE)csense_adc_fo.clk,(SLAVE)m2_ddr2_i2c_scl.clk,(SLAVE)pio_ctrl_io_lvds.clk,(SLAVE)m1_ddr2_i2c_scl.clk,(SLAVE)rst_controller.clock_sink) 1 3 8 1930 4800 2410 3160 2930 3140 NJ 3280 NJ 3260 NJ 3260 NJ 3260 6380 preplace netloc EXPORTMebX_Qsys_Project(SLAVE)clk_50.clk_in_reset,(SLAVE)MebX_Qsys_Project.rst) 1 0 3 NJ 3440 NJ 3440 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_H.conduit_end_spacewire_lvds,(SLAVE)MebX_Qsys_Project.spwc_h_lvds) 1 0 9 NJ 4420 NJ 4490 NJ 4490 NJ 4490 NJ 4550 NJ 4550 NJ 4550 NJ 4550 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.sync_in,(SLAVE)sync.sync_in) 1 0 9 NJ 6680 NJ 6680 NJ 6680 NJ 6680 NJ 6680 NJ 6680 NJ 6680 NJ 6680 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)rs232_uart.external_connection,(SLAVE)MebX_Qsys_Project.rs232_uart) 1 0 9 NJ 5660 NJ 5660 NJ 5660 NJ 5660 NJ 5660 NJ 5660 NJ 5660 NJ 5660 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.sync_spw1,(SLAVE)sync.sync_spw1) 1 0 9 NJ 6760 NJ 6760 NJ 6760 NJ 6760 NJ 6760 NJ 6760 NJ 6760 NJ 6760 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)temp_sda.external_connection,(SLAVE)MebX_Qsys_Project.temp_sda) 1 0 9 NJ 6280 NJ 6280 NJ 6280 NJ 6280 NJ 6280 NJ 6280 NJ 6280 NJ 6280 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch1.conduit_end_rmap_mem_master_fee_hk,(SLAVE)rmap_mem_nfee_comm_1.conduit_end_fee_rmap_slave_1) 1 2 1 1190 -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_5.conduit_end_rmap_avm_configs_in,(SLAVE)Communication_Module_v2_Ch5.conduit_end_rmap_avm_configs_out) 1 2 1 1230 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_G.conduit_end_spacewire_lvds,(SLAVE)MebX_Qsys_Project.spwc_g_lvds) 1 0 9 NJ 4360 NJ 4360 NJ 4360 NJ 4360 NJ 4360 NJ 4360 NJ 4410 NJ 4360 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.sync_unfiltered_sig,(SLAVE)Sync_Signal_Filter_Latch_0.conduit_end_unfiltered_sig) 1 0 6 NJ 3340 NJ 3340 NJ 3360 NJ 3390 NJ 3180 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_c_enable,(SLAVE)SpaceWire_Channel_C.conduit_end_spacewire_enable) 1 0 9 NJ 3680 NJ 3680 NJ 3680 NJ 3680 NJ 3680 NJ 3680 NJ 4290 NJ 3720 NJ -preplace netloc FAN_OUTMebX_Qsys_Project(SLAVE)pio_DIP.s1,(MASTER)clock_bridge_afi_50.m0,(SLAVE)pio_LED_painel.s1,(SLAVE)timer_1us.s1,(SLAVE)pio_BUTTON.s1,(SLAVE)m1_ddr2_i2c_scl.s1,(SLAVE)sd_card_wp_n.s1,(SLAVE)temp_scl.s1,(SLAVE)pio_ctrl_io_lvds.s1,(SLAVE)pio_ftdi_umft601a_module_reset.s1,(SLAVE)csense_sck.s1,(SLAVE)timer_1ms.s1,(SLAVE)m2_ddr2_i2c_sda.s1,(SLAVE)pio_LED.s1,(SLAVE)csense_sdi.s1,(SLAVE)rs232_uart.s1,(SLAVE)rst_controller.avalon_rst_controller_slave,(SLAVE)csense_sdo.s1,(SLAVE)m2_ddr2_i2c_scl.s1,(SLAVE)csense_cs_n.s1,(SLAVE)temp_sda.s1,(SLAVE)sync.avalon_mm_slave,(SLAVE)csense_adc_fo.s1,(SLAVE)m1_ddr2_i2c_sda.s1,(SLAVE)pio_EXT.s1,(SLAVE)pio_iso_logic_signal_enable.s1) 1 4 5 2530 1660 NJ 1660 NJ 1710 NJ 1710 5640 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)sync.sync_spw3,(SLAVE)MebX_Qsys_Project.sync_spw3) 1 0 9 NJ 6800 NJ 6800 NJ 6800 NJ 6800 NJ 6800 NJ 6800 NJ 6800 NJ 6800 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_b_leds,(SLAVE)SpaceWire_Channel_B.conduit_end_spacewire_leds) 1 0 9 NJ 3500 NJ 3500 NJ 3500 NJ 3410 NJ 3490 NJ 3490 NJ 4230 NJ 3620 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch6.conduit_end_rmap_mem_master_rmap_target,(SLAVE)rmap_mem_nfee_comm_6.conduit_end_fee_rmap_slave_0) 1 2 1 1230 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)sync.sync_spw5,(SLAVE)MebX_Qsys_Project.sync_spw5) 1 0 9 NJ 6860 NJ 6860 NJ 6860 NJ 6860 NJ 6860 NJ 6860 NJ 6860 NJ 6860 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.m1_ddr2_memory,(SLAVE)m1_ddr2_memory.memory) 1 0 8 NJ 2680 NJ 2680 NJ 2680 NJ 2670 NJ 2670 NJ 2670 NJ 2690 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.led_de4,(SLAVE)pio_LED.external_connection) 1 0 9 NJ 5290 NJ 5290 NJ 5290 NJ 5290 NJ 5290 NJ 5290 NJ 5290 NJ 5290 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)csense_sdi.external_connection,(SLAVE)MebX_Qsys_Project.csense_sdi) 1 0 9 NJ 2440 NJ 2480 NJ 2480 NJ 2410 NJ 2410 NJ 2410 NJ 2730 NJ 2380 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_5.conduit_end_channel_hk_in,(SLAVE)Communication_Module_v2_Ch5.conduit_end_channel_hk_out) 1 2 1 1190 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_d_enable,(SLAVE)SpaceWire_Channel_D.conduit_end_spacewire_enable) 1 0 9 NJ 3840 NJ 3840 NJ 3840 NJ 3840 NJ 3840 NJ 3840 NJ 4330 NJ 3840 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_H.conduit_end_spacewire_channel,(SLAVE)RMAP_Echoing.conduit_end_spacewire_controller) 1 8 1 5700 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_H.conduit_end_spacewire_leds,(SLAVE)MebX_Qsys_Project.spwc_h_leds) 1 0 9 NJ 4470 NJ 4470 NJ 4470 NJ 4470 NJ 4470 NJ 4470 NJ 4510 NJ 4520 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_3.conduit_end_rmap_avm_configs_in,(SLAVE)Communication_Module_v2_Ch3.conduit_end_rmap_avm_configs_out) 1 2 1 1230 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_b_lvds,(SLAVE)SpaceWire_Channel_B.conduit_end_spacewire_lvds) 1 0 9 NJ 3520 NJ 3520 NJ 3520 NJ 3430 NJ 3510 NJ 3510 NJ 4250 NJ 3640 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch6.conduit_end_rmap_avm_configs_out,(SLAVE)rmap_mem_nfee_comm_6.conduit_end_rmap_avm_configs_in) 1 2 1 1150 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_a_lvds,(SLAVE)SpaceWire_Channel_A.conduit_end_spacewire_lvds) 1 0 9 NJ 3320 NJ 3320 NJ 3340 NJ 3370 NJ 3120 NJ 3120 NJ 3970 NJ 3560 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.temp_scl,(SLAVE)temp_scl.external_connection) 1 0 9 NJ 6180 NJ 6180 NJ 6180 NJ 6180 NJ 6180 NJ 6180 NJ 6180 NJ 6180 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_F.conduit_end_spacewire_enable,(SLAVE)MebX_Qsys_Project.spwc_f_enable) 1 0 9 NJ 4100 NJ 4100 NJ 4100 NJ 4100 NJ 4100 NJ 4100 NJ 4270 NJ 4160 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_E.conduit_end_spacewire_channel,(SLAVE)Communication_Module_v2_Ch5.conduit_end_spacewire_controller) 1 2 7 1010 3940 NJ 3940 NJ 3940 NJ 3940 NJ 4130 NJ 3980 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_f_lvds,(SLAVE)SpaceWire_Channel_F.conduit_end_spacewire_lvds) 1 0 9 NJ 4200 NJ 4200 NJ 4200 NJ 4200 NJ 4200 NJ 4200 NJ 4490 NJ 4200 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.m1_ddr2_memory_status,(SLAVE)m1_ddr2_memory.status) 1 0 8 NJ 2980 NJ 3080 NJ 3080 NJ 2880 NJ 2880 NJ 2880 NJ 3250 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch5.conduit_end_rmap_echo_out,(SLAVE)RMAP_Echoing.conduit_end_rmap_echo_4_in) 1 2 7 1130 1520 NJ 1520 NJ 1520 NJ 1520 NJ 1560 NJ 1560 5440 -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_3.conduit_end_channel_hk_in,(SLAVE)Communication_Module_v2_Ch3.conduit_end_channel_hk_out) 1 2 1 1210 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_F.conduit_end_spacewire_leds,(SLAVE)MebX_Qsys_Project.spwc_f_leds) 1 0 9 NJ 4180 NJ 4180 NJ 4180 NJ 4180 NJ 4180 NJ 4180 NJ 4470 NJ 4180 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.sync_spw6,(SLAVE)sync.sync_spw6) 1 0 9 NJ 6840 NJ 6840 NJ 6840 NJ 6840 NJ 6840 NJ 6840 NJ 6840 NJ 6840 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch6.conduit_end_spacewire_controller,(SLAVE)SpaceWire_Channel_F.conduit_end_spacewire_channel) 1 2 7 1030 4140 NJ 4140 NJ 4140 NJ 4140 NJ 4430 NJ 4140 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_g_lvds,(SLAVE)SpaceWire_Channel_G.conduit_end_spacewire_lvds) 1 0 11 NJ 4270 NJ 4270 NJ 4270 NJ 4270 NJ 4270 NJ 4270 NJ 4270 NJ 4270 NJ 4360 NJ 4360 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.comm_2_sync,(SLAVE)Communication_Module_v2_Ch2.conduit_end_channel_sync) 1 0 3 NJ 2220 NJ 2220 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)rs232_uart.external_connection,(SLAVE)MebX_Qsys_Project.rs232_uart) 1 0 11 NJ 5660 NJ 5660 NJ 5660 NJ 5660 NJ 5660 NJ 5660 NJ 5660 NJ 5660 NJ 5660 NJ 5660 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.sync_spw1,(SLAVE)sync.sync_spw1) 1 0 11 NJ 6760 NJ 6760 NJ 6760 NJ 6760 NJ 6760 NJ 6760 NJ 6760 NJ 6760 NJ 6780 NJ 6780 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)temp_sda.external_connection,(SLAVE)MebX_Qsys_Project.temp_sda) 1 0 11 NJ 6280 NJ 6280 NJ 6280 NJ 6280 NJ 6280 NJ 6280 NJ 6280 NJ 6280 NJ 6280 NJ 6280 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_5.conduit_end_rmap_avm_configs_in,(SLAVE)Communication_Module_v2_Ch5.conduit_end_rmap_avm_configs_out) 1 2 1 1210 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_c_enable,(SLAVE)SpaceWire_Channel_C.conduit_end_spacewire_enable) 1 0 11 NJ 3680 NJ 3680 NJ 3680 NJ 3680 NJ 3680 NJ 3680 NJ 4290 NJ 3720 NJ 3680 NJ 3680 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)sync.sync_spw3,(SLAVE)MebX_Qsys_Project.sync_spw3) 1 0 11 NJ 6800 NJ 6800 NJ 6800 NJ 6800 NJ 6800 NJ 6800 NJ 6800 NJ 6800 NJ 6820 NJ 6820 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_a_enable,(SLAVE)SpaceWire_Channel_A.conduit_end_spacewire_enable) 1 0 11 NJ 3340 NJ 3340 NJ 3340 NJ 3370 NJ 3370 NJ 3370 NJ 3340 NJ 3320 NJ 3320 NJ 3320 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)sync.sync_spw5,(SLAVE)MebX_Qsys_Project.sync_spw5) 1 0 11 NJ 6860 NJ 6860 NJ 6860 NJ 6860 NJ 6860 NJ 6860 NJ 6860 NJ 6860 NJ 6860 NJ 6860 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_d_leds,(SLAVE)SpaceWire_Channel_D.conduit_end_spacewire_leds) 1 0 11 NJ 3860 NJ 3860 NJ 3860 NJ 3860 NJ 3860 NJ 3860 NJ 3860 NJ 3860 NJ 3860 NJ 3860 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(MASTER)m1_clock_bridge.m0,(SLAVE)m1_ddr2_memory.avl) 1 9 1 5900 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.led_de4,(SLAVE)pio_LED.external_connection) 1 0 11 NJ 5290 NJ 5290 NJ 5290 NJ 5290 NJ 5290 NJ 5290 NJ 5290 NJ 5290 NJ 5290 NJ 5290 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch5.conduit_end_rmap_mem_master_rmap_target,(SLAVE)rmap_mem_nfee_comm_5.conduit_end_fee_rmap_slave_0) 1 2 1 1150 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)csense_sdi.external_connection,(SLAVE)MebX_Qsys_Project.csense_sdi) 1 0 11 NJ 2440 NJ 2460 NJ 2460 NJ 2410 NJ 2410 NJ 2410 NJ 2730 NJ 2380 NJ 2380 NJ 2380 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_6.conduit_end_rmap_avm_configs_in,(SLAVE)Communication_Module_v2_Ch6.conduit_end_rmap_avm_configs_out) 1 2 1 1130 +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_5.conduit_end_channel_hk_in,(SLAVE)Communication_Module_v2_Ch5.conduit_end_channel_hk_out) 1 2 1 1170 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_d_enable,(SLAVE)SpaceWire_Channel_D.conduit_end_spacewire_enable) 1 0 11 NJ 3840 NJ 3840 NJ 3840 NJ 3840 NJ 3840 NJ 3840 NJ 4330 NJ 3840 NJ 3840 NJ 3840 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)RMAP_Echoing.conduit_end_rmap_echo_3_in,(SLAVE)Communication_Module_v2_Ch4.conduit_end_rmap_echo_out) 1 2 9 1090 1640 NJ 1550 NJ 1550 NJ 1550 NJ 1550 NJ 1550 NJ 1550 NJ 1550 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)temp_scl.external_connection,(SLAVE)MebX_Qsys_Project.temp_scl) 1 0 11 NJ 6180 NJ 6180 NJ 6180 NJ 6180 NJ 6180 NJ 6180 NJ 6180 NJ 6180 NJ 6180 NJ 6180 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_H.conduit_end_spacewire_leds,(SLAVE)MebX_Qsys_Project.spwc_h_leds) 1 0 11 NJ 4470 NJ 4470 NJ 4470 NJ 4470 NJ 4470 NJ 4470 NJ 4510 NJ 4520 NJ 4590 NJ 4590 6580 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.comm_2_measurements,(SLAVE)Communication_Module_v2_Ch2.conduit_end_comm_measurements) 1 0 3 NJ 2240 NJ 2240 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_b_lvds,(SLAVE)SpaceWire_Channel_B.conduit_end_spacewire_lvds) 1 0 11 NJ 3520 NJ 3520 NJ 3520 NJ 3430 NJ 3510 NJ 3510 NJ 4250 NJ 3640 NJ 3560 NJ 3560 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)csense_sdo.external_connection,(SLAVE)MebX_Qsys_Project.csense_sdo) 1 0 11 NJ 2480 NJ 2480 NJ 2480 NJ 2470 NJ 2470 NJ 2470 NJ 2510 NJ 2510 NJ 2510 NJ 2510 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_E.conduit_end_spacewire_channel,(SLAVE)Communication_Module_v2_Ch5.conduit_end_spacewire_controller) 1 2 9 1030 3940 NJ 3940 NJ 3940 NJ 3940 NJ 4130 NJ 3980 NJ 3980 NJ 3980 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_f_lvds,(SLAVE)SpaceWire_Channel_F.conduit_end_spacewire_lvds) 1 0 11 NJ 4200 NJ 4200 NJ 4200 NJ 4200 NJ 4200 NJ 4200 NJ 4470 NJ 4200 NJ 4200 NJ 4200 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Synchronization_COMM_0.conduit_end_channel_hk_out,(SLAVE)rmap_mem_nfee_scom_0.conduit_end_channel_hk_in) 1 10 1 6560 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.m1_ddr2_memory_status,(SLAVE)m1_ddr2_memory.status) 1 0 10 NJ 2980 NJ 3080 NJ 3080 NJ 2870 NJ 2870 NJ 2870 NJ 3240 NJ 2910 NJ 2910 NJ +preplace netloc FAN_OUTMebX_Qsys_Project(SLAVE)FTDI_UMFT601A_Module.clock_sink,(SLAVE)SpaceWire_Channel_F.clock_sink_100mhz,(SLAVE)SpaceWire_Channel_G.clock_sink_100mhz,(SLAVE)Communication_Module_v2_Ch3.clock_sink,(SLAVE)SpaceWire_Channel_E.clock_sink_100mhz,(SLAVE)tristate_conduit_bridge_0.clk,(SLAVE)rmap_mem_nfee_comm_1.clock_sink_100mhz,(SLAVE)onchip_memory.clk1,(SLAVE)rmap_mem_nfee_comm_6.clock_sink_100mhz,(SLAVE)Memory_Filler.clock_sink,(SLAVE)ext_flash.clk,(SLAVE)ddr2_address_span_extender.clock,(SLAVE)SpaceWire_Channel_C.clock_sink_100mhz,(SLAVE)Synchronization_COMM_0.clock_sink,(SLAVE)rmap_mem_nfee_comm_5.clock_sink_100mhz,(SLAVE)RMAP_Echoing.clock_sink_100mhz,(SLAVE)sysid_qsys.clk,(SLAVE)nios2_gen2_0.clk,(SLAVE)clock_bridge_afi_50.s0_clk,(SLAVE)Communication_Module_v2_Ch5.clock_sink,(SLAVE)rmap_mem_nfee_scom_0.clock_sink_100mhz,(SLAVE)SpaceWire_Channel_D.clock_sink_100mhz,(SLAVE)rmap_mem_nfee_comm_2.clock_sink_100mhz,(SLAVE)SpaceWire_Channel_A.clock_sink_100mhz,(SLAVE)jtag_uart_0.clk,(SLAVE)SpaceWire_Channel_H.clock_sink_100mhz,(SLAVE)rmap_mem_nfee_comm_4.clock_sink_100mhz,(SLAVE)Communication_Module_v2_Ch2.clock_sink,(SLAVE)Communication_Module_v2_Ch4.clock_sink,(SLAVE)Communication_Module_v2_Ch6.clock_sink,(SLAVE)Communication_Module_v2_Ch1.clock_sink,(SLAVE)SpaceWire_Channel_B.clock_sink_100mhz,(SLAVE)rmap_mem_nfee_comm_3.clock_sink_100mhz,(MASTER)clk_100.clk) 1 1 10 450 4560 930 4550 1690 4840 NJ 4740 NJ 4740 NJ 4740 NJ 4740 NJ 4740 6020 4700 6420 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.sync_spw4,(SLAVE)sync.sync_spw4) 1 0 11 NJ 6840 NJ 6840 NJ 6840 NJ 6840 NJ 6840 NJ 6840 NJ 6840 NJ 6840 NJ 6840 NJ 6840 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_D.conduit_end_spacewire_channel,(SLAVE)Communication_Module_v2_Ch4.conduit_end_spacewire_controller) 1 2 9 1010 3820 NJ 3820 NJ 3820 NJ 3820 NJ 3820 NJ 3820 NJ 3820 NJ 3820 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)RMAP_Echoing.conduit_end_spacewire_controller,(SLAVE)SpaceWire_Channel_H.conduit_end_spacewire_channel) 1 10 1 6580 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.m2_ddr2_oct,(SLAVE)m2_ddr2_memory.oct) 1 0 4 NJ 3220 NJ 3220 NJ 3220 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_F.conduit_end_spacewire_leds,(SLAVE)MebX_Qsys_Project.spwc_f_leds) 1 0 11 NJ 4180 NJ 4180 NJ 4180 NJ 4180 NJ 4180 NJ 4180 NJ 4450 NJ 4180 NJ 4180 NJ 4180 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.spwc_e_enable,(SLAVE)SpaceWire_Channel_E.conduit_end_spacewire_enable) 1 0 11 NJ 4060 NJ 4060 NJ 4060 NJ 4060 NJ 4060 NJ 4060 NJ 4060 NJ 4060 NJ 4060 NJ 4060 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)sync.sync_out_en,(SLAVE)MebX_Qsys_Project.sync_out_en) 1 0 11 NJ 6740 NJ 6740 NJ 6740 NJ 6740 NJ 6740 NJ 6740 NJ 6740 NJ 6740 NJ 6760 NJ 6760 NJ +preplace netloc FAN_OUTMebX_Qsys_Project(SLAVE)pio_iso_logic_signal_enable.s1,(SLAVE)pio_BUTTON.s1,(SLAVE)pio_ftdi_umft601a_module_reset.s1,(SLAVE)sd_card_wp_n.s1,(SLAVE)rs232_uart.s1,(SLAVE)m2_ddr2_i2c_scl.s1,(SLAVE)pio_LED_painel.s1,(SLAVE)pio_LED.s1,(SLAVE)csense_sdi.s1,(SLAVE)csense_cs_n.s1,(MASTER)clock_bridge_afi_50.m0,(SLAVE)m1_ddr2_i2c_sda.s1,(SLAVE)temp_sda.s1,(SLAVE)rst_controller.avalon_rst_controller_slave,(SLAVE)temp_scl.s1,(SLAVE)m2_ddr2_i2c_sda.s1,(SLAVE)csense_adc_fo.s1,(SLAVE)m1_ddr2_i2c_scl.s1,(SLAVE)pio_EXT.s1,(SLAVE)csense_sdo.s1,(SLAVE)timer_1ms.s1,(SLAVE)pio_ctrl_io_lvds.s1,(SLAVE)pio_DIP.s1,(SLAVE)sync.avalon_mm_slave,(SLAVE)timer_1us.s1,(SLAVE)csense_sck.s1) 1 4 7 2490 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 NJ 1660 6540 +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch6.conduit_end_spacewire_controller,(SLAVE)SpaceWire_Channel_F.conduit_end_spacewire_channel) 1 2 9 1050 4140 NJ 4140 NJ 4140 NJ 4140 NJ 4390 NJ 4140 NJ 4140 NJ 4140 NJ preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.m2_ddr2_memory_status,(SLAVE)m2_ddr2_memory.status) 1 0 4 NJ 3260 NJ 3260 NJ 3260 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.sync_spw8,(SLAVE)sync.sync_spw8) 1 0 9 NJ 6920 NJ 6920 NJ 6920 NJ 6920 NJ 6920 NJ 6920 NJ 6920 NJ 6920 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_E.conduit_end_spacewire_leds,(SLAVE)MebX_Qsys_Project.spwc_e_leds) 1 0 9 NJ 4020 NJ 4020 NJ 4020 NJ 4020 NJ 4020 NJ 4020 NJ 4370 NJ 4020 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_D.conduit_end_spacewire_leds,(SLAVE)MebX_Qsys_Project.spwc_d_leds) 1 0 9 NJ 3960 NJ 3960 NJ 3960 NJ 3960 NJ 3960 NJ 3960 NJ 4170 NJ 4060 NJ -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.csense_sck,(SLAVE)csense_sck.external_connection) 1 0 9 NJ 2460 NJ 2460 NJ 2460 NJ 2240 NJ 2240 NJ 2240 NJ 2710 NJ 2280 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch4.conduit_end_channel_hk_out,(SLAVE)rmap_mem_nfee_comm_4.conduit_end_channel_hk_in) 1 2 1 1190 -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_scom_0.conduit_end_fee_rmap_slave_1,(SLAVE)Synchronization_COMM_0.conduit_end_rmap_mem_master_fee_hk) 1 8 1 5700 -preplace netloc INTERCONNECTMebX_Qsys_Project(SLAVE)m1_clock_bridge_ch4_left.s0,(SLAVE)m1_clock_bridge_ch1_left.s0,(MASTER)Communication_Module_v2_Ch4.avalon_mm_left_buffer_master,(MASTER)Communication_Module_v2_Ch3.avalon_mm_right_buffer_master,(MASTER)Communication_Module_v2_Ch5.avalon_mm_left_buffer_master,(SLAVE)m1_clock_bridge_ch6_left.s0,(SLAVE)m2_ddr2_memory.avl,(MASTER)Communication_Module_v2_Ch6.avalon_mm_right_buffer_master,(SLAVE)m1_clock_bridge_ftdi.s0,(SLAVE)m1_clock_bridge_ch1_rmap.s0,(MASTER)Communication_Module_v2_Ch1.avalon_mm_left_buffer_master,(MASTER)rmap_mem_nfee_comm_5.avalon_mm_rmap_master,(SLAVE)m1_clock_bridge_ch6_rmap.s0,(MASTER)Communication_Module_v2_Ch5.avalon_mm_right_buffer_master,(SLAVE)m1_clock_bridge_ch3_right.s0,(MASTER)rmap_mem_nfee_comm_3.avalon_mm_rmap_master,(SLAVE)m1_clock_bridge_ch4_rmap.s0,(SLAVE)m1_clock_bridge_ch5_left.s0,(MASTER)Communication_Module_v2_Ch4.avalon_mm_right_buffer_master,(SLAVE)m1_clock_bridge_ch4_right.s0,(SLAVE)m1_clock_bridge_ch5_right.s0,(SLAVE)m1_clock_bridge_ch3_left.s0,(MASTER)rmap_mem_nfee_comm_4.avalon_mm_rmap_master,(MASTER)rmap_mem_nfee_comm_6.avalon_mm_rmap_master,(SLAVE)m1_clock_bridge_ch5_rmap.s0,(MASTER)Communication_Module_v2_Ch1.avalon_mm_right_buffer_master,(SLAVE)m1_clock_bridge_ch2_rmap.s0,(MASTER)Communication_Module_v2_Ch2.avalon_mm_left_buffer_master,(SLAVE)m1_clock_bridge_ch1_right.s0,(MASTER)FTDI_UMFT601A_Module.avalon_master_data,(MASTER)Communication_Module_v2_Ch6.avalon_mm_left_buffer_master,(SLAVE)m1_clock_bridge_ch2_left.s0,(MASTER)rmap_mem_nfee_comm_1.avalon_mm_rmap_master,(SLAVE)m1_clock_bridge_ch2_right.s0,(MASTER)rmap_mem_nfee_comm_2.avalon_mm_rmap_master,(MASTER)Communication_Module_v2_Ch2.avalon_mm_right_buffer_master,(SLAVE)m1_clock_bridge_ch3_rmap.s0,(SLAVE)m1_clock_bridge_ch6_right.s0,(MASTER)Communication_Module_v2_Ch3.avalon_mm_left_buffer_master,(SLAVE)m1_clock_bridge_general.s0,(MASTER)Memory_Filler.avalon_master_data,(MASTER)ddr2_address_span_extender.expanded_master) 1 3 4 1790 4560 2430 4570 NJ 4570 3750 -preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_G.conduit_end_spacewire_leds,(SLAVE)MebX_Qsys_Project.spwc_g_leds) 1 0 9 NJ 4300 NJ 4300 NJ 4300 NJ 4300 NJ 4300 NJ 4300 NJ 4350 NJ 4340 NJ -preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_scom_0.conduit_end_channel_hk_in,(SLAVE)Synchronization_COMM_0.conduit_end_channel_hk_out) 1 8 1 5680 -levelinfo -pg 1 0 200 6050 -levelinfo -hier MebX_Qsys_Project 210 260 610 1260 2110 2660 3080 4190 5060 5770 6040 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)MebX_Qsys_Project.sync_spw8,(SLAVE)sync.sync_spw8) 1 0 11 NJ 6920 NJ 6920 NJ 6920 NJ 6920 NJ 6920 NJ 6920 NJ 6920 NJ 6920 NJ 6920 NJ 6920 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_comm_1.conduit_end_channel_hk_in,(SLAVE)Communication_Module_v2_Ch1.conduit_end_channel_hk_out) 1 2 1 1170 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)csense_sck.external_connection,(SLAVE)MebX_Qsys_Project.csense_sck) 1 0 11 NJ 2420 NJ 2420 NJ 2440 NJ 2280 NJ 2280 NJ 2280 NJ 2280 NJ 2280 NJ 2280 NJ 2280 NJ +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)rmap_mem_nfee_scom_0.conduit_end_fee_rmap_slave_1,(SLAVE)Synchronization_COMM_0.conduit_end_rmap_mem_master_fee_hk) 1 10 1 6580 +preplace netloc POINT_TO_POINTMebX_Qsys_Project(SLAVE)Communication_Module_v2_Ch1.conduit_end_rmap_mem_master_rmap_target,(SLAVE)rmap_mem_nfee_comm_1.conduit_end_fee_rmap_slave_0) 1 2 1 1130 +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)rst_controller.conduit_reset_input,(SLAVE)MebX_Qsys_Project.rst_controller_conduit_reset_input) 1 0 5 NJ 4890 NJ 4890 NJ 4890 NJ 4890 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)Sync_Signal_Filter_Latch_0.conduit_end_unfiltered_sig,(SLAVE)MebX_Qsys_Project.sync_unfiltered_sig) 1 0 6 NJ 3300 NJ 3300 NJ 3300 NJ 3330 NJ 3220 NJ +preplace netloc EXPORTMebX_Qsys_Project(SLAVE)SpaceWire_Channel_G.conduit_end_spacewire_leds,(SLAVE)MebX_Qsys_Project.spwc_g_leds) 1 0 11 NJ 4300 NJ 4300 NJ 4300 NJ 4300 NJ 4300 NJ 4300 NJ 4350 NJ 4340 NJ 4400 NJ 4400 6560 +levelinfo -pg 1 0 200 6930 +levelinfo -hier MebX_Qsys_Project 210 260 610 1260 2110 2660 3080 4190 5060 5770 6120 6650 6920 diff --git a/G3U_HW_V02_2GB/Qsys_Project/.qsys_edit/preferences.xml b/G3U_HW_V02_2GB/Qsys_Project/.qsys_edit/preferences.xml index 891ff014..165ed684 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/.qsys_edit/preferences.xml +++ b/G3U_HW_V02_2GB/Qsys_Project/.qsys_edit/preferences.xml @@ -3,14 +3,14 @@ - + - + - - + + diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project.qsys b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project.qsys index 8e0b6573..3c9cbdba 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project.qsys +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project.qsys @@ -580,7 +580,7 @@ } datum sopceditor_expanded { - value = "0"; + value = "1"; type = "boolean"; } } @@ -1517,7 +1517,7 @@ { datum _sortIndex { - value = "92"; + value = "93"; type = "int"; } datum sopceditor_expanded @@ -1534,6 +1534,27 @@ type = "String"; } } + element pio_rmap_echoing_module_reset + { + datum _sortIndex + { + value = "92"; + type = "int"; + } + datum sopceditor_expanded + { + value = "0"; + type = "boolean"; + } + } + element pio_rmap_echoing_module_reset.s1 + { + datum baseAddress + { + value = "3072"; + type = "String"; + } + } element rmap_mem_nfee_comm_1 { datum _sortIndex @@ -2224,6 +2245,16 @@ internal="pio_iso_logic_signal_enable.external_connection" type="conduit" dir="end" /> + + - + - - + + @@ -3821,8 +3852,8 @@ kind="altera_nios2_gen2" version="18.1" enabled="1"> - - + + @@ -3840,7 +3871,7 @@ - ]]> + ]]> @@ -4158,6 +4189,24 @@ + + + + + + + + + + + + + + + + + + + + + - + java.lang.Integer - 1635423393 + 1681054324 false true false @@ -14526,7 +14526,7 @@ parameters are a RESULT of the module parameters. --> @@ -14591,6 +14591,51 @@ parameters are a RESULT of the module parameters. --> reset + + + + java.lang.String + clock_sink_100mhz + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + echo_rst_i + Input + 1 + reset + + clk pio_iso_logic_signal_enable.clk + + false + pio_rmap_echoing_module_reset + clk + pio_rmap_echoing_module_reset.clk + false sync @@ -24581,6 +24632,14 @@ parameters are a RESULT of the module parameters. --> 2832 16 + + false + pio_rmap_echoing_module_reset + s1 + pio_rmap_echoing_module_reset.s1 + 3072 + 16 + java.lang.Integer - 5 + 6 false true false @@ -30444,7 +30503,7 @@ the requested settings for a module instance. --> java.lang.Integer - 5 + 6 false true false @@ -64924,7 +64983,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -65130,7 +65189,7 @@ the requested settings for a module instance. --> java.lang.Integer - 5 + 6 false true false @@ -65140,7 +65199,7 @@ the requested settings for a module instance. --> java.lang.Integer - 5 + 6 false true false @@ -65958,6 +66017,14 @@ parameters are a RESULT of the module parameters. --> 2147486480 16 + + false + pio_rmap_echoing_module_reset + s1 + pio_rmap_echoing_module_reset.s1 + 2147486720 + 16 + false onchip_memory @@ -67892,27 +67959,783 @@ parameters are a RESULT of the module parameters. --> true true - reset + reset + false + + reset + Input + 1 + reset + + + reset_req + Input + 1 + reset_req + + + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 4 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 1 + + + embeddedsw.CMacro.HAS_OUT + 0 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + embeddedsw.dts.group + gpio + + + embeddedsw.dts.name + pio + + + embeddedsw.dts.params.altr,gpio-bank-width + 4 + + + embeddedsw.dts.params.resetvalue + 0 + + + embeddedsw.dts.vendor + altr + + + boolean + false + false + false + true + true + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + Input + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + false + true + true + + + boolean + false + false + true + true + true + + + long + 0 + false + false + true + true + + + int + 4 + false + true + true + true + + + long + 50000000 + false + true + false + true + CLOCK_RATE + clk + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit false - reset - Input - 1 - reset - - - reset_req + in_port Input - 1 - reset_req + 4 + export - + @@ -67929,7 +68752,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.DATA_WIDTH - 4 + 8 embeddedsw.CMacro.DO_TEST_BENCH_WIRING @@ -67981,7 +68804,7 @@ the requested settings for a module instance. --> embeddedsw.dts.params.altr,gpio-bank-width - 4 + 8 embeddedsw.dts.params.resetvalue @@ -68073,7 +68896,7 @@ the requested settings for a module instance. --> int - 4 + 8 false true true @@ -68663,17 +69486,17 @@ parameters are a RESULT of the module parameters. --> in_port Input - 4 + 8 export - + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER - 0 + 1 embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER @@ -68685,7 +69508,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.DATA_WIDTH - 8 + 1 embeddedsw.CMacro.DO_TEST_BENCH_WIRING @@ -68737,7 +69560,7 @@ the requested settings for a module instance. --> embeddedsw.dts.params.altr,gpio-bank-width - 8 + 1 embeddedsw.dts.params.resetvalue @@ -68749,7 +69572,7 @@ the requested settings for a module instance. --> boolean - false + true false false true @@ -68829,7 +69652,7 @@ the requested settings for a module instance. --> int - 8 + 1 false true true @@ -69419,17 +70242,17 @@ parameters are a RESULT of the module parameters. --> in_port Input - 8 + 1 export - + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER - 1 + 0 embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER @@ -69441,7 +70264,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.DATA_WIDTH - 1 + 8 embeddedsw.CMacro.DO_TEST_BENCH_WIRING @@ -69461,11 +70284,11 @@ the requested settings for a module instance. --> embeddedsw.CMacro.HAS_IN - 1 + 0 embeddedsw.CMacro.HAS_OUT - 0 + 1 embeddedsw.CMacro.HAS_TRI @@ -69493,7 +70316,7 @@ the requested settings for a module instance. --> embeddedsw.dts.params.altr,gpio-bank-width - 1 + 8 embeddedsw.dts.params.resetvalue @@ -69505,7 +70328,7 @@ the requested settings for a module instance. --> boolean - true + false false false true @@ -69515,7 +70338,7 @@ the requested settings for a module instance. --> boolean false false - false + true true true @@ -69523,13 +70346,13 @@ the requested settings for a module instance. --> boolean false false - true + false true true java.lang.String - Input + Output false true true @@ -69547,7 +70370,7 @@ the requested settings for a module instance. --> boolean false false - true + false true true @@ -69563,7 +70386,7 @@ the requested settings for a module instance. --> long 0 false - false + true true true @@ -69571,7 +70394,7 @@ the requested settings for a module instance. --> boolean false false - true + false true true @@ -69585,7 +70408,7 @@ the requested settings for a module instance. --> int - 1 + 8 false true true @@ -69611,7 +70434,7 @@ the requested settings for a module instance. --> boolean - false + true true true false @@ -69619,7 +70442,7 @@ the requested settings for a module instance. --> boolean - true + false true true false @@ -70127,6 +70950,24 @@ parameters are a RESULT of the module parameters. --> 2 address + + write_n + Input + 1 + write_n + + + writedata + Input + 32 + writedata + + + chipselect + Input + 1 + chipselect + readdata Output @@ -70173,14 +71014,18 @@ parameters are a RESULT of the module parameters. --> conduit false - in_port - Input - 1 + out_port + Output + 8 export - + @@ -70197,7 +71042,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.DATA_WIDTH - 8 + 21 embeddedsw.CMacro.DO_TEST_BENCH_WIRING @@ -70233,7 +71078,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.RESET_VALUE - 0 + 65536 embeddedsw.dts.compatible @@ -70249,11 +71094,11 @@ the requested settings for a module instance. --> embeddedsw.dts.params.altr,gpio-bank-width - 8 + 21 embeddedsw.dts.params.resetvalue - 0 + 65536 embeddedsw.dts.vendor @@ -70317,7 +71162,7 @@ the requested settings for a module instance. --> long - 0 + 65536 false true true @@ -70341,7 +71186,7 @@ the requested settings for a module instance. --> int - 8 + 21 false true true @@ -70949,16 +71794,16 @@ parameters are a RESULT of the module parameters. --> out_port Output - 8 + 21 export + path="pio_ctrl_io_lvds"> @@ -70975,7 +71820,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.DATA_WIDTH - 21 + 4 embeddedsw.CMacro.DO_TEST_BENCH_WIRING @@ -71011,7 +71856,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.RESET_VALUE - 65536 + 4 embeddedsw.dts.compatible @@ -71027,11 +71872,11 @@ the requested settings for a module instance. --> embeddedsw.dts.params.altr,gpio-bank-width - 21 + 4 embeddedsw.dts.params.resetvalue - 65536 + 4 embeddedsw.dts.vendor @@ -71095,7 +71940,7 @@ the requested settings for a module instance. --> long - 65536 + 4 false true true @@ -71119,7 +71964,7 @@ the requested settings for a module instance. --> int - 21 + 4 false true true @@ -71727,16 +72572,16 @@ parameters are a RESULT of the module parameters. --> out_port Output - 21 + 4 export + path="pio_ftdi_umft601a_module_reset"> @@ -71753,7 +72598,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.DATA_WIDTH - 4 + 1 embeddedsw.CMacro.DO_TEST_BENCH_WIRING @@ -71789,7 +72634,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.RESET_VALUE - 4 + 0 embeddedsw.dts.compatible @@ -71805,11 +72650,11 @@ the requested settings for a module instance. --> embeddedsw.dts.params.altr,gpio-bank-width - 4 + 1 embeddedsw.dts.params.resetvalue - 4 + 0 embeddedsw.dts.vendor @@ -71873,7 +72718,7 @@ the requested settings for a module instance. --> long - 4 + 0 false true true @@ -71897,7 +72742,7 @@ the requested settings for a module instance. --> int - 4 + 1 false true true @@ -72505,16 +73350,16 @@ parameters are a RESULT of the module parameters. --> out_port Output - 4 + 1 export + path="pio_iso_logic_signal_enable"> @@ -73289,10 +74134,10 @@ parameters are a RESULT of the module parameters. --> + path="pio_rmap_echoing_module_reset"> @@ -85436,7 +86281,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.TIMESTAMP - 1635423393 + 1681054324 embeddedsw.dts.compatible @@ -85456,7 +86301,7 @@ the requested settings for a module instance. --> embeddedsw.dts.params.timestamp - 1635423393 + 1681054324 embeddedsw.dts.vendor @@ -85472,7 +86317,7 @@ the requested settings for a module instance. --> int - 1635423393 + 1681054324 true false false @@ -94254,6 +95099,57 @@ parameters are a RESULT of the module parameters. --> pio_iso_logic_signal_enable s1 + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x0c00 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock_bridge_afi_50 + m0 + pio_rmap_echoing_module_reset + s1 + pio_iso_logic_signal_enable clk + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_50 + clk + pio_rmap_echoing_module_reset + clk + pio_iso_logic_signal_enable reset + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_50 + clk_reset + pio_rmap_echoing_module_reset + reset + 1.6 - 66 + 68 reset_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -101768,7 +102718,7 @@ parameters are a RESULT of the module parameters. --> 18.1 - 74 + 75 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -101776,7 +102726,7 @@ parameters are a RESULT of the module parameters. --> 18.1 - 168 + 169 conduit_end com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -101784,7 +102734,7 @@ parameters are a RESULT of the module parameters. --> 18.1 - 52 + 53 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -101829,7 +102779,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule RMAP_Echoing - 1.3 + 1.4 8 @@ -101904,7 +102854,7 @@ parameters are a RESULT of the module parameters. --> 18.1 - 20 + 21 altera_avalon_pio com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule @@ -102072,7 +103022,7 @@ parameters are a RESULT of the module parameters. --> 18.1 - 95 + 96 avalon com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -102080,7 +103030,7 @@ parameters are a RESULT of the module parameters. --> 18.1 - 75 + 76 clock com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -102104,7 +103054,7 @@ parameters are a RESULT of the module parameters. --> 18.1 - 74 + 75 reset com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project.bsf b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project.bsf index b90dce37..a81d63da 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project.bsf +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project.bsf @@ -20,9 +20,9 @@ refer to the applicable agreement for further details. */ (header "symbol" (version "1.1")) (symbol - (rect 0 0 832 5696) + (rect 0 0 832 5776) (text "MebX_Qsys_Project" (rect 356 -1 440 11)(font "Arial" (font_size 10))) - (text "inst" (rect 8 5680 20 5692)(font "Arial" )) + (text "inst" (rect 8 5760 20 5772)(font "Arial" )) (port (pt 0 72) (input) @@ -150,424 +150,431 @@ refer to the applicable agreement for further details. (line (pt 0 2176)(pt 336 2176)(line_width 1)) ) (port - (pt 0 2296) + (pt 0 2336) + (input) + (text "rmap_echoing_echo_rst_sink_reset" (rect 0 0 145 12)(font "Arial" (font_size 8))) + (text "rmap_echoing_echo_rst_sink_reset" (rect 4 2325 196 2336)(font "Arial" (font_size 8))) + (line (pt 0 2336)(pt 336 2336)(line_width 1)) + ) + (port + (pt 0 2376) (input) (text "rs232_uart_rxd" (rect 0 0 62 12)(font "Arial" (font_size 8))) - (text "rs232_uart_rxd" (rect 4 2285 88 2296)(font "Arial" (font_size 8))) - (line (pt 0 2296)(pt 336 2296)(line_width 1)) + (text "rs232_uart_rxd" (rect 4 2365 88 2376)(font "Arial" (font_size 8))) + (line (pt 0 2376)(pt 336 2376)(line_width 1)) ) (port - (pt 0 2352) + (pt 0 2432) (input) (text "rst_reset_n" (rect 0 0 47 12)(font "Arial" (font_size 8))) - (text "rst_reset_n" (rect 4 2341 70 2352)(font "Arial" (font_size 8))) - (line (pt 0 2352)(pt 336 2352)(line_width 1)) + (text "rst_reset_n" (rect 4 2421 70 2432)(font "Arial" (font_size 8))) + (line (pt 0 2432)(pt 336 2432)(line_width 1)) ) (port - (pt 0 2392) + (pt 0 2472) (input) (text "rst_controller_conduit_reset_input_t_reset_input_signal" (rect 0 0 219 12)(font "Arial" (font_size 8))) - (text "rst_controller_conduit_reset_input_t_reset_input_signal" (rect 4 2381 334 2392)(font "Arial" (font_size 8))) - (line (pt 0 2392)(pt 336 2392)(line_width 1)) + (text "rst_controller_conduit_reset_input_t_reset_input_signal" (rect 4 2461 334 2472)(font "Arial" (font_size 8))) + (line (pt 0 2472)(pt 336 2472)(line_width 1)) ) (port - (pt 0 2472) + (pt 0 2552) (input) (text "scom_0_sync_sync_signal" (rect 0 0 112 12)(font "Arial" (font_size 8))) - (text "scom_0_sync_sync_signal" (rect 4 2461 142 2472)(font "Arial" (font_size 8))) - (line (pt 0 2472)(pt 336 2472)(line_width 1)) + (text "scom_0_sync_sync_signal" (rect 4 2541 142 2552)(font "Arial" (font_size 8))) + (line (pt 0 2552)(pt 336 2552)(line_width 1)) ) (port - (pt 0 2512) + (pt 0 2592) (input) (text "sd_card_wp_n_io_export" (rect 0 0 102 12)(font "Arial" (font_size 8))) - (text "sd_card_wp_n_io_export" (rect 4 2501 136 2512)(font "Arial" (font_size 8))) - (line (pt 0 2512)(pt 336 2512)(line_width 1)) + (text "sd_card_wp_n_io_export" (rect 4 2581 136 2592)(font "Arial" (font_size 8))) + (line (pt 0 2592)(pt 336 2592)(line_width 1)) ) (port - (pt 0 2552) + (pt 0 2632) (input) (text "spwc_a_enable_spw_rx_enable_signal" (rect 0 0 154 12)(font "Arial" (font_size 8))) - (text "spwc_a_enable_spw_rx_enable_signal" (rect 4 2541 208 2552)(font "Arial" (font_size 8))) - (line (pt 0 2552)(pt 336 2552)(line_width 1)) + (text "spwc_a_enable_spw_rx_enable_signal" (rect 4 2621 208 2632)(font "Arial" (font_size 8))) + (line (pt 0 2632)(pt 336 2632)(line_width 1)) ) (port - (pt 0 2568) + (pt 0 2648) (input) (text "spwc_a_enable_spw_tx_enable_signal" (rect 0 0 153 12)(font "Arial" (font_size 8))) - (text "spwc_a_enable_spw_tx_enable_signal" (rect 4 2557 208 2568)(font "Arial" (font_size 8))) - (line (pt 0 2568)(pt 336 2568)(line_width 1)) + (text "spwc_a_enable_spw_tx_enable_signal" (rect 4 2637 208 2648)(font "Arial" (font_size 8))) + (line (pt 0 2648)(pt 336 2648)(line_width 1)) ) (port - (pt 0 2664) + (pt 0 2744) (input) (text "spwc_a_lvds_spw_lvds_p_data_in_signal" (rect 0 0 168 12)(font "Arial" (font_size 8))) - (text "spwc_a_lvds_spw_lvds_p_data_in_signal" (rect 4 2653 226 2664)(font "Arial" (font_size 8))) - (line (pt 0 2664)(pt 336 2664)(line_width 1)) + (text "spwc_a_lvds_spw_lvds_p_data_in_signal" (rect 4 2733 226 2744)(font "Arial" (font_size 8))) + (line (pt 0 2744)(pt 336 2744)(line_width 1)) ) (port - (pt 0 2680) + (pt 0 2760) (input) (text "spwc_a_lvds_spw_lvds_n_data_in_signal" (rect 0 0 168 12)(font "Arial" (font_size 8))) - (text "spwc_a_lvds_spw_lvds_n_data_in_signal" (rect 4 2669 226 2680)(font "Arial" (font_size 8))) - (line (pt 0 2680)(pt 336 2680)(line_width 1)) + (text "spwc_a_lvds_spw_lvds_n_data_in_signal" (rect 4 2749 226 2760)(font "Arial" (font_size 8))) + (line (pt 0 2760)(pt 336 2760)(line_width 1)) ) (port - (pt 0 2760) + (pt 0 2840) (input) (text "spwc_a_lvds_spw_lvds_p_strobe_in_signal" (rect 0 0 177 12)(font "Arial" (font_size 8))) - (text "spwc_a_lvds_spw_lvds_p_strobe_in_signal" (rect 4 2749 238 2760)(font "Arial" (font_size 8))) - (line (pt 0 2760)(pt 336 2760)(line_width 1)) + (text "spwc_a_lvds_spw_lvds_p_strobe_in_signal" (rect 4 2829 238 2840)(font "Arial" (font_size 8))) + (line (pt 0 2840)(pt 336 2840)(line_width 1)) ) (port - (pt 0 2776) + (pt 0 2856) (input) (text "spwc_a_lvds_spw_lvds_n_strobe_in_signal" (rect 0 0 177 12)(font "Arial" (font_size 8))) - (text "spwc_a_lvds_spw_lvds_n_strobe_in_signal" (rect 4 2765 238 2776)(font "Arial" (font_size 8))) - (line (pt 0 2776)(pt 336 2776)(line_width 1)) + (text "spwc_a_lvds_spw_lvds_n_strobe_in_signal" (rect 4 2845 238 2856)(font "Arial" (font_size 8))) + (line (pt 0 2856)(pt 336 2856)(line_width 1)) ) (port - (pt 0 2816) + (pt 0 2896) (input) (text "spwc_b_enable_spw_rx_enable_signal" (rect 0 0 154 12)(font "Arial" (font_size 8))) - (text "spwc_b_enable_spw_rx_enable_signal" (rect 4 2805 208 2816)(font "Arial" (font_size 8))) - (line (pt 0 2816)(pt 336 2816)(line_width 1)) + (text "spwc_b_enable_spw_rx_enable_signal" (rect 4 2885 208 2896)(font "Arial" (font_size 8))) + (line (pt 0 2896)(pt 336 2896)(line_width 1)) ) (port - (pt 0 2832) + (pt 0 2912) (input) (text "spwc_b_enable_spw_tx_enable_signal" (rect 0 0 153 12)(font "Arial" (font_size 8))) - (text "spwc_b_enable_spw_tx_enable_signal" (rect 4 2821 208 2832)(font "Arial" (font_size 8))) - (line (pt 0 2832)(pt 336 2832)(line_width 1)) + (text "spwc_b_enable_spw_tx_enable_signal" (rect 4 2901 208 2912)(font "Arial" (font_size 8))) + (line (pt 0 2912)(pt 336 2912)(line_width 1)) ) (port - (pt 0 2928) + (pt 0 3008) (input) (text "spwc_b_lvds_spw_lvds_p_data_in_signal" (rect 0 0 168 12)(font "Arial" (font_size 8))) - (text "spwc_b_lvds_spw_lvds_p_data_in_signal" (rect 4 2917 226 2928)(font "Arial" (font_size 8))) - (line (pt 0 2928)(pt 336 2928)(line_width 1)) + (text "spwc_b_lvds_spw_lvds_p_data_in_signal" (rect 4 2997 226 3008)(font "Arial" (font_size 8))) + (line (pt 0 3008)(pt 336 3008)(line_width 1)) ) (port - (pt 0 2944) + (pt 0 3024) (input) (text "spwc_b_lvds_spw_lvds_n_data_in_signal" (rect 0 0 168 12)(font "Arial" (font_size 8))) - (text "spwc_b_lvds_spw_lvds_n_data_in_signal" (rect 4 2933 226 2944)(font "Arial" (font_size 8))) - (line (pt 0 2944)(pt 336 2944)(line_width 1)) + (text "spwc_b_lvds_spw_lvds_n_data_in_signal" (rect 4 3013 226 3024)(font "Arial" (font_size 8))) + (line (pt 0 3024)(pt 336 3024)(line_width 1)) ) (port - (pt 0 3024) + (pt 0 3104) (input) (text "spwc_b_lvds_spw_lvds_p_strobe_in_signal" (rect 0 0 177 12)(font "Arial" (font_size 8))) - (text "spwc_b_lvds_spw_lvds_p_strobe_in_signal" (rect 4 3013 238 3024)(font "Arial" (font_size 8))) - (line (pt 0 3024)(pt 336 3024)(line_width 1)) + (text "spwc_b_lvds_spw_lvds_p_strobe_in_signal" (rect 4 3093 238 3104)(font "Arial" (font_size 8))) + (line (pt 0 3104)(pt 336 3104)(line_width 1)) ) (port - (pt 0 3040) + (pt 0 3120) (input) (text "spwc_b_lvds_spw_lvds_n_strobe_in_signal" (rect 0 0 177 12)(font "Arial" (font_size 8))) - (text "spwc_b_lvds_spw_lvds_n_strobe_in_signal" (rect 4 3029 238 3040)(font "Arial" (font_size 8))) - (line (pt 0 3040)(pt 336 3040)(line_width 1)) + (text "spwc_b_lvds_spw_lvds_n_strobe_in_signal" (rect 4 3109 238 3120)(font "Arial" (font_size 8))) + (line (pt 0 3120)(pt 336 3120)(line_width 1)) ) (port - (pt 0 3080) + (pt 0 3160) (input) (text "spwc_c_enable_spw_rx_enable_signal" (rect 0 0 154 12)(font "Arial" (font_size 8))) - (text "spwc_c_enable_spw_rx_enable_signal" (rect 4 3069 208 3080)(font "Arial" (font_size 8))) - (line (pt 0 3080)(pt 336 3080)(line_width 1)) + (text "spwc_c_enable_spw_rx_enable_signal" (rect 4 3149 208 3160)(font "Arial" (font_size 8))) + (line (pt 0 3160)(pt 336 3160)(line_width 1)) ) (port - (pt 0 3096) + (pt 0 3176) (input) (text "spwc_c_enable_spw_tx_enable_signal" (rect 0 0 153 12)(font "Arial" (font_size 8))) - (text "spwc_c_enable_spw_tx_enable_signal" (rect 4 3085 208 3096)(font "Arial" (font_size 8))) - (line (pt 0 3096)(pt 336 3096)(line_width 1)) + (text "spwc_c_enable_spw_tx_enable_signal" (rect 4 3165 208 3176)(font "Arial" (font_size 8))) + (line (pt 0 3176)(pt 336 3176)(line_width 1)) ) (port - (pt 0 3192) + (pt 0 3272) (input) (text "spwc_c_lvds_spw_lvds_p_data_in_signal" (rect 0 0 168 12)(font "Arial" (font_size 8))) - (text "spwc_c_lvds_spw_lvds_p_data_in_signal" (rect 4 3181 226 3192)(font "Arial" (font_size 8))) - (line (pt 0 3192)(pt 336 3192)(line_width 1)) + (text "spwc_c_lvds_spw_lvds_p_data_in_signal" (rect 4 3261 226 3272)(font "Arial" (font_size 8))) + (line (pt 0 3272)(pt 336 3272)(line_width 1)) ) (port - (pt 0 3208) + (pt 0 3288) (input) (text "spwc_c_lvds_spw_lvds_n_data_in_signal" (rect 0 0 168 12)(font "Arial" (font_size 8))) - (text "spwc_c_lvds_spw_lvds_n_data_in_signal" (rect 4 3197 226 3208)(font "Arial" (font_size 8))) - (line (pt 0 3208)(pt 336 3208)(line_width 1)) + (text "spwc_c_lvds_spw_lvds_n_data_in_signal" (rect 4 3277 226 3288)(font "Arial" (font_size 8))) + (line (pt 0 3288)(pt 336 3288)(line_width 1)) ) (port - (pt 0 3288) + (pt 0 3368) (input) (text "spwc_c_lvds_spw_lvds_p_strobe_in_signal" (rect 0 0 177 12)(font "Arial" (font_size 8))) - (text "spwc_c_lvds_spw_lvds_p_strobe_in_signal" (rect 4 3277 238 3288)(font "Arial" (font_size 8))) - (line (pt 0 3288)(pt 336 3288)(line_width 1)) + (text "spwc_c_lvds_spw_lvds_p_strobe_in_signal" (rect 4 3357 238 3368)(font "Arial" (font_size 8))) + (line (pt 0 3368)(pt 336 3368)(line_width 1)) ) (port - (pt 0 3304) + (pt 0 3384) (input) (text "spwc_c_lvds_spw_lvds_n_strobe_in_signal" (rect 0 0 177 12)(font "Arial" (font_size 8))) - (text "spwc_c_lvds_spw_lvds_n_strobe_in_signal" (rect 4 3293 238 3304)(font "Arial" (font_size 8))) - (line (pt 0 3304)(pt 336 3304)(line_width 1)) + (text "spwc_c_lvds_spw_lvds_n_strobe_in_signal" (rect 4 3373 238 3384)(font "Arial" (font_size 8))) + (line (pt 0 3384)(pt 336 3384)(line_width 1)) ) (port - (pt 0 3344) + (pt 0 3424) (input) (text "spwc_d_enable_spw_rx_enable_signal" (rect 0 0 154 12)(font "Arial" (font_size 8))) - (text "spwc_d_enable_spw_rx_enable_signal" (rect 4 3333 208 3344)(font "Arial" (font_size 8))) - (line (pt 0 3344)(pt 336 3344)(line_width 1)) + (text "spwc_d_enable_spw_rx_enable_signal" (rect 4 3413 208 3424)(font "Arial" (font_size 8))) + (line (pt 0 3424)(pt 336 3424)(line_width 1)) ) (port - (pt 0 3360) + (pt 0 3440) (input) (text "spwc_d_enable_spw_tx_enable_signal" (rect 0 0 153 12)(font "Arial" (font_size 8))) - (text "spwc_d_enable_spw_tx_enable_signal" (rect 4 3349 208 3360)(font "Arial" (font_size 8))) - (line (pt 0 3360)(pt 336 3360)(line_width 1)) + (text "spwc_d_enable_spw_tx_enable_signal" (rect 4 3429 208 3440)(font "Arial" (font_size 8))) + (line (pt 0 3440)(pt 336 3440)(line_width 1)) ) (port - (pt 0 3456) + (pt 0 3536) (input) (text "spwc_d_lvds_spw_lvds_p_data_in_signal" (rect 0 0 168 12)(font "Arial" (font_size 8))) - (text "spwc_d_lvds_spw_lvds_p_data_in_signal" (rect 4 3445 226 3456)(font "Arial" (font_size 8))) - (line (pt 0 3456)(pt 336 3456)(line_width 1)) + (text "spwc_d_lvds_spw_lvds_p_data_in_signal" (rect 4 3525 226 3536)(font "Arial" (font_size 8))) + (line (pt 0 3536)(pt 336 3536)(line_width 1)) ) (port - (pt 0 3472) + (pt 0 3552) (input) (text "spwc_d_lvds_spw_lvds_n_data_in_signal" (rect 0 0 168 12)(font "Arial" (font_size 8))) - (text "spwc_d_lvds_spw_lvds_n_data_in_signal" (rect 4 3461 226 3472)(font "Arial" (font_size 8))) - (line (pt 0 3472)(pt 336 3472)(line_width 1)) + (text "spwc_d_lvds_spw_lvds_n_data_in_signal" (rect 4 3541 226 3552)(font "Arial" (font_size 8))) + (line (pt 0 3552)(pt 336 3552)(line_width 1)) ) (port - (pt 0 3552) + (pt 0 3632) (input) (text "spwc_d_lvds_spw_lvds_p_strobe_in_signal" (rect 0 0 177 12)(font "Arial" (font_size 8))) - (text "spwc_d_lvds_spw_lvds_p_strobe_in_signal" (rect 4 3541 238 3552)(font "Arial" (font_size 8))) - (line (pt 0 3552)(pt 336 3552)(line_width 1)) + (text "spwc_d_lvds_spw_lvds_p_strobe_in_signal" (rect 4 3621 238 3632)(font "Arial" (font_size 8))) + (line (pt 0 3632)(pt 336 3632)(line_width 1)) ) (port - (pt 0 3568) + (pt 0 3648) (input) (text "spwc_d_lvds_spw_lvds_n_strobe_in_signal" (rect 0 0 177 12)(font "Arial" (font_size 8))) - (text "spwc_d_lvds_spw_lvds_n_strobe_in_signal" (rect 4 3557 238 3568)(font "Arial" (font_size 8))) - (line (pt 0 3568)(pt 336 3568)(line_width 1)) + (text "spwc_d_lvds_spw_lvds_n_strobe_in_signal" (rect 4 3637 238 3648)(font "Arial" (font_size 8))) + (line (pt 0 3648)(pt 336 3648)(line_width 1)) ) (port - (pt 0 3608) + (pt 0 3688) (input) (text "spwc_e_enable_spw_rx_enable_signal" (rect 0 0 154 12)(font "Arial" (font_size 8))) - (text "spwc_e_enable_spw_rx_enable_signal" (rect 4 3597 208 3608)(font "Arial" (font_size 8))) - (line (pt 0 3608)(pt 336 3608)(line_width 1)) + (text "spwc_e_enable_spw_rx_enable_signal" (rect 4 3677 208 3688)(font "Arial" (font_size 8))) + (line (pt 0 3688)(pt 336 3688)(line_width 1)) ) (port - (pt 0 3624) + (pt 0 3704) (input) (text "spwc_e_enable_spw_tx_enable_signal" (rect 0 0 153 12)(font "Arial" (font_size 8))) - (text "spwc_e_enable_spw_tx_enable_signal" (rect 4 3613 208 3624)(font "Arial" (font_size 8))) - (line (pt 0 3624)(pt 336 3624)(line_width 1)) + (text "spwc_e_enable_spw_tx_enable_signal" (rect 4 3693 208 3704)(font "Arial" (font_size 8))) + (line (pt 0 3704)(pt 336 3704)(line_width 1)) ) (port - (pt 0 3720) + (pt 0 3800) (input) (text "spwc_e_lvds_spw_lvds_p_data_in_signal" (rect 0 0 168 12)(font "Arial" (font_size 8))) - (text "spwc_e_lvds_spw_lvds_p_data_in_signal" (rect 4 3709 226 3720)(font "Arial" (font_size 8))) - (line (pt 0 3720)(pt 336 3720)(line_width 1)) + (text "spwc_e_lvds_spw_lvds_p_data_in_signal" (rect 4 3789 226 3800)(font "Arial" (font_size 8))) + (line (pt 0 3800)(pt 336 3800)(line_width 1)) ) (port - (pt 0 3736) + (pt 0 3816) (input) (text "spwc_e_lvds_spw_lvds_n_data_in_signal" (rect 0 0 168 12)(font "Arial" (font_size 8))) - (text "spwc_e_lvds_spw_lvds_n_data_in_signal" (rect 4 3725 226 3736)(font "Arial" (font_size 8))) - (line (pt 0 3736)(pt 336 3736)(line_width 1)) + (text "spwc_e_lvds_spw_lvds_n_data_in_signal" (rect 4 3805 226 3816)(font "Arial" (font_size 8))) + (line (pt 0 3816)(pt 336 3816)(line_width 1)) ) (port - (pt 0 3816) + (pt 0 3896) (input) (text "spwc_e_lvds_spw_lvds_p_strobe_in_signal" (rect 0 0 177 12)(font "Arial" (font_size 8))) - (text "spwc_e_lvds_spw_lvds_p_strobe_in_signal" (rect 4 3805 238 3816)(font "Arial" (font_size 8))) - (line (pt 0 3816)(pt 336 3816)(line_width 1)) + (text "spwc_e_lvds_spw_lvds_p_strobe_in_signal" (rect 4 3885 238 3896)(font "Arial" (font_size 8))) + (line (pt 0 3896)(pt 336 3896)(line_width 1)) ) (port - (pt 0 3832) + (pt 0 3912) (input) (text "spwc_e_lvds_spw_lvds_n_strobe_in_signal" (rect 0 0 177 12)(font "Arial" (font_size 8))) - (text "spwc_e_lvds_spw_lvds_n_strobe_in_signal" (rect 4 3821 238 3832)(font "Arial" (font_size 8))) - (line (pt 0 3832)(pt 336 3832)(line_width 1)) + (text "spwc_e_lvds_spw_lvds_n_strobe_in_signal" (rect 4 3901 238 3912)(font "Arial" (font_size 8))) + (line (pt 0 3912)(pt 336 3912)(line_width 1)) ) (port - (pt 0 3872) + (pt 0 3952) (input) (text "spwc_f_enable_spw_rx_enable_signal" (rect 0 0 153 12)(font "Arial" (font_size 8))) - (text "spwc_f_enable_spw_rx_enable_signal" (rect 4 3861 208 3872)(font "Arial" (font_size 8))) - (line (pt 0 3872)(pt 336 3872)(line_width 1)) + (text "spwc_f_enable_spw_rx_enable_signal" (rect 4 3941 208 3952)(font "Arial" (font_size 8))) + (line (pt 0 3952)(pt 336 3952)(line_width 1)) ) (port - (pt 0 3888) + (pt 0 3968) (input) (text "spwc_f_enable_spw_tx_enable_signal" (rect 0 0 152 12)(font "Arial" (font_size 8))) - (text "spwc_f_enable_spw_tx_enable_signal" (rect 4 3877 208 3888)(font "Arial" (font_size 8))) - (line (pt 0 3888)(pt 336 3888)(line_width 1)) + (text "spwc_f_enable_spw_tx_enable_signal" (rect 4 3957 208 3968)(font "Arial" (font_size 8))) + (line (pt 0 3968)(pt 336 3968)(line_width 1)) ) (port - (pt 0 3984) + (pt 0 4064) (input) (text "spwc_f_lvds_spw_lvds_p_data_in_signal" (rect 0 0 167 12)(font "Arial" (font_size 8))) - (text "spwc_f_lvds_spw_lvds_p_data_in_signal" (rect 4 3973 226 3984)(font "Arial" (font_size 8))) - (line (pt 0 3984)(pt 336 3984)(line_width 1)) + (text "spwc_f_lvds_spw_lvds_p_data_in_signal" (rect 4 4053 226 4064)(font "Arial" (font_size 8))) + (line (pt 0 4064)(pt 336 4064)(line_width 1)) ) (port - (pt 0 4000) + (pt 0 4080) (input) (text "spwc_f_lvds_spw_lvds_n_data_in_signal" (rect 0 0 167 12)(font "Arial" (font_size 8))) - (text "spwc_f_lvds_spw_lvds_n_data_in_signal" (rect 4 3989 226 4000)(font "Arial" (font_size 8))) - (line (pt 0 4000)(pt 336 4000)(line_width 1)) + (text "spwc_f_lvds_spw_lvds_n_data_in_signal" (rect 4 4069 226 4080)(font "Arial" (font_size 8))) + (line (pt 0 4080)(pt 336 4080)(line_width 1)) ) (port - (pt 0 4080) + (pt 0 4160) (input) (text "spwc_f_lvds_spw_lvds_p_strobe_in_signal" (rect 0 0 175 12)(font "Arial" (font_size 8))) - (text "spwc_f_lvds_spw_lvds_p_strobe_in_signal" (rect 4 4069 238 4080)(font "Arial" (font_size 8))) - (line (pt 0 4080)(pt 336 4080)(line_width 1)) + (text "spwc_f_lvds_spw_lvds_p_strobe_in_signal" (rect 4 4149 238 4160)(font "Arial" (font_size 8))) + (line (pt 0 4160)(pt 336 4160)(line_width 1)) ) (port - (pt 0 4096) + (pt 0 4176) (input) (text "spwc_f_lvds_spw_lvds_n_strobe_in_signal" (rect 0 0 175 12)(font "Arial" (font_size 8))) - (text "spwc_f_lvds_spw_lvds_n_strobe_in_signal" (rect 4 4085 238 4096)(font "Arial" (font_size 8))) - (line (pt 0 4096)(pt 336 4096)(line_width 1)) + (text "spwc_f_lvds_spw_lvds_n_strobe_in_signal" (rect 4 4165 238 4176)(font "Arial" (font_size 8))) + (line (pt 0 4176)(pt 336 4176)(line_width 1)) ) (port - (pt 0 4136) + (pt 0 4216) (input) (text "spwc_g_enable_spw_rx_enable_signal" (rect 0 0 154 12)(font "Arial" (font_size 8))) - (text "spwc_g_enable_spw_rx_enable_signal" (rect 4 4125 208 4136)(font "Arial" (font_size 8))) - (line (pt 0 4136)(pt 336 4136)(line_width 1)) + (text "spwc_g_enable_spw_rx_enable_signal" (rect 4 4205 208 4216)(font "Arial" (font_size 8))) + (line (pt 0 4216)(pt 336 4216)(line_width 1)) ) (port - (pt 0 4152) + (pt 0 4232) (input) (text "spwc_g_enable_spw_tx_enable_signal" (rect 0 0 153 12)(font "Arial" (font_size 8))) - (text "spwc_g_enable_spw_tx_enable_signal" (rect 4 4141 208 4152)(font "Arial" (font_size 8))) - (line (pt 0 4152)(pt 336 4152)(line_width 1)) + (text "spwc_g_enable_spw_tx_enable_signal" (rect 4 4221 208 4232)(font "Arial" (font_size 8))) + (line (pt 0 4232)(pt 336 4232)(line_width 1)) ) (port - (pt 0 4248) + (pt 0 4328) (input) (text "spwc_g_lvds_spw_lvds_p_data_in_signal" (rect 0 0 168 12)(font "Arial" (font_size 8))) - (text "spwc_g_lvds_spw_lvds_p_data_in_signal" (rect 4 4237 226 4248)(font "Arial" (font_size 8))) - (line (pt 0 4248)(pt 336 4248)(line_width 1)) + (text "spwc_g_lvds_spw_lvds_p_data_in_signal" (rect 4 4317 226 4328)(font "Arial" (font_size 8))) + (line (pt 0 4328)(pt 336 4328)(line_width 1)) ) (port - (pt 0 4264) + (pt 0 4344) (input) (text "spwc_g_lvds_spw_lvds_n_data_in_signal" (rect 0 0 168 12)(font "Arial" (font_size 8))) - (text "spwc_g_lvds_spw_lvds_n_data_in_signal" (rect 4 4253 226 4264)(font "Arial" (font_size 8))) - (line (pt 0 4264)(pt 336 4264)(line_width 1)) + (text "spwc_g_lvds_spw_lvds_n_data_in_signal" (rect 4 4333 226 4344)(font "Arial" (font_size 8))) + (line (pt 0 4344)(pt 336 4344)(line_width 1)) ) (port - (pt 0 4344) + (pt 0 4424) (input) (text "spwc_g_lvds_spw_lvds_p_strobe_in_signal" (rect 0 0 177 12)(font "Arial" (font_size 8))) - (text "spwc_g_lvds_spw_lvds_p_strobe_in_signal" (rect 4 4333 238 4344)(font "Arial" (font_size 8))) - (line (pt 0 4344)(pt 336 4344)(line_width 1)) + (text "spwc_g_lvds_spw_lvds_p_strobe_in_signal" (rect 4 4413 238 4424)(font "Arial" (font_size 8))) + (line (pt 0 4424)(pt 336 4424)(line_width 1)) ) (port - (pt 0 4360) + (pt 0 4440) (input) (text "spwc_g_lvds_spw_lvds_n_strobe_in_signal" (rect 0 0 177 12)(font "Arial" (font_size 8))) - (text "spwc_g_lvds_spw_lvds_n_strobe_in_signal" (rect 4 4349 238 4360)(font "Arial" (font_size 8))) - (line (pt 0 4360)(pt 336 4360)(line_width 1)) + (text "spwc_g_lvds_spw_lvds_n_strobe_in_signal" (rect 4 4429 238 4440)(font "Arial" (font_size 8))) + (line (pt 0 4440)(pt 336 4440)(line_width 1)) ) (port - (pt 0 4400) + (pt 0 4480) (input) (text "spwc_h_enable_spw_rx_enable_signal" (rect 0 0 154 12)(font "Arial" (font_size 8))) - (text "spwc_h_enable_spw_rx_enable_signal" (rect 4 4389 208 4400)(font "Arial" (font_size 8))) - (line (pt 0 4400)(pt 336 4400)(line_width 1)) + (text "spwc_h_enable_spw_rx_enable_signal" (rect 4 4469 208 4480)(font "Arial" (font_size 8))) + (line (pt 0 4480)(pt 336 4480)(line_width 1)) ) (port - (pt 0 4416) + (pt 0 4496) (input) (text "spwc_h_enable_spw_tx_enable_signal" (rect 0 0 153 12)(font "Arial" (font_size 8))) - (text "spwc_h_enable_spw_tx_enable_signal" (rect 4 4405 208 4416)(font "Arial" (font_size 8))) - (line (pt 0 4416)(pt 336 4416)(line_width 1)) + (text "spwc_h_enable_spw_tx_enable_signal" (rect 4 4485 208 4496)(font "Arial" (font_size 8))) + (line (pt 0 4496)(pt 336 4496)(line_width 1)) ) (port - (pt 0 4512) + (pt 0 4592) (input) (text "spwc_h_lvds_spw_lvds_p_data_in_signal" (rect 0 0 168 12)(font "Arial" (font_size 8))) - (text "spwc_h_lvds_spw_lvds_p_data_in_signal" (rect 4 4501 226 4512)(font "Arial" (font_size 8))) - (line (pt 0 4512)(pt 336 4512)(line_width 1)) + (text "spwc_h_lvds_spw_lvds_p_data_in_signal" (rect 4 4581 226 4592)(font "Arial" (font_size 8))) + (line (pt 0 4592)(pt 336 4592)(line_width 1)) ) (port - (pt 0 4528) + (pt 0 4608) (input) (text "spwc_h_lvds_spw_lvds_n_data_in_signal" (rect 0 0 168 12)(font "Arial" (font_size 8))) - (text "spwc_h_lvds_spw_lvds_n_data_in_signal" (rect 4 4517 226 4528)(font "Arial" (font_size 8))) - (line (pt 0 4528)(pt 336 4528)(line_width 1)) + (text "spwc_h_lvds_spw_lvds_n_data_in_signal" (rect 4 4597 226 4608)(font "Arial" (font_size 8))) + (line (pt 0 4608)(pt 336 4608)(line_width 1)) ) (port - (pt 0 4608) + (pt 0 4688) (input) (text "spwc_h_lvds_spw_lvds_p_strobe_in_signal" (rect 0 0 177 12)(font "Arial" (font_size 8))) - (text "spwc_h_lvds_spw_lvds_p_strobe_in_signal" (rect 4 4597 238 4608)(font "Arial" (font_size 8))) - (line (pt 0 4608)(pt 336 4608)(line_width 1)) + (text "spwc_h_lvds_spw_lvds_p_strobe_in_signal" (rect 4 4677 238 4688)(font "Arial" (font_size 8))) + (line (pt 0 4688)(pt 336 4688)(line_width 1)) ) (port - (pt 0 4624) + (pt 0 4704) (input) (text "spwc_h_lvds_spw_lvds_n_strobe_in_signal" (rect 0 0 177 12)(font "Arial" (font_size 8))) - (text "spwc_h_lvds_spw_lvds_n_strobe_in_signal" (rect 4 4613 238 4624)(font "Arial" (font_size 8))) - (line (pt 0 4624)(pt 336 4624)(line_width 1)) + (text "spwc_h_lvds_spw_lvds_n_strobe_in_signal" (rect 4 4693 238 4704)(font "Arial" (font_size 8))) + (line (pt 0 4704)(pt 336 4704)(line_width 1)) ) (port - (pt 0 4704) + (pt 0 4784) (input) (text "sync_in_conduit" (rect 0 0 64 12)(font "Arial" (font_size 8))) - (text "sync_in_conduit" (rect 4 4693 94 4704)(font "Arial" (font_size 8))) - (line (pt 0 4704)(pt 336 4704)(line_width 1)) + (text "sync_in_conduit" (rect 4 4773 94 4784)(font "Arial" (font_size 8))) + (line (pt 0 4784)(pt 336 4784)(line_width 1)) ) (port - (pt 0 4744) + (pt 0 4824) (input) (text "sync_in_en_conduit" (rect 0 0 80 12)(font "Arial" (font_size 8))) - (text "sync_in_en_conduit" (rect 4 4733 112 4744)(font "Arial" (font_size 8))) - (line (pt 0 4744)(pt 336 4744)(line_width 1)) + (text "sync_in_en_conduit" (rect 4 4813 112 4824)(font "Arial" (font_size 8))) + (line (pt 0 4824)(pt 336 4824)(line_width 1)) ) (port - (pt 0 4824) + (pt 0 4904) (input) (text "sync_out_en_conduit" (rect 0 0 86 12)(font "Arial" (font_size 8))) - (text "sync_out_en_conduit" (rect 4 4813 118 4824)(font "Arial" (font_size 8))) - (line (pt 0 4824)(pt 336 4824)(line_width 1)) + (text "sync_out_en_conduit" (rect 4 4893 118 4904)(font "Arial" (font_size 8))) + (line (pt 0 4904)(pt 336 4904)(line_width 1)) ) (port - (pt 0 5184) + (pt 0 5264) (input) (text "sync_unfiltered_sig_unfiltered_sig_signal" (rect 0 0 162 12)(font "Arial" (font_size 8))) - (text "sync_unfiltered_sig_unfiltered_sig_signal" (rect 4 5173 250 5184)(font "Arial" (font_size 8))) - (line (pt 0 5184)(pt 336 5184)(line_width 1)) + (text "sync_unfiltered_sig_unfiltered_sig_signal" (rect 4 5253 250 5264)(font "Arial" (font_size 8))) + (line (pt 0 5264)(pt 336 5264)(line_width 1)) ) (port - (pt 0 5488) + (pt 0 5568) (input) (text "umft601a_pins_umft_clock_signal" (rect 0 0 135 12)(font "Arial" (font_size 8))) - (text "umft601a_pins_umft_clock_signal" (rect 4 5477 190 5488)(font "Arial" (font_size 8))) - (line (pt 0 5488)(pt 336 5488)(line_width 1)) + (text "umft601a_pins_umft_clock_signal" (rect 4 5557 190 5568)(font "Arial" (font_size 8))) + (line (pt 0 5568)(pt 336 5568)(line_width 1)) ) (port - (pt 0 5504) + (pt 0 5584) (input) (text "umft601a_pins_umft_txe_n_signal" (rect 0 0 138 12)(font "Arial" (font_size 8))) - (text "umft601a_pins_umft_txe_n_signal" (rect 4 5493 190 5504)(font "Arial" (font_size 8))) - (line (pt 0 5504)(pt 336 5504)(line_width 1)) + (text "umft601a_pins_umft_txe_n_signal" (rect 4 5573 190 5584)(font "Arial" (font_size 8))) + (line (pt 0 5584)(pt 336 5584)(line_width 1)) ) (port - (pt 0 5520) + (pt 0 5600) (input) (text "umft601a_pins_umft_rxf_n_signal" (rect 0 0 138 12)(font "Arial" (font_size 8))) - (text "umft601a_pins_umft_rxf_n_signal" (rect 4 5509 190 5520)(font "Arial" (font_size 8))) - (line (pt 0 5520)(pt 336 5520)(line_width 1)) + (text "umft601a_pins_umft_rxf_n_signal" (rect 4 5589 190 5600)(font "Arial" (font_size 8))) + (line (pt 0 5600)(pt 336 5600)(line_width 1)) ) (port (pt 0 152) @@ -941,508 +948,515 @@ refer to the applicable agreement for further details. (line (pt 0 2256)(pt 336 2256)(line_width 1)) ) (port - (pt 0 2312) + (pt 0 2296) + (output) + (text "pio_rmap_echoing_module_reset_external_connection_export" (rect 0 0 247 12)(font "Arial" (font_size 8))) + (text "pio_rmap_echoing_module_reset_external_connection_export" (rect 4 2285 340 2296)(font "Arial" (font_size 8))) + (line (pt 0 2296)(pt 336 2296)(line_width 1)) + ) + (port + (pt 0 2392) (output) (text "rs232_uart_txd" (rect 0 0 61 12)(font "Arial" (font_size 8))) - (text "rs232_uart_txd" (rect 4 2301 88 2312)(font "Arial" (font_size 8))) - (line (pt 0 2312)(pt 336 2312)(line_width 1)) + (text "rs232_uart_txd" (rect 4 2381 88 2392)(font "Arial" (font_size 8))) + (line (pt 0 2392)(pt 336 2392)(line_width 1)) ) (port - (pt 0 2432) + (pt 0 2512) (output) (text "rst_controller_conduit_simucam_reset_t_simucam_reset_signal" (rect 0 0 257 12)(font "Arial" (font_size 8))) - (text "rst_controller_conduit_simucam_reset_t_simucam_reset_signal" (rect 4 2421 358 2432)(font "Arial" (font_size 8))) - (line (pt 0 2432)(pt 336 2432)(line_width 1)) + (text "rst_controller_conduit_simucam_reset_t_simucam_reset_signal" (rect 4 2501 358 2512)(font "Arial" (font_size 8))) + (line (pt 0 2512)(pt 336 2512)(line_width 1)) ) (port - (pt 0 2608) + (pt 0 2688) (output) (text "spwc_a_leds_spw_red_status_led_signal" (rect 0 0 165 12)(font "Arial" (font_size 8))) - (text "spwc_a_leds_spw_red_status_led_signal" (rect 4 2597 226 2608)(font "Arial" (font_size 8))) - (line (pt 0 2608)(pt 336 2608)(line_width 1)) + (text "spwc_a_leds_spw_red_status_led_signal" (rect 4 2677 226 2688)(font "Arial" (font_size 8))) + (line (pt 0 2688)(pt 336 2688)(line_width 1)) ) (port - (pt 0 2624) + (pt 0 2704) (output) (text "spwc_a_leds_spw_green_status_led_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_a_leds_spw_green_status_led_signal" (rect 4 2613 238 2624)(font "Arial" (font_size 8))) - (line (pt 0 2624)(pt 336 2624)(line_width 1)) + (text "spwc_a_leds_spw_green_status_led_signal" (rect 4 2693 238 2704)(font "Arial" (font_size 8))) + (line (pt 0 2704)(pt 336 2704)(line_width 1)) ) (port - (pt 0 2696) + (pt 0 2776) (output) (text "spwc_a_lvds_spw_lvds_p_data_out_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_a_lvds_spw_lvds_p_data_out_signal" (rect 4 2685 232 2696)(font "Arial" (font_size 8))) - (line (pt 0 2696)(pt 336 2696)(line_width 1)) + (text "spwc_a_lvds_spw_lvds_p_data_out_signal" (rect 4 2765 232 2776)(font "Arial" (font_size 8))) + (line (pt 0 2776)(pt 336 2776)(line_width 1)) ) (port - (pt 0 2712) + (pt 0 2792) (output) (text "spwc_a_lvds_spw_lvds_n_data_out_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_a_lvds_spw_lvds_n_data_out_signal" (rect 4 2701 232 2712)(font "Arial" (font_size 8))) - (line (pt 0 2712)(pt 336 2712)(line_width 1)) + (text "spwc_a_lvds_spw_lvds_n_data_out_signal" (rect 4 2781 232 2792)(font "Arial" (font_size 8))) + (line (pt 0 2792)(pt 336 2792)(line_width 1)) ) (port - (pt 0 2728) + (pt 0 2808) (output) (text "spwc_a_lvds_spw_lvds_p_strobe_out_signal" (rect 0 0 182 12)(font "Arial" (font_size 8))) - (text "spwc_a_lvds_spw_lvds_p_strobe_out_signal" (rect 4 2717 244 2728)(font "Arial" (font_size 8))) - (line (pt 0 2728)(pt 336 2728)(line_width 1)) + (text "spwc_a_lvds_spw_lvds_p_strobe_out_signal" (rect 4 2797 244 2808)(font "Arial" (font_size 8))) + (line (pt 0 2808)(pt 336 2808)(line_width 1)) ) (port - (pt 0 2744) + (pt 0 2824) (output) (text "spwc_a_lvds_spw_lvds_n_strobe_out_signal" (rect 0 0 182 12)(font "Arial" (font_size 8))) - (text "spwc_a_lvds_spw_lvds_n_strobe_out_signal" (rect 4 2733 244 2744)(font "Arial" (font_size 8))) - (line (pt 0 2744)(pt 336 2744)(line_width 1)) + (text "spwc_a_lvds_spw_lvds_n_strobe_out_signal" (rect 4 2813 244 2824)(font "Arial" (font_size 8))) + (line (pt 0 2824)(pt 336 2824)(line_width 1)) ) (port - (pt 0 2872) + (pt 0 2952) (output) (text "spwc_b_leds_spw_red_status_led_signal" (rect 0 0 165 12)(font "Arial" (font_size 8))) - (text "spwc_b_leds_spw_red_status_led_signal" (rect 4 2861 226 2872)(font "Arial" (font_size 8))) - (line (pt 0 2872)(pt 336 2872)(line_width 1)) + (text "spwc_b_leds_spw_red_status_led_signal" (rect 4 2941 226 2952)(font "Arial" (font_size 8))) + (line (pt 0 2952)(pt 336 2952)(line_width 1)) ) (port - (pt 0 2888) + (pt 0 2968) (output) (text "spwc_b_leds_spw_green_status_led_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_b_leds_spw_green_status_led_signal" (rect 4 2877 238 2888)(font "Arial" (font_size 8))) - (line (pt 0 2888)(pt 336 2888)(line_width 1)) + (text "spwc_b_leds_spw_green_status_led_signal" (rect 4 2957 238 2968)(font "Arial" (font_size 8))) + (line (pt 0 2968)(pt 336 2968)(line_width 1)) ) (port - (pt 0 2960) + (pt 0 3040) (output) (text "spwc_b_lvds_spw_lvds_p_data_out_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_b_lvds_spw_lvds_p_data_out_signal" (rect 4 2949 232 2960)(font "Arial" (font_size 8))) - (line (pt 0 2960)(pt 336 2960)(line_width 1)) + (text "spwc_b_lvds_spw_lvds_p_data_out_signal" (rect 4 3029 232 3040)(font "Arial" (font_size 8))) + (line (pt 0 3040)(pt 336 3040)(line_width 1)) ) (port - (pt 0 2976) + (pt 0 3056) (output) (text "spwc_b_lvds_spw_lvds_n_data_out_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_b_lvds_spw_lvds_n_data_out_signal" (rect 4 2965 232 2976)(font "Arial" (font_size 8))) - (line (pt 0 2976)(pt 336 2976)(line_width 1)) + (text "spwc_b_lvds_spw_lvds_n_data_out_signal" (rect 4 3045 232 3056)(font "Arial" (font_size 8))) + (line (pt 0 3056)(pt 336 3056)(line_width 1)) ) (port - (pt 0 2992) + (pt 0 3072) (output) (text "spwc_b_lvds_spw_lvds_p_strobe_out_signal" (rect 0 0 182 12)(font "Arial" (font_size 8))) - (text "spwc_b_lvds_spw_lvds_p_strobe_out_signal" (rect 4 2981 244 2992)(font "Arial" (font_size 8))) - (line (pt 0 2992)(pt 336 2992)(line_width 1)) + (text "spwc_b_lvds_spw_lvds_p_strobe_out_signal" (rect 4 3061 244 3072)(font "Arial" (font_size 8))) + (line (pt 0 3072)(pt 336 3072)(line_width 1)) ) (port - (pt 0 3008) + (pt 0 3088) (output) (text "spwc_b_lvds_spw_lvds_n_strobe_out_signal" (rect 0 0 182 12)(font "Arial" (font_size 8))) - (text "spwc_b_lvds_spw_lvds_n_strobe_out_signal" (rect 4 2997 244 3008)(font "Arial" (font_size 8))) - (line (pt 0 3008)(pt 336 3008)(line_width 1)) + (text "spwc_b_lvds_spw_lvds_n_strobe_out_signal" (rect 4 3077 244 3088)(font "Arial" (font_size 8))) + (line (pt 0 3088)(pt 336 3088)(line_width 1)) ) (port - (pt 0 3136) + (pt 0 3216) (output) (text "spwc_c_leds_spw_red_status_led_signal" (rect 0 0 165 12)(font "Arial" (font_size 8))) - (text "spwc_c_leds_spw_red_status_led_signal" (rect 4 3125 226 3136)(font "Arial" (font_size 8))) - (line (pt 0 3136)(pt 336 3136)(line_width 1)) + (text "spwc_c_leds_spw_red_status_led_signal" (rect 4 3205 226 3216)(font "Arial" (font_size 8))) + (line (pt 0 3216)(pt 336 3216)(line_width 1)) ) (port - (pt 0 3152) + (pt 0 3232) (output) (text "spwc_c_leds_spw_green_status_led_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_c_leds_spw_green_status_led_signal" (rect 4 3141 238 3152)(font "Arial" (font_size 8))) - (line (pt 0 3152)(pt 336 3152)(line_width 1)) + (text "spwc_c_leds_spw_green_status_led_signal" (rect 4 3221 238 3232)(font "Arial" (font_size 8))) + (line (pt 0 3232)(pt 336 3232)(line_width 1)) ) (port - (pt 0 3224) + (pt 0 3304) (output) (text "spwc_c_lvds_spw_lvds_p_data_out_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_c_lvds_spw_lvds_p_data_out_signal" (rect 4 3213 232 3224)(font "Arial" (font_size 8))) - (line (pt 0 3224)(pt 336 3224)(line_width 1)) + (text "spwc_c_lvds_spw_lvds_p_data_out_signal" (rect 4 3293 232 3304)(font "Arial" (font_size 8))) + (line (pt 0 3304)(pt 336 3304)(line_width 1)) ) (port - (pt 0 3240) + (pt 0 3320) (output) (text "spwc_c_lvds_spw_lvds_n_data_out_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_c_lvds_spw_lvds_n_data_out_signal" (rect 4 3229 232 3240)(font "Arial" (font_size 8))) - (line (pt 0 3240)(pt 336 3240)(line_width 1)) + (text "spwc_c_lvds_spw_lvds_n_data_out_signal" (rect 4 3309 232 3320)(font "Arial" (font_size 8))) + (line (pt 0 3320)(pt 336 3320)(line_width 1)) ) (port - (pt 0 3256) + (pt 0 3336) (output) (text "spwc_c_lvds_spw_lvds_p_strobe_out_signal" (rect 0 0 182 12)(font "Arial" (font_size 8))) - (text "spwc_c_lvds_spw_lvds_p_strobe_out_signal" (rect 4 3245 244 3256)(font "Arial" (font_size 8))) - (line (pt 0 3256)(pt 336 3256)(line_width 1)) + (text "spwc_c_lvds_spw_lvds_p_strobe_out_signal" (rect 4 3325 244 3336)(font "Arial" (font_size 8))) + (line (pt 0 3336)(pt 336 3336)(line_width 1)) ) (port - (pt 0 3272) + (pt 0 3352) (output) (text "spwc_c_lvds_spw_lvds_n_strobe_out_signal" (rect 0 0 182 12)(font "Arial" (font_size 8))) - (text "spwc_c_lvds_spw_lvds_n_strobe_out_signal" (rect 4 3261 244 3272)(font "Arial" (font_size 8))) - (line (pt 0 3272)(pt 336 3272)(line_width 1)) + (text "spwc_c_lvds_spw_lvds_n_strobe_out_signal" (rect 4 3341 244 3352)(font "Arial" (font_size 8))) + (line (pt 0 3352)(pt 336 3352)(line_width 1)) ) (port - (pt 0 3400) + (pt 0 3480) (output) (text "spwc_d_leds_spw_red_status_led_signal" (rect 0 0 165 12)(font "Arial" (font_size 8))) - (text "spwc_d_leds_spw_red_status_led_signal" (rect 4 3389 226 3400)(font "Arial" (font_size 8))) - (line (pt 0 3400)(pt 336 3400)(line_width 1)) + (text "spwc_d_leds_spw_red_status_led_signal" (rect 4 3469 226 3480)(font "Arial" (font_size 8))) + (line (pt 0 3480)(pt 336 3480)(line_width 1)) ) (port - (pt 0 3416) + (pt 0 3496) (output) (text "spwc_d_leds_spw_green_status_led_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_d_leds_spw_green_status_led_signal" (rect 4 3405 238 3416)(font "Arial" (font_size 8))) - (line (pt 0 3416)(pt 336 3416)(line_width 1)) + (text "spwc_d_leds_spw_green_status_led_signal" (rect 4 3485 238 3496)(font "Arial" (font_size 8))) + (line (pt 0 3496)(pt 336 3496)(line_width 1)) ) (port - (pt 0 3488) + (pt 0 3568) (output) (text "spwc_d_lvds_spw_lvds_p_data_out_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_d_lvds_spw_lvds_p_data_out_signal" (rect 4 3477 232 3488)(font "Arial" (font_size 8))) - (line (pt 0 3488)(pt 336 3488)(line_width 1)) + (text "spwc_d_lvds_spw_lvds_p_data_out_signal" (rect 4 3557 232 3568)(font "Arial" (font_size 8))) + (line (pt 0 3568)(pt 336 3568)(line_width 1)) ) (port - (pt 0 3504) + (pt 0 3584) (output) (text "spwc_d_lvds_spw_lvds_n_data_out_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_d_lvds_spw_lvds_n_data_out_signal" (rect 4 3493 232 3504)(font "Arial" (font_size 8))) - (line (pt 0 3504)(pt 336 3504)(line_width 1)) + (text "spwc_d_lvds_spw_lvds_n_data_out_signal" (rect 4 3573 232 3584)(font "Arial" (font_size 8))) + (line (pt 0 3584)(pt 336 3584)(line_width 1)) ) (port - (pt 0 3520) + (pt 0 3600) (output) (text "spwc_d_lvds_spw_lvds_p_strobe_out_signal" (rect 0 0 182 12)(font "Arial" (font_size 8))) - (text "spwc_d_lvds_spw_lvds_p_strobe_out_signal" (rect 4 3509 244 3520)(font "Arial" (font_size 8))) - (line (pt 0 3520)(pt 336 3520)(line_width 1)) + (text "spwc_d_lvds_spw_lvds_p_strobe_out_signal" (rect 4 3589 244 3600)(font "Arial" (font_size 8))) + (line (pt 0 3600)(pt 336 3600)(line_width 1)) ) (port - (pt 0 3536) + (pt 0 3616) (output) (text "spwc_d_lvds_spw_lvds_n_strobe_out_signal" (rect 0 0 182 12)(font "Arial" (font_size 8))) - (text "spwc_d_lvds_spw_lvds_n_strobe_out_signal" (rect 4 3525 244 3536)(font "Arial" (font_size 8))) - (line (pt 0 3536)(pt 336 3536)(line_width 1)) + (text "spwc_d_lvds_spw_lvds_n_strobe_out_signal" (rect 4 3605 244 3616)(font "Arial" (font_size 8))) + (line (pt 0 3616)(pt 336 3616)(line_width 1)) ) (port - (pt 0 3664) + (pt 0 3744) (output) (text "spwc_e_leds_spw_red_status_led_signal" (rect 0 0 165 12)(font "Arial" (font_size 8))) - (text "spwc_e_leds_spw_red_status_led_signal" (rect 4 3653 226 3664)(font "Arial" (font_size 8))) - (line (pt 0 3664)(pt 336 3664)(line_width 1)) + (text "spwc_e_leds_spw_red_status_led_signal" (rect 4 3733 226 3744)(font "Arial" (font_size 8))) + (line (pt 0 3744)(pt 336 3744)(line_width 1)) ) (port - (pt 0 3680) + (pt 0 3760) (output) (text "spwc_e_leds_spw_green_status_led_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_e_leds_spw_green_status_led_signal" (rect 4 3669 238 3680)(font "Arial" (font_size 8))) - (line (pt 0 3680)(pt 336 3680)(line_width 1)) + (text "spwc_e_leds_spw_green_status_led_signal" (rect 4 3749 238 3760)(font "Arial" (font_size 8))) + (line (pt 0 3760)(pt 336 3760)(line_width 1)) ) (port - (pt 0 3752) + (pt 0 3832) (output) (text "spwc_e_lvds_spw_lvds_p_data_out_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_e_lvds_spw_lvds_p_data_out_signal" (rect 4 3741 232 3752)(font "Arial" (font_size 8))) - (line (pt 0 3752)(pt 336 3752)(line_width 1)) + (text "spwc_e_lvds_spw_lvds_p_data_out_signal" (rect 4 3821 232 3832)(font "Arial" (font_size 8))) + (line (pt 0 3832)(pt 336 3832)(line_width 1)) ) (port - (pt 0 3768) + (pt 0 3848) (output) (text "spwc_e_lvds_spw_lvds_n_data_out_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_e_lvds_spw_lvds_n_data_out_signal" (rect 4 3757 232 3768)(font "Arial" (font_size 8))) - (line (pt 0 3768)(pt 336 3768)(line_width 1)) + (text "spwc_e_lvds_spw_lvds_n_data_out_signal" (rect 4 3837 232 3848)(font "Arial" (font_size 8))) + (line (pt 0 3848)(pt 336 3848)(line_width 1)) ) (port - (pt 0 3784) + (pt 0 3864) (output) (text "spwc_e_lvds_spw_lvds_p_strobe_out_signal" (rect 0 0 182 12)(font "Arial" (font_size 8))) - (text "spwc_e_lvds_spw_lvds_p_strobe_out_signal" (rect 4 3773 244 3784)(font "Arial" (font_size 8))) - (line (pt 0 3784)(pt 336 3784)(line_width 1)) + (text "spwc_e_lvds_spw_lvds_p_strobe_out_signal" (rect 4 3853 244 3864)(font "Arial" (font_size 8))) + (line (pt 0 3864)(pt 336 3864)(line_width 1)) ) (port - (pt 0 3800) + (pt 0 3880) (output) (text "spwc_e_lvds_spw_lvds_n_strobe_out_signal" (rect 0 0 182 12)(font "Arial" (font_size 8))) - (text "spwc_e_lvds_spw_lvds_n_strobe_out_signal" (rect 4 3789 244 3800)(font "Arial" (font_size 8))) - (line (pt 0 3800)(pt 336 3800)(line_width 1)) + (text "spwc_e_lvds_spw_lvds_n_strobe_out_signal" (rect 4 3869 244 3880)(font "Arial" (font_size 8))) + (line (pt 0 3880)(pt 336 3880)(line_width 1)) ) (port - (pt 0 3928) + (pt 0 4008) (output) (text "spwc_f_leds_spw_red_status_led_signal" (rect 0 0 164 12)(font "Arial" (font_size 8))) - (text "spwc_f_leds_spw_red_status_led_signal" (rect 4 3917 226 3928)(font "Arial" (font_size 8))) - (line (pt 0 3928)(pt 336 3928)(line_width 1)) + (text "spwc_f_leds_spw_red_status_led_signal" (rect 4 3997 226 4008)(font "Arial" (font_size 8))) + (line (pt 0 4008)(pt 336 4008)(line_width 1)) ) (port - (pt 0 3944) + (pt 0 4024) (output) (text "spwc_f_leds_spw_green_status_led_signal" (rect 0 0 173 12)(font "Arial" (font_size 8))) - (text "spwc_f_leds_spw_green_status_led_signal" (rect 4 3933 238 3944)(font "Arial" (font_size 8))) - (line (pt 0 3944)(pt 336 3944)(line_width 1)) + (text "spwc_f_leds_spw_green_status_led_signal" (rect 4 4013 238 4024)(font "Arial" (font_size 8))) + (line (pt 0 4024)(pt 336 4024)(line_width 1)) ) (port - (pt 0 4016) + (pt 0 4096) (output) (text "spwc_f_lvds_spw_lvds_p_data_out_signal" (rect 0 0 173 12)(font "Arial" (font_size 8))) - (text "spwc_f_lvds_spw_lvds_p_data_out_signal" (rect 4 4005 232 4016)(font "Arial" (font_size 8))) - (line (pt 0 4016)(pt 336 4016)(line_width 1)) + (text "spwc_f_lvds_spw_lvds_p_data_out_signal" (rect 4 4085 232 4096)(font "Arial" (font_size 8))) + (line (pt 0 4096)(pt 336 4096)(line_width 1)) ) (port - (pt 0 4032) + (pt 0 4112) (output) (text "spwc_f_lvds_spw_lvds_n_data_out_signal" (rect 0 0 173 12)(font "Arial" (font_size 8))) - (text "spwc_f_lvds_spw_lvds_n_data_out_signal" (rect 4 4021 232 4032)(font "Arial" (font_size 8))) - (line (pt 0 4032)(pt 336 4032)(line_width 1)) + (text "spwc_f_lvds_spw_lvds_n_data_out_signal" (rect 4 4101 232 4112)(font "Arial" (font_size 8))) + (line (pt 0 4112)(pt 336 4112)(line_width 1)) ) (port - (pt 0 4048) + (pt 0 4128) (output) (text "spwc_f_lvds_spw_lvds_p_strobe_out_signal" (rect 0 0 181 12)(font "Arial" (font_size 8))) - (text "spwc_f_lvds_spw_lvds_p_strobe_out_signal" (rect 4 4037 244 4048)(font "Arial" (font_size 8))) - (line (pt 0 4048)(pt 336 4048)(line_width 1)) + (text "spwc_f_lvds_spw_lvds_p_strobe_out_signal" (rect 4 4117 244 4128)(font "Arial" (font_size 8))) + (line (pt 0 4128)(pt 336 4128)(line_width 1)) ) (port - (pt 0 4064) + (pt 0 4144) (output) (text "spwc_f_lvds_spw_lvds_n_strobe_out_signal" (rect 0 0 181 12)(font "Arial" (font_size 8))) - (text "spwc_f_lvds_spw_lvds_n_strobe_out_signal" (rect 4 4053 244 4064)(font "Arial" (font_size 8))) - (line (pt 0 4064)(pt 336 4064)(line_width 1)) + (text "spwc_f_lvds_spw_lvds_n_strobe_out_signal" (rect 4 4133 244 4144)(font "Arial" (font_size 8))) + (line (pt 0 4144)(pt 336 4144)(line_width 1)) ) (port - (pt 0 4192) + (pt 0 4272) (output) (text "spwc_g_leds_spw_red_status_led_signal" (rect 0 0 165 12)(font "Arial" (font_size 8))) - (text "spwc_g_leds_spw_red_status_led_signal" (rect 4 4181 226 4192)(font "Arial" (font_size 8))) - (line (pt 0 4192)(pt 336 4192)(line_width 1)) + (text "spwc_g_leds_spw_red_status_led_signal" (rect 4 4261 226 4272)(font "Arial" (font_size 8))) + (line (pt 0 4272)(pt 336 4272)(line_width 1)) ) (port - (pt 0 4208) + (pt 0 4288) (output) (text "spwc_g_leds_spw_green_status_led_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_g_leds_spw_green_status_led_signal" (rect 4 4197 238 4208)(font "Arial" (font_size 8))) - (line (pt 0 4208)(pt 336 4208)(line_width 1)) + (text "spwc_g_leds_spw_green_status_led_signal" (rect 4 4277 238 4288)(font "Arial" (font_size 8))) + (line (pt 0 4288)(pt 336 4288)(line_width 1)) ) (port - (pt 0 4280) + (pt 0 4360) (output) (text "spwc_g_lvds_spw_lvds_p_data_out_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_g_lvds_spw_lvds_p_data_out_signal" (rect 4 4269 232 4280)(font "Arial" (font_size 8))) - (line (pt 0 4280)(pt 336 4280)(line_width 1)) + (text "spwc_g_lvds_spw_lvds_p_data_out_signal" (rect 4 4349 232 4360)(font "Arial" (font_size 8))) + (line (pt 0 4360)(pt 336 4360)(line_width 1)) ) (port - (pt 0 4296) + (pt 0 4376) (output) (text "spwc_g_lvds_spw_lvds_n_data_out_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_g_lvds_spw_lvds_n_data_out_signal" (rect 4 4285 232 4296)(font "Arial" (font_size 8))) - (line (pt 0 4296)(pt 336 4296)(line_width 1)) + (text "spwc_g_lvds_spw_lvds_n_data_out_signal" (rect 4 4365 232 4376)(font "Arial" (font_size 8))) + (line (pt 0 4376)(pt 336 4376)(line_width 1)) ) (port - (pt 0 4312) + (pt 0 4392) (output) (text "spwc_g_lvds_spw_lvds_p_strobe_out_signal" (rect 0 0 182 12)(font "Arial" (font_size 8))) - (text "spwc_g_lvds_spw_lvds_p_strobe_out_signal" (rect 4 4301 244 4312)(font "Arial" (font_size 8))) - (line (pt 0 4312)(pt 336 4312)(line_width 1)) + (text "spwc_g_lvds_spw_lvds_p_strobe_out_signal" (rect 4 4381 244 4392)(font "Arial" (font_size 8))) + (line (pt 0 4392)(pt 336 4392)(line_width 1)) ) (port - (pt 0 4328) + (pt 0 4408) (output) (text "spwc_g_lvds_spw_lvds_n_strobe_out_signal" (rect 0 0 182 12)(font "Arial" (font_size 8))) - (text "spwc_g_lvds_spw_lvds_n_strobe_out_signal" (rect 4 4317 244 4328)(font "Arial" (font_size 8))) - (line (pt 0 4328)(pt 336 4328)(line_width 1)) + (text "spwc_g_lvds_spw_lvds_n_strobe_out_signal" (rect 4 4397 244 4408)(font "Arial" (font_size 8))) + (line (pt 0 4408)(pt 336 4408)(line_width 1)) ) (port - (pt 0 4456) + (pt 0 4536) (output) (text "spwc_h_leds_spw_red_status_led_signal" (rect 0 0 165 12)(font "Arial" (font_size 8))) - (text "spwc_h_leds_spw_red_status_led_signal" (rect 4 4445 226 4456)(font "Arial" (font_size 8))) - (line (pt 0 4456)(pt 336 4456)(line_width 1)) + (text "spwc_h_leds_spw_red_status_led_signal" (rect 4 4525 226 4536)(font "Arial" (font_size 8))) + (line (pt 0 4536)(pt 336 4536)(line_width 1)) ) (port - (pt 0 4472) + (pt 0 4552) (output) (text "spwc_h_leds_spw_green_status_led_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_h_leds_spw_green_status_led_signal" (rect 4 4461 238 4472)(font "Arial" (font_size 8))) - (line (pt 0 4472)(pt 336 4472)(line_width 1)) + (text "spwc_h_leds_spw_green_status_led_signal" (rect 4 4541 238 4552)(font "Arial" (font_size 8))) + (line (pt 0 4552)(pt 336 4552)(line_width 1)) ) (port - (pt 0 4544) + (pt 0 4624) (output) (text "spwc_h_lvds_spw_lvds_p_data_out_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_h_lvds_spw_lvds_p_data_out_signal" (rect 4 4533 232 4544)(font "Arial" (font_size 8))) - (line (pt 0 4544)(pt 336 4544)(line_width 1)) + (text "spwc_h_lvds_spw_lvds_p_data_out_signal" (rect 4 4613 232 4624)(font "Arial" (font_size 8))) + (line (pt 0 4624)(pt 336 4624)(line_width 1)) ) (port - (pt 0 4560) + (pt 0 4640) (output) (text "spwc_h_lvds_spw_lvds_n_data_out_signal" (rect 0 0 174 12)(font "Arial" (font_size 8))) - (text "spwc_h_lvds_spw_lvds_n_data_out_signal" (rect 4 4549 232 4560)(font "Arial" (font_size 8))) - (line (pt 0 4560)(pt 336 4560)(line_width 1)) + (text "spwc_h_lvds_spw_lvds_n_data_out_signal" (rect 4 4629 232 4640)(font "Arial" (font_size 8))) + (line (pt 0 4640)(pt 336 4640)(line_width 1)) ) (port - (pt 0 4576) + (pt 0 4656) (output) (text "spwc_h_lvds_spw_lvds_p_strobe_out_signal" (rect 0 0 182 12)(font "Arial" (font_size 8))) - (text "spwc_h_lvds_spw_lvds_p_strobe_out_signal" (rect 4 4565 244 4576)(font "Arial" (font_size 8))) - (line (pt 0 4576)(pt 336 4576)(line_width 1)) + (text "spwc_h_lvds_spw_lvds_p_strobe_out_signal" (rect 4 4645 244 4656)(font "Arial" (font_size 8))) + (line (pt 0 4656)(pt 336 4656)(line_width 1)) ) (port - (pt 0 4592) + (pt 0 4672) (output) (text "spwc_h_lvds_spw_lvds_n_strobe_out_signal" (rect 0 0 182 12)(font "Arial" (font_size 8))) - (text "spwc_h_lvds_spw_lvds_n_strobe_out_signal" (rect 4 4581 244 4592)(font "Arial" (font_size 8))) - (line (pt 0 4592)(pt 336 4592)(line_width 1)) + (text "spwc_h_lvds_spw_lvds_n_strobe_out_signal" (rect 4 4661 244 4672)(font "Arial" (font_size 8))) + (line (pt 0 4672)(pt 336 4672)(line_width 1)) ) (port - (pt 0 4664) + (pt 0 4744) (output) (text "sync_filtered_sig_filtered_sig_signal" (rect 0 0 143 12)(font "Arial" (font_size 8))) - (text "sync_filtered_sig_filtered_sig_signal" (rect 4 4653 226 4664)(font "Arial" (font_size 8))) - (line (pt 0 4664)(pt 336 4664)(line_width 1)) - ) - (port - (pt 0 4784) - (output) - (text "sync_out_conduit" (rect 0 0 70 12)(font "Arial" (font_size 8))) - (text "sync_out_conduit" (rect 4 4773 100 4784)(font "Arial" (font_size 8))) - (line (pt 0 4784)(pt 336 4784)(line_width 1)) + (text "sync_filtered_sig_filtered_sig_signal" (rect 4 4733 226 4744)(font "Arial" (font_size 8))) + (line (pt 0 4744)(pt 336 4744)(line_width 1)) ) (port (pt 0 4864) (output) - (text "sync_spw1_conduit" (rect 0 0 77 12)(font "Arial" (font_size 8))) - (text "sync_spw1_conduit" (rect 4 4853 106 4864)(font "Arial" (font_size 8))) + (text "sync_out_conduit" (rect 0 0 70 12)(font "Arial" (font_size 8))) + (text "sync_out_conduit" (rect 4 4853 100 4864)(font "Arial" (font_size 8))) (line (pt 0 4864)(pt 336 4864)(line_width 1)) ) - (port - (pt 0 4904) - (output) - (text "sync_spw2_conduit" (rect 0 0 79 12)(font "Arial" (font_size 8))) - (text "sync_spw2_conduit" (rect 4 4893 106 4904)(font "Arial" (font_size 8))) - (line (pt 0 4904)(pt 336 4904)(line_width 1)) - ) (port (pt 0 4944) (output) - (text "sync_spw3_conduit" (rect 0 0 79 12)(font "Arial" (font_size 8))) - (text "sync_spw3_conduit" (rect 4 4933 106 4944)(font "Arial" (font_size 8))) + (text "sync_spw1_conduit" (rect 0 0 77 12)(font "Arial" (font_size 8))) + (text "sync_spw1_conduit" (rect 4 4933 106 4944)(font "Arial" (font_size 8))) (line (pt 0 4944)(pt 336 4944)(line_width 1)) ) (port (pt 0 4984) (output) - (text "sync_spw4_conduit" (rect 0 0 80 12)(font "Arial" (font_size 8))) - (text "sync_spw4_conduit" (rect 4 4973 106 4984)(font "Arial" (font_size 8))) + (text "sync_spw2_conduit" (rect 0 0 79 12)(font "Arial" (font_size 8))) + (text "sync_spw2_conduit" (rect 4 4973 106 4984)(font "Arial" (font_size 8))) (line (pt 0 4984)(pt 336 4984)(line_width 1)) ) (port (pt 0 5024) (output) - (text "sync_spw5_conduit" (rect 0 0 79 12)(font "Arial" (font_size 8))) - (text "sync_spw5_conduit" (rect 4 5013 106 5024)(font "Arial" (font_size 8))) + (text "sync_spw3_conduit" (rect 0 0 79 12)(font "Arial" (font_size 8))) + (text "sync_spw3_conduit" (rect 4 5013 106 5024)(font "Arial" (font_size 8))) (line (pt 0 5024)(pt 336 5024)(line_width 1)) ) (port (pt 0 5064) (output) - (text "sync_spw6_conduit" (rect 0 0 79 12)(font "Arial" (font_size 8))) - (text "sync_spw6_conduit" (rect 4 5053 106 5064)(font "Arial" (font_size 8))) + (text "sync_spw4_conduit" (rect 0 0 80 12)(font "Arial" (font_size 8))) + (text "sync_spw4_conduit" (rect 4 5053 106 5064)(font "Arial" (font_size 8))) (line (pt 0 5064)(pt 336 5064)(line_width 1)) ) (port (pt 0 5104) (output) - (text "sync_spw7_conduit" (rect 0 0 79 12)(font "Arial" (font_size 8))) - (text "sync_spw7_conduit" (rect 4 5093 106 5104)(font "Arial" (font_size 8))) + (text "sync_spw5_conduit" (rect 0 0 79 12)(font "Arial" (font_size 8))) + (text "sync_spw5_conduit" (rect 4 5093 106 5104)(font "Arial" (font_size 8))) (line (pt 0 5104)(pt 336 5104)(line_width 1)) ) (port (pt 0 5144) (output) - (text "sync_spw8_conduit" (rect 0 0 79 12)(font "Arial" (font_size 8))) - (text "sync_spw8_conduit" (rect 4 5133 106 5144)(font "Arial" (font_size 8))) + (text "sync_spw6_conduit" (rect 0 0 79 12)(font "Arial" (font_size 8))) + (text "sync_spw6_conduit" (rect 4 5133 106 5144)(font "Arial" (font_size 8))) (line (pt 0 5144)(pt 336 5144)(line_width 1)) ) + (port + (pt 0 5184) + (output) + (text "sync_spw7_conduit" (rect 0 0 79 12)(font "Arial" (font_size 8))) + (text "sync_spw7_conduit" (rect 4 5173 106 5184)(font "Arial" (font_size 8))) + (line (pt 0 5184)(pt 336 5184)(line_width 1)) + ) (port (pt 0 5224) (output) - (text "temp_scl_export" (rect 0 0 67 12)(font "Arial" (font_size 8))) - (text "temp_scl_export" (rect 4 5213 94 5224)(font "Arial" (font_size 8))) + (text "sync_spw8_conduit" (rect 0 0 79 12)(font "Arial" (font_size 8))) + (text "sync_spw8_conduit" (rect 4 5213 106 5224)(font "Arial" (font_size 8))) (line (pt 0 5224)(pt 336 5224)(line_width 1)) ) (port (pt 0 5304) (output) - (text "timer_1ms_external_port_export" (rect 0 0 130 12)(font "Arial" (font_size 8))) - (text "timer_1ms_external_port_export" (rect 4 5293 184 5304)(font "Arial" (font_size 8))) + (text "temp_scl_export" (rect 0 0 67 12)(font "Arial" (font_size 8))) + (text "temp_scl_export" (rect 4 5293 94 5304)(font "Arial" (font_size 8))) (line (pt 0 5304)(pt 336 5304)(line_width 1)) ) (port - (pt 0 5344) + (pt 0 5384) + (output) + (text "timer_1ms_external_port_export" (rect 0 0 130 12)(font "Arial" (font_size 8))) + (text "timer_1ms_external_port_export" (rect 4 5373 184 5384)(font "Arial" (font_size 8))) + (line (pt 0 5384)(pt 336 5384)(line_width 1)) + ) + (port + (pt 0 5424) (output) (text "timer_1us_external_port_export" (rect 0 0 127 12)(font "Arial" (font_size 8))) - (text "timer_1us_external_port_export" (rect 4 5333 184 5344)(font "Arial" (font_size 8))) - (line (pt 0 5344)(pt 336 5344)(line_width 1)) + (text "timer_1us_external_port_export" (rect 4 5413 184 5424)(font "Arial" (font_size 8))) + (line (pt 0 5424)(pt 336 5424)(line_width 1)) ) (port - (pt 0 5384) + (pt 0 5464) (output) (text "tristate_conduit_tcm_address_out[25..0]" (rect 0 0 160 12)(font "Arial" (font_size 8))) - (text "tristate_conduit_tcm_address_out[25..0]" (rect 4 5373 238 5384)(font "Arial" (font_size 8))) - (line (pt 0 5384)(pt 336 5384)(line_width 3)) + (text "tristate_conduit_tcm_address_out[25..0]" (rect 4 5453 238 5464)(font "Arial" (font_size 8))) + (line (pt 0 5464)(pt 336 5464)(line_width 3)) ) (port - (pt 0 5400) + (pt 0 5480) (output) (text "tristate_conduit_tcm_read_n_out" (rect 0 0 132 12)(font "Arial" (font_size 8))) - (text "tristate_conduit_tcm_read_n_out" (rect 4 5389 190 5400)(font "Arial" (font_size 8))) - (line (pt 0 5400)(pt 336 5400)(line_width 1)) + (text "tristate_conduit_tcm_read_n_out" (rect 4 5469 190 5480)(font "Arial" (font_size 8))) + (line (pt 0 5480)(pt 336 5480)(line_width 1)) ) (port - (pt 0 5416) + (pt 0 5496) (output) (text "tristate_conduit_tcm_write_n_out" (rect 0 0 132 12)(font "Arial" (font_size 8))) - (text "tristate_conduit_tcm_write_n_out" (rect 4 5405 196 5416)(font "Arial" (font_size 8))) - (line (pt 0 5416)(pt 336 5416)(line_width 1)) + (text "tristate_conduit_tcm_write_n_out" (rect 4 5485 196 5496)(font "Arial" (font_size 8))) + (line (pt 0 5496)(pt 336 5496)(line_width 1)) ) (port - (pt 0 5448) + (pt 0 5528) (output) (text "tristate_conduit_tcm_chipselect_n_out" (rect 0 0 152 12)(font "Arial" (font_size 8))) - (text "tristate_conduit_tcm_chipselect_n_out" (rect 4 5437 226 5448)(font "Arial" (font_size 8))) - (line (pt 0 5448)(pt 336 5448)(line_width 1)) + (text "tristate_conduit_tcm_chipselect_n_out" (rect 4 5517 226 5528)(font "Arial" (font_size 8))) + (line (pt 0 5528)(pt 336 5528)(line_width 1)) ) (port - (pt 0 5600) + (pt 0 5680) (output) (text "umft601a_pins_umft_reset_n_signal" (rect 0 0 146 12)(font "Arial" (font_size 8))) - (text "umft601a_pins_umft_reset_n_signal" (rect 4 5589 202 5600)(font "Arial" (font_size 8))) - (line (pt 0 5600)(pt 336 5600)(line_width 1)) + (text "umft601a_pins_umft_reset_n_signal" (rect 4 5669 202 5680)(font "Arial" (font_size 8))) + (line (pt 0 5680)(pt 336 5680)(line_width 1)) ) (port - (pt 0 5616) + (pt 0 5696) (output) (text "umft601a_pins_umft_wr_n_signal" (rect 0 0 135 12)(font "Arial" (font_size 8))) - (text "umft601a_pins_umft_wr_n_signal" (rect 4 5605 184 5616)(font "Arial" (font_size 8))) - (line (pt 0 5616)(pt 336 5616)(line_width 1)) + (text "umft601a_pins_umft_wr_n_signal" (rect 4 5685 184 5696)(font "Arial" (font_size 8))) + (line (pt 0 5696)(pt 336 5696)(line_width 1)) ) (port - (pt 0 5632) + (pt 0 5712) (output) (text "umft601a_pins_umft_rd_n_signal" (rect 0 0 134 12)(font "Arial" (font_size 8))) - (text "umft601a_pins_umft_rd_n_signal" (rect 4 5621 184 5632)(font "Arial" (font_size 8))) - (line (pt 0 5632)(pt 336 5632)(line_width 1)) + (text "umft601a_pins_umft_rd_n_signal" (rect 4 5701 184 5712)(font "Arial" (font_size 8))) + (line (pt 0 5712)(pt 336 5712)(line_width 1)) ) (port - (pt 0 5648) + (pt 0 5728) (output) (text "umft601a_pins_umft_oe_n_signal" (rect 0 0 135 12)(font "Arial" (font_size 8))) - (text "umft601a_pins_umft_oe_n_signal" (rect 4 5637 184 5648)(font "Arial" (font_size 8))) - (line (pt 0 5648)(pt 336 5648)(line_width 1)) + (text "umft601a_pins_umft_oe_n_signal" (rect 4 5717 184 5728)(font "Arial" (font_size 8))) + (line (pt 0 5728)(pt 336 5728)(line_width 1)) ) (port - (pt 0 5664) + (pt 0 5744) (output) (text "umft601a_pins_umft_siwu_n_signal" (rect 0 0 142 12)(font "Arial" (font_size 8))) - (text "umft601a_pins_umft_siwu_n_signal" (rect 4 5653 196 5664)(font "Arial" (font_size 8))) - (line (pt 0 5664)(pt 336 5664)(line_width 1)) + (text "umft601a_pins_umft_siwu_n_signal" (rect 4 5733 196 5744)(font "Arial" (font_size 8))) + (line (pt 0 5744)(pt 336 5744)(line_width 1)) ) (port (pt 0 1112) @@ -1501,46 +1515,46 @@ refer to the applicable agreement for further details. (line (pt 0 1840)(pt 336 1840)(line_width 3)) ) (port - (pt 0 5264) + (pt 0 5344) (bidir) (text "temp_sda_export" (rect 0 0 70 12)(font "Arial" (font_size 8))) - (text "temp_sda_export" (rect 4 5253 94 5264)(font "Arial" (font_size 8))) - (line (pt 0 5264)(pt 336 5264)(line_width 1)) + (text "temp_sda_export" (rect 4 5333 94 5344)(font "Arial" (font_size 8))) + (line (pt 0 5344)(pt 336 5344)(line_width 1)) ) (port - (pt 0 5432) + (pt 0 5512) (bidir) (text "tristate_conduit_tcm_data_out[15..0]" (rect 0 0 143 12)(font "Arial" (font_size 8))) - (text "tristate_conduit_tcm_data_out[15..0]" (rect 4 5421 220 5432)(font "Arial" (font_size 8))) - (line (pt 0 5432)(pt 336 5432)(line_width 3)) + (text "tristate_conduit_tcm_data_out[15..0]" (rect 4 5501 220 5512)(font "Arial" (font_size 8))) + (line (pt 0 5512)(pt 336 5512)(line_width 3)) ) (port - (pt 0 5536) + (pt 0 5616) (bidir) (text "umft601a_pins_umft_data_signal[31..0]" (rect 0 0 155 12)(font "Arial" (font_size 8))) - (text "umft601a_pins_umft_data_signal[31..0]" (rect 4 5525 226 5536)(font "Arial" (font_size 8))) - (line (pt 0 5536)(pt 336 5536)(line_width 3)) + (text "umft601a_pins_umft_data_signal[31..0]" (rect 4 5605 226 5616)(font "Arial" (font_size 8))) + (line (pt 0 5616)(pt 336 5616)(line_width 3)) ) (port - (pt 0 5552) + (pt 0 5632) (bidir) (text "umft601a_pins_umft_be_signal[3..0]" (rect 0 0 145 12)(font "Arial" (font_size 8))) - (text "umft601a_pins_umft_be_signal[3..0]" (rect 4 5541 208 5552)(font "Arial" (font_size 8))) - (line (pt 0 5552)(pt 336 5552)(line_width 3)) + (text "umft601a_pins_umft_be_signal[3..0]" (rect 4 5621 208 5632)(font "Arial" (font_size 8))) + (line (pt 0 5632)(pt 336 5632)(line_width 3)) ) (port - (pt 0 5568) + (pt 0 5648) (bidir) (text "umft601a_pins_umft_wakeup_n_signal" (rect 0 0 155 12)(font "Arial" (font_size 8))) - (text "umft601a_pins_umft_wakeup_n_signal" (rect 4 5557 208 5568)(font "Arial" (font_size 8))) - (line (pt 0 5568)(pt 336 5568)(line_width 1)) + (text "umft601a_pins_umft_wakeup_n_signal" (rect 4 5637 208 5648)(font "Arial" (font_size 8))) + (line (pt 0 5648)(pt 336 5648)(line_width 1)) ) (port - (pt 0 5584) + (pt 0 5664) (bidir) (text "umft601a_pins_umft_gpio_bus_signal[1..0]" (rect 0 0 169 12)(font "Arial" (font_size 8))) - (text "umft601a_pins_umft_gpio_bus_signal[1..0]" (rect 4 5573 244 5584)(font "Arial" (font_size 8))) - (line (pt 0 5584)(pt 336 5584)(line_width 3)) + (text "umft601a_pins_umft_gpio_bus_signal[1..0]" (rect 4 5653 244 5664)(font "Arial" (font_size 8))) + (line (pt 0 5664)(pt 336 5664)(line_width 3)) ) (drawing (text "button" (rect 301 43 638 99)(font "Arial" (color 128 0 0)(font_size 9))) @@ -1662,199 +1676,203 @@ refer to the applicable agreement for further details. (text "export" (rect 341 2211 718 4432)(font "Arial" (color 0 0 0))) (text "pio_iso_logic_signal_enable" (rect 173 2227 508 4467)(font "Arial" (color 128 0 0)(font_size 9))) (text "export" (rect 341 2251 718 4512)(font "Arial" (color 0 0 0))) - (text "rs232_uart" (rect 273 2267 606 4547)(font "Arial" (color 128 0 0)(font_size 9))) - (text "rxd" (rect 341 2291 700 4592)(font "Arial" (color 0 0 0))) - (text "txd" (rect 341 2307 700 4624)(font "Arial" (color 0 0 0))) - (text "rst" (rect 321 2323 660 4659)(font "Arial" (color 128 0 0)(font_size 9))) - (text "reset_n" (rect 341 2347 724 4704)(font "Arial" (color 0 0 0))) - (text "rst_controller_conduit_reset_input" (rect 136 2363 476 4739)(font "Arial" (color 128 0 0)(font_size 9))) - (text "t_reset_input_signal" (rect 341 2387 802 4784)(font "Arial" (color 0 0 0))) - (text "rst_controller_conduit_simucam_reset" (rect 112 2403 440 4819)(font "Arial" (color 128 0 0)(font_size 9))) - (text "t_simucam_reset_signal" (rect 341 2427 814 4864)(font "Arial" (color 0 0 0))) - (text "scom_0_sync" (rect 257 2443 580 4899)(font "Arial" (color 128 0 0)(font_size 9))) - (text "sync_signal" (rect 341 2467 748 4944)(font "Arial" (color 0 0 0))) - (text "sd_card_wp_n_io" (rect 232 2483 554 4979)(font "Arial" (color 128 0 0)(font_size 9))) - (text "export" (rect 341 2507 718 5024)(font "Arial" (color 0 0 0))) - (text "spwc_a_enable" (rect 246 2523 570 5059)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_rx_enable_signal" (rect 341 2547 802 5104)(font "Arial" (color 0 0 0))) - (text "spw_tx_enable_signal" (rect 341 2563 802 5136)(font "Arial" (color 0 0 0))) - (text "spwc_a_leds" (rect 260 2579 586 5171)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_red_status_led_signal" (rect 341 2603 832 5216)(font "Arial" (color 0 0 0))) - (text "spw_green_status_led_signal" (rect 341 2619 844 5248)(font "Arial" (color 0 0 0))) - (text "spwc_a_lvds" (rect 261 2635 588 5283)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_lvds_p_data_in_signal" (rect 341 2659 832 5328)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_data_in_signal" (rect 341 2675 832 5360)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_data_out_signal" (rect 341 2691 838 5392)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_data_out_signal" (rect 341 2707 838 5424)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_strobe_out_signal" (rect 341 2723 850 5456)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_strobe_out_signal" (rect 341 2739 850 5488)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_strobe_in_signal" (rect 341 2755 844 5520)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_strobe_in_signal" (rect 341 2771 844 5552)(font "Arial" (color 0 0 0))) - (text "spwc_b_enable" (rect 246 2787 570 5587)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_rx_enable_signal" (rect 341 2811 802 5632)(font "Arial" (color 0 0 0))) - (text "spw_tx_enable_signal" (rect 341 2827 802 5664)(font "Arial" (color 0 0 0))) - (text "spwc_b_leds" (rect 260 2843 586 5699)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_red_status_led_signal" (rect 341 2867 832 5744)(font "Arial" (color 0 0 0))) - (text "spw_green_status_led_signal" (rect 341 2883 844 5776)(font "Arial" (color 0 0 0))) - (text "spwc_b_lvds" (rect 261 2899 588 5811)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_lvds_p_data_in_signal" (rect 341 2923 832 5856)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_data_in_signal" (rect 341 2939 832 5888)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_data_out_signal" (rect 341 2955 838 5920)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_data_out_signal" (rect 341 2971 838 5952)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_strobe_out_signal" (rect 341 2987 850 5984)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_strobe_out_signal" (rect 341 3003 850 6016)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_strobe_in_signal" (rect 341 3019 844 6048)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_strobe_in_signal" (rect 341 3035 844 6080)(font "Arial" (color 0 0 0))) - (text "spwc_c_enable" (rect 247 3051 572 6115)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_rx_enable_signal" (rect 341 3075 802 6160)(font "Arial" (color 0 0 0))) - (text "spw_tx_enable_signal" (rect 341 3091 802 6192)(font "Arial" (color 0 0 0))) - (text "spwc_c_leds" (rect 261 3107 588 6227)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_red_status_led_signal" (rect 341 3131 832 6272)(font "Arial" (color 0 0 0))) - (text "spw_green_status_led_signal" (rect 341 3147 844 6304)(font "Arial" (color 0 0 0))) - (text "spwc_c_lvds" (rect 262 3163 590 6339)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_lvds_p_data_in_signal" (rect 341 3187 832 6384)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_data_in_signal" (rect 341 3203 832 6416)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_data_out_signal" (rect 341 3219 838 6448)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_data_out_signal" (rect 341 3235 838 6480)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_strobe_out_signal" (rect 341 3251 850 6512)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_strobe_out_signal" (rect 341 3267 850 6544)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_strobe_in_signal" (rect 341 3283 844 6576)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_strobe_in_signal" (rect 341 3299 844 6608)(font "Arial" (color 0 0 0))) - (text "spwc_d_enable" (rect 246 3315 570 6643)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_rx_enable_signal" (rect 341 3339 802 6688)(font "Arial" (color 0 0 0))) - (text "spw_tx_enable_signal" (rect 341 3355 802 6720)(font "Arial" (color 0 0 0))) - (text "spwc_d_leds" (rect 260 3371 586 6755)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_red_status_led_signal" (rect 341 3395 832 6800)(font "Arial" (color 0 0 0))) - (text "spw_green_status_led_signal" (rect 341 3411 844 6832)(font "Arial" (color 0 0 0))) - (text "spwc_d_lvds" (rect 261 3427 588 6867)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_lvds_p_data_in_signal" (rect 341 3451 832 6912)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_data_in_signal" (rect 341 3467 832 6944)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_data_out_signal" (rect 341 3483 838 6976)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_data_out_signal" (rect 341 3499 838 7008)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_strobe_out_signal" (rect 341 3515 850 7040)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_strobe_out_signal" (rect 341 3531 850 7072)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_strobe_in_signal" (rect 341 3547 844 7104)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_strobe_in_signal" (rect 341 3563 844 7136)(font "Arial" (color 0 0 0))) - (text "spwc_e_enable" (rect 246 3579 570 7171)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_rx_enable_signal" (rect 341 3603 802 7216)(font "Arial" (color 0 0 0))) - (text "spw_tx_enable_signal" (rect 341 3619 802 7248)(font "Arial" (color 0 0 0))) - (text "spwc_e_leds" (rect 260 3635 586 7283)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_red_status_led_signal" (rect 341 3659 832 7328)(font "Arial" (color 0 0 0))) - (text "spw_green_status_led_signal" (rect 341 3675 844 7360)(font "Arial" (color 0 0 0))) - (text "spwc_e_lvds" (rect 261 3691 588 7395)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_lvds_p_data_in_signal" (rect 341 3715 832 7440)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_data_in_signal" (rect 341 3731 832 7472)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_data_out_signal" (rect 341 3747 838 7504)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_data_out_signal" (rect 341 3763 838 7536)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_strobe_out_signal" (rect 341 3779 850 7568)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_strobe_out_signal" (rect 341 3795 850 7600)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_strobe_in_signal" (rect 341 3811 844 7632)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_strobe_in_signal" (rect 341 3827 844 7664)(font "Arial" (color 0 0 0))) - (text "spwc_f_enable" (rect 248 3843 574 7699)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_rx_enable_signal" (rect 341 3867 802 7744)(font "Arial" (color 0 0 0))) - (text "spw_tx_enable_signal" (rect 341 3883 802 7776)(font "Arial" (color 0 0 0))) - (text "spwc_f_leds" (rect 262 3899 590 7811)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_red_status_led_signal" (rect 341 3923 832 7856)(font "Arial" (color 0 0 0))) - (text "spw_green_status_led_signal" (rect 341 3939 844 7888)(font "Arial" (color 0 0 0))) - (text "spwc_f_lvds" (rect 263 3955 592 7923)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_lvds_p_data_in_signal" (rect 341 3979 832 7968)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_data_in_signal" (rect 341 3995 832 8000)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_data_out_signal" (rect 341 4011 838 8032)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_data_out_signal" (rect 341 4027 838 8064)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_strobe_out_signal" (rect 341 4043 850 8096)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_strobe_out_signal" (rect 341 4059 850 8128)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_strobe_in_signal" (rect 341 4075 844 8160)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_strobe_in_signal" (rect 341 4091 844 8192)(font "Arial" (color 0 0 0))) - (text "spwc_g_enable" (rect 246 4107 570 8227)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_rx_enable_signal" (rect 341 4131 802 8272)(font "Arial" (color 0 0 0))) - (text "spw_tx_enable_signal" (rect 341 4147 802 8304)(font "Arial" (color 0 0 0))) - (text "spwc_g_leds" (rect 260 4163 586 8339)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_red_status_led_signal" (rect 341 4187 832 8384)(font "Arial" (color 0 0 0))) - (text "spw_green_status_led_signal" (rect 341 4203 844 8416)(font "Arial" (color 0 0 0))) - (text "spwc_g_lvds" (rect 261 4219 588 8451)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_lvds_p_data_in_signal" (rect 341 4243 832 8496)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_data_in_signal" (rect 341 4259 832 8528)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_data_out_signal" (rect 341 4275 838 8560)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_data_out_signal" (rect 341 4291 838 8592)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_strobe_out_signal" (rect 341 4307 850 8624)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_strobe_out_signal" (rect 341 4323 850 8656)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_strobe_in_signal" (rect 341 4339 844 8688)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_strobe_in_signal" (rect 341 4355 844 8720)(font "Arial" (color 0 0 0))) - (text "spwc_h_enable" (rect 246 4371 570 8755)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_rx_enable_signal" (rect 341 4395 802 8800)(font "Arial" (color 0 0 0))) - (text "spw_tx_enable_signal" (rect 341 4411 802 8832)(font "Arial" (color 0 0 0))) - (text "spwc_h_leds" (rect 260 4427 586 8867)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_red_status_led_signal" (rect 341 4451 832 8912)(font "Arial" (color 0 0 0))) - (text "spw_green_status_led_signal" (rect 341 4467 844 8944)(font "Arial" (color 0 0 0))) - (text "spwc_h_lvds" (rect 261 4483 588 8979)(font "Arial" (color 128 0 0)(font_size 9))) - (text "spw_lvds_p_data_in_signal" (rect 341 4507 832 9024)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_data_in_signal" (rect 341 4523 832 9056)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_data_out_signal" (rect 341 4539 838 9088)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_data_out_signal" (rect 341 4555 838 9120)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_strobe_out_signal" (rect 341 4571 850 9152)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_strobe_out_signal" (rect 341 4587 850 9184)(font "Arial" (color 0 0 0))) - (text "spw_lvds_p_strobe_in_signal" (rect 341 4603 844 9216)(font "Arial" (color 0 0 0))) - (text "spw_lvds_n_strobe_in_signal" (rect 341 4619 844 9248)(font "Arial" (color 0 0 0))) - (text "sync_filtered_sig" (rect 237 4635 576 9283)(font "Arial" (color 128 0 0)(font_size 9))) - (text "filtered_sig_signal" (rect 341 4659 796 9328)(font "Arial" (color 0 0 0))) - (text "sync_in" (rect 293 4675 628 9363)(font "Arial" (color 128 0 0)(font_size 9))) - (text "conduit" (rect 341 4699 724 9408)(font "Arial" (color 0 0 0))) - (text "sync_in_en" (rect 271 4715 602 9443)(font "Arial" (color 128 0 0)(font_size 9))) - (text "conduit" (rect 341 4739 724 9488)(font "Arial" (color 0 0 0))) - (text "sync_out" (rect 285 4755 618 9523)(font "Arial" (color 128 0 0)(font_size 9))) + (text "pio_rmap_echoing_module_reset_external_connection" (rect 19 2267 332 4547)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 341 2291 718 4592)(font "Arial" (color 0 0 0))) + (text "rmap_echoing_echo_rst_sink" (rect 164 2307 484 4627)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 341 2331 712 4672)(font "Arial" (color 0 0 0))) + (text "rs232_uart" (rect 273 2347 606 4707)(font "Arial" (color 128 0 0)(font_size 9))) + (text "rxd" (rect 341 2371 700 4752)(font "Arial" (color 0 0 0))) + (text "txd" (rect 341 2387 700 4784)(font "Arial" (color 0 0 0))) + (text "rst" (rect 321 2403 660 4819)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset_n" (rect 341 2427 724 4864)(font "Arial" (color 0 0 0))) + (text "rst_controller_conduit_reset_input" (rect 136 2443 476 4899)(font "Arial" (color 128 0 0)(font_size 9))) + (text "t_reset_input_signal" (rect 341 2467 802 4944)(font "Arial" (color 0 0 0))) + (text "rst_controller_conduit_simucam_reset" (rect 112 2483 440 4979)(font "Arial" (color 128 0 0)(font_size 9))) + (text "t_simucam_reset_signal" (rect 341 2507 814 5024)(font "Arial" (color 0 0 0))) + (text "scom_0_sync" (rect 257 2523 580 5059)(font "Arial" (color 128 0 0)(font_size 9))) + (text "sync_signal" (rect 341 2547 748 5104)(font "Arial" (color 0 0 0))) + (text "sd_card_wp_n_io" (rect 232 2563 554 5139)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 341 2587 718 5184)(font "Arial" (color 0 0 0))) + (text "spwc_a_enable" (rect 246 2603 570 5219)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_rx_enable_signal" (rect 341 2627 802 5264)(font "Arial" (color 0 0 0))) + (text "spw_tx_enable_signal" (rect 341 2643 802 5296)(font "Arial" (color 0 0 0))) + (text "spwc_a_leds" (rect 260 2659 586 5331)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_red_status_led_signal" (rect 341 2683 832 5376)(font "Arial" (color 0 0 0))) + (text "spw_green_status_led_signal" (rect 341 2699 844 5408)(font "Arial" (color 0 0 0))) + (text "spwc_a_lvds" (rect 261 2715 588 5443)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_lvds_p_data_in_signal" (rect 341 2739 832 5488)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_data_in_signal" (rect 341 2755 832 5520)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_data_out_signal" (rect 341 2771 838 5552)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_data_out_signal" (rect 341 2787 838 5584)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_strobe_out_signal" (rect 341 2803 850 5616)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_strobe_out_signal" (rect 341 2819 850 5648)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_strobe_in_signal" (rect 341 2835 844 5680)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_strobe_in_signal" (rect 341 2851 844 5712)(font "Arial" (color 0 0 0))) + (text "spwc_b_enable" (rect 246 2867 570 5747)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_rx_enable_signal" (rect 341 2891 802 5792)(font "Arial" (color 0 0 0))) + (text "spw_tx_enable_signal" (rect 341 2907 802 5824)(font "Arial" (color 0 0 0))) + (text "spwc_b_leds" (rect 260 2923 586 5859)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_red_status_led_signal" (rect 341 2947 832 5904)(font "Arial" (color 0 0 0))) + (text "spw_green_status_led_signal" (rect 341 2963 844 5936)(font "Arial" (color 0 0 0))) + (text "spwc_b_lvds" (rect 261 2979 588 5971)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_lvds_p_data_in_signal" (rect 341 3003 832 6016)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_data_in_signal" (rect 341 3019 832 6048)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_data_out_signal" (rect 341 3035 838 6080)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_data_out_signal" (rect 341 3051 838 6112)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_strobe_out_signal" (rect 341 3067 850 6144)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_strobe_out_signal" (rect 341 3083 850 6176)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_strobe_in_signal" (rect 341 3099 844 6208)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_strobe_in_signal" (rect 341 3115 844 6240)(font "Arial" (color 0 0 0))) + (text "spwc_c_enable" (rect 247 3131 572 6275)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_rx_enable_signal" (rect 341 3155 802 6320)(font "Arial" (color 0 0 0))) + (text "spw_tx_enable_signal" (rect 341 3171 802 6352)(font "Arial" (color 0 0 0))) + (text "spwc_c_leds" (rect 261 3187 588 6387)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_red_status_led_signal" (rect 341 3211 832 6432)(font "Arial" (color 0 0 0))) + (text "spw_green_status_led_signal" (rect 341 3227 844 6464)(font "Arial" (color 0 0 0))) + (text "spwc_c_lvds" (rect 262 3243 590 6499)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_lvds_p_data_in_signal" (rect 341 3267 832 6544)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_data_in_signal" (rect 341 3283 832 6576)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_data_out_signal" (rect 341 3299 838 6608)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_data_out_signal" (rect 341 3315 838 6640)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_strobe_out_signal" (rect 341 3331 850 6672)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_strobe_out_signal" (rect 341 3347 850 6704)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_strobe_in_signal" (rect 341 3363 844 6736)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_strobe_in_signal" (rect 341 3379 844 6768)(font "Arial" (color 0 0 0))) + (text "spwc_d_enable" (rect 246 3395 570 6803)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_rx_enable_signal" (rect 341 3419 802 6848)(font "Arial" (color 0 0 0))) + (text "spw_tx_enable_signal" (rect 341 3435 802 6880)(font "Arial" (color 0 0 0))) + (text "spwc_d_leds" (rect 260 3451 586 6915)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_red_status_led_signal" (rect 341 3475 832 6960)(font "Arial" (color 0 0 0))) + (text "spw_green_status_led_signal" (rect 341 3491 844 6992)(font "Arial" (color 0 0 0))) + (text "spwc_d_lvds" (rect 261 3507 588 7027)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_lvds_p_data_in_signal" (rect 341 3531 832 7072)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_data_in_signal" (rect 341 3547 832 7104)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_data_out_signal" (rect 341 3563 838 7136)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_data_out_signal" (rect 341 3579 838 7168)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_strobe_out_signal" (rect 341 3595 850 7200)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_strobe_out_signal" (rect 341 3611 850 7232)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_strobe_in_signal" (rect 341 3627 844 7264)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_strobe_in_signal" (rect 341 3643 844 7296)(font "Arial" (color 0 0 0))) + (text "spwc_e_enable" (rect 246 3659 570 7331)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_rx_enable_signal" (rect 341 3683 802 7376)(font "Arial" (color 0 0 0))) + (text "spw_tx_enable_signal" (rect 341 3699 802 7408)(font "Arial" (color 0 0 0))) + (text "spwc_e_leds" (rect 260 3715 586 7443)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_red_status_led_signal" (rect 341 3739 832 7488)(font "Arial" (color 0 0 0))) + (text "spw_green_status_led_signal" (rect 341 3755 844 7520)(font "Arial" (color 0 0 0))) + (text "spwc_e_lvds" (rect 261 3771 588 7555)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_lvds_p_data_in_signal" (rect 341 3795 832 7600)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_data_in_signal" (rect 341 3811 832 7632)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_data_out_signal" (rect 341 3827 838 7664)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_data_out_signal" (rect 341 3843 838 7696)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_strobe_out_signal" (rect 341 3859 850 7728)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_strobe_out_signal" (rect 341 3875 850 7760)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_strobe_in_signal" (rect 341 3891 844 7792)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_strobe_in_signal" (rect 341 3907 844 7824)(font "Arial" (color 0 0 0))) + (text "spwc_f_enable" (rect 248 3923 574 7859)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_rx_enable_signal" (rect 341 3947 802 7904)(font "Arial" (color 0 0 0))) + (text "spw_tx_enable_signal" (rect 341 3963 802 7936)(font "Arial" (color 0 0 0))) + (text "spwc_f_leds" (rect 262 3979 590 7971)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_red_status_led_signal" (rect 341 4003 832 8016)(font "Arial" (color 0 0 0))) + (text "spw_green_status_led_signal" (rect 341 4019 844 8048)(font "Arial" (color 0 0 0))) + (text "spwc_f_lvds" (rect 263 4035 592 8083)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_lvds_p_data_in_signal" (rect 341 4059 832 8128)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_data_in_signal" (rect 341 4075 832 8160)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_data_out_signal" (rect 341 4091 838 8192)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_data_out_signal" (rect 341 4107 838 8224)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_strobe_out_signal" (rect 341 4123 850 8256)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_strobe_out_signal" (rect 341 4139 850 8288)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_strobe_in_signal" (rect 341 4155 844 8320)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_strobe_in_signal" (rect 341 4171 844 8352)(font "Arial" (color 0 0 0))) + (text "spwc_g_enable" (rect 246 4187 570 8387)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_rx_enable_signal" (rect 341 4211 802 8432)(font "Arial" (color 0 0 0))) + (text "spw_tx_enable_signal" (rect 341 4227 802 8464)(font "Arial" (color 0 0 0))) + (text "spwc_g_leds" (rect 260 4243 586 8499)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_red_status_led_signal" (rect 341 4267 832 8544)(font "Arial" (color 0 0 0))) + (text "spw_green_status_led_signal" (rect 341 4283 844 8576)(font "Arial" (color 0 0 0))) + (text "spwc_g_lvds" (rect 261 4299 588 8611)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_lvds_p_data_in_signal" (rect 341 4323 832 8656)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_data_in_signal" (rect 341 4339 832 8688)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_data_out_signal" (rect 341 4355 838 8720)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_data_out_signal" (rect 341 4371 838 8752)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_strobe_out_signal" (rect 341 4387 850 8784)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_strobe_out_signal" (rect 341 4403 850 8816)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_strobe_in_signal" (rect 341 4419 844 8848)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_strobe_in_signal" (rect 341 4435 844 8880)(font "Arial" (color 0 0 0))) + (text "spwc_h_enable" (rect 246 4451 570 8915)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_rx_enable_signal" (rect 341 4475 802 8960)(font "Arial" (color 0 0 0))) + (text "spw_tx_enable_signal" (rect 341 4491 802 8992)(font "Arial" (color 0 0 0))) + (text "spwc_h_leds" (rect 260 4507 586 9027)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_red_status_led_signal" (rect 341 4531 832 9072)(font "Arial" (color 0 0 0))) + (text "spw_green_status_led_signal" (rect 341 4547 844 9104)(font "Arial" (color 0 0 0))) + (text "spwc_h_lvds" (rect 261 4563 588 9139)(font "Arial" (color 128 0 0)(font_size 9))) + (text "spw_lvds_p_data_in_signal" (rect 341 4587 832 9184)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_data_in_signal" (rect 341 4603 832 9216)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_data_out_signal" (rect 341 4619 838 9248)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_data_out_signal" (rect 341 4635 838 9280)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_strobe_out_signal" (rect 341 4651 850 9312)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_strobe_out_signal" (rect 341 4667 850 9344)(font "Arial" (color 0 0 0))) + (text "spw_lvds_p_strobe_in_signal" (rect 341 4683 844 9376)(font "Arial" (color 0 0 0))) + (text "spw_lvds_n_strobe_in_signal" (rect 341 4699 844 9408)(font "Arial" (color 0 0 0))) + (text "sync_filtered_sig" (rect 237 4715 576 9443)(font "Arial" (color 128 0 0)(font_size 9))) + (text "filtered_sig_signal" (rect 341 4739 796 9488)(font "Arial" (color 0 0 0))) + (text "sync_in" (rect 293 4755 628 9523)(font "Arial" (color 128 0 0)(font_size 9))) (text "conduit" (rect 341 4779 724 9568)(font "Arial" (color 0 0 0))) - (text "sync_out_en" (rect 263 4795 592 9603)(font "Arial" (color 128 0 0)(font_size 9))) + (text "sync_in_en" (rect 271 4795 602 9603)(font "Arial" (color 128 0 0)(font_size 9))) (text "conduit" (rect 341 4819 724 9648)(font "Arial" (color 0 0 0))) - (text "sync_spw1" (rect 274 4835 602 9683)(font "Arial" (color 128 0 0)(font_size 9))) + (text "sync_out" (rect 285 4835 618 9683)(font "Arial" (color 128 0 0)(font_size 9))) (text "conduit" (rect 341 4859 724 9728)(font "Arial" (color 0 0 0))) - (text "sync_spw2" (rect 272 4875 598 9763)(font "Arial" (color 128 0 0)(font_size 9))) + (text "sync_out_en" (rect 263 4875 592 9763)(font "Arial" (color 128 0 0)(font_size 9))) (text "conduit" (rect 341 4899 724 9808)(font "Arial" (color 0 0 0))) - (text "sync_spw3" (rect 272 4915 598 9843)(font "Arial" (color 128 0 0)(font_size 9))) + (text "sync_spw1" (rect 274 4915 602 9843)(font "Arial" (color 128 0 0)(font_size 9))) (text "conduit" (rect 341 4939 724 9888)(font "Arial" (color 0 0 0))) - (text "sync_spw4" (rect 272 4955 598 9923)(font "Arial" (color 128 0 0)(font_size 9))) + (text "sync_spw2" (rect 272 4955 598 9923)(font "Arial" (color 128 0 0)(font_size 9))) (text "conduit" (rect 341 4979 724 9968)(font "Arial" (color 0 0 0))) - (text "sync_spw5" (rect 272 4995 598 10003)(font "Arial" (color 128 0 0)(font_size 9))) + (text "sync_spw3" (rect 272 4995 598 10003)(font "Arial" (color 128 0 0)(font_size 9))) (text "conduit" (rect 341 5019 724 10048)(font "Arial" (color 0 0 0))) - (text "sync_spw6" (rect 272 5035 598 10083)(font "Arial" (color 128 0 0)(font_size 9))) + (text "sync_spw4" (rect 272 5035 598 10083)(font "Arial" (color 128 0 0)(font_size 9))) (text "conduit" (rect 341 5059 724 10128)(font "Arial" (color 0 0 0))) - (text "sync_spw7" (rect 272 5075 598 10163)(font "Arial" (color 128 0 0)(font_size 9))) + (text "sync_spw5" (rect 272 5075 598 10163)(font "Arial" (color 128 0 0)(font_size 9))) (text "conduit" (rect 341 5099 724 10208)(font "Arial" (color 0 0 0))) - (text "sync_spw8" (rect 272 5115 598 10243)(font "Arial" (color 128 0 0)(font_size 9))) + (text "sync_spw6" (rect 272 5115 598 10243)(font "Arial" (color 128 0 0)(font_size 9))) (text "conduit" (rect 341 5139 724 10288)(font "Arial" (color 0 0 0))) - (text "sync_unfiltered_sig" (rect 223 5155 560 10323)(font "Arial" (color 128 0 0)(font_size 9))) - (text "unfiltered_sig_signal" (rect 341 5179 808 10368)(font "Arial" (color 0 0 0))) - (text "temp_scl" (rect 284 5195 616 10403)(font "Arial" (color 128 0 0)(font_size 9))) - (text "export" (rect 341 5219 718 10448)(font "Arial" (color 0 0 0))) - (text "temp_sda" (rect 279 5235 606 10483)(font "Arial" (color 128 0 0)(font_size 9))) - (text "export" (rect 341 5259 718 10528)(font "Arial" (color 0 0 0))) - (text "timer_1ms_external_port" (rect 191 5275 520 10563)(font "Arial" (color 128 0 0)(font_size 9))) + (text "sync_spw7" (rect 272 5155 598 10323)(font "Arial" (color 128 0 0)(font_size 9))) + (text "conduit" (rect 341 5179 724 10368)(font "Arial" (color 0 0 0))) + (text "sync_spw8" (rect 272 5195 598 10403)(font "Arial" (color 128 0 0)(font_size 9))) + (text "conduit" (rect 341 5219 724 10448)(font "Arial" (color 0 0 0))) + (text "sync_unfiltered_sig" (rect 223 5235 560 10483)(font "Arial" (color 128 0 0)(font_size 9))) + (text "unfiltered_sig_signal" (rect 341 5259 808 10528)(font "Arial" (color 0 0 0))) + (text "temp_scl" (rect 284 5275 616 10563)(font "Arial" (color 128 0 0)(font_size 9))) (text "export" (rect 341 5299 718 10608)(font "Arial" (color 0 0 0))) - (text "timer_1us_external_port" (rect 195 5315 528 10643)(font "Arial" (color 128 0 0)(font_size 9))) + (text "temp_sda" (rect 279 5315 606 10643)(font "Arial" (color 128 0 0)(font_size 9))) (text "export" (rect 341 5339 718 10688)(font "Arial" (color 0 0 0))) - (text "tristate_conduit" (rect 247 5355 590 10723)(font "Arial" (color 128 0 0)(font_size 9))) - (text "tcm_address_out" (rect 341 5379 772 10768)(font "Arial" (color 0 0 0))) - (text "tcm_read_n_out" (rect 341 5395 766 10800)(font "Arial" (color 0 0 0))) - (text "tcm_write_n_out" (rect 341 5411 772 10832)(font "Arial" (color 0 0 0))) - (text "tcm_data_out" (rect 341 5427 754 10864)(font "Arial" (color 0 0 0))) - (text "tcm_chipselect_n_out" (rect 341 5443 802 10896)(font "Arial" (color 0 0 0))) - (text "umft601a_pins" (rect 252 5459 582 10931)(font "Arial" (color 128 0 0)(font_size 9))) - (text "umft_clock_signal" (rect 341 5483 784 10976)(font "Arial" (color 0 0 0))) - (text "umft_txe_n_signal" (rect 341 5499 784 11008)(font "Arial" (color 0 0 0))) - (text "umft_rxf_n_signal" (rect 341 5515 784 11040)(font "Arial" (color 0 0 0))) - (text "umft_data_signal" (rect 341 5531 778 11072)(font "Arial" (color 0 0 0))) - (text "umft_be_signal" (rect 341 5547 766 11104)(font "Arial" (color 0 0 0))) - (text "umft_wakeup_n_signal" (rect 341 5563 802 11136)(font "Arial" (color 0 0 0))) - (text "umft_gpio_bus_signal" (rect 341 5579 802 11168)(font "Arial" (color 0 0 0))) - (text "umft_reset_n_signal" (rect 341 5595 796 11200)(font "Arial" (color 0 0 0))) - (text "umft_wr_n_signal" (rect 341 5611 778 11232)(font "Arial" (color 0 0 0))) - (text "umft_rd_n_signal" (rect 341 5627 778 11264)(font "Arial" (color 0 0 0))) - (text "umft_oe_n_signal" (rect 341 5643 778 11296)(font "Arial" (color 0 0 0))) - (text "umft_siwu_n_signal" (rect 341 5659 790 11328)(font "Arial" (color 0 0 0))) - (text " MebX_Qsys_Project " (rect 740 5680 1594 11370)(font "Arial" )) + (text "timer_1ms_external_port" (rect 191 5355 520 10723)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 341 5379 718 10768)(font "Arial" (color 0 0 0))) + (text "timer_1us_external_port" (rect 195 5395 528 10803)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 341 5419 718 10848)(font "Arial" (color 0 0 0))) + (text "tristate_conduit" (rect 247 5435 590 10883)(font "Arial" (color 128 0 0)(font_size 9))) + (text "tcm_address_out" (rect 341 5459 772 10928)(font "Arial" (color 0 0 0))) + (text "tcm_read_n_out" (rect 341 5475 766 10960)(font "Arial" (color 0 0 0))) + (text "tcm_write_n_out" (rect 341 5491 772 10992)(font "Arial" (color 0 0 0))) + (text "tcm_data_out" (rect 341 5507 754 11024)(font "Arial" (color 0 0 0))) + (text "tcm_chipselect_n_out" (rect 341 5523 802 11056)(font "Arial" (color 0 0 0))) + (text "umft601a_pins" (rect 252 5539 582 11091)(font "Arial" (color 128 0 0)(font_size 9))) + (text "umft_clock_signal" (rect 341 5563 784 11136)(font "Arial" (color 0 0 0))) + (text "umft_txe_n_signal" (rect 341 5579 784 11168)(font "Arial" (color 0 0 0))) + (text "umft_rxf_n_signal" (rect 341 5595 784 11200)(font "Arial" (color 0 0 0))) + (text "umft_data_signal" (rect 341 5611 778 11232)(font "Arial" (color 0 0 0))) + (text "umft_be_signal" (rect 341 5627 766 11264)(font "Arial" (color 0 0 0))) + (text "umft_wakeup_n_signal" (rect 341 5643 802 11296)(font "Arial" (color 0 0 0))) + (text "umft_gpio_bus_signal" (rect 341 5659 802 11328)(font "Arial" (color 0 0 0))) + (text "umft_reset_n_signal" (rect 341 5675 796 11360)(font "Arial" (color 0 0 0))) + (text "umft_wr_n_signal" (rect 341 5691 778 11392)(font "Arial" (color 0 0 0))) + (text "umft_rd_n_signal" (rect 341 5707 778 11424)(font "Arial" (color 0 0 0))) + (text "umft_oe_n_signal" (rect 341 5723 778 11456)(font "Arial" (color 0 0 0))) + (text "umft_siwu_n_signal" (rect 341 5739 790 11488)(font "Arial" (color 0 0 0))) + (text " MebX_Qsys_Project " (rect 740 5760 1594 11530)(font "Arial" )) (line (pt 336 32)(pt 496 32)(line_width 1)) - (line (pt 496 32)(pt 496 5680)(line_width 1)) - (line (pt 336 5680)(pt 496 5680)(line_width 1)) - (line (pt 336 32)(pt 336 5680)(line_width 1)) + (line (pt 496 32)(pt 496 5760)(line_width 1)) + (line (pt 336 5760)(pt 496 5760)(line_width 1)) + (line (pt 336 32)(pt 336 5760)(line_width 1)) (line (pt 337 52)(pt 337 76)(line_width 1)) (line (pt 338 52)(pt 338 76)(line_width 1)) (line (pt 337 92)(pt 337 116)(line_width 1)) @@ -1935,70 +1953,70 @@ refer to the applicable agreement for further details. (line (pt 338 2196)(pt 338 2220)(line_width 1)) (line (pt 337 2236)(pt 337 2260)(line_width 1)) (line (pt 338 2236)(pt 338 2260)(line_width 1)) - (line (pt 337 2276)(pt 337 2316)(line_width 1)) - (line (pt 338 2276)(pt 338 2316)(line_width 1)) - (line (pt 337 2332)(pt 337 2356)(line_width 1)) - (line (pt 338 2332)(pt 338 2356)(line_width 1)) - (line (pt 337 2372)(pt 337 2396)(line_width 1)) - (line (pt 338 2372)(pt 338 2396)(line_width 1)) + (line (pt 337 2276)(pt 337 2300)(line_width 1)) + (line (pt 338 2276)(pt 338 2300)(line_width 1)) + (line (pt 337 2316)(pt 337 2340)(line_width 1)) + (line (pt 338 2316)(pt 338 2340)(line_width 1)) + (line (pt 337 2356)(pt 337 2396)(line_width 1)) + (line (pt 338 2356)(pt 338 2396)(line_width 1)) (line (pt 337 2412)(pt 337 2436)(line_width 1)) (line (pt 338 2412)(pt 338 2436)(line_width 1)) (line (pt 337 2452)(pt 337 2476)(line_width 1)) (line (pt 338 2452)(pt 338 2476)(line_width 1)) (line (pt 337 2492)(pt 337 2516)(line_width 1)) (line (pt 338 2492)(pt 338 2516)(line_width 1)) - (line (pt 337 2532)(pt 337 2572)(line_width 1)) - (line (pt 338 2532)(pt 338 2572)(line_width 1)) - (line (pt 337 2588)(pt 337 2628)(line_width 1)) - (line (pt 338 2588)(pt 338 2628)(line_width 1)) - (line (pt 337 2644)(pt 337 2780)(line_width 1)) - (line (pt 338 2644)(pt 338 2780)(line_width 1)) - (line (pt 337 2796)(pt 337 2836)(line_width 1)) - (line (pt 338 2796)(pt 338 2836)(line_width 1)) - (line (pt 337 2852)(pt 337 2892)(line_width 1)) - (line (pt 338 2852)(pt 338 2892)(line_width 1)) - (line (pt 337 2908)(pt 337 3044)(line_width 1)) - (line (pt 338 2908)(pt 338 3044)(line_width 1)) - (line (pt 337 3060)(pt 337 3100)(line_width 1)) - (line (pt 338 3060)(pt 338 3100)(line_width 1)) - (line (pt 337 3116)(pt 337 3156)(line_width 1)) - (line (pt 338 3116)(pt 338 3156)(line_width 1)) - (line (pt 337 3172)(pt 337 3308)(line_width 1)) - (line (pt 338 3172)(pt 338 3308)(line_width 1)) - (line (pt 337 3324)(pt 337 3364)(line_width 1)) - (line (pt 338 3324)(pt 338 3364)(line_width 1)) - (line (pt 337 3380)(pt 337 3420)(line_width 1)) - (line (pt 338 3380)(pt 338 3420)(line_width 1)) - (line (pt 337 3436)(pt 337 3572)(line_width 1)) - (line (pt 338 3436)(pt 338 3572)(line_width 1)) - (line (pt 337 3588)(pt 337 3628)(line_width 1)) - (line (pt 338 3588)(pt 338 3628)(line_width 1)) - (line (pt 337 3644)(pt 337 3684)(line_width 1)) - (line (pt 338 3644)(pt 338 3684)(line_width 1)) - (line (pt 337 3700)(pt 337 3836)(line_width 1)) - (line (pt 338 3700)(pt 338 3836)(line_width 1)) - (line (pt 337 3852)(pt 337 3892)(line_width 1)) - (line (pt 338 3852)(pt 338 3892)(line_width 1)) - (line (pt 337 3908)(pt 337 3948)(line_width 1)) - (line (pt 338 3908)(pt 338 3948)(line_width 1)) - (line (pt 337 3964)(pt 337 4100)(line_width 1)) - (line (pt 338 3964)(pt 338 4100)(line_width 1)) - (line (pt 337 4116)(pt 337 4156)(line_width 1)) - (line (pt 338 4116)(pt 338 4156)(line_width 1)) - (line (pt 337 4172)(pt 337 4212)(line_width 1)) - (line (pt 338 4172)(pt 338 4212)(line_width 1)) - (line (pt 337 4228)(pt 337 4364)(line_width 1)) - (line (pt 338 4228)(pt 338 4364)(line_width 1)) - (line (pt 337 4380)(pt 337 4420)(line_width 1)) - (line (pt 338 4380)(pt 338 4420)(line_width 1)) - (line (pt 337 4436)(pt 337 4476)(line_width 1)) - (line (pt 338 4436)(pt 338 4476)(line_width 1)) - (line (pt 337 4492)(pt 337 4628)(line_width 1)) - (line (pt 338 4492)(pt 338 4628)(line_width 1)) - (line (pt 337 4644)(pt 337 4668)(line_width 1)) - (line (pt 338 4644)(pt 338 4668)(line_width 1)) - (line (pt 337 4684)(pt 337 4708)(line_width 1)) - (line (pt 338 4684)(pt 338 4708)(line_width 1)) + (line (pt 337 2532)(pt 337 2556)(line_width 1)) + (line (pt 338 2532)(pt 338 2556)(line_width 1)) + (line (pt 337 2572)(pt 337 2596)(line_width 1)) + (line (pt 338 2572)(pt 338 2596)(line_width 1)) + (line (pt 337 2612)(pt 337 2652)(line_width 1)) + (line (pt 338 2612)(pt 338 2652)(line_width 1)) + (line (pt 337 2668)(pt 337 2708)(line_width 1)) + (line (pt 338 2668)(pt 338 2708)(line_width 1)) + (line (pt 337 2724)(pt 337 2860)(line_width 1)) + (line (pt 338 2724)(pt 338 2860)(line_width 1)) + (line (pt 337 2876)(pt 337 2916)(line_width 1)) + (line (pt 338 2876)(pt 338 2916)(line_width 1)) + (line (pt 337 2932)(pt 337 2972)(line_width 1)) + (line (pt 338 2932)(pt 338 2972)(line_width 1)) + (line (pt 337 2988)(pt 337 3124)(line_width 1)) + (line (pt 338 2988)(pt 338 3124)(line_width 1)) + (line (pt 337 3140)(pt 337 3180)(line_width 1)) + (line (pt 338 3140)(pt 338 3180)(line_width 1)) + (line (pt 337 3196)(pt 337 3236)(line_width 1)) + (line (pt 338 3196)(pt 338 3236)(line_width 1)) + (line (pt 337 3252)(pt 337 3388)(line_width 1)) + (line (pt 338 3252)(pt 338 3388)(line_width 1)) + (line (pt 337 3404)(pt 337 3444)(line_width 1)) + (line (pt 338 3404)(pt 338 3444)(line_width 1)) + (line (pt 337 3460)(pt 337 3500)(line_width 1)) + (line (pt 338 3460)(pt 338 3500)(line_width 1)) + (line (pt 337 3516)(pt 337 3652)(line_width 1)) + (line (pt 338 3516)(pt 338 3652)(line_width 1)) + (line (pt 337 3668)(pt 337 3708)(line_width 1)) + (line (pt 338 3668)(pt 338 3708)(line_width 1)) + (line (pt 337 3724)(pt 337 3764)(line_width 1)) + (line (pt 338 3724)(pt 338 3764)(line_width 1)) + (line (pt 337 3780)(pt 337 3916)(line_width 1)) + (line (pt 338 3780)(pt 338 3916)(line_width 1)) + (line (pt 337 3932)(pt 337 3972)(line_width 1)) + (line (pt 338 3932)(pt 338 3972)(line_width 1)) + (line (pt 337 3988)(pt 337 4028)(line_width 1)) + (line (pt 338 3988)(pt 338 4028)(line_width 1)) + (line (pt 337 4044)(pt 337 4180)(line_width 1)) + (line (pt 338 4044)(pt 338 4180)(line_width 1)) + (line (pt 337 4196)(pt 337 4236)(line_width 1)) + (line (pt 338 4196)(pt 338 4236)(line_width 1)) + (line (pt 337 4252)(pt 337 4292)(line_width 1)) + (line (pt 338 4252)(pt 338 4292)(line_width 1)) + (line (pt 337 4308)(pt 337 4444)(line_width 1)) + (line (pt 338 4308)(pt 338 4444)(line_width 1)) + (line (pt 337 4460)(pt 337 4500)(line_width 1)) + (line (pt 338 4460)(pt 338 4500)(line_width 1)) + (line (pt 337 4516)(pt 337 4556)(line_width 1)) + (line (pt 338 4516)(pt 338 4556)(line_width 1)) + (line (pt 337 4572)(pt 337 4708)(line_width 1)) + (line (pt 338 4572)(pt 338 4708)(line_width 1)) (line (pt 337 4724)(pt 337 4748)(line_width 1)) (line (pt 338 4724)(pt 338 4748)(line_width 1)) (line (pt 337 4764)(pt 337 4788)(line_width 1)) @@ -2031,13 +2049,17 @@ refer to the applicable agreement for further details. (line (pt 338 5284)(pt 338 5308)(line_width 1)) (line (pt 337 5324)(pt 337 5348)(line_width 1)) (line (pt 338 5324)(pt 338 5348)(line_width 1)) - (line (pt 337 5364)(pt 337 5452)(line_width 1)) - (line (pt 338 5364)(pt 338 5452)(line_width 1)) - (line (pt 337 5468)(pt 337 5668)(line_width 1)) - (line (pt 338 5468)(pt 338 5668)(line_width 1)) + (line (pt 337 5364)(pt 337 5388)(line_width 1)) + (line (pt 338 5364)(pt 338 5388)(line_width 1)) + (line (pt 337 5404)(pt 337 5428)(line_width 1)) + (line (pt 338 5404)(pt 338 5428)(line_width 1)) + (line (pt 337 5444)(pt 337 5532)(line_width 1)) + (line (pt 338 5444)(pt 338 5532)(line_width 1)) + (line (pt 337 5548)(pt 337 5748)(line_width 1)) + (line (pt 338 5548)(pt 338 5748)(line_width 1)) (line (pt 0 0)(pt 832 0)(line_width 1)) - (line (pt 832 0)(pt 832 5696)(line_width 1)) - (line (pt 0 5696)(pt 832 5696)(line_width 1)) - (line (pt 0 0)(pt 0 5696)(line_width 1)) + (line (pt 832 0)(pt 832 5776)(line_width 1)) + (line (pt 0 5776)(pt 832 5776)(line_width 1)) + (line (pt 0 0)(pt 0 5776)(line_width 1)) ) ) diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project.cmp b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project.cmp index 691af1d9..80b70670 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project.cmp +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project.cmp @@ -79,6 +79,8 @@ m2_ddr2_oct_rup : in std_logic := 'X'; -- rup pio_ftdi_umft601a_module_reset_export : out std_logic; -- export pio_iso_logic_signal_enable_export : out std_logic; -- export + pio_rmap_echoing_module_reset_external_connection_export : out std_logic; -- export + rmap_echoing_echo_rst_sink_reset : in std_logic := 'X'; -- reset rs232_uart_rxd : in std_logic := 'X'; -- rxd rs232_uart_txd : out std_logic; -- txd rst_reset_n : in std_logic := 'X'; -- reset_n diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project.html b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project.html index 953014ac..8759f0b5 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project.html +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project.html @@ -67,7 +67,7 @@ - +
2021.10.28.10:16:352023.04.09.12:32:05 Datasheet
@@ -214,6 +214,9 @@ pio_iso_logic_signal_enable altera_avalon_pio 18.1
   + pio_rmap_echoing_module_reset + altera_avalon_pio 18.1 +
   rmap_mem_nfee_comm_1 RMAP_Memory_NFEE_Area 1.4
   @@ -2199,6 +2202,61 @@ + +   + pio_rmap_echoing_module_reset + + + + + + + + + + + + + + + + + + + + + + + + + + + + s1  + + + + + + + + + + + + + + + + 0x80000c00 + + + + + + + +   rmap_mem_nfee_comm_1 @@ -4467,7 +4525,7 @@

Software Assignments

(none)

-

RMAP_Echoing

RMAP_Echoing v1.3 +

RMAP_Echoing

RMAP_Echoing v1.4
@@ -6447,7 +6505,7 @@

clock_bridge_afi_50

altera_avalon_mm_clock_crossing_bridge v18.1 nios2_gen2_0 - + @@ -6885,6 +6943,22 @@

clock_bridge_afi_50

altera_avalon_mm_clock_crossing_bridge v18.1 + + + + + + + + + + + + + +
data_master  clock_bridge_afi_50clock_bridge_afi_50
  s0   s1
m0   + pio_rmap_echoing_module_reset +
  s1

@@ -8453,11 +8527,11 @@

Parameters

AUTO_CLK_CLOCK_DOMAIN - 5 + 6 AUTO_CLK_RESET_DOMAIN - 5 + 6 deviceFamily @@ -23080,7 +23154,7 @@

Parameters

dataSlaveMapParam - <address-map><slave name='ddr2_address_span_extender.windowed_slave' start='0x0' end='0x80000000' type='altera_address_span_extender.windowed_slave' /><slave name='sync.avalon_mm_slave' start='0x80000400' end='0x80000800' type='Sync.avalon_mm_slave' /><slave name='rst_controller.avalon_rst_controller_slave' start='0x80000800' end='0x80000840' type='rst_controller.avalon_rst_controller_slave' /><slave name='rs232_uart.s1' start='0x80000840' end='0x80000860' type='altera_avalon_uart.s1' /><slave name='timer_1us.s1' start='0x80000860' end='0x80000880' type='altera_avalon_timer.s1' /><slave name='timer_1ms.s1' start='0x80000880' end='0x800008A0' type='altera_avalon_timer.s1' /><slave name='pio_ctrl_io_lvds.s1' start='0x800008A0' end='0x800008B0' type='altera_avalon_pio.s1' /><slave name='pio_LED_painel.s1' start='0x80000900' end='0x80000910' type='altera_avalon_pio.s1' /><slave name='csense_adc_fo.s1' start='0x80000910' end='0x80000920' type='altera_avalon_pio.s1' /><slave name='csense_cs_n.s1' start='0x80000920' end='0x80000930' type='altera_avalon_pio.s1' /><slave name='csense_sck.s1' start='0x80000930' end='0x80000940' type='altera_avalon_pio.s1' /><slave name='csense_sdi.s1' start='0x80000940' end='0x80000950' type='altera_avalon_pio.s1' /><slave name='csense_sdo.s1' start='0x80000950' end='0x80000960' type='altera_avalon_pio.s1' /><slave name='m2_ddr2_i2c_scl.s1' start='0x80000960' end='0x80000970' type='altera_avalon_pio.s1' /><slave name='m2_ddr2_i2c_sda.s1' start='0x80000970' end='0x80000980' type='altera_avalon_pio.s1' /><slave name='temp_sda.s1' start='0x80000980' end='0x80000990' type='altera_avalon_pio.s1' /><slave name='temp_scl.s1' start='0x80000990' end='0x800009A0' type='altera_avalon_pio.s1' /><slave name='sd_card_wp_n.s1' start='0x800009A0' end='0x800009B0' type='altera_avalon_pio.s1' /><slave name='pio_EXT.s1' start='0x800009B0' end='0x800009C0' type='altera_avalon_pio.s1' /><slave name='pio_DIP.s1' start='0x800009C0' end='0x800009D0' type='altera_avalon_pio.s1' /><slave name='pio_LED.s1' start='0x800009D0' end='0x800009E0' type='altera_avalon_pio.s1' /><slave name='pio_BUTTON.s1' start='0x800009E0' end='0x800009F0' type='altera_avalon_pio.s1' /><slave name='m1_ddr2_i2c_scl.s1' start='0x800009F0' end='0x80000A00' type='altera_avalon_pio.s1' /><slave name='m1_ddr2_i2c_sda.s1' start='0x80000A00' end='0x80000A10' type='altera_avalon_pio.s1' /><slave name='pio_ftdi_umft601a_module_reset.s1' start='0x80000B00' end='0x80000B10' type='altera_avalon_pio.s1' /><slave name='pio_iso_logic_signal_enable.s1' start='0x80000B10' end='0x80000B20' type='altera_avalon_pio.s1' /><slave name='rmap_mem_nfee_scom_0.avalon_rmap_slave_0' start='0x81000000' end='0x81004000' type='RMAP_Memory_SCOM_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_6.avalon_rmap_slave_0' start='0x81004000' end='0x81008000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_5.avalon_rmap_slave_0' start='0x81008000' end='0x8100C000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_4.avalon_rmap_slave_0' start='0x8100C000' end='0x81010000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_3.avalon_rmap_slave_0' start='0x81010000' end='0x81014000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_2.avalon_rmap_slave_0' start='0x81014000' end='0x81018000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_1.avalon_rmap_slave_0' start='0x81018000' end='0x8101C000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='Synchronization_COMM_0.avalon_mm_config_slave' start='0x8101C000' end='0x8101C400' type='Synchronization_COMM.avalon_mm_config_slave' /><slave name='FTDI_UMFT601A_Module.avalon_slave_config' start='0x8101C400' end='0x8101C800' type='FTDI_UMFT601A_Module.avalon_slave_config' /><slave name='Communication_Module_v2_Ch6.avalon_mm_config_slave' start='0x8101C800' end='0x8101CC00' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch5.avalon_mm_config_slave' start='0x8101CC00' end='0x8101D000' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch4.avalon_mm_config_slave' start='0x8101D000' end='0x8101D400' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch3.avalon_mm_config_slave' start='0x8101D400' end='0x8101D800' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch2.avalon_mm_config_slave' start='0x8101D800' end='0x8101DC00' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch1.avalon_mm_config_slave' start='0x8101DC00' end='0x8101E000' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='ddr2_address_span_extender.cntl' start='0x8101E000' end='0x8101E008' type='altera_address_span_extender.cntl' /><slave name='onchip_memory.s1' start='0x81100000' end='0x811C0000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2_gen2_0.debug_mem_slave' start='0x81218800' end='0x81219000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sysid_qsys.control_slave' start='0x8121AF40' end='0x8121AF48' type='altera_avalon_sysid_qsys.control_slave' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x8121AF50' end='0x8121AF58' type='altera_avalon_jtag_uart.avalon_jtag_slave' /><slave name='Memory_Filler.avalon_slave_config' start='0x82000000' end='0x82000400' type='Memory_Filler.avalon_slave_config' /><slave name='ext_flash.uas' start='0x84000000' end='0x88000000' type='altera_generic_tristate_controller.uas' /></address-map> + <address-map><slave name='ddr2_address_span_extender.windowed_slave' start='0x0' end='0x80000000' type='altera_address_span_extender.windowed_slave' /><slave name='sync.avalon_mm_slave' start='0x80000400' end='0x80000800' type='Sync.avalon_mm_slave' /><slave name='rst_controller.avalon_rst_controller_slave' start='0x80000800' end='0x80000840' type='rst_controller.avalon_rst_controller_slave' /><slave name='rs232_uart.s1' start='0x80000840' end='0x80000860' type='altera_avalon_uart.s1' /><slave name='timer_1us.s1' start='0x80000860' end='0x80000880' type='altera_avalon_timer.s1' /><slave name='timer_1ms.s1' start='0x80000880' end='0x800008A0' type='altera_avalon_timer.s1' /><slave name='pio_ctrl_io_lvds.s1' start='0x800008A0' end='0x800008B0' type='altera_avalon_pio.s1' /><slave name='pio_LED_painel.s1' start='0x80000900' end='0x80000910' type='altera_avalon_pio.s1' /><slave name='csense_adc_fo.s1' start='0x80000910' end='0x80000920' type='altera_avalon_pio.s1' /><slave name='csense_cs_n.s1' start='0x80000920' end='0x80000930' type='altera_avalon_pio.s1' /><slave name='csense_sck.s1' start='0x80000930' end='0x80000940' type='altera_avalon_pio.s1' /><slave name='csense_sdi.s1' start='0x80000940' end='0x80000950' type='altera_avalon_pio.s1' /><slave name='csense_sdo.s1' start='0x80000950' end='0x80000960' type='altera_avalon_pio.s1' /><slave name='m2_ddr2_i2c_scl.s1' start='0x80000960' end='0x80000970' type='altera_avalon_pio.s1' /><slave name='m2_ddr2_i2c_sda.s1' start='0x80000970' end='0x80000980' type='altera_avalon_pio.s1' /><slave name='temp_sda.s1' start='0x80000980' end='0x80000990' type='altera_avalon_pio.s1' /><slave name='temp_scl.s1' start='0x80000990' end='0x800009A0' type='altera_avalon_pio.s1' /><slave name='sd_card_wp_n.s1' start='0x800009A0' end='0x800009B0' type='altera_avalon_pio.s1' /><slave name='pio_EXT.s1' start='0x800009B0' end='0x800009C0' type='altera_avalon_pio.s1' /><slave name='pio_DIP.s1' start='0x800009C0' end='0x800009D0' type='altera_avalon_pio.s1' /><slave name='pio_LED.s1' start='0x800009D0' end='0x800009E0' type='altera_avalon_pio.s1' /><slave name='pio_BUTTON.s1' start='0x800009E0' end='0x800009F0' type='altera_avalon_pio.s1' /><slave name='m1_ddr2_i2c_scl.s1' start='0x800009F0' end='0x80000A00' type='altera_avalon_pio.s1' /><slave name='m1_ddr2_i2c_sda.s1' start='0x80000A00' end='0x80000A10' type='altera_avalon_pio.s1' /><slave name='pio_ftdi_umft601a_module_reset.s1' start='0x80000B00' end='0x80000B10' type='altera_avalon_pio.s1' /><slave name='pio_iso_logic_signal_enable.s1' start='0x80000B10' end='0x80000B20' type='altera_avalon_pio.s1' /><slave name='pio_rmap_echoing_module_reset.s1' start='0x80000C00' end='0x80000C10' type='altera_avalon_pio.s1' /><slave name='rmap_mem_nfee_scom_0.avalon_rmap_slave_0' start='0x81000000' end='0x81004000' type='RMAP_Memory_SCOM_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_6.avalon_rmap_slave_0' start='0x81004000' end='0x81008000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_5.avalon_rmap_slave_0' start='0x81008000' end='0x8100C000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_4.avalon_rmap_slave_0' start='0x8100C000' end='0x81010000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_3.avalon_rmap_slave_0' start='0x81010000' end='0x81014000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_2.avalon_rmap_slave_0' start='0x81014000' end='0x81018000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_1.avalon_rmap_slave_0' start='0x81018000' end='0x8101C000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='Synchronization_COMM_0.avalon_mm_config_slave' start='0x8101C000' end='0x8101C400' type='Synchronization_COMM.avalon_mm_config_slave' /><slave name='FTDI_UMFT601A_Module.avalon_slave_config' start='0x8101C400' end='0x8101C800' type='FTDI_UMFT601A_Module.avalon_slave_config' /><slave name='Communication_Module_v2_Ch6.avalon_mm_config_slave' start='0x8101C800' end='0x8101CC00' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch5.avalon_mm_config_slave' start='0x8101CC00' end='0x8101D000' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch4.avalon_mm_config_slave' start='0x8101D000' end='0x8101D400' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch3.avalon_mm_config_slave' start='0x8101D400' end='0x8101D800' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch2.avalon_mm_config_slave' start='0x8101D800' end='0x8101DC00' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch1.avalon_mm_config_slave' start='0x8101DC00' end='0x8101E000' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='ddr2_address_span_extender.cntl' start='0x8101E000' end='0x8101E008' type='altera_address_span_extender.cntl' /><slave name='onchip_memory.s1' start='0x81100000' end='0x811C0000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2_gen2_0.debug_mem_slave' start='0x81218800' end='0x81219000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sysid_qsys.control_slave' start='0x8121AF40' end='0x8121AF48' type='altera_avalon_sysid_qsys.control_slave' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x8121AF50' end='0x8121AF58' type='altera_avalon_jtag_uart.avalon_jtag_slave' /><slave name='Memory_Filler.avalon_slave_config' start='0x82000000' end='0x82000400' type='Memory_Filler.avalon_slave_config' /><slave name='ext_flash.uas' start='0x84000000' end='0x88000000' type='altera_generic_tristate_controller.uas' /></address-map> tightlyCoupledDataMaster0MapParam @@ -23164,11 +23238,11 @@

Parameters

AUTO_CLK_CLOCK_DOMAIN - 5 + 6 AUTO_CLK_RESET_DOMAIN - 5 + 6 deviceFamily @@ -25174,6 +25248,204 @@

Software Assignments

+ +
+
+

pio_rmap_echoing_module_reset

altera_avalon_pio v18.1 +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
+ clock_bridge_afi_50 + m0  pio_rmap_echoing_module_reset
  s1
+ clk_50 + clk  
  clk
clk_reset  
  reset
+
+
+
+ + + + +
+

Parameters

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
bitClearingEdgeCapRegfalse
bitModifyingOutRegfalse
captureEdgefalse
directionOutput
edgeTypeRISING
generateIRQfalse
irqTypeLEVEL
resetValue0
simDoTestBenchWiringfalse
simDrivenValue0
width1
clockRate50000000
derived_has_trifalse
derived_has_outtrue
derived_has_infalse
derived_do_test_bench_wiringfalse
derived_capturefalse
derived_edge_typeNONE
derived_irq_typeNONE
derived_has_irqfalse
deviceFamilyUNKNOWN
generateLegacySimfalse
+
   + + + + +
+

Software Assignments

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
BIT_CLEARING_EDGE_REGISTER0
BIT_MODIFYING_OUTPUT_REGISTER0
CAPTURE0
DATA_WIDTH1
DO_TEST_BENCH_WIRING0
DRIVEN_SIM_VALUE0
EDGE_TYPENONE
FREQ50000000
HAS_IN0
HAS_OUT1
HAS_TRI0
IRQ_TYPENONE
RESET_VALUE0
+
+

@@ -26759,7 +27031,7 @@

Parameters

timestamp - 1635423393 + 1681054324 deviceFamily @@ -26784,7 +27056,7 @@

Software Assignments

TIMESTAMP - 1635423393 + 1681054324 @@ -27662,8 +27934,8 @@

Software Assignments

(none)
- - + +
generation took 0,04 secondsrendering took 0,51 secondsgeneration took 0,02 secondsrendering took 0,29 seconds
diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project.xml b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project.xml index d7fc7803..697339f9 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project.xml +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project.xml @@ -1,7 +1,7 @@ + date="2023.04.09.12:36:56" + outputDirectory="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/"> + + + + + + + + + + @@ -1406,14 +1427,14 @@ - + @@ -1427,2512 +1448,2512 @@ + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project.qsys" /> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/Communication_Module_v2_hw.tcl" /> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/FTDI_USB3_hw.tcl" /> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/Memory_Filler_hw.tcl" /> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Echoing_hw.tcl" /> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/SpaceWire_Channel_hw.tcl" /> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/Signal_Filter_Latch_hw.tcl" /> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/Synchronization_COMM_hw.tcl" /> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Memory_NFEE_Area_hw.tcl" /> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Memory_SCOM_Area_hw.tcl" /> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/rst_controller_hw.tcl" /> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/sync_hw.tcl" /> Transform: CustomInstructionTransform No custom instruction connections, skipping transform - 66 modules, 306 connections]]> + 67 modules, 309 connections]]> Transform: MMTransform Transform: InitialInterconnectTransform 19 modules, 76 connections]]> @@ -6175,7 +6196,7 @@ - 67 modules, 295 connections]]> + 68 modules, 298 connections]]> Transform: InitialInterconnectTransform 26 modules, 74 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -6807,9 +6828,9 @@ - 68 modules, 299 connections]]> + 69 modules, 302 connections]]> Transform: InitialInterconnectTransform - 27 modules, 78 connections]]> + 28 modules, 81 connections]]> Transform: TerminalIdAssignmentUpdateTransform Transform: DefaultSlaveTransform Transform: TranslatorTransform @@ -6891,7 +6912,10 @@ - 53 modules, 157 connections]]> + + + + 55 modules, 163 connections]]> Transform: IDPadTransform Transform: DomainTransform Transform merlin_domain_transform not run on matched interfaces clock_bridge_afi_50.m0 and clock_bridge_afi_50_m0_translator.avalon_anti_master_0 @@ -7123,6 +7147,15 @@ + + + + + + + + + Transform merlin_domain_transform not run on matched interfaces sync_avalon_mm_slave_translator.avalon_anti_slave_0 and sync.avalon_mm_slave Transform merlin_domain_transform not run on matched interfaces rst_controller_avalon_rst_controller_slave_translator.avalon_anti_slave_0 and rst_controller.avalon_rst_controller_slave Transform merlin_domain_transform not run on matched interfaces m1_ddr2_i2c_sda_s1_translator.avalon_anti_slave_0 and m1_ddr2_i2c_sda.s1 @@ -7148,7 +7181,8 @@ Transform merlin_domain_transform not run on matched interfaces pio_ctrl_io_lvds_s1_translator.avalon_anti_slave_0 and pio_ctrl_io_lvds.s1 Transform merlin_domain_transform not run on matched interfaces pio_ftdi_umft601a_module_reset_s1_translator.avalon_anti_slave_0 and pio_ftdi_umft601a_module_reset.s1 Transform merlin_domain_transform not run on matched interfaces pio_iso_logic_signal_enable_s1_translator.avalon_anti_slave_0 and pio_iso_logic_signal_enable.s1 - 105 modules, 442 connections]]> + Transform merlin_domain_transform not run on matched interfaces pio_rmap_echoing_module_reset_s1_translator.avalon_anti_slave_0 and pio_rmap_echoing_module_reset.s1 + 109 modules, 459 connections]]> Transform: RouterTransform @@ -7228,12 +7262,15 @@ - 131 modules, 521 connections]]> + + + + 136 modules, 541 connections]]> Transform: TrafficLimiterTransform - 132 modules, 525 connections]]> + 137 modules, 545 connections]]> Transform: BurstTransform Transform: TreeTransform Transform: NetworkToSwitchTransform @@ -7393,7 +7430,13 @@ - 183 modules, 628 connections]]> + + + + + + + 190 modules, 652 connections]]> Transform: WidthTransform Transform: RouterTableTransform Transform: ThreadIDMappingTableTransform @@ -7402,7 +7445,7 @@ Transform: SpotPipelineTransform Transform: PerformanceMonitorTransform Transform: TrafficLimiterUpdateTransform - 183 modules, 629 connections]]> + 190 modules, 653 connections]]> Transform: InsertClockAndResetBridgesTransform @@ -7413,13 +7456,13 @@ - 186 modules, 814 connections]]> + 193 modules, 845 connections]]> Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 69 modules, 304 connections]]> + 70 modules, 307 connections]]> Transform: InitialInterconnectTransform Interconnect is inserted between master m1_clock_bridge.m0 and slave m1_ddr2_memory.avl because the master has burstcount signal 3 bit wide, but the slave is 8 bit wide. Interconnect is inserted between master m1_clock_bridge.m0 and slave m1_ddr2_memory.avl because the master has address signal 31 bit wide, but the slave is 26 bit wide. @@ -7519,13 +7562,13 @@ - 70 modules, 311 connections]]> - 70 modules, 311 connections]]> + 71 modules, 314 connections]]> + 71 modules, 314 connections]]> Transform: InterruptMapperTransform - 71 modules, 314 connections]]> + 72 modules, 317 connections]]> Transform: InterruptSyncTransform @@ -7542,7 +7585,7 @@ - 76 modules, 340 connections]]> + 77 modules, 343 connections]]> Transform: InterruptFanoutTransform Transform: AvalonStreamingTransform Transform: ResetAdaptation @@ -7579,7 +7622,7 @@ - 87 modules, 384 connections]]> + 88 modules, 387 connections]]> MebX_Qsys_Project" reuses Communication_Module_v2 "submodules/comm_v2_top"]]> MebX_Qsys_Project" reuses Communication_Module_v2 "submodules/comm_v2_top"]]> MebX_Qsys_Project" reuses Communication_Module_v2 "submodules/comm_v2_top"]]> @@ -7625,6 +7668,7 @@ MebX_Qsys_Project" reuses altera_avalon_pio "submodules/MebX_Qsys_Project_pio_ctrl_io_lvds"]]> MebX_Qsys_Project" reuses altera_avalon_pio "submodules/MebX_Qsys_Project_csense_adc_fo"]]> MebX_Qsys_Project" reuses altera_avalon_pio "submodules/MebX_Qsys_Project_csense_adc_fo"]]> + MebX_Qsys_Project" reuses altera_avalon_pio "submodules/MebX_Qsys_Project_csense_adc_fo"]]> MebX_Qsys_Project" reuses RMAP_Memory_NFEE_Area "submodules/nrme_rmap_memory_nfee_area_top"]]> MebX_Qsys_Project" reuses RMAP_Memory_NFEE_Area "submodules/nrme_rmap_memory_nfee_area_top"]]> MebX_Qsys_Project" reuses RMAP_Memory_NFEE_Area "submodules/nrme_rmap_memory_nfee_area_top"]]> @@ -7666,64 +7710,64 @@ MebX_Qsys_Project" reuses altera_reset_controller "submodules/altera_reset_controller"]]> MebX_Qsys_Project" reuses altera_avalon_mm_clock_crossing_bridge "submodules/altera_avalon_mm_clock_crossing_bridge"]]> MebX_Qsys_Project" reuses altera_avalon_mm_clock_crossing_bridge "submodules/altera_avalon_mm_clock_crossing_bridge"]]> - queue size: 85 starting:Communication_Module_v2 "submodules/comm_v2_top" + queue size: 86 starting:Communication_Module_v2 "submodules/comm_v2_top" MebX_Qsys_Project" instantiated Communication_Module_v2 "Communication_Module_v2_Ch1"]]> - queue size: 79 starting:FTDI_UMFT601A_Module "submodules/ftdi_usb3_top" + queue size: 80 starting:FTDI_UMFT601A_Module "submodules/ftdi_usb3_top" MebX_Qsys_Project" instantiated FTDI_UMFT601A_Module "FTDI_UMFT601A_Module"]]> - queue size: 78 starting:Memory_Filler "submodules/mfil_memory_filler_top" + queue size: 79 starting:Memory_Filler "submodules/mfil_memory_filler_top" MebX_Qsys_Project" instantiated Memory_Filler "Memory_Filler"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/delay_block_ent.vhd]]> - queue size: 77 starting:RMAP_Echoing "submodules/rmpe_rmap_echoing_top" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/delay_block_ent.vhd]]> + queue size: 78 starting:RMAP_Echoing "submodules/rmpe_rmap_echoing_top" MebX_Qsys_Project" instantiated RMAP_Echoing "RMAP_Echoing"]]> - queue size: 76 starting:SpaceWire_Channel "submodules/spwc_spacewire_channel_top" + queue size: 77 starting:SpaceWire_Channel "submodules/spwc_spacewire_channel_top" MebX_Qsys_Project" instantiated SpaceWire_Channel "SpaceWire_Channel_A"]]> - queue size: 68 starting:Signal_Filter_Latch "submodules/sgfl_signal_filter_latch_top" + queue size: 69 starting:Signal_Filter_Latch "submodules/sgfl_signal_filter_latch_top" MebX_Qsys_Project" instantiated Signal_Filter_Latch "Sync_Signal_Filter_Latch_0"]]> - queue size: 67 starting:Synchronization_COMM "submodules/scom_synchronization_comm_top" + queue size: 68 starting:Synchronization_COMM "submodules/scom_synchronization_comm_top" MebX_Qsys_Project" instantiated Synchronization_COMM "Synchronization_COMM_0"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/spw_codec_pkg.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/spw_mux_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_data_controller_pkg.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_data_manager_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_hkdata_manager_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/data_packet_header_gen_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/data_packet_hk_writer_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/send_buffer_sc_1k_fifo.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_pkg.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_manager_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_housekeep_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_fullimage_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_windowing_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_top.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_hkdata_controller_top.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_crc_pkg.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_pkg.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_command_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_reply_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_read_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_write_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_user_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_top.vhd]]> - queue size: 66 starting:altera_avalon_mm_clock_crossing_bridge "submodules/altera_avalon_mm_clock_crossing_bridge" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/spw_codec_pkg.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/spw_mux_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_data_controller_pkg.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_data_manager_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_hkdata_manager_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/data_packet_header_gen_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/data_packet_hk_writer_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/send_buffer_sc_1k_fifo.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_pkg.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_manager_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_housekeep_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_fullimage_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_windowing_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_top.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_hkdata_controller_top.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_crc_pkg.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_pkg.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_command_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_reply_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_read_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_write_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_user_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_top.vhd]]> + queue size: 67 starting:altera_avalon_mm_clock_crossing_bridge "submodules/altera_avalon_mm_clock_crossing_bridge" MebX_Qsys_Project" instantiated altera_avalon_mm_clock_crossing_bridge "clock_bridge_afi_50"]]> - queue size: 65 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_csense_adc_fo" + queue size: 66 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_csense_adc_fo" Starting RTL generation for module 'MebX_Qsys_Project_csense_adc_fo' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_adc_fo --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0014_csense_adc_fo_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0014_csense_adc_fo_gen//MebX_Qsys_Project_csense_adc_fo_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_adc_fo --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0014_csense_adc_fo_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0014_csense_adc_fo_gen//MebX_Qsys_Project_csense_adc_fo_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_csense_adc_fo' MebX_Qsys_Project" instantiated altera_avalon_pio "csense_adc_fo"]]> - queue size: 64 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_csense_cs_n" + queue size: 65 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_csense_cs_n" Starting RTL generation for module 'MebX_Qsys_Project_csense_cs_n' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_cs_n --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0015_csense_cs_n_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0015_csense_cs_n_gen//MebX_Qsys_Project_csense_cs_n_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_cs_n --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0015_csense_cs_n_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0015_csense_cs_n_gen//MebX_Qsys_Project_csense_cs_n_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_csense_cs_n' MebX_Qsys_Project" instantiated altera_avalon_pio "csense_cs_n"]]> - queue size: 61 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_csense_sdo" + queue size: 62 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_csense_sdo" Starting RTL generation for module 'MebX_Qsys_Project_csense_sdo' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_sdo --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0016_csense_sdo_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0016_csense_sdo_gen//MebX_Qsys_Project_csense_sdo_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_sdo --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0016_csense_sdo_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0016_csense_sdo_gen//MebX_Qsys_Project_csense_sdo_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_csense_sdo' MebX_Qsys_Project" instantiated altera_avalon_pio "csense_sdo"]]> - queue size: 60 starting:altera_address_span_extender "submodules/altera_address_span_extender" + queue size: 61 starting:altera_address_span_extender "submodules/altera_address_span_extender" MebX_Qsys_Project" instantiated altera_address_span_extender "ddr2_address_span_extender"]]> - queue size: 59 starting:altera_generic_tristate_controller "submodules/MebX_Qsys_Project_ext_flash" + queue size: 60 starting:altera_generic_tristate_controller "submodules/MebX_Qsys_Project_ext_flash" @@ -7790,23 +7834,23 @@ ext_flash" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> ext_flash" reuses altera_tristate_controller_aggregator "submodules/altera_tristate_controller_aggregator"]]> MebX_Qsys_Project" instantiated altera_generic_tristate_controller "ext_flash"]]> - queue size: 622 starting:altera_tristate_controller_translator "submodules/altera_tristate_controller_translator" + queue size: 629 starting:altera_tristate_controller_translator "submodules/altera_tristate_controller_translator" ext_flash" instantiated altera_tristate_controller_translator "tdt"]]> - queue size: 621 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + queue size: 628 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" ext_flash" instantiated altera_merlin_slave_translator "slave_translator"]]> - queue size: 620 starting:altera_tristate_controller_aggregator "submodules/altera_tristate_controller_aggregator" + queue size: 627 starting:altera_tristate_controller_aggregator "submodules/altera_tristate_controller_aggregator" ext_flash" instantiated altera_tristate_controller_aggregator "tda"]]> - queue size: 61 starting:altera_avalon_jtag_uart "submodules/MebX_Qsys_Project_jtag_uart_0" + queue size: 62 starting:altera_avalon_jtag_uart "submodules/MebX_Qsys_Project_jtag_uart_0" Starting RTL generation for module 'MebX_Qsys_Project_jtag_uart_0' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=MebX_Qsys_Project_jtag_uart_0 --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0018_jtag_uart_0_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0018_jtag_uart_0_gen//MebX_Qsys_Project_jtag_uart_0_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=MebX_Qsys_Project_jtag_uart_0 --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0018_jtag_uart_0_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0018_jtag_uart_0_gen//MebX_Qsys_Project_jtag_uart_0_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_jtag_uart_0' MebX_Qsys_Project" instantiated altera_avalon_jtag_uart "jtag_uart_0"]]> - queue size: 58 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_m1_ddr2_i2c_sda" + queue size: 59 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_m1_ddr2_i2c_sda" Starting RTL generation for module 'MebX_Qsys_Project_m1_ddr2_i2c_sda' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_m1_ddr2_i2c_sda --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0019_m1_ddr2_i2c_sda_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0019_m1_ddr2_i2c_sda_gen//MebX_Qsys_Project_m1_ddr2_i2c_sda_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_m1_ddr2_i2c_sda --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0019_m1_ddr2_i2c_sda_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0019_m1_ddr2_i2c_sda_gen//MebX_Qsys_Project_m1_ddr2_i2c_sda_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_m1_ddr2_i2c_sda' MebX_Qsys_Project" instantiated altera_avalon_pio "m1_ddr2_i2c_sda"]]> - queue size: 57 starting:altera_mem_if_ddr2_emif "submodules/MebX_Qsys_Project_m1_ddr2_memory" + queue size: 58 starting:altera_mem_if_ddr2_emif "submodules/MebX_Qsys_Project_m1_ddr2_memory" @@ -7827,9 +7871,9 @@ m1_ddr2_memory" reuses altera_mem_if_oct "submodules/altera_mem_if_oct_stratixiv"]]> m1_ddr2_memory" reuses altera_mem_if_dll "submodules/altera_mem_if_dll_stratixiv"]]> MebX_Qsys_Project" instantiated altera_mem_if_ddr2_emif "m1_ddr2_memory"]]> - queue size: 619 starting:altera_mem_if_ddr2_pll "submodules/MebX_Qsys_Project_m1_ddr2_memory_pll0" + queue size: 626 starting:altera_mem_if_ddr2_pll "submodules/MebX_Qsys_Project_m1_ddr2_memory_pll0" m1_ddr2_memory" instantiated altera_mem_if_ddr2_pll "pll0"]]> - queue size: 618 starting:altera_mem_if_ddr2_phy_core "submodules/MebX_Qsys_Project_m1_ddr2_memory_p0" + queue size: 625 starting:altera_mem_if_ddr2_phy_core "submodules/MebX_Qsys_Project_m1_ddr2_memory_p0" Generating clock pair generator Generating MebX_Qsys_Project_m1_ddr2_memory_p0_altdqdqs @@ -7841,14 +7885,14 @@ ***************************** m1_ddr2_memory" instantiated altera_mem_if_ddr2_phy_core "p0"]]> - queue size: 617 starting:altera_mem_if_ddr2_afi_mux "submodules/afi_mux_ddrx" + queue size: 624 starting:altera_mem_if_ddr2_afi_mux "submodules/afi_mux_ddrx" m1_ddr2_memory" instantiated altera_mem_if_ddr2_afi_mux "m0"]]> - queue size: 616 starting:altera_mem_if_ddr2_qseq "submodules/MebX_Qsys_Project_m1_ddr2_memory_s0" + queue size: 623 starting:altera_mem_if_ddr2_qseq "submodules/MebX_Qsys_Project_m1_ddr2_memory_s0" Generating Qsys sequencer system QSYS sequencer system generated successfully m1_ddr2_memory" instantiated altera_mem_if_ddr2_qseq "s0"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_translator.sv]]> - queue size: 615 starting:altera_mem_if_nextgen_ddr2_controller "submodules/MebX_Qsys_Project_m1_ddr2_memory_c0" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_translator.sv]]> + queue size: 622 starting:altera_mem_if_nextgen_ddr2_controller "submodules/MebX_Qsys_Project_m1_ddr2_memory_c0" @@ -7868,11 +7912,11 @@ c0" instantiated altera_mem_if_nextgen_ddr2_controller_core "ng0"]]> queue size: 4 starting:alt_mem_ddrx_mm_st_converter "submodules/alt_mem_ddrx_mm_st_converter" c0" instantiated alt_mem_ddrx_mm_st_converter "a0"]]> - queue size: 616 starting:altera_mem_if_oct "submodules/altera_mem_if_oct_stratixiv" + queue size: 623 starting:altera_mem_if_oct "submodules/altera_mem_if_oct_stratixiv" m1_ddr2_memory" instantiated altera_mem_if_oct "oct0"]]> - queue size: 615 starting:altera_mem_if_dll "submodules/altera_mem_if_dll_stratixiv" + queue size: 622 starting:altera_mem_if_dll "submodules/altera_mem_if_dll_stratixiv" m1_ddr2_memory" instantiated altera_mem_if_dll "dll0"]]> - queue size: 61 starting:altera_mem_if_ddr2_emif "submodules/MebX_Qsys_Project_m2_ddr2_memory" + queue size: 62 starting:altera_mem_if_ddr2_emif "submodules/MebX_Qsys_Project_m2_ddr2_memory" @@ -7893,9 +7937,9 @@ m2_ddr2_memory" reuses altera_mem_if_oct "submodules/altera_mem_if_oct_stratixiv"]]> m2_ddr2_memory" reuses altera_mem_if_dll "submodules/altera_mem_if_dll_stratixiv"]]> MebX_Qsys_Project" instantiated altera_mem_if_ddr2_emif "m2_ddr2_memory"]]> - queue size: 614 starting:altera_mem_if_ddr2_pll "submodules/MebX_Qsys_Project_m2_ddr2_memory_pll0" + queue size: 621 starting:altera_mem_if_ddr2_pll "submodules/MebX_Qsys_Project_m2_ddr2_memory_pll0" m2_ddr2_memory" instantiated altera_mem_if_ddr2_pll "pll0"]]> - queue size: 613 starting:altera_mem_if_ddr2_phy_core "submodules/MebX_Qsys_Project_m2_ddr2_memory_p0" + queue size: 620 starting:altera_mem_if_ddr2_phy_core "submodules/MebX_Qsys_Project_m2_ddr2_memory_p0" Generating clock pair generator Generating MebX_Qsys_Project_m2_ddr2_memory_p0_altdqdqs @@ -7907,59 +7951,59 @@ ***************************** m2_ddr2_memory" instantiated altera_mem_if_ddr2_phy_core "p0"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altdq_dqs2_ddio_3reg_stratixiv.sv]]> - queue size: 617 starting:altera_mem_if_ddr2_afi_mux "submodules/afi_mux_ddrx" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altdq_dqs2_ddio_3reg_stratixiv.sv]]> + queue size: 624 starting:altera_mem_if_ddr2_afi_mux "submodules/afi_mux_ddrx" m1_ddr2_memory" instantiated altera_mem_if_ddr2_afi_mux "m0"]]> - queue size: 611 starting:altera_mem_if_ddr2_qseq "submodules/MebX_Qsys_Project_m2_ddr2_memory_s0" + queue size: 618 starting:altera_mem_if_ddr2_qseq "submodules/MebX_Qsys_Project_m2_ddr2_memory_s0" Generating Qsys sequencer system QSYS sequencer system generated successfully m2_ddr2_memory" instantiated altera_mem_if_ddr2_qseq "s0"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_cpu_no_ifdef_params_synth_cpu_inst.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_cpu_no_ifdef_params_synth_cpu_inst_test_bench.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_mem_no_ifdef_params.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_rst.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_translator.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ac_ROM_no_ifdef_params.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ac_ROM_reg.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_bitcheck.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_core.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_datamux.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_data_broadcast.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_data_decoder.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ddr2.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_di_buffer.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_di_buffer_wrap.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_dm_decoder.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_generic.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_inst_ROM_no_ifdef_params.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_inst_ROM_reg.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_jumplogic.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr12.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr36.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr72.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_pattern_fifo.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ram.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ram_csr.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_read_datapath.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_write_decoder.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_data_mgr.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_phy_mgr.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_reg_file.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_acv_phase_decode.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_acv_wrapper.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_mgr.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_reg_file.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_siii_phase_decode.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_siii_wrapper.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_sv_phase_decode.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_sv_wrapper.sv]]> - queue size: 615 starting:altera_mem_if_nextgen_ddr2_controller "submodules/MebX_Qsys_Project_m1_ddr2_memory_c0" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_cpu_no_ifdef_params_synth_cpu_inst.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_cpu_no_ifdef_params_synth_cpu_inst_test_bench.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_mem_no_ifdef_params.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_rst.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_translator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ac_ROM_no_ifdef_params.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ac_ROM_reg.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_bitcheck.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_core.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_datamux.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_data_broadcast.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_data_decoder.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ddr2.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_di_buffer.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_di_buffer_wrap.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_dm_decoder.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_generic.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_inst_ROM_no_ifdef_params.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_inst_ROM_reg.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_jumplogic.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr12.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr36.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr72.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_pattern_fifo.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ram.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ram_csr.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_read_datapath.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_write_decoder.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_data_mgr.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_phy_mgr.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_reg_file.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_acv_phase_decode.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_acv_wrapper.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_mgr.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_reg_file.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_siii_phase_decode.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_siii_wrapper.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_sv_phase_decode.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_sv_wrapper.sv]]> + queue size: 622 starting:altera_mem_if_nextgen_ddr2_controller "submodules/MebX_Qsys_Project_m1_ddr2_memory_c0" @@ -7979,11 +8023,11 @@ c0" instantiated altera_mem_if_nextgen_ddr2_controller_core "ng0"]]> queue size: 4 starting:alt_mem_ddrx_mm_st_converter "submodules/alt_mem_ddrx_mm_st_converter" c0" instantiated alt_mem_ddrx_mm_st_converter "a0"]]> - queue size: 616 starting:altera_mem_if_oct "submodules/altera_mem_if_oct_stratixiv" + queue size: 623 starting:altera_mem_if_oct "submodules/altera_mem_if_oct_stratixiv" m1_ddr2_memory" instantiated altera_mem_if_oct "oct0"]]> - queue size: 615 starting:altera_mem_if_dll "submodules/altera_mem_if_dll_stratixiv" + queue size: 622 starting:altera_mem_if_dll "submodules/altera_mem_if_dll_stratixiv" m1_ddr2_memory" instantiated altera_mem_if_dll "dll0"]]> - queue size: 67 starting:altera_nios2_gen2 "submodules/MebX_Qsys_Project_nios2_gen2_0" + queue size: 68 starting:altera_nios2_gen2 "submodules/MebX_Qsys_Project_nios2_gen2_0" @@ -7998,62 +8042,62 @@ Transform: ResetAdaptation nios2_gen2_0" reuses altera_nios2_gen2_unit "submodules/MebX_Qsys_Project_nios2_gen2_0_cpu"]]> MebX_Qsys_Project" instantiated altera_nios2_gen2 "nios2_gen2_0"]]> - queue size: 607 starting:altera_nios2_gen2_unit "submodules/MebX_Qsys_Project_nios2_gen2_0_cpu" + queue size: 614 starting:altera_nios2_gen2_unit "submodules/MebX_Qsys_Project_nios2_gen2_0_cpu" Starting RTL generation for module 'MebX_Qsys_Project_nios2_gen2_0_cpu' - Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//eperlcmd.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=MebX_Qsys_Project_nios2_gen2_0_cpu --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0051_cpu_gen/ --quartus_bindir=C:/intelFPGA/18.1/quartus/bin64/ --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0051_cpu_gen//MebX_Qsys_Project_nios2_gen2_0_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2021.10.28 09:28:38 (*) Starting Nios II generation - # 2021.10.28 09:28:38 (*) Checking for plaintext license. - # 2021.10.28 09:28:40 (*) Plaintext license not found. - # 2021.10.28 09:28:40 (*) Checking for encrypted license (non-evaluation). - # 2021.10.28 09:28:41 (*) Encrypted license found. SOF will not be time-limited. - # 2021.10.28 09:28:41 (*) Elaborating CPU configuration settings - # 2021.10.28 09:28:41 (*) Creating all objects for CPU - # 2021.10.28 09:28:41 (*) Testbench - # 2021.10.28 09:28:41 (*) Instruction decoding - # 2021.10.28 09:28:41 (*) Instruction fields - # 2021.10.28 09:28:41 (*) Instruction decodes - # 2021.10.28 09:28:42 (*) Signals for RTL simulation waveforms - # 2021.10.28 09:28:42 (*) Instruction controls - # 2021.10.28 09:28:42 (*) Pipeline frontend - # 2021.10.28 09:28:42 (*) Pipeline backend - # 2021.10.28 09:28:45 (*) Generating RTL from CPU objects - # 2021.10.28 09:28:47 (*) Creating encrypted RTL - # 2021.10.28 09:28:48 (*) Done Nios II generation + Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//eperlcmd.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=MebX_Qsys_Project_nios2_gen2_0_cpu --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0051_cpu_gen/ --quartus_bindir=C:/intelFPGA/18.1/quartus/bin64/ --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0051_cpu_gen//MebX_Qsys_Project_nios2_gen2_0_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2023.04.09 12:36:44 (*) Starting Nios II generation + # 2023.04.09 12:36:44 (*) Checking for plaintext license. + # 2023.04.09 12:36:44 (*) Plaintext license not found. + # 2023.04.09 12:36:44 (*) Checking for encrypted license (non-evaluation). + # 2023.04.09 12:36:45 (*) Encrypted license found. SOF will not be time-limited. + # 2023.04.09 12:36:45 (*) Elaborating CPU configuration settings + # 2023.04.09 12:36:45 (*) Creating all objects for CPU + # 2023.04.09 12:36:45 (*) Testbench + # 2023.04.09 12:36:46 (*) Instruction decoding + # 2023.04.09 12:36:46 (*) Instruction fields + # 2023.04.09 12:36:46 (*) Instruction decodes + # 2023.04.09 12:36:46 (*) Signals for RTL simulation waveforms + # 2023.04.09 12:36:46 (*) Instruction controls + # 2023.04.09 12:36:46 (*) Pipeline frontend + # 2023.04.09 12:36:46 (*) Pipeline backend + # 2023.04.09 12:36:48 (*) Generating RTL from CPU objects + # 2023.04.09 12:36:49 (*) Creating encrypted RTL + # 2023.04.09 12:36:50 (*) Done Nios II generation Done RTL generation for module 'MebX_Qsys_Project_nios2_gen2_0_cpu' nios2_gen2_0" instantiated altera_nios2_gen2_unit "cpu"]]> - queue size: 67 starting:altera_avalon_onchip_memory2 "submodules/MebX_Qsys_Project_onchip_memory" + queue size: 68 starting:altera_avalon_onchip_memory2 "submodules/MebX_Qsys_Project_onchip_memory" Starting RTL generation for module 'MebX_Qsys_Project_onchip_memory' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=MebX_Qsys_Project_onchip_memory --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0020_onchip_memory_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0020_onchip_memory_gen//MebX_Qsys_Project_onchip_memory_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=MebX_Qsys_Project_onchip_memory --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0020_onchip_memory_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0020_onchip_memory_gen//MebX_Qsys_Project_onchip_memory_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_onchip_memory' MebX_Qsys_Project" instantiated altera_avalon_onchip_memory2 "onchip_memory"]]> - queue size: 66 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_BUTTON" + queue size: 67 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_BUTTON" Starting RTL generation for module 'MebX_Qsys_Project_pio_BUTTON' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_BUTTON --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0021_pio_BUTTON_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0021_pio_BUTTON_gen//MebX_Qsys_Project_pio_BUTTON_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_BUTTON --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0021_pio_BUTTON_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0021_pio_BUTTON_gen//MebX_Qsys_Project_pio_BUTTON_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_pio_BUTTON' MebX_Qsys_Project" instantiated altera_avalon_pio "pio_BUTTON"]]> - queue size: 65 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_DIP" + queue size: 66 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_DIP" Starting RTL generation for module 'MebX_Qsys_Project_pio_DIP' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_DIP --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0022_pio_DIP_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0022_pio_DIP_gen//MebX_Qsys_Project_pio_DIP_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_DIP --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0022_pio_DIP_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0022_pio_DIP_gen//MebX_Qsys_Project_pio_DIP_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_pio_DIP' MebX_Qsys_Project" instantiated altera_avalon_pio "pio_DIP"]]> - queue size: 64 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_EXT" + queue size: 65 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_EXT" Starting RTL generation for module 'MebX_Qsys_Project_pio_EXT' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_EXT --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0023_pio_EXT_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0023_pio_EXT_gen//MebX_Qsys_Project_pio_EXT_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_EXT --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0023_pio_EXT_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0023_pio_EXT_gen//MebX_Qsys_Project_pio_EXT_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_pio_EXT' MebX_Qsys_Project" instantiated altera_avalon_pio "pio_EXT"]]> - queue size: 63 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_LED" + queue size: 64 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_LED" Starting RTL generation for module 'MebX_Qsys_Project_pio_LED' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_LED --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0024_pio_LED_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0024_pio_LED_gen//MebX_Qsys_Project_pio_LED_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_LED --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0024_pio_LED_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0024_pio_LED_gen//MebX_Qsys_Project_pio_LED_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_pio_LED' MebX_Qsys_Project" instantiated altera_avalon_pio "pio_LED"]]> - queue size: 62 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_LED_painel" + queue size: 63 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_LED_painel" Starting RTL generation for module 'MebX_Qsys_Project_pio_LED_painel' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_LED_painel --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0025_pio_LED_painel_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0025_pio_LED_painel_gen//MebX_Qsys_Project_pio_LED_painel_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_LED_painel --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0025_pio_LED_painel_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0025_pio_LED_painel_gen//MebX_Qsys_Project_pio_LED_painel_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_pio_LED_painel' MebX_Qsys_Project" instantiated altera_avalon_pio "pio_LED_painel"]]> - queue size: 61 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_ctrl_io_lvds" + queue size: 62 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_ctrl_io_lvds" Starting RTL generation for module 'MebX_Qsys_Project_pio_ctrl_io_lvds' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_ctrl_io_lvds --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0026_pio_ctrl_io_lvds_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0026_pio_ctrl_io_lvds_gen//MebX_Qsys_Project_pio_ctrl_io_lvds_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_ctrl_io_lvds --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0026_pio_ctrl_io_lvds_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0026_pio_ctrl_io_lvds_gen//MebX_Qsys_Project_pio_ctrl_io_lvds_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_pio_ctrl_io_lvds' MebX_Qsys_Project" instantiated altera_avalon_pio "pio_ctrl_io_lvds"]]> queue size: 58 starting:RMAP_Memory_NFEE_Area "submodules/nrme_rmap_memory_nfee_area_top" @@ -8062,7 +8106,7 @@ MebX_Qsys_Project" instantiated RMAP_Memory_SCOM_Area "rmap_mem_nfee_scom_0"]]> queue size: 51 starting:altera_avalon_uart "submodules/MebX_Qsys_Project_rs232_uart" Starting RTL generation for module 'MebX_Qsys_Project_rs232_uart' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart/generate_rtl.pl --name=MebX_Qsys_Project_rs232_uart --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0029_rs232_uart_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0029_rs232_uart_gen//MebX_Qsys_Project_rs232_uart_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart/generate_rtl.pl --name=MebX_Qsys_Project_rs232_uart --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0029_rs232_uart_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0029_rs232_uart_gen//MebX_Qsys_Project_rs232_uart_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_rs232_uart' MebX_Qsys_Project" instantiated altera_avalon_uart "rs232_uart"]]> queue size: 50 starting:rst_controller "submodules/rst_controller_top" @@ -8073,12 +8117,12 @@ MebX_Qsys_Project" instantiated altera_avalon_sysid_qsys "sysid_qsys"]]> queue size: 44 starting:altera_avalon_timer "submodules/MebX_Qsys_Project_timer_1ms" Starting RTL generation for module 'MebX_Qsys_Project_timer_1ms' - Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//perl/bin/perl.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=MebX_Qsys_Project_timer_1ms --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0033_timer_1ms_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0033_timer_1ms_gen//MebX_Qsys_Project_timer_1ms_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//perl/bin/perl.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=MebX_Qsys_Project_timer_1ms --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0033_timer_1ms_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0033_timer_1ms_gen//MebX_Qsys_Project_timer_1ms_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_timer_1ms' MebX_Qsys_Project" instantiated altera_avalon_timer "timer_1ms"]]> queue size: 43 starting:altera_avalon_timer "submodules/MebX_Qsys_Project_timer_1us" Starting RTL generation for module 'MebX_Qsys_Project_timer_1us' - Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//perl/bin/perl.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=MebX_Qsys_Project_timer_1us --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0034_timer_1us_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0034_timer_1us_gen//MebX_Qsys_Project_timer_1us_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//perl/bin/perl.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=MebX_Qsys_Project_timer_1us --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0034_timer_1us_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0034_timer_1us_gen//MebX_Qsys_Project_timer_1us_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_timer_1us' MebX_Qsys_Project" instantiated altera_avalon_timer "timer_1us"]]> queue size: 42 starting:altera_tristate_conduit_bridge "submodules/MebX_Qsys_Project_tristate_conduit_bridge_0" @@ -8676,16 +8720,16 @@ Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.031s - Timing: COM:3/0.058s/0.083s + Timing: ELA:1/0.007s + Timing: COM:3/0.037s/0.055s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.008s - Timing: COM:3/0.017s/0.018s + Timing: ELA:1/0.007s + Timing: COM:3/0.013s/0.016s 228 modules, 901 connections]]> @@ -8912,62 +8956,62 @@ mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_0_avalon_st_adapter"]]> mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_0_avalon_st_adapter"]]> MebX_Qsys_Project" instantiated altera_mm_interconnect "mm_interconnect_0"]]> - queue size: 606 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + queue size: 613 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" mm_interconnect_0" instantiated altera_merlin_master_translator "FTDI_UMFT601A_Module_avalon_master_data_translator"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> - queue size: 621 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> + queue size: 628 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" ext_flash" instantiated altera_merlin_slave_translator "slave_translator"]]> - queue size: 583 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + queue size: 590 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" mm_interconnect_0" instantiated altera_merlin_master_agent "FTDI_UMFT601A_Module_avalon_master_data_agent"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> - queue size: 562 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> + queue size: 569 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" mm_interconnect_0" instantiated altera_merlin_slave_agent "m2_ddr2_memory_avl_agent"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> - queue size: 561 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + queue size: 568 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" mm_interconnect_0" instantiated altera_avalon_sc_fifo "m2_ddr2_memory_avl_agent_rsp_fifo"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> - queue size: 556 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + queue size: 563 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router" mm_interconnect_0" instantiated altera_merlin_router "router"]]> - queue size: 542 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router_014" + queue size: 549 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router_014" mm_interconnect_0" instantiated altera_merlin_router "router_014"]]> - queue size: 536 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router_020" + queue size: 543 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router_020" mm_interconnect_0" instantiated altera_merlin_router "router_020"]]> - queue size: 535 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router_021" + queue size: 542 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router_021" mm_interconnect_0" instantiated altera_merlin_router "router_021"]]> - queue size: 533 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" + queue size: 540 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" mm_interconnect_0" instantiated altera_merlin_traffic_limiter "ddr2_address_span_extender_expanded_master_limiter"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> - queue size: 532 starting:altera_merlin_burst_adapter "submodules/altera_merlin_burst_adapter" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + queue size: 539 starting:altera_merlin_burst_adapter "submodules/altera_merlin_burst_adapter" mm_interconnect_0" instantiated altera_merlin_burst_adapter "m1_clock_bridge_s0_burst_adapter"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> - queue size: 531 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_cmd_demux" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> + queue size: 538 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_cmd_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux"]]> - queue size: 510 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_cmd_mux" + queue size: 517 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_cmd_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 508 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_rsp_demux" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 515 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_rsp_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux"]]> - queue size: 507 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_rsp_demux_001" + queue size: 514 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_rsp_demux_001" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux_001"]]> - queue size: 506 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_rsp_mux" + queue size: 513 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_rsp_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 485 starting:altera_merlin_width_adapter "submodules/altera_merlin_width_adapter" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 492 starting:altera_merlin_width_adapter "submodules/altera_merlin_width_adapter" mm_interconnect_0" instantiated altera_merlin_width_adapter "rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_address_alignment.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> - queue size: 471 starting:altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_address_alignment.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + queue size: 478 starting:altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser" mm_interconnect_0" instantiated altera_avalon_st_handshake_clock_crosser "crosser"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_std_synchronizer_nocut.v]]> - queue size: 387 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_0_avalon_st_adapter" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_std_synchronizer_nocut.v]]> + queue size: 394 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_0_avalon_st_adapter" @@ -9650,154 +9694,154 @@ Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.015s/0.017s + Timing: ELA:1/0.001s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.006s + Timing: COM:3/0.012s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.014s/0.015s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.007s + Timing: COM:3/0.012s/0.013s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s + Timing: ELA:1/0.001s Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.014s/0.015s + Timing: ELA:1/0.010s + Timing: COM:3/0.031s/0.057s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.010s - Timing: COM:3/0.013s/0.015s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.008s + Timing: COM:3/0.012s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.007s - Timing: COM:3/0.019s/0.031s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.008s + Timing: COM:3/0.012s/0.014s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.010s - Timing: COM:3/0.014s/0.015s + Timing: ELA:1/0.008s + Timing: COM:3/0.010s/0.011s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.013s/0.014s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.008s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.015s/0.016s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.006s + Timing: COM:3/0.010s/0.011s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s + Timing: ELA:1/0.001s Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.011s - Timing: COM:3/0.015s/0.016s + Timing: ELA:1/0.005s + Timing: COM:3/0.011s/0.014s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.010s - Timing: COM:3/0.014s/0.015s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.005s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.010s - Timing: COM:3/0.013s/0.015s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.008s + Timing: COM:3/0.013s/0.014s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.033s - Timing: COM:3/0.025s/0.040s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.008s + Timing: COM:3/0.011s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.016s/0.018s + Timing: ELA:1/0.008s + Timing: COM:3/0.011s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.002s - Timing: ELA:1/0.011s - Timing: COM:3/0.018s/0.019s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.008s + Timing: COM:3/0.010s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.001s - Timing: ELA:2/0.000s/0.001s + Timing: ELA:2/0.001s/0.002s Timing: ELA:1/0.009s - Timing: COM:3/0.017s/0.018s + Timing: COM:3/0.014s/0.016s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.010s - Timing: COM:3/0.014s/0.015s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.008s + Timing: COM:3/0.012s/0.014s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.008s - Timing: COM:3/0.013s/0.014s + Timing: ELA:1/0.009s + Timing: COM:3/0.013s/0.015s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.010s + Timing: ELA:1/0.000s + Timing: ELA:2/0.001s/0.002s + Timing: ELA:1/0.009s Timing: COM:3/0.014s/0.015s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.009s - Timing: COM:3/0.014s/0.016s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.007s + Timing: COM:3/0.012s/0.015s @@ -9805,39 +9849,39 @@ Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s Timing: ELA:1/0.008s - Timing: COM:3/0.013s/0.017s + Timing: COM:3/0.016s/0.028s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.014s/0.016s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.009s + Timing: COM:3/0.012s/0.013s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.008s - Timing: COM:3/0.015s/0.016s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.006s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s + Timing: ELA:1/0.001s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.010s - Timing: COM:3/0.015s/0.016s + Timing: ELA:1/0.008s + Timing: COM:3/0.016s/0.026s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.017s/0.020s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.008s + Timing: COM:3/0.010s/0.012s 192 modules, 645 connections]]> @@ -10031,70 +10075,70 @@ mm_interconnect_1" reuses altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_022"]]> mm_interconnect_1" reuses altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter"]]> MebX_Qsys_Project" instantiated altera_mm_interconnect "mm_interconnect_1"]]> - queue size: 606 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + queue size: 613 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" mm_interconnect_0" instantiated altera_merlin_master_translator "FTDI_UMFT601A_Module_avalon_master_data_translator"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> - queue size: 621 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> + queue size: 628 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" ext_flash" instantiated altera_merlin_slave_translator "slave_translator"]]> - queue size: 583 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + queue size: 590 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" mm_interconnect_0" instantiated altera_merlin_master_agent "FTDI_UMFT601A_Module_avalon_master_data_agent"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> - queue size: 562 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> + queue size: 569 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" mm_interconnect_0" instantiated altera_merlin_slave_agent "m2_ddr2_memory_avl_agent"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> - queue size: 561 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + queue size: 568 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" mm_interconnect_0" instantiated altera_avalon_sc_fifo "m2_ddr2_memory_avl_agent_rsp_fifo"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> - queue size: 308 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + queue size: 315 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router" mm_interconnect_1" instantiated altera_merlin_router "router"]]> - queue size: 307 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_001" + queue size: 314 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_001" mm_interconnect_1" instantiated altera_merlin_router "router_001"]]> - queue size: 306 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_002" + queue size: 313 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_002" mm_interconnect_1" instantiated altera_merlin_router "router_002"]]> - queue size: 289 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_019" + queue size: 296 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_019" mm_interconnect_1" instantiated altera_merlin_router "router_019"]]> - queue size: 287 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_021" + queue size: 294 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_021" mm_interconnect_1" instantiated altera_merlin_router "router_021"]]> - queue size: 284 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_024" + queue size: 291 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_024" mm_interconnect_1" instantiated altera_merlin_router "router_024"]]> - queue size: 533 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" + queue size: 540 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" mm_interconnect_0" instantiated altera_merlin_traffic_limiter "ddr2_address_span_extender_expanded_master_limiter"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> - queue size: 532 starting:altera_merlin_burst_adapter "submodules/altera_merlin_burst_adapter" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + queue size: 539 starting:altera_merlin_burst_adapter "submodules/altera_merlin_burst_adapter" mm_interconnect_0" instantiated altera_merlin_burst_adapter "m1_clock_bridge_s0_burst_adapter"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> - queue size: 278 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_demux" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> + queue size: 285 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_demux" mm_interconnect_1" instantiated altera_merlin_demultiplexer "cmd_demux"]]> - queue size: 277 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_demux_001" + queue size: 284 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_demux_001" mm_interconnect_1" instantiated altera_merlin_demultiplexer "cmd_demux_001"]]> - queue size: 276 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_mux" + queue size: 283 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_mux" mm_interconnect_1" instantiated altera_merlin_multiplexer "cmd_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 257 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_mux_019" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 264 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_mux_019" mm_interconnect_1" instantiated altera_merlin_multiplexer "cmd_mux_019"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 252 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_demux" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 259 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_demux" mm_interconnect_1" instantiated altera_merlin_demultiplexer "rsp_demux"]]> - queue size: 233 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_demux_019" + queue size: 240 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_demux_019" mm_interconnect_1" instantiated altera_merlin_demultiplexer "rsp_demux_019"]]> - queue size: 228 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_mux" + queue size: 235 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_mux" mm_interconnect_1" instantiated altera_merlin_multiplexer "rsp_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 227 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_mux_001" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 234 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_mux_001" mm_interconnect_1" instantiated altera_merlin_multiplexer "rsp_mux_001"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 485 starting:altera_merlin_width_adapter "submodules/altera_merlin_width_adapter" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 492 starting:altera_merlin_width_adapter "submodules/altera_merlin_width_adapter" mm_interconnect_0" instantiated altera_merlin_width_adapter "rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_address_alignment.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> - queue size: 222 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_address_alignment.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + queue size: 229 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter" @@ -10111,7 +10155,7 @@ mm_interconnect_1" instantiated altera_avalon_st_adapter "avalon_st_adapter"]]> queue size: 2 starting:error_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_error_adapter_0" avalon_st_adapter" instantiated error_adapter "error_adapter_0"]]> - queue size: 206 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_017" + queue size: 213 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_017" @@ -10128,7 +10172,7 @@ mm_interconnect_1" instantiated altera_avalon_st_adapter "avalon_st_adapter_017"]]> queue size: 1 starting:error_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_017_error_adapter_0" avalon_st_adapter_017" instantiated error_adapter "error_adapter_0"]]> - queue size: 202 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_022" + queue size: 209 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_022" @@ -10151,7 +10195,7 @@ Transform: CustomInstructionTransform No custom instruction connections, skipping transform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: MMTransform Transform: InitialInterconnectTransform 0 modules, 0 connections]]> @@ -10177,7 +10221,32 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> + Transform: InitialInterconnectTransform + 0 modules, 0 connections]]> + Transform: TerminalIdAssignmentUpdateTransform + Transform: DefaultSlaveTransform + Transform: TranslatorTransform + No Avalon connections, skipping transform + Transform: IDPadTransform + Transform: DomainTransform + Transform: RouterTransform + Transform: TrafficLimiterTransform + Transform: BurstTransform + Transform: TreeTransform + Transform: NetworkToSwitchTransform + Transform: WidthTransform + Transform: RouterTableTransform + Transform: ThreadIDMappingTableTransform + Transform: ClockCrossingTransform + Transform: PipelineTransform + Transform: SpotPipelineTransform + Transform: PerformanceMonitorTransform + Transform: TrafficLimiterUpdateTransform + Transform: InsertClockAndResetBridgesTransform + Transform: InterconnectConnectionsTagger + Transform: HierarchyTransform + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10202,7 +10271,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10227,7 +10296,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10252,7 +10321,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10277,7 +10346,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10302,7 +10371,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10327,7 +10396,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10352,7 +10421,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10377,7 +10446,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10402,7 +10471,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10427,7 +10496,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10452,7 +10521,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10477,7 +10546,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10502,7 +10571,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10527,7 +10596,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10552,7 +10621,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10577,7 +10646,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10602,7 +10671,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10627,7 +10696,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10652,7 +10721,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10677,7 +10746,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10702,7 +10771,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10727,7 +10796,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10752,7 +10821,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10777,7 +10846,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -10802,7 +10871,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InterruptMapperTransform Transform: InterruptSyncTransform Transform: InterruptFanoutTransform @@ -10812,204 +10881,212 @@ Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.016s/0.017s + Timing: ELA:2/0.016s/0.032s + Timing: ELA:1/0.009s + Timing: COM:3/0.022s/0.043s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.009s - Timing: COM:3/0.014s/0.015s + Timing: ELA:1/0.008s + Timing: COM:3/0.012s/0.014s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.008s - Timing: COM:3/0.013s/0.014s + Timing: ELA:1/0.001s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.007s + Timing: COM:3/0.010s/0.011s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.013s/0.014s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.006s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.010s - Timing: COM:3/0.016s/0.017s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.009s + Timing: COM:3/0.014s/0.015s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.014s/0.015s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.005s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.016s/0.017s + Timing: ELA:2/0.001s/0.002s + Timing: ELA:1/0.006s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.012s/0.013s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.008s + Timing: COM:3/0.010s/0.011s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.008s - Timing: COM:3/0.013s/0.014s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.006s + Timing: COM:3/0.010s/0.011s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.025s - Timing: COM:3/0.020s/0.030s + Timing: ELA:1/0.001s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.006s + Timing: COM:3/0.010s/0.012s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.010s - Timing: COM:3/0.015s/0.016s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.006s + Timing: COM:3/0.012s/0.014s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s + Timing: ELA:1/0.001s Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.007s - Timing: COM:3/0.014s/0.015s + Timing: ELA:1/0.006s + Timing: COM:3/0.014s/0.024s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s + Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.009s - Timing: COM:3/0.014s/0.015s + Timing: ELA:1/0.007s + Timing: COM:3/0.010s/0.010s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.015s/0.016s + Timing: ELA:1/0.006s + Timing: COM:3/0.011s/0.011s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.015s/0.017s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.022s + Timing: COM:3/0.016s/0.027s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.015s/0.016s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.006s + Timing: COM:3/0.011s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.015s/0.017s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.005s + Timing: COM:3/0.010s/0.011s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.014s/0.016s + Timing: ELA:1/0.001s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.005s + Timing: COM:3/0.011s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.007s - Timing: COM:3/0.015s/0.017s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.008s + Timing: COM:3/0.013s/0.015s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.029s - Timing: COM:3/0.022s/0.035s + Timing: ELA:1/0.000s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.007s + Timing: COM:3/0.012s/0.013s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.008s - Timing: COM:3/0.013s/0.014s + Timing: ELA:1/0.002s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.009s + Timing: COM:3/0.012s/0.013s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.010s - Timing: COM:3/0.019s/0.025s + Timing: ELA:1/0.001s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.007s + Timing: COM:3/0.010s/0.011s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.008s - Timing: COM:3/0.017s/0.019s + Timing: ELA:1/0.004s + Timing: COM:3/0.011s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.013s - Timing: COM:3/0.017s/0.019s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.008s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s + Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.018s/0.019s + Timing: ELA:1/0.009s + Timing: COM:3/0.012s/0.014s + + + + Inserting error_adapter: error_adapter_0 + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.008s + Timing: COM:3/0.016s/0.025s 184 modules, 621 connections]]> + culprit="com_altera_sopcmodel_transforms_avalonst_AvalonStreamingTransform">191 modules, 645 connections]]> Transform: ResetAdaptation mm_interconnect_2" reuses altera_merlin_master_translator "submodules/altera_merlin_master_translator"]]> mm_interconnect_2" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> @@ -11037,6 +11114,7 @@ mm_interconnect_2" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> mm_interconnect_2" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> mm_interconnect_2" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + mm_interconnect_2" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> mm_interconnect_2" reuses altera_merlin_master_agent "submodules/altera_merlin_master_agent"]]> mm_interconnect_2" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> mm_interconnect_2" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> @@ -11088,6 +11166,8 @@ mm_interconnect_2" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> mm_interconnect_2" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> mm_interconnect_2" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + mm_interconnect_2" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + mm_interconnect_2" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> mm_interconnect_2" reuses altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router"]]> mm_interconnect_2" reuses altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router_001"]]> mm_interconnect_2" reuses altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router_001"]]> @@ -11114,6 +11194,7 @@ mm_interconnect_2" reuses altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router_001"]]> mm_interconnect_2" reuses altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router_001"]]> mm_interconnect_2" reuses altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router_001"]]> + mm_interconnect_2" reuses altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router_001"]]> mm_interconnect_2" reuses altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter"]]> mm_interconnect_2" reuses altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_demux"]]> mm_interconnect_2" reuses altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux"]]> @@ -11141,6 +11222,8 @@ mm_interconnect_2" reuses altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux"]]> mm_interconnect_2" reuses altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux"]]> mm_interconnect_2" reuses altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux"]]> + mm_interconnect_2" reuses altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux"]]> + mm_interconnect_2" reuses altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_demux"]]> mm_interconnect_2" reuses altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_demux"]]> mm_interconnect_2" reuses altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_demux"]]> mm_interconnect_2" reuses altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_demux"]]> @@ -11192,44 +11275,45 @@ mm_interconnect_2" reuses altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter"]]> mm_interconnect_2" reuses altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter"]]> mm_interconnect_2" reuses altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter"]]> + mm_interconnect_2" reuses altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter"]]> MebX_Qsys_Project" instantiated altera_mm_interconnect "mm_interconnect_2"]]> - queue size: 606 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + queue size: 613 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" mm_interconnect_0" instantiated altera_merlin_master_translator "FTDI_UMFT601A_Module_avalon_master_data_translator"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> - queue size: 621 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> + queue size: 628 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" ext_flash" instantiated altera_merlin_slave_translator "slave_translator"]]> - queue size: 583 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + queue size: 590 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" mm_interconnect_0" instantiated altera_merlin_master_agent "FTDI_UMFT601A_Module_avalon_master_data_agent"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> - queue size: 562 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> + queue size: 569 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" mm_interconnect_0" instantiated altera_merlin_slave_agent "m2_ddr2_memory_avl_agent"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> - queue size: 561 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + queue size: 568 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" mm_interconnect_0" instantiated altera_avalon_sc_fifo "m2_ddr2_memory_avl_agent_rsp_fifo"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> - queue size: 124 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + queue size: 128 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router" mm_interconnect_2" instantiated altera_merlin_router "router"]]> - queue size: 123 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router_001" + queue size: 127 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router_001" mm_interconnect_2" instantiated altera_merlin_router "router_001"]]> - queue size: 533 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" + queue size: 540 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" mm_interconnect_0" instantiated altera_merlin_traffic_limiter "ddr2_address_span_extender_expanded_master_limiter"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> - queue size: 97 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_demux" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + queue size: 100 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_demux" mm_interconnect_2" instantiated altera_merlin_demultiplexer "cmd_demux"]]> - queue size: 96 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux" + queue size: 99 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux" mm_interconnect_2" instantiated altera_merlin_multiplexer "cmd_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 71 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_demux" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 73 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_demux" mm_interconnect_2" instantiated altera_merlin_demultiplexer "rsp_demux"]]> - queue size: 46 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_mux" + queue size: 47 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_mux" mm_interconnect_2" instantiated altera_merlin_multiplexer "rsp_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 222 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 229 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter" @@ -11246,7 +11330,7 @@ mm_interconnect_1" instantiated altera_avalon_st_adapter "avalon_st_adapter"]]> queue size: 2 starting:error_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_error_adapter_0" avalon_st_adapter" instantiated error_adapter "error_adapter_0"]]> - queue size: 628 starting:altera_mm_interconnect "submodules/MebX_Qsys_Project_mm_interconnect_3" + queue size: 635 starting:altera_mm_interconnect "submodules/MebX_Qsys_Project_mm_interconnect_3" @@ -11313,9 +11397,9 @@ Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.014s/0.017s + Timing: ELA:2/0.001s/0.002s + Timing: ELA:1/0.005s + Timing: COM:3/0.011s/0.012s 20 modules, 54 connections]]> @@ -11336,23 +11420,23 @@ mm_interconnect_3" reuses altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser"]]> mm_interconnect_3" reuses altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_0_avalon_st_adapter"]]> MebX_Qsys_Project" instantiated altera_mm_interconnect "mm_interconnect_3"]]> - queue size: 606 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + queue size: 613 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" mm_interconnect_0" instantiated altera_merlin_master_translator "FTDI_UMFT601A_Module_avalon_master_data_translator"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> - queue size: 621 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> + queue size: 628 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" ext_flash" instantiated altera_merlin_slave_translator "slave_translator"]]> - queue size: 583 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + queue size: 590 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" mm_interconnect_0" instantiated altera_merlin_master_agent "FTDI_UMFT601A_Module_avalon_master_data_agent"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> - queue size: 562 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> + queue size: 569 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" mm_interconnect_0" instantiated altera_merlin_slave_agent "m2_ddr2_memory_avl_agent"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> - queue size: 561 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + queue size: 568 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" mm_interconnect_0" instantiated altera_avalon_sc_fifo "m2_ddr2_memory_avl_agent_rsp_fifo"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> queue size: 14 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_3_router" mm_interconnect_3" instantiated altera_merlin_router "router"]]> queue size: 13 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_3_router_001" @@ -11361,17 +11445,17 @@ mm_interconnect_3" instantiated altera_merlin_demultiplexer "cmd_demux"]]> queue size: 11 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_3_cmd_mux" mm_interconnect_3" instantiated altera_merlin_multiplexer "cmd_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> queue size: 10 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_3_rsp_demux" mm_interconnect_3" instantiated altera_merlin_demultiplexer "rsp_demux"]]> queue size: 9 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_3_rsp_mux" mm_interconnect_3" instantiated altera_merlin_multiplexer "rsp_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 471 starting:altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 478 starting:altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser" mm_interconnect_0" instantiated altera_avalon_st_handshake_clock_crosser "crosser"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_std_synchronizer_nocut.v]]> - queue size: 387 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_0_avalon_st_adapter" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_std_synchronizer_nocut.v]]> + queue size: 394 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_0_avalon_st_adapter" @@ -11388,11 +11472,11 @@ mm_interconnect_0" instantiated altera_avalon_st_adapter "avalon_st_adapter"]]> queue size: 3 starting:error_adapter "submodules/MebX_Qsys_Project_mm_interconnect_0_avalon_st_adapter_error_adapter_0" avalon_st_adapter" instantiated error_adapter "error_adapter_0"]]> - queue size: 642 starting:altera_irq_mapper "submodules/MebX_Qsys_Project_irq_mapper" + queue size: 649 starting:altera_irq_mapper "submodules/MebX_Qsys_Project_irq_mapper" MebX_Qsys_Project" instantiated altera_irq_mapper "irq_mapper"]]> - queue size: 641 starting:altera_irq_clock_crosser "submodules/altera_irq_clock_crosser" + queue size: 648 starting:altera_irq_clock_crosser "submodules/altera_irq_clock_crosser" MebX_Qsys_Project" instantiated altera_irq_clock_crosser "irq_synchronizer"]]> - queue size: 636 starting:altera_reset_controller "submodules/altera_reset_controller" + queue size: 643 starting:altera_reset_controller "submodules/altera_reset_controller" MebX_Qsys_Project" instantiated altera_reset_controller "rst_controller_001"]]> @@ -11405,269 +11489,269 @@ name="comm_v2_top"> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/Communication_Module_v2_hw.tcl" /> - queue size: 85 starting:Communication_Module_v2 "submodules/comm_v2_top" + queue size: 86 starting:Communication_Module_v2 "submodules/comm_v2_top" MebX_Qsys_Project" instantiated Communication_Module_v2 "Communication_Module_v2_Ch1"]]> @@ -11680,143 +11764,143 @@ name="ftdi_usb3_top"> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/FTDI_USB3_hw.tcl" /> - queue size: 79 starting:FTDI_UMFT601A_Module "submodules/ftdi_usb3_top" + queue size: 80 starting:FTDI_UMFT601A_Module "submodules/ftdi_usb3_top" MebX_Qsys_Project" instantiated FTDI_UMFT601A_Module "FTDI_UMFT601A_Module"]]> @@ -11829,101 +11913,101 @@ name="mfil_memory_filler_top"> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/Memory_Filler_hw.tcl" /> - queue size: 78 starting:Memory_Filler "submodules/mfil_memory_filler_top" + queue size: 79 starting:Memory_Filler "submodules/mfil_memory_filler_top" MebX_Qsys_Project" instantiated Memory_Filler "Memory_Filler"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/delay_block_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/delay_block_ent.vhd]]> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Echoing_hw.tcl" /> - queue size: 77 starting:RMAP_Echoing "submodules/rmpe_rmap_echoing_top" + queue size: 78 starting:RMAP_Echoing "submodules/rmpe_rmap_echoing_top" MebX_Qsys_Project" instantiated RMAP_Echoing "RMAP_Echoing"]]> @@ -11936,261 +12020,261 @@ name="spwc_spacewire_channel_top"> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/SpaceWire_Channel_hw.tcl" /> - queue size: 76 starting:SpaceWire_Channel "submodules/spwc_spacewire_channel_top" + queue size: 77 starting:SpaceWire_Channel "submodules/spwc_spacewire_channel_top" MebX_Qsys_Project" instantiated SpaceWire_Channel "SpaceWire_Channel_A"]]> @@ -12203,19 +12287,19 @@ name="sgfl_signal_filter_latch_top"> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/Signal_Filter_Latch_hw.tcl" /> - queue size: 68 starting:Signal_Filter_Latch "submodules/sgfl_signal_filter_latch_top" + queue size: 69 starting:Signal_Filter_Latch "submodules/sgfl_signal_filter_latch_top" MebX_Qsys_Project" instantiated Signal_Filter_Latch "Sync_Signal_Filter_Latch_0"]]> @@ -12228,179 +12312,179 @@ name="scom_synchronization_comm_top"> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/Synchronization_COMM_hw.tcl" /> - queue size: 67 starting:Synchronization_COMM "submodules/scom_synchronization_comm_top" + queue size: 68 starting:Synchronization_COMM "submodules/scom_synchronization_comm_top" MebX_Qsys_Project" instantiated Synchronization_COMM "Synchronization_COMM_0"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/spw_codec_pkg.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/spw_mux_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_data_controller_pkg.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_data_manager_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_hkdata_manager_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/data_packet_header_gen_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/data_packet_hk_writer_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/send_buffer_sc_1k_fifo.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_pkg.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_manager_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_housekeep_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_fullimage_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_windowing_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_top.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_hkdata_controller_top.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_crc_pkg.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_pkg.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_command_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_reply_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_read_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_write_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_user_ent.vhd]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_top.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/spw_codec_pkg.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/spw_mux_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_data_controller_pkg.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_data_manager_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_hkdata_manager_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/data_packet_header_gen_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/data_packet_hk_writer_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/send_buffer_sc_1k_fifo.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_pkg.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_manager_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_housekeep_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_fullimage_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_windowing_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_top.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_hkdata_controller_top.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_crc_pkg.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_pkg.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_command_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_reply_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_read_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_write_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_user_ent.vhd]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_top.vhd]]> @@ -12443,7 +12527,7 @@ instantiator="MebX_Qsys_Project" as="clock_bridge_afi_50,m1_clock_bridge,clock_bridge_afi_50,m1_clock_bridge" /> - queue size: 66 starting:altera_avalon_mm_clock_crossing_bridge "submodules/altera_avalon_mm_clock_crossing_bridge" + queue size: 67 starting:altera_avalon_mm_clock_crossing_bridge "submodules/altera_avalon_mm_clock_crossing_bridge" MebX_Qsys_Project" instantiated altera_avalon_mm_clock_crossing_bridge "clock_bridge_afi_50"]]> @@ -12476,7 +12560,7 @@ @@ -12488,11 +12572,11 @@ + as="csense_adc_fo,csense_sck,csense_sdi,m1_ddr2_i2c_scl,m2_ddr2_i2c_scl,pio_ftdi_umft601a_module_reset,pio_iso_logic_signal_enable,pio_rmap_echoing_module_reset,temp_scl" /> - queue size: 65 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_csense_adc_fo" + queue size: 66 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_csense_adc_fo" Starting RTL generation for module 'MebX_Qsys_Project_csense_adc_fo' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_adc_fo --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0014_csense_adc_fo_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0014_csense_adc_fo_gen//MebX_Qsys_Project_csense_adc_fo_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_adc_fo --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0014_csense_adc_fo_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0014_csense_adc_fo_gen//MebX_Qsys_Project_csense_adc_fo_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_csense_adc_fo' MebX_Qsys_Project" instantiated altera_avalon_pio "csense_adc_fo"]]> @@ -12526,7 +12610,7 @@ @@ -12538,9 +12622,9 @@ - queue size: 64 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_csense_cs_n" + queue size: 65 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_csense_cs_n" Starting RTL generation for module 'MebX_Qsys_Project_csense_cs_n' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_cs_n --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0015_csense_cs_n_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0015_csense_cs_n_gen//MebX_Qsys_Project_csense_cs_n_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_cs_n --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0015_csense_cs_n_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0015_csense_cs_n_gen//MebX_Qsys_Project_csense_cs_n_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_csense_cs_n' MebX_Qsys_Project" instantiated altera_avalon_pio "csense_cs_n"]]> @@ -12574,7 +12658,7 @@ @@ -12586,9 +12670,9 @@ - queue size: 61 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_csense_sdo" + queue size: 62 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_csense_sdo" Starting RTL generation for module 'MebX_Qsys_Project_csense_sdo' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_sdo --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0016_csense_sdo_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0016_csense_sdo_gen//MebX_Qsys_Project_csense_sdo_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_sdo --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0016_csense_sdo_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0016_csense_sdo_gen//MebX_Qsys_Project_csense_sdo_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_csense_sdo' MebX_Qsys_Project" instantiated altera_avalon_pio "csense_sdo"]]> @@ -12619,7 +12703,7 @@ @@ -12631,13 +12715,13 @@ - queue size: 60 starting:altera_address_span_extender "submodules/altera_address_span_extender" + queue size: 61 starting:altera_address_span_extender "submodules/altera_address_span_extender" MebX_Qsys_Project" instantiated altera_address_span_extender "ddr2_address_span_extender"]]> - + @@ -12668,7 +12752,7 @@ - + @@ -12701,20 +12785,20 @@ @@ -12732,7 +12816,7 @@ - queue size: 59 starting:altera_generic_tristate_controller "submodules/MebX_Qsys_Project_ext_flash" + queue size: 60 starting:altera_generic_tristate_controller "submodules/MebX_Qsys_Project_ext_flash" @@ -12799,11 +12883,11 @@ ext_flash" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> ext_flash" reuses altera_tristate_controller_aggregator "submodules/altera_tristate_controller_aggregator"]]> MebX_Qsys_Project" instantiated altera_generic_tristate_controller "ext_flash"]]> - queue size: 622 starting:altera_tristate_controller_translator "submodules/altera_tristate_controller_translator" + queue size: 629 starting:altera_tristate_controller_translator "submodules/altera_tristate_controller_translator" ext_flash" instantiated altera_tristate_controller_translator "tdt"]]> - queue size: 621 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + queue size: 628 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" ext_flash" instantiated altera_merlin_slave_translator "slave_translator"]]> - queue size: 620 starting:altera_tristate_controller_aggregator "submodules/altera_tristate_controller_aggregator" + queue size: 627 starting:altera_tristate_controller_aggregator "submodules/altera_tristate_controller_aggregator" ext_flash" instantiated altera_tristate_controller_aggregator "tda"]]> @@ -12832,7 +12916,7 @@ @@ -12844,9 +12928,9 @@ - queue size: 61 starting:altera_avalon_jtag_uart "submodules/MebX_Qsys_Project_jtag_uart_0" + queue size: 62 starting:altera_avalon_jtag_uart "submodules/MebX_Qsys_Project_jtag_uart_0" Starting RTL generation for module 'MebX_Qsys_Project_jtag_uart_0' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=MebX_Qsys_Project_jtag_uart_0 --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0018_jtag_uart_0_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0018_jtag_uart_0_gen//MebX_Qsys_Project_jtag_uart_0_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=MebX_Qsys_Project_jtag_uart_0 --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0018_jtag_uart_0_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0018_jtag_uart_0_gen//MebX_Qsys_Project_jtag_uart_0_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_jtag_uart_0' MebX_Qsys_Project" instantiated altera_avalon_jtag_uart "jtag_uart_0"]]> @@ -12880,7 +12964,7 @@ @@ -12894,9 +12978,9 @@ instantiator="MebX_Qsys_Project" as="m1_ddr2_i2c_sda,m2_ddr2_i2c_sda,temp_sda" /> - queue size: 58 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_m1_ddr2_i2c_sda" + queue size: 59 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_m1_ddr2_i2c_sda" Starting RTL generation for module 'MebX_Qsys_Project_m1_ddr2_i2c_sda' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_m1_ddr2_i2c_sda --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0019_m1_ddr2_i2c_sda_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0019_m1_ddr2_i2c_sda_gen//MebX_Qsys_Project_m1_ddr2_i2c_sda_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_m1_ddr2_i2c_sda --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0019_m1_ddr2_i2c_sda_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0019_m1_ddr2_i2c_sda_gen//MebX_Qsys_Project_m1_ddr2_i2c_sda_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_m1_ddr2_i2c_sda' MebX_Qsys_Project" instantiated altera_avalon_pio "m1_ddr2_i2c_sda"]]> @@ -14351,559 +14435,559 @@ @@ -15500,7 +15584,7 @@ - queue size: 57 starting:altera_mem_if_ddr2_emif "submodules/MebX_Qsys_Project_m1_ddr2_memory" + queue size: 58 starting:altera_mem_if_ddr2_emif "submodules/MebX_Qsys_Project_m1_ddr2_memory" @@ -15521,9 +15605,9 @@ m1_ddr2_memory" reuses altera_mem_if_oct "submodules/altera_mem_if_oct_stratixiv"]]> m1_ddr2_memory" reuses altera_mem_if_dll "submodules/altera_mem_if_dll_stratixiv"]]> MebX_Qsys_Project" instantiated altera_mem_if_ddr2_emif "m1_ddr2_memory"]]> - queue size: 619 starting:altera_mem_if_ddr2_pll "submodules/MebX_Qsys_Project_m1_ddr2_memory_pll0" + queue size: 626 starting:altera_mem_if_ddr2_pll "submodules/MebX_Qsys_Project_m1_ddr2_memory_pll0" m1_ddr2_memory" instantiated altera_mem_if_ddr2_pll "pll0"]]> - queue size: 618 starting:altera_mem_if_ddr2_phy_core "submodules/MebX_Qsys_Project_m1_ddr2_memory_p0" + queue size: 625 starting:altera_mem_if_ddr2_phy_core "submodules/MebX_Qsys_Project_m1_ddr2_memory_p0" Generating clock pair generator Generating MebX_Qsys_Project_m1_ddr2_memory_p0_altdqdqs @@ -15535,14 +15619,14 @@ ***************************** m1_ddr2_memory" instantiated altera_mem_if_ddr2_phy_core "p0"]]> - queue size: 617 starting:altera_mem_if_ddr2_afi_mux "submodules/afi_mux_ddrx" + queue size: 624 starting:altera_mem_if_ddr2_afi_mux "submodules/afi_mux_ddrx" m1_ddr2_memory" instantiated altera_mem_if_ddr2_afi_mux "m0"]]> - queue size: 616 starting:altera_mem_if_ddr2_qseq "submodules/MebX_Qsys_Project_m1_ddr2_memory_s0" + queue size: 623 starting:altera_mem_if_ddr2_qseq "submodules/MebX_Qsys_Project_m1_ddr2_memory_s0" Generating Qsys sequencer system QSYS sequencer system generated successfully m1_ddr2_memory" instantiated altera_mem_if_ddr2_qseq "s0"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_translator.sv]]> - queue size: 615 starting:altera_mem_if_nextgen_ddr2_controller "submodules/MebX_Qsys_Project_m1_ddr2_memory_c0" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_translator.sv]]> + queue size: 622 starting:altera_mem_if_nextgen_ddr2_controller "submodules/MebX_Qsys_Project_m1_ddr2_memory_c0" @@ -15562,9 +15646,9 @@ c0" instantiated altera_mem_if_nextgen_ddr2_controller_core "ng0"]]> queue size: 4 starting:alt_mem_ddrx_mm_st_converter "submodules/alt_mem_ddrx_mm_st_converter" c0" instantiated alt_mem_ddrx_mm_st_converter "a0"]]> - queue size: 616 starting:altera_mem_if_oct "submodules/altera_mem_if_oct_stratixiv" + queue size: 623 starting:altera_mem_if_oct "submodules/altera_mem_if_oct_stratixiv" m1_ddr2_memory" instantiated altera_mem_if_oct "oct0"]]> - queue size: 615 starting:altera_mem_if_dll "submodules/altera_mem_if_dll_stratixiv" + queue size: 622 starting:altera_mem_if_dll "submodules/altera_mem_if_dll_stratixiv" m1_ddr2_memory" instantiated altera_mem_if_dll "dll0"]]> @@ -17018,559 +17102,559 @@ @@ -18167,7 +18251,7 @@ - queue size: 61 starting:altera_mem_if_ddr2_emif "submodules/MebX_Qsys_Project_m2_ddr2_memory" + queue size: 62 starting:altera_mem_if_ddr2_emif "submodules/MebX_Qsys_Project_m2_ddr2_memory" @@ -18188,9 +18272,9 @@ m2_ddr2_memory" reuses altera_mem_if_oct "submodules/altera_mem_if_oct_stratixiv"]]> m2_ddr2_memory" reuses altera_mem_if_dll "submodules/altera_mem_if_dll_stratixiv"]]> MebX_Qsys_Project" instantiated altera_mem_if_ddr2_emif "m2_ddr2_memory"]]> - queue size: 614 starting:altera_mem_if_ddr2_pll "submodules/MebX_Qsys_Project_m2_ddr2_memory_pll0" + queue size: 621 starting:altera_mem_if_ddr2_pll "submodules/MebX_Qsys_Project_m2_ddr2_memory_pll0" m2_ddr2_memory" instantiated altera_mem_if_ddr2_pll "pll0"]]> - queue size: 613 starting:altera_mem_if_ddr2_phy_core "submodules/MebX_Qsys_Project_m2_ddr2_memory_p0" + queue size: 620 starting:altera_mem_if_ddr2_phy_core "submodules/MebX_Qsys_Project_m2_ddr2_memory_p0" Generating clock pair generator Generating MebX_Qsys_Project_m2_ddr2_memory_p0_altdqdqs @@ -18202,59 +18286,59 @@ ***************************** m2_ddr2_memory" instantiated altera_mem_if_ddr2_phy_core "p0"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altdq_dqs2_ddio_3reg_stratixiv.sv]]> - queue size: 617 starting:altera_mem_if_ddr2_afi_mux "submodules/afi_mux_ddrx" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altdq_dqs2_ddio_3reg_stratixiv.sv]]> + queue size: 624 starting:altera_mem_if_ddr2_afi_mux "submodules/afi_mux_ddrx" m1_ddr2_memory" instantiated altera_mem_if_ddr2_afi_mux "m0"]]> - queue size: 611 starting:altera_mem_if_ddr2_qseq "submodules/MebX_Qsys_Project_m2_ddr2_memory_s0" + queue size: 618 starting:altera_mem_if_ddr2_qseq "submodules/MebX_Qsys_Project_m2_ddr2_memory_s0" Generating Qsys sequencer system QSYS sequencer system generated successfully m2_ddr2_memory" instantiated altera_mem_if_ddr2_qseq "s0"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_cpu_no_ifdef_params_synth_cpu_inst.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_cpu_no_ifdef_params_synth_cpu_inst_test_bench.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_mem_no_ifdef_params.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_rst.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_translator.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ac_ROM_no_ifdef_params.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ac_ROM_reg.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_bitcheck.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_core.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_datamux.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_data_broadcast.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_data_decoder.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ddr2.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_di_buffer.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_di_buffer_wrap.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_dm_decoder.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_generic.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_inst_ROM_no_ifdef_params.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_inst_ROM_reg.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_jumplogic.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr12.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr36.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr72.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_pattern_fifo.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ram.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ram_csr.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_read_datapath.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_write_decoder.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_data_mgr.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_phy_mgr.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_reg_file.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_acv_phase_decode.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_acv_wrapper.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_mgr.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_reg_file.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_siii_phase_decode.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_siii_wrapper.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_sv_phase_decode.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_sv_wrapper.sv]]> - queue size: 615 starting:altera_mem_if_nextgen_ddr2_controller "submodules/MebX_Qsys_Project_m1_ddr2_memory_c0" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_cpu_no_ifdef_params_synth_cpu_inst.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_cpu_no_ifdef_params_synth_cpu_inst_test_bench.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_mem_no_ifdef_params.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_rst.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_translator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ac_ROM_no_ifdef_params.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ac_ROM_reg.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_bitcheck.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_core.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_datamux.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_data_broadcast.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_data_decoder.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ddr2.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_di_buffer.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_di_buffer_wrap.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_dm_decoder.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_generic.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_inst_ROM_no_ifdef_params.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_inst_ROM_reg.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_jumplogic.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr12.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr36.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr72.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_pattern_fifo.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ram.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ram_csr.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_read_datapath.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_write_decoder.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_data_mgr.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_phy_mgr.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_reg_file.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_acv_phase_decode.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_acv_wrapper.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_mgr.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_reg_file.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_siii_phase_decode.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_siii_wrapper.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_sv_phase_decode.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_sv_wrapper.sv]]> + queue size: 622 starting:altera_mem_if_nextgen_ddr2_controller "submodules/MebX_Qsys_Project_m1_ddr2_memory_c0" @@ -18274,15 +18358,15 @@ c0" instantiated altera_mem_if_nextgen_ddr2_controller_core "ng0"]]> queue size: 4 starting:alt_mem_ddrx_mm_st_converter "submodules/alt_mem_ddrx_mm_st_converter" c0" instantiated alt_mem_ddrx_mm_st_converter "a0"]]> - queue size: 616 starting:altera_mem_if_oct "submodules/altera_mem_if_oct_stratixiv" + queue size: 623 starting:altera_mem_if_oct "submodules/altera_mem_if_oct_stratixiv" m1_ddr2_memory" instantiated altera_mem_if_oct "oct0"]]> - queue size: 615 starting:altera_mem_if_dll "submodules/altera_mem_if_dll_stratixiv" + queue size: 622 starting:altera_mem_if_dll "submodules/altera_mem_if_dll_stratixiv" m1_ddr2_memory" instantiated altera_mem_if_dll "dll0"]]> + value="<address-map><slave name='ddr2_address_span_extender.windowed_slave' start='0x0' end='0x80000000' type='altera_address_span_extender.windowed_slave' /><slave name='sync.avalon_mm_slave' start='0x80000400' end='0x80000800' type='Sync.avalon_mm_slave' /><slave name='rst_controller.avalon_rst_controller_slave' start='0x80000800' end='0x80000840' type='rst_controller.avalon_rst_controller_slave' /><slave name='rs232_uart.s1' start='0x80000840' end='0x80000860' type='altera_avalon_uart.s1' /><slave name='timer_1us.s1' start='0x80000860' end='0x80000880' type='altera_avalon_timer.s1' /><slave name='timer_1ms.s1' start='0x80000880' end='0x800008A0' type='altera_avalon_timer.s1' /><slave name='pio_ctrl_io_lvds.s1' start='0x800008A0' end='0x800008B0' type='altera_avalon_pio.s1' /><slave name='pio_LED_painel.s1' start='0x80000900' end='0x80000910' type='altera_avalon_pio.s1' /><slave name='csense_adc_fo.s1' start='0x80000910' end='0x80000920' type='altera_avalon_pio.s1' /><slave name='csense_cs_n.s1' start='0x80000920' end='0x80000930' type='altera_avalon_pio.s1' /><slave name='csense_sck.s1' start='0x80000930' end='0x80000940' type='altera_avalon_pio.s1' /><slave name='csense_sdi.s1' start='0x80000940' end='0x80000950' type='altera_avalon_pio.s1' /><slave name='csense_sdo.s1' start='0x80000950' end='0x80000960' type='altera_avalon_pio.s1' /><slave name='m2_ddr2_i2c_scl.s1' start='0x80000960' end='0x80000970' type='altera_avalon_pio.s1' /><slave name='m2_ddr2_i2c_sda.s1' start='0x80000970' end='0x80000980' type='altera_avalon_pio.s1' /><slave name='temp_sda.s1' start='0x80000980' end='0x80000990' type='altera_avalon_pio.s1' /><slave name='temp_scl.s1' start='0x80000990' end='0x800009A0' type='altera_avalon_pio.s1' /><slave name='sd_card_wp_n.s1' start='0x800009A0' end='0x800009B0' type='altera_avalon_pio.s1' /><slave name='pio_EXT.s1' start='0x800009B0' end='0x800009C0' type='altera_avalon_pio.s1' /><slave name='pio_DIP.s1' start='0x800009C0' end='0x800009D0' type='altera_avalon_pio.s1' /><slave name='pio_LED.s1' start='0x800009D0' end='0x800009E0' type='altera_avalon_pio.s1' /><slave name='pio_BUTTON.s1' start='0x800009E0' end='0x800009F0' type='altera_avalon_pio.s1' /><slave name='m1_ddr2_i2c_scl.s1' start='0x800009F0' end='0x80000A00' type='altera_avalon_pio.s1' /><slave name='m1_ddr2_i2c_sda.s1' start='0x80000A00' end='0x80000A10' type='altera_avalon_pio.s1' /><slave name='pio_ftdi_umft601a_module_reset.s1' start='0x80000B00' end='0x80000B10' type='altera_avalon_pio.s1' /><slave name='pio_iso_logic_signal_enable.s1' start='0x80000B10' end='0x80000B20' type='altera_avalon_pio.s1' /><slave name='pio_rmap_echoing_module_reset.s1' start='0x80000C00' end='0x80000C10' type='altera_avalon_pio.s1' /><slave name='rmap_mem_nfee_scom_0.avalon_rmap_slave_0' start='0x81000000' end='0x81004000' type='RMAP_Memory_SCOM_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_6.avalon_rmap_slave_0' start='0x81004000' end='0x81008000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_5.avalon_rmap_slave_0' start='0x81008000' end='0x8100C000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_4.avalon_rmap_slave_0' start='0x8100C000' end='0x81010000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_3.avalon_rmap_slave_0' start='0x81010000' end='0x81014000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_2.avalon_rmap_slave_0' start='0x81014000' end='0x81018000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_1.avalon_rmap_slave_0' start='0x81018000' end='0x8101C000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='Synchronization_COMM_0.avalon_mm_config_slave' start='0x8101C000' end='0x8101C400' type='Synchronization_COMM.avalon_mm_config_slave' /><slave name='FTDI_UMFT601A_Module.avalon_slave_config' start='0x8101C400' end='0x8101C800' type='FTDI_UMFT601A_Module.avalon_slave_config' /><slave name='Communication_Module_v2_Ch6.avalon_mm_config_slave' start='0x8101C800' end='0x8101CC00' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch5.avalon_mm_config_slave' start='0x8101CC00' end='0x8101D000' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch4.avalon_mm_config_slave' start='0x8101D000' end='0x8101D400' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch3.avalon_mm_config_slave' start='0x8101D400' end='0x8101D800' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch2.avalon_mm_config_slave' start='0x8101D800' end='0x8101DC00' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch1.avalon_mm_config_slave' start='0x8101DC00' end='0x8101E000' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='ddr2_address_span_extender.cntl' start='0x8101E000' end='0x8101E008' type='altera_address_span_extender.cntl' /><slave name='onchip_memory.s1' start='0x81100000' end='0x811C0000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2_gen2_0.debug_mem_slave' start='0x81218800' end='0x81219000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sysid_qsys.control_slave' start='0x8121AF40' end='0x8121AF48' type='altera_avalon_sysid_qsys.control_slave' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x8121AF50' end='0x8121AF58' type='altera_avalon_jtag_uart.avalon_jtag_slave' /><slave name='Memory_Filler.avalon_slave_config' start='0x82000000' end='0x82000400' type='Memory_Filler.avalon_slave_config' /><slave name='ext_flash.uas' start='0x84000000' end='0x88000000' type='altera_generic_tristate_controller.uas' /></address-map>" /> @@ -18443,7 +18527,7 @@ - + @@ -18454,7 +18538,7 @@ - + @@ -18490,60 +18574,60 @@ @@ -18557,7 +18641,7 @@ - queue size: 67 starting:altera_nios2_gen2 "submodules/MebX_Qsys_Project_nios2_gen2_0" + queue size: 68 starting:altera_nios2_gen2 "submodules/MebX_Qsys_Project_nios2_gen2_0" @@ -18572,27 +18656,27 @@ Transform: ResetAdaptation nios2_gen2_0" reuses altera_nios2_gen2_unit "submodules/MebX_Qsys_Project_nios2_gen2_0_cpu"]]> MebX_Qsys_Project" instantiated altera_nios2_gen2 "nios2_gen2_0"]]> - queue size: 607 starting:altera_nios2_gen2_unit "submodules/MebX_Qsys_Project_nios2_gen2_0_cpu" + queue size: 614 starting:altera_nios2_gen2_unit "submodules/MebX_Qsys_Project_nios2_gen2_0_cpu" Starting RTL generation for module 'MebX_Qsys_Project_nios2_gen2_0_cpu' - Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//eperlcmd.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=MebX_Qsys_Project_nios2_gen2_0_cpu --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0051_cpu_gen/ --quartus_bindir=C:/intelFPGA/18.1/quartus/bin64/ --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0051_cpu_gen//MebX_Qsys_Project_nios2_gen2_0_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2021.10.28 09:28:38 (*) Starting Nios II generation - # 2021.10.28 09:28:38 (*) Checking for plaintext license. - # 2021.10.28 09:28:40 (*) Plaintext license not found. - # 2021.10.28 09:28:40 (*) Checking for encrypted license (non-evaluation). - # 2021.10.28 09:28:41 (*) Encrypted license found. SOF will not be time-limited. - # 2021.10.28 09:28:41 (*) Elaborating CPU configuration settings - # 2021.10.28 09:28:41 (*) Creating all objects for CPU - # 2021.10.28 09:28:41 (*) Testbench - # 2021.10.28 09:28:41 (*) Instruction decoding - # 2021.10.28 09:28:41 (*) Instruction fields - # 2021.10.28 09:28:41 (*) Instruction decodes - # 2021.10.28 09:28:42 (*) Signals for RTL simulation waveforms - # 2021.10.28 09:28:42 (*) Instruction controls - # 2021.10.28 09:28:42 (*) Pipeline frontend - # 2021.10.28 09:28:42 (*) Pipeline backend - # 2021.10.28 09:28:45 (*) Generating RTL from CPU objects - # 2021.10.28 09:28:47 (*) Creating encrypted RTL - # 2021.10.28 09:28:48 (*) Done Nios II generation + Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//eperlcmd.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=MebX_Qsys_Project_nios2_gen2_0_cpu --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0051_cpu_gen/ --quartus_bindir=C:/intelFPGA/18.1/quartus/bin64/ --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0051_cpu_gen//MebX_Qsys_Project_nios2_gen2_0_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2023.04.09 12:36:44 (*) Starting Nios II generation + # 2023.04.09 12:36:44 (*) Checking for plaintext license. + # 2023.04.09 12:36:44 (*) Plaintext license not found. + # 2023.04.09 12:36:44 (*) Checking for encrypted license (non-evaluation). + # 2023.04.09 12:36:45 (*) Encrypted license found. SOF will not be time-limited. + # 2023.04.09 12:36:45 (*) Elaborating CPU configuration settings + # 2023.04.09 12:36:45 (*) Creating all objects for CPU + # 2023.04.09 12:36:45 (*) Testbench + # 2023.04.09 12:36:46 (*) Instruction decoding + # 2023.04.09 12:36:46 (*) Instruction fields + # 2023.04.09 12:36:46 (*) Instruction decodes + # 2023.04.09 12:36:46 (*) Signals for RTL simulation waveforms + # 2023.04.09 12:36:46 (*) Instruction controls + # 2023.04.09 12:36:46 (*) Pipeline frontend + # 2023.04.09 12:36:46 (*) Pipeline backend + # 2023.04.09 12:36:48 (*) Generating RTL from CPU objects + # 2023.04.09 12:36:49 (*) Creating encrypted RTL + # 2023.04.09 12:36:50 (*) Done Nios II generation Done RTL generation for module 'MebX_Qsys_Project_nios2_gen2_0_cpu' nios2_gen2_0" instantiated altera_nios2_gen2_unit "cpu"]]> @@ -18647,7 +18731,7 @@ @@ -18659,9 +18743,9 @@ - queue size: 67 starting:altera_avalon_onchip_memory2 "submodules/MebX_Qsys_Project_onchip_memory" + queue size: 68 starting:altera_avalon_onchip_memory2 "submodules/MebX_Qsys_Project_onchip_memory" Starting RTL generation for module 'MebX_Qsys_Project_onchip_memory' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=MebX_Qsys_Project_onchip_memory --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0020_onchip_memory_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0020_onchip_memory_gen//MebX_Qsys_Project_onchip_memory_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=MebX_Qsys_Project_onchip_memory --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0020_onchip_memory_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0020_onchip_memory_gen//MebX_Qsys_Project_onchip_memory_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_onchip_memory' MebX_Qsys_Project" instantiated altera_avalon_onchip_memory2 "onchip_memory"]]> @@ -18695,7 +18779,7 @@ @@ -18707,9 +18791,9 @@ - queue size: 66 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_BUTTON" + queue size: 67 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_BUTTON" Starting RTL generation for module 'MebX_Qsys_Project_pio_BUTTON' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_BUTTON --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0021_pio_BUTTON_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0021_pio_BUTTON_gen//MebX_Qsys_Project_pio_BUTTON_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_BUTTON --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0021_pio_BUTTON_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0021_pio_BUTTON_gen//MebX_Qsys_Project_pio_BUTTON_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_pio_BUTTON' MebX_Qsys_Project" instantiated altera_avalon_pio "pio_BUTTON"]]> @@ -18743,7 +18827,7 @@ @@ -18755,9 +18839,9 @@ - queue size: 65 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_DIP" + queue size: 66 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_DIP" Starting RTL generation for module 'MebX_Qsys_Project_pio_DIP' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_DIP --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0022_pio_DIP_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0022_pio_DIP_gen//MebX_Qsys_Project_pio_DIP_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_DIP --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0022_pio_DIP_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0022_pio_DIP_gen//MebX_Qsys_Project_pio_DIP_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_pio_DIP' MebX_Qsys_Project" instantiated altera_avalon_pio "pio_DIP"]]> @@ -18791,7 +18875,7 @@ @@ -18803,9 +18887,9 @@ - queue size: 64 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_EXT" + queue size: 65 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_EXT" Starting RTL generation for module 'MebX_Qsys_Project_pio_EXT' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_EXT --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0023_pio_EXT_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0023_pio_EXT_gen//MebX_Qsys_Project_pio_EXT_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_EXT --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0023_pio_EXT_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0023_pio_EXT_gen//MebX_Qsys_Project_pio_EXT_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_pio_EXT' MebX_Qsys_Project" instantiated altera_avalon_pio "pio_EXT"]]> @@ -18839,7 +18923,7 @@ @@ -18851,9 +18935,9 @@ - queue size: 63 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_LED" + queue size: 64 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_LED" Starting RTL generation for module 'MebX_Qsys_Project_pio_LED' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_LED --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0024_pio_LED_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0024_pio_LED_gen//MebX_Qsys_Project_pio_LED_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_LED --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0024_pio_LED_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0024_pio_LED_gen//MebX_Qsys_Project_pio_LED_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_pio_LED' MebX_Qsys_Project" instantiated altera_avalon_pio "pio_LED"]]> @@ -18887,7 +18971,7 @@ @@ -18899,9 +18983,9 @@ - queue size: 62 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_LED_painel" + queue size: 63 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_LED_painel" Starting RTL generation for module 'MebX_Qsys_Project_pio_LED_painel' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_LED_painel --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0025_pio_LED_painel_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0025_pio_LED_painel_gen//MebX_Qsys_Project_pio_LED_painel_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_LED_painel --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0025_pio_LED_painel_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0025_pio_LED_painel_gen//MebX_Qsys_Project_pio_LED_painel_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_pio_LED_painel' MebX_Qsys_Project" instantiated altera_avalon_pio "pio_LED_painel"]]> @@ -18935,7 +19019,7 @@ @@ -18947,9 +19031,9 @@ - queue size: 61 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_ctrl_io_lvds" + queue size: 62 starting:altera_avalon_pio "submodules/MebX_Qsys_Project_pio_ctrl_io_lvds" Starting RTL generation for module 'MebX_Qsys_Project_pio_ctrl_io_lvds' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_ctrl_io_lvds --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0026_pio_ctrl_io_lvds_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0026_pio_ctrl_io_lvds_gen//MebX_Qsys_Project_pio_ctrl_io_lvds_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_ctrl_io_lvds --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0026_pio_ctrl_io_lvds_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0026_pio_ctrl_io_lvds_gen//MebX_Qsys_Project_pio_ctrl_io_lvds_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_pio_ctrl_io_lvds' MebX_Qsys_Project" instantiated altera_avalon_pio "pio_ctrl_io_lvds"]]> @@ -18963,54 +19047,54 @@ name="nrme_rmap_memory_nfee_area_top"> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Memory_NFEE_Area_hw.tcl" /> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/RMAP_Memory_SCOM_Area_hw.tcl" /> @@ -19091,7 +19175,7 @@ @@ -19105,7 +19189,7 @@ queue size: 51 starting:altera_avalon_uart "submodules/MebX_Qsys_Project_rs232_uart" Starting RTL generation for module 'MebX_Qsys_Project_rs232_uart' - Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart/generate_rtl.pl --name=MebX_Qsys_Project_rs232_uart --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0029_rs232_uart_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0029_rs232_uart_gen//MebX_Qsys_Project_rs232_uart_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart/generate_rtl.pl --name=MebX_Qsys_Project_rs232_uart --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0029_rs232_uart_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0029_rs232_uart_gen//MebX_Qsys_Project_rs232_uart_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_rs232_uart' MebX_Qsys_Project" instantiated altera_avalon_uart "rs232_uart"]]> @@ -19119,30 +19203,30 @@ name="rst_controller_top"> + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/rst_controller_hw.tcl" /> @@ -19162,102 +19246,102 @@ + path="C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Hardware_Project/Avalon/sync_hw.tcl" /> @@ -19268,16 +19352,16 @@ - + @@ -19319,7 +19403,7 @@ @@ -19333,7 +19417,7 @@ queue size: 44 starting:altera_avalon_timer "submodules/MebX_Qsys_Project_timer_1ms" Starting RTL generation for module 'MebX_Qsys_Project_timer_1ms' - Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//perl/bin/perl.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=MebX_Qsys_Project_timer_1ms --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0033_timer_1ms_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0033_timer_1ms_gen//MebX_Qsys_Project_timer_1ms_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//perl/bin/perl.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=MebX_Qsys_Project_timer_1ms --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0033_timer_1ms_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0033_timer_1ms_gen//MebX_Qsys_Project_timer_1ms_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_timer_1ms' MebX_Qsys_Project" instantiated altera_avalon_timer "timer_1ms"]]> @@ -19364,7 +19448,7 @@ @@ -19378,7 +19462,7 @@ queue size: 43 starting:altera_avalon_timer "submodules/MebX_Qsys_Project_timer_1us" Starting RTL generation for module 'MebX_Qsys_Project_timer_1us' - Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//perl/bin/perl.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=MebX_Qsys_Project_timer_1us --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0034_timer_1us_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0034_timer_1us_gen//MebX_Qsys_Project_timer_1us_component_configuration.pl --do_build_sim=0 ] + Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//perl/bin/perl.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=MebX_Qsys_Project_timer_1us --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0034_timer_1us_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0034_timer_1us_gen//MebX_Qsys_Project_timer_1us_component_configuration.pl --do_build_sim=0 ] Done RTL generation for module 'MebX_Qsys_Project_timer_1us' MebX_Qsys_Project" instantiated altera_avalon_timer "timer_1us"]]> @@ -19395,7 +19479,7 @@ value="<info><slave name="tcs"><master name="ext_flash.tcm"><pin role="write_n" width="1" type="Output" output_name="tcm_write_n_out" output_enable_name="" input_name="" /><pin role="read_n" width="1" type="Output" output_name="tcm_read_n_out" output_enable_name="" input_name="" /><pin role="chipselect_n" width="1" type="Output" output_name="tcm_chipselect_n_out" output_enable_name="" input_name="" /><pin role="" width="1" type="Invalid" output_name="" output_enable_name="" input_name="" /><pin role="address" width="26" type="Output" output_name="tcm_address_out" output_enable_name="" input_name="" /><pin role="data" width="16" type="Bidirectional" output_name="tcm_data_out" output_enable_name="tcm_data_outen" input_name="tcm_data_in" /></master></slave></info>" /> @@ -20497,171 +20581,171 @@ };set_instance_parameter_value {ddr2_address_span_extender_expanded_master_agent} {SUPPRESS_0_BYTEEN_RSP} {1};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_agent} {ID} {14};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_agent} {BURSTWRAP_VALUE} {1};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_agent} {USE_WRITERESPONSE} {0};add_instance {m2_ddr2_memory_avl_agent} {altera_merlin_slave_agent};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_ORI_BURST_SIZE_H} {403};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_ORI_BURST_SIZE_L} {401};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_RESPONSE_STATUS_H} {400};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_RESPONSE_STATUS_L} {399};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_BURST_SIZE_H} {374};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_BURST_SIZE_L} {372};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_BEGIN_BURST} {379};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_PROTECTION_H} {394};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_PROTECTION_L} {392};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_BURSTWRAP_H} {371};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_BURSTWRAP_L} {371};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_BYTE_CNT_H} {370};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_BYTE_CNT_L} {358};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_ADDR_H} {351};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_ADDR_L} {288};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_TRANS_COMPRESSED_READ} {352};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_TRANS_POSTED} {353};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_TRANS_READ} {355};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_DATA_H} {255};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_DATA_L} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_BYTEEN_H} {287};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_BYTEEN_L} {256};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_SRC_ID_H} {385};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_SRC_ID_L} {381};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_DEST_ID_H} {390};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_DEST_ID_L} {386};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {ST_CHANNEL_W} {21};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {ST_DATA_W} {404};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {AVS_BURSTCOUNT_W} {13};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {MAX_BYTE_CNT} {4096};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {ID} {1};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent} {ECC_ENABLE} {0};add_instance {m2_ddr2_memory_avl_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rsp_fifo} {BITS_PER_SYMBOL} {405};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rsp_fifo} {FIFO_DEPTH} {33};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {m2_ddr2_memory_avl_agent_rdata_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rdata_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rdata_fifo} {BITS_PER_SYMBOL} {258};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rdata_fifo} {FIFO_DEPTH} {1024};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rdata_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rdata_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rdata_fifo} {USE_PACKETS} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rdata_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rdata_fifo} {EMPTY_LATENCY} {3};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rdata_fifo} {USE_MEMORY_BLOCKS} {1};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rdata_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rdata_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rdata_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rdata_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {m2_ddr2_memory_avl_agent_rdata_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {m1_clock_bridge_s0_agent} {altera_merlin_slave_agent};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_ORI_BURST_SIZE_H} {403};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_ORI_BURST_SIZE_L} {401};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_RESPONSE_STATUS_H} {400};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_RESPONSE_STATUS_L} {399};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_BURST_SIZE_H} {374};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_BURST_SIZE_L} {372};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_BEGIN_BURST} {379};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_PROTECTION_H} {394};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_PROTECTION_L} {392};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_BURSTWRAP_H} {371};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_BURSTWRAP_L} {371};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_BYTE_CNT_H} {370};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_BYTE_CNT_L} {358};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_ADDR_H} {351};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_ADDR_L} {288};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_TRANS_COMPRESSED_READ} {352};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_TRANS_POSTED} {353};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_TRANS_READ} {355};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_DATA_H} {255};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_DATA_L} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_BYTEEN_H} {287};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_BYTEEN_L} {256};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_SRC_ID_H} {385};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_SRC_ID_L} {381};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_DEST_ID_H} {390};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_DEST_ID_L} {386};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {m1_clock_bridge_s0_agent} {ST_CHANNEL_W} {21};set_instance_parameter_value {m1_clock_bridge_s0_agent} {ST_DATA_W} {404};set_instance_parameter_value {m1_clock_bridge_s0_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent} {AVS_BURSTCOUNT_W} {8};set_instance_parameter_value {m1_clock_bridge_s0_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {m1_clock_bridge_s0_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {m1_clock_bridge_s0_agent} {MAX_BYTE_CNT} {128};set_instance_parameter_value {m1_clock_bridge_s0_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {m1_clock_bridge_s0_agent} {ID} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent} {ECC_ENABLE} {0};add_instance {m1_clock_bridge_s0_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {m1_clock_bridge_s0_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {m1_clock_bridge_s0_agent_rsp_fifo} {BITS_PER_SYMBOL} {405};set_instance_parameter_value {m1_clock_bridge_s0_agent_rsp_fifo} {FIFO_DEPTH} {17};set_instance_parameter_value {m1_clock_bridge_s0_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {m1_clock_bridge_s0_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {m1_clock_bridge_s0_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {m1_clock_bridge_s0_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {m1_clock_bridge_s0_agent_rdata_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {m1_clock_bridge_s0_agent_rdata_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {m1_clock_bridge_s0_agent_rdata_fifo} {BITS_PER_SYMBOL} {258};set_instance_parameter_value {m1_clock_bridge_s0_agent_rdata_fifo} {FIFO_DEPTH} {128};set_instance_parameter_value {m1_clock_bridge_s0_agent_rdata_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent_rdata_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent_rdata_fifo} {USE_PACKETS} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent_rdata_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent_rdata_fifo} {EMPTY_LATENCY} {3};set_instance_parameter_value {m1_clock_bridge_s0_agent_rdata_fifo} {USE_MEMORY_BLOCKS} {1};set_instance_parameter_value {m1_clock_bridge_s0_agent_rdata_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent_rdata_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent_rdata_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {m1_clock_bridge_s0_agent_rdata_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {m1_clock_bridge_s0_agent_rdata_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {351};set_instance_parameter_value {router} {PKT_ADDR_L} {288};set_instance_parameter_value {router} {PKT_PROTECTION_H} {394};set_instance_parameter_value {router} {PKT_PROTECTION_L} {392};set_instance_parameter_value {router} {PKT_DEST_ID_H} {390};set_instance_parameter_value {router} {PKT_DEST_ID_L} {386};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {router} {PKT_TRANS_READ} {355};set_instance_parameter_value {router} {ST_DATA_W} {404};set_instance_parameter_value {router} {ST_CHANNEL_W} {21};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {0};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_001} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {351};set_instance_parameter_value {router_001} {PKT_ADDR_L} {288};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {394};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {392};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {390};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {386};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {355};set_instance_parameter_value {router_001} {ST_DATA_W} {404};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_002} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {351};set_instance_parameter_value {router_002} {PKT_ADDR_L} {288};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {394};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {392};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {390};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {386};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {355};set_instance_parameter_value {router_002} {ST_DATA_W} {404};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_002} {DECODER_TYPE} {0};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_003} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {351};set_instance_parameter_value {router_003} {PKT_ADDR_L} {288};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {394};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {392};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {390};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {386};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {355};set_instance_parameter_value {router_003} {ST_DATA_W} {404};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_003} {DECODER_TYPE} {0};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_004} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {351};set_instance_parameter_value {router_004} {PKT_ADDR_L} {288};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {394};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {392};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {390};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {386};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {355};set_instance_parameter_value {router_004} {ST_DATA_W} {404};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_004} {DECODER_TYPE} {0};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_005} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {351};set_instance_parameter_value {router_005} {PKT_ADDR_L} {288};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {394};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {392};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {390};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {386};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {355};set_instance_parameter_value {router_005} {ST_DATA_W} {404};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_005} {DECODER_TYPE} {0};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_006} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {351};set_instance_parameter_value {router_006} {PKT_ADDR_L} {288};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {394};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {392};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {390};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {386};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {355};set_instance_parameter_value {router_006} {ST_DATA_W} {404};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_006} {DECODER_TYPE} {0};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_007} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {351};set_instance_parameter_value {router_007} {PKT_ADDR_L} {288};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {394};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {392};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {390};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {386};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {355};set_instance_parameter_value {router_007} {ST_DATA_W} {404};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_007} {DECODER_TYPE} {0};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {router_008} {altera_merlin_router};set_instance_parameter_value {router_008} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_008} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_008} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_008} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_008} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_008} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_008} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_008} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_008} {SPAN_OFFSET} {};set_instance_parameter_value {router_008} {PKT_ADDR_H} {351};set_instance_parameter_value {router_008} {PKT_ADDR_L} {288};set_instance_parameter_value {router_008} {PKT_PROTECTION_H} {394};set_instance_parameter_value {router_008} {PKT_PROTECTION_L} {392};set_instance_parameter_value {router_008} {PKT_DEST_ID_H} {390};set_instance_parameter_value {router_008} {PKT_DEST_ID_L} {386};set_instance_parameter_value {router_008} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {router_008} {PKT_TRANS_READ} {355};set_instance_parameter_value {router_008} {ST_DATA_W} {404};set_instance_parameter_value {router_008} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_008} {DECODER_TYPE} {0};set_instance_parameter_value {router_008} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_008} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {router_008} {MEMORY_ALIASING_DECODE} {0};add_instance {router_009} {altera_merlin_router};set_instance_parameter_value {router_009} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_009} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_009} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_009} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_009} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_009} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_009} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_009} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_009} {SPAN_OFFSET} {};set_instance_parameter_value {router_009} {PKT_ADDR_H} {351};set_instance_parameter_value {router_009} {PKT_ADDR_L} {288};set_instance_parameter_value {router_009} {PKT_PROTECTION_H} {394};set_instance_parameter_value {router_009} {PKT_PROTECTION_L} {392};set_instance_parameter_value {router_009} {PKT_DEST_ID_H} {390};set_instance_parameter_value {router_009} {PKT_DEST_ID_L} {386};set_instance_parameter_value {router_009} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {router_009} {PKT_TRANS_READ} {355};set_instance_parameter_value {router_009} {ST_DATA_W} {404};set_instance_parameter_value {router_009} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_009} {DECODER_TYPE} {0};set_instance_parameter_value {router_009} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_009} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_009} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_009} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_009} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {router_009} {MEMORY_ALIASING_DECODE} {0};add_instance {router_010} {altera_merlin_router};set_instance_parameter_value {router_010} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_010} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_010} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_010} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_010} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_010} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_010} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_010} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_010} {SPAN_OFFSET} {};set_instance_parameter_value {router_010} {PKT_ADDR_H} {351};set_instance_parameter_value {router_010} {PKT_ADDR_L} {288};set_instance_parameter_value {router_010} {PKT_PROTECTION_H} {394};set_instance_parameter_value {router_010} {PKT_PROTECTION_L} {392};set_instance_parameter_value {router_010} {PKT_DEST_ID_H} {390};set_instance_parameter_value {router_010} {PKT_DEST_ID_L} {386};set_instance_parameter_value {router_010} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {router_010} {PKT_TRANS_READ} {355};set_instance_parameter_value {router_010} {ST_DATA_W} {404};set_instance_parameter_value {router_010} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_010} {DECODER_TYPE} {0};set_instance_parameter_value {router_010} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_010} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_010} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_010} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_010} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {router_010} {MEMORY_ALIASING_DECODE} {0};add_instance {router_011} {altera_merlin_router};set_instance_parameter_value {router_011} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_011} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_011} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_011} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_011} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_011} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_011} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_011} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_011} {SPAN_OFFSET} {};set_instance_parameter_value {router_011} {PKT_ADDR_H} {351};set_instance_parameter_value {router_011} {PKT_ADDR_L} {288};set_instance_parameter_value {router_011} {PKT_PROTECTION_H} {394};set_instance_parameter_value {router_011} {PKT_PROTECTION_L} {392};set_instance_parameter_value {router_011} {PKT_DEST_ID_H} {390};set_instance_parameter_value {router_011} {PKT_DEST_ID_L} {386};set_instance_parameter_value {router_011} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {router_011} {PKT_TRANS_READ} {355};set_instance_parameter_value {router_011} {ST_DATA_W} {404};set_instance_parameter_value {router_011} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_011} {DECODER_TYPE} {0};set_instance_parameter_value {router_011} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_011} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_011} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_011} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_011} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {router_011} {MEMORY_ALIASING_DECODE} {0};add_instance {router_012} {altera_merlin_router};set_instance_parameter_value {router_012} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_012} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_012} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_012} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_012} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_012} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_012} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_012} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_012} {SPAN_OFFSET} {};set_instance_parameter_value {router_012} {PKT_ADDR_H} {351};set_instance_parameter_value {router_012} {PKT_ADDR_L} {288};set_instance_parameter_value {router_012} {PKT_PROTECTION_H} {394};set_instance_parameter_value {router_012} {PKT_PROTECTION_L} {392};set_instance_parameter_value {router_012} {PKT_DEST_ID_H} {390};set_instance_parameter_value {router_012} {PKT_DEST_ID_L} {386};set_instance_parameter_value {router_012} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {router_012} {PKT_TRANS_READ} {355};set_instance_parameter_value {router_012} {ST_DATA_W} {404};set_instance_parameter_value {router_012} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_012} {DECODER_TYPE} {0};set_instance_parameter_value {router_012} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_012} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_012} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_012} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_012} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {router_012} {MEMORY_ALIASING_DECODE} {0};add_instance {router_013} {altera_merlin_router};set_instance_parameter_value {router_013} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_013} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_013} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_013} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_013} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_013} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_013} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_013} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_013} {SPAN_OFFSET} {};set_instance_parameter_value {router_013} {PKT_ADDR_H} {351};set_instance_parameter_value {router_013} {PKT_ADDR_L} {288};set_instance_parameter_value {router_013} {PKT_PROTECTION_H} {394};set_instance_parameter_value {router_013} {PKT_PROTECTION_L} {392};set_instance_parameter_value {router_013} {PKT_DEST_ID_H} {390};set_instance_parameter_value {router_013} {PKT_DEST_ID_L} {386};set_instance_parameter_value {router_013} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {router_013} {PKT_TRANS_READ} {355};set_instance_parameter_value {router_013} {ST_DATA_W} {404};set_instance_parameter_value {router_013} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_013} {DECODER_TYPE} {0};set_instance_parameter_value {router_013} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_013} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_013} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_013} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_013} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {router_013} {MEMORY_ALIASING_DECODE} {0};add_instance {router_014} {altera_merlin_router};set_instance_parameter_value {router_014} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_014} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_014} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_014} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_014} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_014} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_014} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_014} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_014} {SPAN_OFFSET} {};set_instance_parameter_value {router_014} {PKT_ADDR_H} {72};set_instance_parameter_value {router_014} {PKT_ADDR_L} {9};set_instance_parameter_value {router_014} {PKT_PROTECTION_H} {115};set_instance_parameter_value {router_014} {PKT_PROTECTION_L} {113};set_instance_parameter_value {router_014} {PKT_DEST_ID_H} {111};set_instance_parameter_value {router_014} {PKT_DEST_ID_L} {107};set_instance_parameter_value {router_014} {PKT_TRANS_WRITE} {75};set_instance_parameter_value {router_014} {PKT_TRANS_READ} {76};set_instance_parameter_value {router_014} {ST_DATA_W} {125};set_instance_parameter_value {router_014} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_014} {DECODER_TYPE} {0};set_instance_parameter_value {router_014} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_014} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_014} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_014} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_014} {MERLIN_PACKET_FORMAT} {ori_burst_size(124:122) response_status(121:120) cache(119:116) protection(115:113) thread_id(112) dest_id(111:107) src_id(106:102) qos(101) begin_burst(100) data_sideband(99) addr_sideband(98) burst_type(97:96) burst_size(95:93) burstwrap(92) byte_cnt(91:79) trans_exclusive(78) trans_lock(77) trans_read(76) trans_write(75) trans_posted(74) trans_compressed_read(73) addr(72:9) byteen(8) data(7:0)};set_instance_parameter_value {router_014} {MEMORY_ALIASING_DECODE} {0};add_instance {router_015} {altera_merlin_router};set_instance_parameter_value {router_015} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_015} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_015} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_015} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_015} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_015} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_015} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_015} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_015} {SPAN_OFFSET} {};set_instance_parameter_value {router_015} {PKT_ADDR_H} {72};set_instance_parameter_value {router_015} {PKT_ADDR_L} {9};set_instance_parameter_value {router_015} {PKT_PROTECTION_H} {115};set_instance_parameter_value {router_015} {PKT_PROTECTION_L} {113};set_instance_parameter_value {router_015} {PKT_DEST_ID_H} {111};set_instance_parameter_value {router_015} {PKT_DEST_ID_L} {107};set_instance_parameter_value {router_015} {PKT_TRANS_WRITE} {75};set_instance_parameter_value {router_015} {PKT_TRANS_READ} {76};set_instance_parameter_value {router_015} {ST_DATA_W} {125};set_instance_parameter_value {router_015} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_015} {DECODER_TYPE} {0};set_instance_parameter_value {router_015} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_015} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_015} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_015} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_015} {MERLIN_PACKET_FORMAT} {ori_burst_size(124:122) response_status(121:120) cache(119:116) protection(115:113) thread_id(112) dest_id(111:107) src_id(106:102) qos(101) begin_burst(100) data_sideband(99) addr_sideband(98) burst_type(97:96) burst_size(95:93) burstwrap(92) byte_cnt(91:79) trans_exclusive(78) trans_lock(77) trans_read(76) trans_write(75) trans_posted(74) trans_compressed_read(73) addr(72:9) byteen(8) data(7:0)};set_instance_parameter_value {router_015} {MEMORY_ALIASING_DECODE} {0};add_instance {router_016} {altera_merlin_router};set_instance_parameter_value {router_016} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_016} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_016} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_016} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_016} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_016} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_016} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_016} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_016} {SPAN_OFFSET} {};set_instance_parameter_value {router_016} {PKT_ADDR_H} {72};set_instance_parameter_value {router_016} {PKT_ADDR_L} {9};set_instance_parameter_value {router_016} {PKT_PROTECTION_H} {115};set_instance_parameter_value {router_016} {PKT_PROTECTION_L} {113};set_instance_parameter_value {router_016} {PKT_DEST_ID_H} {111};set_instance_parameter_value {router_016} {PKT_DEST_ID_L} {107};set_instance_parameter_value {router_016} {PKT_TRANS_WRITE} {75};set_instance_parameter_value {router_016} {PKT_TRANS_READ} {76};set_instance_parameter_value {router_016} {ST_DATA_W} {125};set_instance_parameter_value {router_016} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_016} {DECODER_TYPE} {0};set_instance_parameter_value {router_016} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_016} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_016} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_016} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_016} {MERLIN_PACKET_FORMAT} {ori_burst_size(124:122) response_status(121:120) cache(119:116) protection(115:113) thread_id(112) dest_id(111:107) src_id(106:102) qos(101) begin_burst(100) data_sideband(99) addr_sideband(98) burst_type(97:96) burst_size(95:93) burstwrap(92) byte_cnt(91:79) trans_exclusive(78) trans_lock(77) trans_read(76) trans_write(75) trans_posted(74) trans_compressed_read(73) addr(72:9) byteen(8) data(7:0)};set_instance_parameter_value {router_016} {MEMORY_ALIASING_DECODE} {0};add_instance {router_017} {altera_merlin_router};set_instance_parameter_value {router_017} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_017} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_017} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_017} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_017} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_017} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_017} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_017} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_017} {SPAN_OFFSET} {};set_instance_parameter_value {router_017} {PKT_ADDR_H} {72};set_instance_parameter_value {router_017} {PKT_ADDR_L} {9};set_instance_parameter_value {router_017} {PKT_PROTECTION_H} {115};set_instance_parameter_value {router_017} {PKT_PROTECTION_L} {113};set_instance_parameter_value {router_017} {PKT_DEST_ID_H} {111};set_instance_parameter_value {router_017} {PKT_DEST_ID_L} {107};set_instance_parameter_value {router_017} {PKT_TRANS_WRITE} {75};set_instance_parameter_value {router_017} {PKT_TRANS_READ} {76};set_instance_parameter_value {router_017} {ST_DATA_W} {125};set_instance_parameter_value {router_017} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_017} {DECODER_TYPE} {0};set_instance_parameter_value {router_017} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_017} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_017} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_017} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_017} {MERLIN_PACKET_FORMAT} {ori_burst_size(124:122) response_status(121:120) cache(119:116) protection(115:113) thread_id(112) dest_id(111:107) src_id(106:102) qos(101) begin_burst(100) data_sideband(99) addr_sideband(98) burst_type(97:96) burst_size(95:93) burstwrap(92) byte_cnt(91:79) trans_exclusive(78) trans_lock(77) trans_read(76) trans_write(75) trans_posted(74) trans_compressed_read(73) addr(72:9) byteen(8) data(7:0)};set_instance_parameter_value {router_017} {MEMORY_ALIASING_DECODE} {0};add_instance {router_018} {altera_merlin_router};set_instance_parameter_value {router_018} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_018} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_018} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_018} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_018} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_018} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_018} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_018} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_018} {SPAN_OFFSET} {};set_instance_parameter_value {router_018} {PKT_ADDR_H} {72};set_instance_parameter_value {router_018} {PKT_ADDR_L} {9};set_instance_parameter_value {router_018} {PKT_PROTECTION_H} {115};set_instance_parameter_value {router_018} {PKT_PROTECTION_L} {113};set_instance_parameter_value {router_018} {PKT_DEST_ID_H} {111};set_instance_parameter_value {router_018} {PKT_DEST_ID_L} {107};set_instance_parameter_value {router_018} {PKT_TRANS_WRITE} {75};set_instance_parameter_value {router_018} {PKT_TRANS_READ} {76};set_instance_parameter_value {router_018} {ST_DATA_W} {125};set_instance_parameter_value {router_018} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_018} {DECODER_TYPE} {0};set_instance_parameter_value {router_018} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_018} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_018} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_018} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_018} {MERLIN_PACKET_FORMAT} {ori_burst_size(124:122) response_status(121:120) cache(119:116) protection(115:113) thread_id(112) dest_id(111:107) src_id(106:102) qos(101) begin_burst(100) data_sideband(99) addr_sideband(98) burst_type(97:96) burst_size(95:93) burstwrap(92) byte_cnt(91:79) trans_exclusive(78) trans_lock(77) trans_read(76) trans_write(75) trans_posted(74) trans_compressed_read(73) addr(72:9) byteen(8) data(7:0)};set_instance_parameter_value {router_018} {MEMORY_ALIASING_DECODE} {0};add_instance {router_019} {altera_merlin_router};set_instance_parameter_value {router_019} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_019} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_019} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_019} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_019} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_019} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_019} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_019} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_019} {SPAN_OFFSET} {};set_instance_parameter_value {router_019} {PKT_ADDR_H} {72};set_instance_parameter_value {router_019} {PKT_ADDR_L} {9};set_instance_parameter_value {router_019} {PKT_PROTECTION_H} {115};set_instance_parameter_value {router_019} {PKT_PROTECTION_L} {113};set_instance_parameter_value {router_019} {PKT_DEST_ID_H} {111};set_instance_parameter_value {router_019} {PKT_DEST_ID_L} {107};set_instance_parameter_value {router_019} {PKT_TRANS_WRITE} {75};set_instance_parameter_value {router_019} {PKT_TRANS_READ} {76};set_instance_parameter_value {router_019} {ST_DATA_W} {125};set_instance_parameter_value {router_019} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_019} {DECODER_TYPE} {0};set_instance_parameter_value {router_019} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_019} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_019} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_019} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_019} {MERLIN_PACKET_FORMAT} {ori_burst_size(124:122) response_status(121:120) cache(119:116) protection(115:113) thread_id(112) dest_id(111:107) src_id(106:102) qos(101) begin_burst(100) data_sideband(99) addr_sideband(98) burst_type(97:96) burst_size(95:93) burstwrap(92) byte_cnt(91:79) trans_exclusive(78) trans_lock(77) trans_read(76) trans_write(75) trans_posted(74) trans_compressed_read(73) addr(72:9) byteen(8) data(7:0)};set_instance_parameter_value {router_019} {MEMORY_ALIASING_DECODE} {0};add_instance {router_020} {altera_merlin_router};set_instance_parameter_value {router_020} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_020} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router_020} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router_020} {START_ADDRESS} {0x0 0x80000000 };set_instance_parameter_value {router_020} {END_ADDRESS} {0x80000000 0x100000000 };set_instance_parameter_value {router_020} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_020} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_020} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_020} {SPAN_OFFSET} {};set_instance_parameter_value {router_020} {PKT_ADDR_H} {99};set_instance_parameter_value {router_020} {PKT_ADDR_L} {36};set_instance_parameter_value {router_020} {PKT_PROTECTION_H} {142};set_instance_parameter_value {router_020} {PKT_PROTECTION_L} {140};set_instance_parameter_value {router_020} {PKT_DEST_ID_H} {138};set_instance_parameter_value {router_020} {PKT_DEST_ID_L} {134};set_instance_parameter_value {router_020} {PKT_TRANS_WRITE} {102};set_instance_parameter_value {router_020} {PKT_TRANS_READ} {103};set_instance_parameter_value {router_020} {ST_DATA_W} {152};set_instance_parameter_value {router_020} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_020} {DECODER_TYPE} {0};set_instance_parameter_value {router_020} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_020} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_020} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_020} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_020} {MERLIN_PACKET_FORMAT} {ori_burst_size(151:149) response_status(148:147) cache(146:143) protection(142:140) thread_id(139) dest_id(138:134) src_id(133:129) qos(128) begin_burst(127) data_sideband(126) addr_sideband(125) burst_type(124:123) burst_size(122:120) burstwrap(119) byte_cnt(118:106) trans_exclusive(105) trans_lock(104) trans_read(103) trans_write(102) trans_posted(101) trans_compressed_read(100) addr(99:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_020} {MEMORY_ALIASING_DECODE} {0};add_instance {router_021} {altera_merlin_router};set_instance_parameter_value {router_021} {DESTINATION_ID} {12 13 5 7 9 11 15 16 17 18 19 20 0 14 2 4 6 8 10 1 3 };set_instance_parameter_value {router_021} {CHANNEL_ID} {000000000000000000001 000000000000000000010 000000000010000000000 000000000100000000000 000000001000000000000 000000010000000000000 000000100000000000000 000001000000000000000 000010000000000000000 000100000000000000000 001000000000000000000 010000000000000000000 000000000000000000100 100000000000000000000 000000000000000001000 000000000000000010000 000000000000000100000 000000000000001000000 000000000000010000000 000000000000100000000 000000000001000000000 };set_instance_parameter_value {router_021} {TYPE_OF_TRANSACTION} {both write read read read read both both both both both both read both read read read read read read read };set_instance_parameter_value {router_021} {START_ADDRESS} {0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 };set_instance_parameter_value {router_021} {END_ADDRESS} {0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 };set_instance_parameter_value {router_021} {NON_SECURED_TAG} {1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 };set_instance_parameter_value {router_021} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 };set_instance_parameter_value {router_021} {SECURED_RANGE_LIST} {0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 };set_instance_parameter_value {router_021} {SPAN_OFFSET} {};set_instance_parameter_value {router_021} {PKT_ADDR_H} {351};set_instance_parameter_value {router_021} {PKT_ADDR_L} {288};set_instance_parameter_value {router_021} {PKT_PROTECTION_H} {394};set_instance_parameter_value {router_021} {PKT_PROTECTION_L} {392};set_instance_parameter_value {router_021} {PKT_DEST_ID_H} {390};set_instance_parameter_value {router_021} {PKT_DEST_ID_L} {386};set_instance_parameter_value {router_021} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {router_021} {PKT_TRANS_READ} {355};set_instance_parameter_value {router_021} {ST_DATA_W} {404};set_instance_parameter_value {router_021} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_021} {DECODER_TYPE} {1};set_instance_parameter_value {router_021} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_021} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_021} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_021} {DEFAULT_DESTID} {12};set_instance_parameter_value {router_021} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {router_021} {MEMORY_ALIASING_DECODE} {0};add_instance {router_022} {altera_merlin_router};set_instance_parameter_value {router_022} {DESTINATION_ID} {12 13 5 7 9 11 15 16 17 18 19 20 0 14 2 4 6 8 10 1 3 };set_instance_parameter_value {router_022} {CHANNEL_ID} {000000000000000000001 000000000000000000010 000000000010000000000 000000000100000000000 000000001000000000000 000000010000000000000 000000100000000000000 000001000000000000000 000010000000000000000 000100000000000000000 001000000000000000000 010000000000000000000 000000000000000000100 100000000000000000000 000000000000000001000 000000000000000010000 000000000000000100000 000000000000001000000 000000000000010000000 000000000000100000000 000000000001000000000 };set_instance_parameter_value {router_022} {TYPE_OF_TRANSACTION} {both write read read read read both both both both both both read both read read read read read read read };set_instance_parameter_value {router_022} {START_ADDRESS} {0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 };set_instance_parameter_value {router_022} {END_ADDRESS} {0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 0x0 };set_instance_parameter_value {router_022} {NON_SECURED_TAG} {1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 };set_instance_parameter_value {router_022} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 };set_instance_parameter_value {router_022} {SECURED_RANGE_LIST} {0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 };set_instance_parameter_value {router_022} {SPAN_OFFSET} {};set_instance_parameter_value {router_022} {PKT_ADDR_H} {351};set_instance_parameter_value {router_022} {PKT_ADDR_L} {288};set_instance_parameter_value {router_022} {PKT_PROTECTION_H} {394};set_instance_parameter_value {router_022} {PKT_PROTECTION_L} {392};set_instance_parameter_value {router_022} {PKT_DEST_ID_H} {390};set_instance_parameter_value {router_022} {PKT_DEST_ID_L} {386};set_instance_parameter_value {router_022} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {router_022} {PKT_TRANS_READ} {355};set_instance_parameter_value {router_022} {ST_DATA_W} {404};set_instance_parameter_value {router_022} {ST_CHANNEL_W} {21};set_instance_parameter_value {router_022} {DECODER_TYPE} {1};set_instance_parameter_value {router_022} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_022} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_022} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_022} {DEFAULT_DESTID} {12};set_instance_parameter_value {router_022} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {router_022} {MEMORY_ALIASING_DECODE} {0};add_instance {ddr2_address_span_extender_expanded_master_limiter} {altera_merlin_traffic_limiter};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {PKT_DEST_ID_H} {138};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {PKT_DEST_ID_L} {134};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {PKT_SRC_ID_H} {133};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {PKT_SRC_ID_L} {129};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {PKT_BYTE_CNT_H} {118};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {PKT_BYTE_CNT_L} {106};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {PKT_TRANS_POSTED} {101};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {PKT_TRANS_WRITE} {102};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {PKT_THREAD_ID_H} {139};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {PKT_THREAD_ID_L} {139};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {MAX_BURST_LENGTH} {128};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {MAX_OUTSTANDING_RESPONSES} {36};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {PIPELINED} {0};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {ST_DATA_W} {152};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {ST_CHANNEL_W} {21};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {VALID_WIDTH} {1};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {ENFORCE_ORDER} {1};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {PREVENT_HAZARDS} {0};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {SUPPORTS_POSTED_WRITES} {1};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {SUPPORTS_NONPOSTED_WRITES} {0};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {MERLIN_PACKET_FORMAT} {ori_burst_size(151:149) response_status(148:147) cache(146:143) protection(142:140) thread_id(139) dest_id(138:134) src_id(133:129) qos(128) begin_burst(127) data_sideband(126) addr_sideband(125) burst_type(124:123) burst_size(122:120) burstwrap(119) byte_cnt(118:106) trans_exclusive(105) trans_lock(104) trans_read(103) trans_write(102) trans_posted(101) trans_compressed_read(100) addr(99:36) byteen(35:32) data(31:0)};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_limiter} {REORDER} {0};add_instance {m1_clock_bridge_s0_burst_adapter} {altera_merlin_burst_adapter};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {PKT_ADDR_H} {351};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {PKT_ADDR_L} {288};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {PKT_BEGIN_BURST} {379};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {PKT_BYTE_CNT_H} {370};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {PKT_BYTE_CNT_L} {358};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {PKT_BYTEEN_H} {287};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {PKT_BYTEEN_L} {256};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {PKT_BURST_SIZE_H} {374};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {PKT_BURST_SIZE_L} {372};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {PKT_BURST_TYPE_H} {376};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {PKT_BURST_TYPE_L} {375};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {PKT_BURSTWRAP_H} {371};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {PKT_BURSTWRAP_L} {371};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {PKT_TRANS_COMPRESSED_READ} {352};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {PKT_TRANS_WRITE} {354};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {PKT_TRANS_READ} {355};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {OUT_NARROW_SIZE} {0};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {IN_NARROW_SIZE} {0};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {OUT_FIXED} {0};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {OUT_COMPLETE_WRAP} {0};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {ST_DATA_W} {404};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {ST_CHANNEL_W} {21};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {OUT_BYTE_CNT_H} {365};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {OUT_BURSTWRAP_H} {371};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {COMPRESSED_READ_SUPPORT} {1};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {BYTEENABLE_SYNTHESIS} {1};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {PIPE_INPUTS} {0};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {NO_WRAP_SUPPORT} {0};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {INCOMPLETE_WRAP_SUPPORT} {0};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {BURSTWRAP_CONST_MASK} {1};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {BURSTWRAP_CONST_VALUE} {1};set_instance_parameter_value {m1_clock_bridge_s0_burst_adapter} {ADAPTER_VERSION} {13.1};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_002} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_002} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_002} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_003} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_003} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_003} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_004} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_004} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_004} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_005} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_005} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_005} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_006} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_006} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_006} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_006} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_006} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_007} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_007} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_007} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_007} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_007} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_008} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_008} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_008} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_008} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_008} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_009} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_009} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_009} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_009} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_009} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_009} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_010} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_010} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_010} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_010} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_010} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_010} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_011} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_011} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_011} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_011} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_011} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_011} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_012} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_012} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_012} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_012} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_012} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_012} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_013} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_013} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_013} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_013} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_013} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_013} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_014} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_014} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_014} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_014} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_014} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_014} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_015} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_015} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_015} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_015} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_015} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_015} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_016} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_016} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_016} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_016} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_016} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_016} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_017} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_017} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_017} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_017} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_017} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_017} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_018} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_018} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_018} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_018} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_018} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_018} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_019} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_019} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_019} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_019} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_019} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_019} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_demux_020} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_020} {ST_DATA_W} {404};set_instance_parameter_value {cmd_demux_020} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_demux_020} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux_020} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux_020} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {404};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {21};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {404};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {21};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {21};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {404};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {21};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {404};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {21};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_002} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_002} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_002} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_002} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_002} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_002} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_002} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_003} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_003} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_003} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_003} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_003} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_003} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_003} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_004} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_004} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_004} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_004} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_004} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_004} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_004} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_005} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_005} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_005} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_005} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_005} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_005} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_005} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_006} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_006} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_006} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_006} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_006} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_006} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_006} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_006} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_006} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_007} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_007} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_007} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_007} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_007} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_007} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_007} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_007} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_007} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_008} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_008} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_008} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_008} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_008} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_008} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_008} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_008} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_008} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_009} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_009} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_009} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_009} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_009} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_009} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_009} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_009} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_009} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_009} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_010} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_010} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_010} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_010} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_010} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_010} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_010} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_010} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_010} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_010} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_011} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_011} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_011} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_011} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_011} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_011} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_011} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_011} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_011} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_011} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_012} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_012} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_012} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_012} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_012} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_012} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_012} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_012} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_012} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_012} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_013} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_013} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_013} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_013} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_013} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_013} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_013} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_013} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_013} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_013} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_014} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_014} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_014} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_014} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_014} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_014} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_014} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_014} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_014} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_014} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_015} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_015} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_015} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_015} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_015} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_015} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_015} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_015} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_015} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_015} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_016} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_016} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_016} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_016} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_016} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_016} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_016} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_016} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_016} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_016} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_017} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_017} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_017} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_017} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_017} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_017} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_017} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_017} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_017} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_017} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_018} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_018} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_018} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_018} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_018} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_018} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_018} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_018} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_018} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_018} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_019} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_019} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_019} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_019} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_019} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_019} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_019} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_019} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_019} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_019} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rsp_mux_020} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_020} {ST_DATA_W} {404};set_instance_parameter_value {rsp_mux_020} {ST_CHANNEL_W} {21};set_instance_parameter_value {rsp_mux_020} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux_020} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_020} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_020} {PKT_TRANS_LOCK} {356};set_instance_parameter_value {rsp_mux_020} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_020} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux_020} {MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};add_instance {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ADDR_H} {351};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ADDR_L} {288};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_DATA_H} {255};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTEEN_H} {287};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTEEN_L} {256};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTE_CNT_H} {370};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTE_CNT_L} {358};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {352};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_TRANS_WRITE} {354};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURSTWRAP_H} {371};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURSTWRAP_L} {371};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_SIZE_H} {374};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_SIZE_L} {372};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {400};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {399};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {357};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_TYPE_H} {376};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_TYPE_L} {375};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {401};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {403};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_ST_DATA_W} {404};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ADDR_H} {72};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ADDR_L} {9};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_DATA_H} {7};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTEEN_H} {8};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTEEN_L} {8};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTE_CNT_H} {91};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTE_CNT_L} {79};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {73};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_SIZE_H} {95};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_SIZE_L} {93};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {121};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {120};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {78};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_TYPE_H} {97};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_TYPE_L} {96};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {122};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {124};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_ST_DATA_W} {125};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {ST_CHANNEL_W} {21};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OPTIMIZE_FOR_RSP} {1};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {RESPONSE_PATH} {1};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {PACKING} {1};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(124:122) response_status(121:120) cache(119:116) protection(115:113) thread_id(112) dest_id(111:107) src_id(106:102) qos(101) begin_burst(100) data_sideband(99) addr_sideband(98) burst_type(97:96) burst_size(95:93) burstwrap(92) byte_cnt(91:79) trans_exclusive(78) trans_lock(77) trans_read(76) trans_write(75) trans_posted(74) trans_compressed_read(73) addr(72:9) byteen(8) data(7:0)};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ADDR_H} {351};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ADDR_L} {288};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_DATA_H} {255};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTEEN_H} {287};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTEEN_L} {256};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTE_CNT_H} {370};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTE_CNT_L} {358};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {352};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_TRANS_WRITE} {354};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURSTWRAP_H} {371};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURSTWRAP_L} {371};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_SIZE_H} {374};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_SIZE_L} {372};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {400};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {399};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {357};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_TYPE_H} {376};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_TYPE_L} {375};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {401};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {403};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_ST_DATA_W} {404};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ADDR_H} {72};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ADDR_L} {9};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_DATA_H} {7};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTEEN_H} {8};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTEEN_L} {8};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTE_CNT_H} {91};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTE_CNT_L} {79};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {73};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_SIZE_H} {95};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_SIZE_L} {93};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {121};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {120};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {78};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_TYPE_H} {97};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_TYPE_L} {96};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {122};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {124};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_ST_DATA_W} {125};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {ST_CHANNEL_W} {21};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OPTIMIZE_FOR_RSP} {1};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {RESPONSE_PATH} {1};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {PACKING} {1};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(124:122) response_status(121:120) cache(119:116) protection(115:113) thread_id(112) dest_id(111:107) src_id(106:102) qos(101) begin_burst(100) data_sideband(99) addr_sideband(98) burst_type(97:96) burst_size(95:93) burstwrap(92) byte_cnt(91:79) trans_exclusive(78) trans_lock(77) trans_read(76) trans_write(75) trans_posted(74) trans_compressed_read(73) addr(72:9) byteen(8) data(7:0)};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ADDR_H} {351};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ADDR_L} {288};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_DATA_H} {255};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTEEN_H} {287};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTEEN_L} {256};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTE_CNT_H} {370};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTE_CNT_L} {358};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {352};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_TRANS_WRITE} {354};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURSTWRAP_H} {371};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURSTWRAP_L} {371};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_SIZE_H} {374};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_SIZE_L} {372};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {400};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {399};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {357};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_TYPE_H} {376};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_TYPE_L} {375};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {401};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {403};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_ST_DATA_W} {404};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ADDR_H} {72};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ADDR_L} {9};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_DATA_H} {7};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTEEN_H} {8};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTEEN_L} {8};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTE_CNT_H} {91};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTE_CNT_L} {79};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {73};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_SIZE_H} {95};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_SIZE_L} {93};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {121};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {120};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {78};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_TYPE_H} {97};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_TYPE_L} {96};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {122};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {124};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_ST_DATA_W} {125};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {ST_CHANNEL_W} {21};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OPTIMIZE_FOR_RSP} {1};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {RESPONSE_PATH} {1};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {PACKING} {1};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(124:122) response_status(121:120) cache(119:116) protection(115:113) thread_id(112) dest_id(111:107) src_id(106:102) qos(101) begin_burst(100) data_sideband(99) addr_sideband(98) burst_type(97:96) burst_size(95:93) burstwrap(92) byte_cnt(91:79) trans_exclusive(78) trans_lock(77) trans_read(76) trans_write(75) trans_posted(74) trans_compressed_read(73) addr(72:9) byteen(8) data(7:0)};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ADDR_H} {351};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ADDR_L} {288};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_DATA_H} {255};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTEEN_H} {287};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTEEN_L} {256};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTE_CNT_H} {370};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTE_CNT_L} {358};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {352};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_TRANS_WRITE} {354};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURSTWRAP_H} {371};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURSTWRAP_L} {371};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_SIZE_H} {374};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_SIZE_L} {372};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {400};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {399};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {357};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_TYPE_H} {376};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_TYPE_L} {375};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {401};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {403};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_ST_DATA_W} {404};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ADDR_H} {72};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ADDR_L} {9};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_DATA_H} {7};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTEEN_H} {8};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTEEN_L} {8};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTE_CNT_H} {91};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTE_CNT_L} {79};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {73};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_SIZE_H} {95};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_SIZE_L} {93};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {121};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {120};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {78};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_TYPE_H} {97};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_TYPE_L} {96};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {122};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {124};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_ST_DATA_W} {125};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {ST_CHANNEL_W} {21};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OPTIMIZE_FOR_RSP} {1};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {RESPONSE_PATH} {1};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {PACKING} {1};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(124:122) response_status(121:120) cache(119:116) protection(115:113) thread_id(112) dest_id(111:107) src_id(106:102) qos(101) begin_burst(100) data_sideband(99) addr_sideband(98) burst_type(97:96) burst_size(95:93) burstwrap(92) byte_cnt(91:79) trans_exclusive(78) trans_lock(77) trans_read(76) trans_write(75) trans_posted(74) trans_compressed_read(73) addr(72:9) byteen(8) data(7:0)};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ADDR_H} {351};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ADDR_L} {288};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_DATA_H} {255};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTEEN_H} {287};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTEEN_L} {256};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTE_CNT_H} {370};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTE_CNT_L} {358};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {352};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_TRANS_WRITE} {354};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURSTWRAP_H} {371};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURSTWRAP_L} {371};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_SIZE_H} {374};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_SIZE_L} {372};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {400};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {399};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {357};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_TYPE_H} {376};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_TYPE_L} {375};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {401};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {403};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_ST_DATA_W} {404};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ADDR_H} {72};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ADDR_L} {9};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_DATA_H} {7};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTEEN_H} {8};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTEEN_L} {8};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTE_CNT_H} {91};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTE_CNT_L} {79};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {73};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_SIZE_H} {95};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_SIZE_L} {93};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {121};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {120};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {78};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_TYPE_H} {97};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_TYPE_L} {96};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {122};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {124};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_ST_DATA_W} {125};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {ST_CHANNEL_W} {21};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OPTIMIZE_FOR_RSP} {1};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {RESPONSE_PATH} {1};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {PACKING} {1};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(124:122) response_status(121:120) cache(119:116) protection(115:113) thread_id(112) dest_id(111:107) src_id(106:102) qos(101) begin_burst(100) data_sideband(99) addr_sideband(98) burst_type(97:96) burst_size(95:93) burstwrap(92) byte_cnt(91:79) trans_exclusive(78) trans_lock(77) trans_read(76) trans_write(75) trans_posted(74) trans_compressed_read(73) addr(72:9) byteen(8) data(7:0)};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ADDR_H} {351};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ADDR_L} {288};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_DATA_H} {255};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTEEN_H} {287};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTEEN_L} {256};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTE_CNT_H} {370};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BYTE_CNT_L} {358};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {352};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_TRANS_WRITE} {354};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURSTWRAP_H} {371};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURSTWRAP_L} {371};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_SIZE_H} {374};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_SIZE_L} {372};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {400};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {399};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {357};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_TYPE_H} {376};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_BURST_TYPE_L} {375};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {401};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {403};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_ST_DATA_W} {404};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ADDR_H} {72};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ADDR_L} {9};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_DATA_H} {7};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTEEN_H} {8};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTEEN_L} {8};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTE_CNT_H} {91};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BYTE_CNT_L} {79};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {73};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_SIZE_H} {95};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_SIZE_L} {93};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {121};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {120};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {78};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_TYPE_H} {97};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_BURST_TYPE_L} {96};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {122};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {124};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_ST_DATA_W} {125};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {ST_CHANNEL_W} {21};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OPTIMIZE_FOR_RSP} {1};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {RESPONSE_PATH} {1};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {PACKING} {1};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(124:122) response_status(121:120) cache(119:116) protection(115:113) thread_id(112) dest_id(111:107) src_id(106:102) qos(101) begin_burst(100) data_sideband(99) addr_sideband(98) burst_type(97:96) burst_size(95:93) burstwrap(92) byte_cnt(91:79) trans_exclusive(78) trans_lock(77) trans_read(76) trans_write(75) trans_posted(74) trans_compressed_read(73) addr(72:9) byteen(8) data(7:0)};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ADDR_H} {72};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ADDR_L} {9};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_DATA_H} {7};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTEEN_H} {8};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTEEN_L} {8};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTE_CNT_H} {91};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTE_CNT_L} {79};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {73};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_TRANS_WRITE} {75};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURSTWRAP_H} {92};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURSTWRAP_L} {92};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_SIZE_H} {95};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_SIZE_L} {93};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {121};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {120};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {78};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_TYPE_H} {97};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_TYPE_L} {96};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {122};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {124};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_ST_DATA_W} {125};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ADDR_H} {351};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ADDR_L} {288};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_DATA_H} {255};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTEEN_H} {287};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTEEN_L} {256};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTE_CNT_H} {370};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTE_CNT_L} {358};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {352};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_SIZE_H} {374};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_SIZE_L} {372};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {400};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {399};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {357};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_TYPE_H} {376};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_TYPE_L} {375};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {401};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {403};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_ST_DATA_W} {404};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {ST_CHANNEL_W} {21};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OPTIMIZE_FOR_RSP} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {RESPONSE_PATH} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {PACKING} {1};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(124:122) response_status(121:120) cache(119:116) protection(115:113) thread_id(112) dest_id(111:107) src_id(106:102) qos(101) begin_burst(100) data_sideband(99) addr_sideband(98) burst_type(97:96) burst_size(95:93) burstwrap(92) byte_cnt(91:79) trans_exclusive(78) trans_lock(77) trans_read(76) trans_write(75) trans_posted(74) trans_compressed_read(73) addr(72:9) byteen(8) data(7:0)};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ADDR_H} {72};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ADDR_L} {9};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_DATA_H} {7};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTEEN_H} {8};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTEEN_L} {8};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTE_CNT_H} {91};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTE_CNT_L} {79};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {73};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_TRANS_WRITE} {75};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURSTWRAP_H} {92};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURSTWRAP_L} {92};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_SIZE_H} {95};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_SIZE_L} {93};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {121};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {120};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {78};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_TYPE_H} {97};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_TYPE_L} {96};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {122};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {124};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_ST_DATA_W} {125};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ADDR_H} {351};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ADDR_L} {288};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_DATA_H} {255};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTEEN_H} {287};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTEEN_L} {256};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTE_CNT_H} {370};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTE_CNT_L} {358};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {352};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_SIZE_H} {374};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_SIZE_L} {372};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {400};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {399};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {357};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_TYPE_H} {376};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_TYPE_L} {375};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {401};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {403};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_ST_DATA_W} {404};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {ST_CHANNEL_W} {21};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OPTIMIZE_FOR_RSP} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {RESPONSE_PATH} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {PACKING} {1};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(124:122) response_status(121:120) cache(119:116) protection(115:113) thread_id(112) dest_id(111:107) src_id(106:102) qos(101) begin_burst(100) data_sideband(99) addr_sideband(98) burst_type(97:96) burst_size(95:93) burstwrap(92) byte_cnt(91:79) trans_exclusive(78) trans_lock(77) trans_read(76) trans_write(75) trans_posted(74) trans_compressed_read(73) addr(72:9) byteen(8) data(7:0)};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ADDR_H} {72};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ADDR_L} {9};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_DATA_H} {7};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTEEN_H} {8};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTEEN_L} {8};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTE_CNT_H} {91};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTE_CNT_L} {79};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {73};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_TRANS_WRITE} {75};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURSTWRAP_H} {92};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURSTWRAP_L} {92};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_SIZE_H} {95};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_SIZE_L} {93};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {121};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {120};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {78};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_TYPE_H} {97};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_TYPE_L} {96};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {122};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {124};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_ST_DATA_W} {125};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ADDR_H} {351};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ADDR_L} {288};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_DATA_H} {255};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTEEN_H} {287};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTEEN_L} {256};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTE_CNT_H} {370};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTE_CNT_L} {358};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {352};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_SIZE_H} {374};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_SIZE_L} {372};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {400};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {399};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {357};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_TYPE_H} {376};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_TYPE_L} {375};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {401};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {403};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_ST_DATA_W} {404};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {ST_CHANNEL_W} {21};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OPTIMIZE_FOR_RSP} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {RESPONSE_PATH} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {PACKING} {1};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(124:122) response_status(121:120) cache(119:116) protection(115:113) thread_id(112) dest_id(111:107) src_id(106:102) qos(101) begin_burst(100) data_sideband(99) addr_sideband(98) burst_type(97:96) burst_size(95:93) burstwrap(92) byte_cnt(91:79) trans_exclusive(78) trans_lock(77) trans_read(76) trans_write(75) trans_posted(74) trans_compressed_read(73) addr(72:9) byteen(8) data(7:0)};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ADDR_H} {72};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ADDR_L} {9};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_DATA_H} {7};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTEEN_H} {8};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTEEN_L} {8};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTE_CNT_H} {91};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTE_CNT_L} {79};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {73};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_TRANS_WRITE} {75};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURSTWRAP_H} {92};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURSTWRAP_L} {92};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_SIZE_H} {95};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_SIZE_L} {93};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {121};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {120};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {78};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_TYPE_H} {97};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_TYPE_L} {96};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {122};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {124};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_ST_DATA_W} {125};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ADDR_H} {351};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ADDR_L} {288};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_DATA_H} {255};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTEEN_H} {287};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTEEN_L} {256};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTE_CNT_H} {370};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTE_CNT_L} {358};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {352};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_SIZE_H} {374};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_SIZE_L} {372};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {400};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {399};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {357};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_TYPE_H} {376};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_TYPE_L} {375};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {401};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {403};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_ST_DATA_W} {404};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {ST_CHANNEL_W} {21};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OPTIMIZE_FOR_RSP} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {RESPONSE_PATH} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {PACKING} {1};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(124:122) response_status(121:120) cache(119:116) protection(115:113) thread_id(112) dest_id(111:107) src_id(106:102) qos(101) begin_burst(100) data_sideband(99) addr_sideband(98) burst_type(97:96) burst_size(95:93) burstwrap(92) byte_cnt(91:79) trans_exclusive(78) trans_lock(77) trans_read(76) trans_write(75) trans_posted(74) trans_compressed_read(73) addr(72:9) byteen(8) data(7:0)};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ADDR_H} {72};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ADDR_L} {9};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_DATA_H} {7};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTEEN_H} {8};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTEEN_L} {8};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTE_CNT_H} {91};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTE_CNT_L} {79};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {73};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_TRANS_WRITE} {75};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURSTWRAP_H} {92};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURSTWRAP_L} {92};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_SIZE_H} {95};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_SIZE_L} {93};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {121};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {120};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {78};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_TYPE_H} {97};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_TYPE_L} {96};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {122};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {124};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_ST_DATA_W} {125};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ADDR_H} {351};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ADDR_L} {288};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_DATA_H} {255};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTEEN_H} {287};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTEEN_L} {256};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTE_CNT_H} {370};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTE_CNT_L} {358};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {352};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_SIZE_H} {374};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_SIZE_L} {372};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {400};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {399};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {357};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_TYPE_H} {376};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_TYPE_L} {375};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {401};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {403};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_ST_DATA_W} {404};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {ST_CHANNEL_W} {21};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OPTIMIZE_FOR_RSP} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {RESPONSE_PATH} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {PACKING} {1};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(124:122) response_status(121:120) cache(119:116) protection(115:113) thread_id(112) dest_id(111:107) src_id(106:102) qos(101) begin_burst(100) data_sideband(99) addr_sideband(98) burst_type(97:96) burst_size(95:93) burstwrap(92) byte_cnt(91:79) trans_exclusive(78) trans_lock(77) trans_read(76) trans_write(75) trans_posted(74) trans_compressed_read(73) addr(72:9) byteen(8) data(7:0)};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ADDR_H} {72};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ADDR_L} {9};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_DATA_H} {7};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTEEN_H} {8};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTEEN_L} {8};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTE_CNT_H} {91};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BYTE_CNT_L} {79};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {73};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_TRANS_WRITE} {75};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURSTWRAP_H} {92};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURSTWRAP_L} {92};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_SIZE_H} {95};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_SIZE_L} {93};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {121};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {120};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {78};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_TYPE_H} {97};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_BURST_TYPE_L} {96};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {122};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {124};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_ST_DATA_W} {125};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ADDR_H} {351};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ADDR_L} {288};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_DATA_H} {255};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTEEN_H} {287};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTEEN_L} {256};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTE_CNT_H} {370};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BYTE_CNT_L} {358};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {352};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_SIZE_H} {374};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_SIZE_L} {372};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {400};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {399};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {357};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_TYPE_H} {376};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_BURST_TYPE_L} {375};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {401};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {403};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_ST_DATA_W} {404};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {ST_CHANNEL_W} {21};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OPTIMIZE_FOR_RSP} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {RESPONSE_PATH} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {PACKING} {1};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(124:122) response_status(121:120) cache(119:116) protection(115:113) thread_id(112) dest_id(111:107) src_id(106:102) qos(101) begin_burst(100) data_sideband(99) addr_sideband(98) burst_type(97:96) burst_size(95:93) burstwrap(92) byte_cnt(91:79) trans_exclusive(78) trans_lock(77) trans_read(76) trans_write(75) trans_posted(74) trans_compressed_read(73) addr(72:9) byteen(8) data(7:0)};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_ADDR_H} {99};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_ADDR_L} {36};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_DATA_H} {31};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_BYTEEN_H} {35};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_BYTEEN_L} {32};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_BYTE_CNT_H} {118};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_BYTE_CNT_L} {106};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {100};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_TRANS_WRITE} {102};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_BURSTWRAP_H} {119};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_BURSTWRAP_L} {119};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_BURST_SIZE_H} {122};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_BURST_SIZE_L} {120};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {148};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {147};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {105};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_BURST_TYPE_H} {124};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_BURST_TYPE_L} {123};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {149};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {151};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_ST_DATA_W} {152};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_PKT_ADDR_H} {351};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_PKT_ADDR_L} {288};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_PKT_DATA_H} {255};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_PKT_BYTEEN_H} {287};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_PKT_BYTEEN_L} {256};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_PKT_BYTE_CNT_H} {370};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_PKT_BYTE_CNT_L} {358};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {352};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_PKT_BURST_SIZE_H} {374};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_PKT_BURST_SIZE_L} {372};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {400};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {399};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {357};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_PKT_BURST_TYPE_H} {376};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_PKT_BURST_TYPE_L} {375};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {401};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {403};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_ST_DATA_W} {404};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {ST_CHANNEL_W} {21};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OPTIMIZE_FOR_RSP} {0};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {RESPONSE_PATH} {0};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {PACKING} {1};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(151:149) response_status(148:147) cache(146:143) protection(142:140) thread_id(139) dest_id(138:134) src_id(133:129) qos(128) begin_burst(127) data_sideband(126) addr_sideband(125) burst_type(124:123) burst_size(122:120) burstwrap(119) byte_cnt(118:106) trans_exclusive(105) trans_lock(104) trans_read(103) trans_write(102) trans_posted(101) trans_compressed_read(100) addr(99:36) byteen(35:32) data(31:0)};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_cmd_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_ADDR_H} {351};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_ADDR_L} {288};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_DATA_H} {255};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_BYTEEN_H} {287};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_BYTEEN_L} {256};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_BYTE_CNT_H} {370};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_BYTE_CNT_L} {358};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {352};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_TRANS_WRITE} {354};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_BURSTWRAP_H} {371};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_BURSTWRAP_L} {371};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_BURST_SIZE_H} {374};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_BURST_SIZE_L} {372};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {400};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {399};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {357};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_BURST_TYPE_H} {376};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_BURST_TYPE_L} {375};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {401};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {403};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_ST_DATA_W} {404};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_PKT_ADDR_H} {99};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_PKT_ADDR_L} {36};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_PKT_DATA_H} {31};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_PKT_BYTEEN_H} {35};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_PKT_BYTEEN_L} {32};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_PKT_BYTE_CNT_H} {118};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_PKT_BYTE_CNT_L} {106};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {100};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_PKT_BURST_SIZE_H} {122};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_PKT_BURST_SIZE_L} {120};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {148};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {147};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {105};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_PKT_BURST_TYPE_H} {124};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_PKT_BURST_TYPE_L} {123};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {149};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {151};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_ST_DATA_W} {152};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {ST_CHANNEL_W} {21};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OPTIMIZE_FOR_RSP} {0};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {RESPONSE_PATH} {1};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {PACKING} {1};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(403:401) response_status(400:399) cache(398:395) protection(394:392) thread_id(391) dest_id(390:386) src_id(385:381) qos(380) begin_burst(379) data_sideband(378) addr_sideband(377) burst_type(376:375) burst_size(374:372) burstwrap(371) byte_cnt(370:358) trans_exclusive(357) trans_lock(356) trans_read(355) trans_write(354) trans_posted(353) trans_compressed_read(352) addr(351:288) byteen(287:256) data(255:0)};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(151:149) response_status(148:147) cache(146:143) protection(142:140) thread_id(139) dest_id(138:134) src_id(133:129) qos(128) begin_burst(127) data_sideband(126) addr_sideband(125) burst_type(124:123) burst_size(122:120) burstwrap(119) byte_cnt(118:106) trans_exclusive(105) trans_lock(104) trans_read(103) trans_write(102) trans_posted(101) trans_compressed_read(100) addr(99:36) byteen(35:32) data(31:0)};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {ddr2_address_span_extender_expanded_master_rsp_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {crosser} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser} {DATA_WIDTH} {404};set_instance_parameter_value {crosser} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser} {USE_PACKETS} {1};set_instance_parameter_value {crosser} {USE_CHANNEL} {1};set_instance_parameter_value {crosser} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser} {USE_ERROR} {0};set_instance_parameter_value {crosser} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_001} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_001} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_001} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_001} {USE_PACKETS} {1};set_instance_parameter_value {crosser_001} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_001} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_001} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_001} {USE_ERROR} {0};set_instance_parameter_value {crosser_001} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_001} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_001} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_001} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_002} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_002} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_002} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_002} {USE_PACKETS} {1};set_instance_parameter_value {crosser_002} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_002} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_002} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_002} {USE_ERROR} {0};set_instance_parameter_value {crosser_002} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_002} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_002} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_002} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_003} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_003} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_003} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_003} {USE_PACKETS} {1};set_instance_parameter_value {crosser_003} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_003} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_003} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_003} {USE_ERROR} {0};set_instance_parameter_value {crosser_003} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_003} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_003} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_003} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_004} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_004} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_004} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_004} {USE_PACKETS} {1};set_instance_parameter_value {crosser_004} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_004} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_004} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_004} {USE_ERROR} {0};set_instance_parameter_value {crosser_004} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_004} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_004} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_004} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_005} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_005} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_005} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_005} {USE_PACKETS} {1};set_instance_parameter_value {crosser_005} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_005} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_005} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_005} {USE_ERROR} {0};set_instance_parameter_value {crosser_005} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_005} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_005} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_005} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_006} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_006} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_006} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_006} {USE_PACKETS} {1};set_instance_parameter_value {crosser_006} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_006} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_006} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_006} {USE_ERROR} {0};set_instance_parameter_value {crosser_006} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_006} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_006} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_006} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_007} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_007} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_007} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_007} {USE_PACKETS} {1};set_instance_parameter_value {crosser_007} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_007} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_007} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_007} {USE_ERROR} {0};set_instance_parameter_value {crosser_007} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_007} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_007} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_007} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_008} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_008} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_008} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_008} {USE_PACKETS} {1};set_instance_parameter_value {crosser_008} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_008} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_008} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_008} {USE_ERROR} {0};set_instance_parameter_value {crosser_008} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_008} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_008} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_008} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_009} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_009} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_009} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_009} {USE_PACKETS} {1};set_instance_parameter_value {crosser_009} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_009} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_009} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_009} {USE_ERROR} {0};set_instance_parameter_value {crosser_009} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_009} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_009} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_009} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_010} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_010} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_010} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_010} {USE_PACKETS} {1};set_instance_parameter_value {crosser_010} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_010} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_010} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_010} {USE_ERROR} {0};set_instance_parameter_value {crosser_010} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_010} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_010} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_010} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_011} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_011} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_011} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_011} {USE_PACKETS} {1};set_instance_parameter_value {crosser_011} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_011} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_011} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_011} {USE_ERROR} {0};set_instance_parameter_value {crosser_011} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_011} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_011} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_011} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_012} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_012} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_012} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_012} {USE_PACKETS} {1};set_instance_parameter_value {crosser_012} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_012} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_012} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_012} {USE_ERROR} {0};set_instance_parameter_value {crosser_012} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_012} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_012} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_012} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_013} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_013} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_013} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_013} {USE_PACKETS} {1};set_instance_parameter_value {crosser_013} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_013} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_013} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_013} {USE_ERROR} {0};set_instance_parameter_value {crosser_013} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_013} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_013} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_013} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_014} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_014} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_014} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_014} {USE_PACKETS} {1};set_instance_parameter_value {crosser_014} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_014} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_014} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_014} {USE_ERROR} {0};set_instance_parameter_value {crosser_014} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_014} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_014} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_014} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_015} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_015} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_015} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_015} {USE_PACKETS} {1};set_instance_parameter_value {crosser_015} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_015} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_015} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_015} {USE_ERROR} {0};set_instance_parameter_value {crosser_015} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_015} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_015} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_015} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_016} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_016} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_016} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_016} {USE_PACKETS} {1};set_instance_parameter_value {crosser_016} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_016} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_016} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_016} {USE_ERROR} {0};set_instance_parameter_value {crosser_016} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_016} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_016} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_016} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_017} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_017} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_017} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_017} {USE_PACKETS} {1};set_instance_parameter_value {crosser_017} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_017} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_017} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_017} {USE_ERROR} {0};set_instance_parameter_value {crosser_017} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_017} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_017} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_017} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_018} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_018} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_018} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_018} {USE_PACKETS} {1};set_instance_parameter_value {crosser_018} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_018} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_018} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_018} {USE_ERROR} {0};set_instance_parameter_value {crosser_018} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_018} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_018} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_018} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_019} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_019} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_019} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_019} {USE_PACKETS} {1};set_instance_parameter_value {crosser_019} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_019} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_019} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_019} {USE_ERROR} {0};set_instance_parameter_value {crosser_019} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_019} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_019} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_019} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_020} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_020} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_020} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_020} {USE_PACKETS} {1};set_instance_parameter_value {crosser_020} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_020} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_020} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_020} {USE_ERROR} {0};set_instance_parameter_value {crosser_020} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_020} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_020} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_020} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_021} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_021} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_021} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_021} {USE_PACKETS} {1};set_instance_parameter_value {crosser_021} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_021} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_021} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_021} {USE_ERROR} {0};set_instance_parameter_value {crosser_021} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_021} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_021} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_021} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_022} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_022} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_022} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_022} {USE_PACKETS} {1};set_instance_parameter_value {crosser_022} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_022} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_022} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_022} {USE_ERROR} {0};set_instance_parameter_value {crosser_022} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_022} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_022} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_022} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_023} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_023} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_023} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_023} {USE_PACKETS} {1};set_instance_parameter_value {crosser_023} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_023} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_023} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_023} {USE_ERROR} {0};set_instance_parameter_value {crosser_023} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_023} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_023} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_023} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_024} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_024} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_024} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_024} {USE_PACKETS} {1};set_instance_parameter_value {crosser_024} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_024} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_024} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_024} {USE_ERROR} {0};set_instance_parameter_value {crosser_024} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_024} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_024} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_024} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_025} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_025} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_025} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_025} {USE_PACKETS} {1};set_instance_parameter_value {crosser_025} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_025} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_025} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_025} {USE_ERROR} {0};set_instance_parameter_value {crosser_025} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_025} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_025} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_025} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_026} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_026} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_026} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_026} {USE_PACKETS} {1};set_instance_parameter_value {crosser_026} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_026} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_026} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_026} {USE_ERROR} {0};set_instance_parameter_value {crosser_026} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_026} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_026} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_026} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_027} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_027} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_027} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_027} {USE_PACKETS} {1};set_instance_parameter_value {crosser_027} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_027} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_027} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_027} {USE_ERROR} {0};set_instance_parameter_value {crosser_027} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_027} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_027} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_027} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_028} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_028} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_028} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_028} {USE_PACKETS} {1};set_instance_parameter_value {crosser_028} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_028} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_028} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_028} {USE_ERROR} {0};set_instance_parameter_value {crosser_028} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_028} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_028} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_028} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_029} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_029} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_029} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_029} {USE_PACKETS} {1};set_instance_parameter_value {crosser_029} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_029} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_029} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_029} {USE_ERROR} {0};set_instance_parameter_value {crosser_029} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_029} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_029} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_029} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_030} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_030} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_030} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_030} {USE_PACKETS} {1};set_instance_parameter_value {crosser_030} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_030} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_030} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_030} {USE_ERROR} {0};set_instance_parameter_value {crosser_030} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_030} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_030} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_030} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_031} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_031} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_031} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_031} {USE_PACKETS} {1};set_instance_parameter_value {crosser_031} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_031} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_031} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_031} {USE_ERROR} {0};set_instance_parameter_value {crosser_031} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_031} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_031} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_031} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_032} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_032} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_032} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_032} {USE_PACKETS} {1};set_instance_parameter_value {crosser_032} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_032} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_032} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_032} {USE_ERROR} {0};set_instance_parameter_value {crosser_032} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_032} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_032} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_032} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_033} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_033} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_033} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_033} {USE_PACKETS} {1};set_instance_parameter_value {crosser_033} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_033} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_033} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_033} {USE_ERROR} {0};set_instance_parameter_value {crosser_033} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_033} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_033} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_033} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_034} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_034} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_034} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_034} {USE_PACKETS} {1};set_instance_parameter_value {crosser_034} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_034} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_034} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_034} {USE_ERROR} {0};set_instance_parameter_value {crosser_034} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_034} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_034} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_034} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_035} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_035} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_035} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_035} {USE_PACKETS} {1};set_instance_parameter_value {crosser_035} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_035} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_035} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_035} {USE_ERROR} {0};set_instance_parameter_value {crosser_035} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_035} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_035} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_035} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_036} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_036} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_036} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_036} {USE_PACKETS} {1};set_instance_parameter_value {crosser_036} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_036} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_036} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_036} {USE_ERROR} {0};set_instance_parameter_value {crosser_036} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_036} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_036} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_036} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_037} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_037} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_037} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_037} {USE_PACKETS} {1};set_instance_parameter_value {crosser_037} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_037} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_037} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_037} {USE_ERROR} {0};set_instance_parameter_value {crosser_037} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_037} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_037} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_037} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_038} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_038} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_038} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_038} {USE_PACKETS} {1};set_instance_parameter_value {crosser_038} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_038} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_038} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_038} {USE_ERROR} {0};set_instance_parameter_value {crosser_038} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_038} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_038} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_038} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_039} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_039} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_039} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_039} {USE_PACKETS} {1};set_instance_parameter_value {crosser_039} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_039} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_039} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_039} {USE_ERROR} {0};set_instance_parameter_value {crosser_039} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_039} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_039} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_039} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_040} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_040} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_040} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_040} {USE_PACKETS} {1};set_instance_parameter_value {crosser_040} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_040} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_040} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_040} {USE_ERROR} {0};set_instance_parameter_value {crosser_040} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_040} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_040} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_040} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_041} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_041} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_041} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_041} {USE_PACKETS} {1};set_instance_parameter_value {crosser_041} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_041} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_041} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_041} {USE_ERROR} {0};set_instance_parameter_value {crosser_041} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_041} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_041} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_041} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_042} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_042} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_042} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_042} {USE_PACKETS} {1};set_instance_parameter_value {crosser_042} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_042} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_042} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_042} {USE_ERROR} {0};set_instance_parameter_value {crosser_042} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_042} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_042} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_042} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_043} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_043} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_043} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_043} {USE_PACKETS} {1};set_instance_parameter_value {crosser_043} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_043} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_043} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_043} {USE_ERROR} {0};set_instance_parameter_value {crosser_043} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_043} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_043} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_043} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_044} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_044} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_044} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_044} {USE_PACKETS} {1};set_instance_parameter_value {crosser_044} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_044} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_044} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_044} {USE_ERROR} {0};set_instance_parameter_value {crosser_044} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_044} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_044} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_044} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_045} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_045} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_045} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_045} {USE_PACKETS} {1};set_instance_parameter_value {crosser_045} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_045} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_045} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_045} {USE_ERROR} {0};set_instance_parameter_value {crosser_045} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_045} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_045} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_045} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_046} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_046} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_046} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_046} {USE_PACKETS} {1};set_instance_parameter_value {crosser_046} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_046} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_046} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_046} {USE_ERROR} {0};set_instance_parameter_value {crosser_046} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_046} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_046} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_046} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_047} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_047} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_047} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_047} {USE_PACKETS} {1};set_instance_parameter_value {crosser_047} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_047} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_047} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_047} {USE_ERROR} {0};set_instance_parameter_value {crosser_047} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_047} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_047} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_047} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_048} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_048} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_048} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_048} {USE_PACKETS} {1};set_instance_parameter_value {crosser_048} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_048} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_048} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_048} {USE_ERROR} {0};set_instance_parameter_value {crosser_048} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_048} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_048} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_048} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_049} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_049} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_049} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_049} {USE_PACKETS} {1};set_instance_parameter_value {crosser_049} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_049} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_049} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_049} {USE_ERROR} {0};set_instance_parameter_value {crosser_049} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_049} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_049} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_049} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_050} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_050} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_050} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_050} {USE_PACKETS} {1};set_instance_parameter_value {crosser_050} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_050} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_050} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_050} {USE_ERROR} {0};set_instance_parameter_value {crosser_050} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_050} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_050} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_050} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_051} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_051} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_051} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_051} {USE_PACKETS} {1};set_instance_parameter_value {crosser_051} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_051} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_051} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_051} {USE_ERROR} {0};set_instance_parameter_value {crosser_051} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_051} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_051} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_051} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_052} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_052} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_052} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_052} {USE_PACKETS} {1};set_instance_parameter_value {crosser_052} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_052} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_052} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_052} {USE_ERROR} {0};set_instance_parameter_value {crosser_052} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_052} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_052} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_052} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_053} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_053} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_053} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_053} {USE_PACKETS} {1};set_instance_parameter_value {crosser_053} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_053} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_053} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_053} {USE_ERROR} {0};set_instance_parameter_value {crosser_053} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_053} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_053} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_053} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_054} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_054} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_054} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_054} {USE_PACKETS} {1};set_instance_parameter_value {crosser_054} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_054} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_054} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_054} {USE_ERROR} {0};set_instance_parameter_value {crosser_054} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_054} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_054} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_054} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_055} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_055} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_055} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_055} {USE_PACKETS} {1};set_instance_parameter_value {crosser_055} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_055} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_055} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_055} {USE_ERROR} {0};set_instance_parameter_value {crosser_055} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_055} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_055} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_055} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_056} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_056} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_056} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_056} {USE_PACKETS} {1};set_instance_parameter_value {crosser_056} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_056} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_056} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_056} {USE_ERROR} {0};set_instance_parameter_value {crosser_056} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_056} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_056} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_056} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_057} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_057} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_057} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_057} {USE_PACKETS} {1};set_instance_parameter_value {crosser_057} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_057} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_057} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_057} {USE_ERROR} {0};set_instance_parameter_value {crosser_057} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_057} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_057} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_057} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_058} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_058} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_058} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_058} {USE_PACKETS} {1};set_instance_parameter_value {crosser_058} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_058} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_058} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_058} {USE_ERROR} {0};set_instance_parameter_value {crosser_058} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_058} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_058} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_058} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_059} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_059} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_059} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_059} {USE_PACKETS} {1};set_instance_parameter_value {crosser_059} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_059} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_059} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_059} {USE_ERROR} {0};set_instance_parameter_value {crosser_059} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_059} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_059} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_059} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_060} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_060} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_060} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_060} {USE_PACKETS} {1};set_instance_parameter_value {crosser_060} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_060} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_060} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_060} {USE_ERROR} {0};set_instance_parameter_value {crosser_060} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_060} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_060} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_060} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_061} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_061} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_061} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_061} {USE_PACKETS} {1};set_instance_parameter_value {crosser_061} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_061} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_061} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_061} {USE_ERROR} {0};set_instance_parameter_value {crosser_061} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_061} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_061} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_061} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_062} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_062} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_062} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_062} {USE_PACKETS} {1};set_instance_parameter_value {crosser_062} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_062} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_062} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_062} {USE_ERROR} {0};set_instance_parameter_value {crosser_062} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_062} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_062} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_062} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_063} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_063} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_063} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_063} {USE_PACKETS} {1};set_instance_parameter_value {crosser_063} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_063} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_063} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_063} {USE_ERROR} {0};set_instance_parameter_value {crosser_063} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_063} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_063} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_063} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_064} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_064} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_064} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_064} {USE_PACKETS} {1};set_instance_parameter_value {crosser_064} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_064} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_064} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_064} {USE_ERROR} {0};set_instance_parameter_value {crosser_064} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_064} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_064} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_064} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_065} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_065} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_065} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_065} {USE_PACKETS} {1};set_instance_parameter_value {crosser_065} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_065} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_065} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_065} {USE_ERROR} {0};set_instance_parameter_value {crosser_065} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_065} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_065} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_065} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_066} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_066} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_066} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_066} {USE_PACKETS} {1};set_instance_parameter_value {crosser_066} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_066} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_066} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_066} {USE_ERROR} {0};set_instance_parameter_value {crosser_066} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_066} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_066} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_066} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_067} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_067} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_067} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_067} {USE_PACKETS} {1};set_instance_parameter_value {crosser_067} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_067} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_067} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_067} {USE_ERROR} {0};set_instance_parameter_value {crosser_067} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_067} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_067} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_067} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_068} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_068} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_068} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_068} {USE_PACKETS} {1};set_instance_parameter_value {crosser_068} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_068} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_068} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_068} {USE_ERROR} {0};set_instance_parameter_value {crosser_068} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_068} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_068} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_068} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_069} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_069} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_069} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_069} {USE_PACKETS} {1};set_instance_parameter_value {crosser_069} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_069} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_069} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_069} {USE_ERROR} {0};set_instance_parameter_value {crosser_069} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_069} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_069} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_069} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_070} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_070} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_070} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_070} {USE_PACKETS} {1};set_instance_parameter_value {crosser_070} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_070} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_070} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_070} {USE_ERROR} {0};set_instance_parameter_value {crosser_070} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_070} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_070} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_070} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_071} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_071} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_071} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_071} {USE_PACKETS} {1};set_instance_parameter_value {crosser_071} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_071} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_071} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_071} {USE_ERROR} {0};set_instance_parameter_value {crosser_071} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_071} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_071} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_071} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_072} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_072} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_072} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_072} {USE_PACKETS} {1};set_instance_parameter_value {crosser_072} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_072} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_072} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_072} {USE_ERROR} {0};set_instance_parameter_value {crosser_072} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_072} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_072} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_072} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_073} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_073} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_073} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_073} {USE_PACKETS} {1};set_instance_parameter_value {crosser_073} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_073} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_073} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_073} {USE_ERROR} {0};set_instance_parameter_value {crosser_073} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_073} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_073} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_073} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_074} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_074} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_074} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_074} {USE_PACKETS} {1};set_instance_parameter_value {crosser_074} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_074} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_074} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_074} {USE_ERROR} {0};set_instance_parameter_value {crosser_074} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_074} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_074} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_074} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_075} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_075} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_075} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_075} {USE_PACKETS} {1};set_instance_parameter_value {crosser_075} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_075} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_075} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_075} {USE_ERROR} {0};set_instance_parameter_value {crosser_075} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_075} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_075} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_075} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_076} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_076} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_076} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_076} {USE_PACKETS} {1};set_instance_parameter_value {crosser_076} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_076} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_076} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_076} {USE_ERROR} {0};set_instance_parameter_value {crosser_076} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_076} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_076} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_076} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_077} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_077} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_077} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_077} {USE_PACKETS} {1};set_instance_parameter_value {crosser_077} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_077} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_077} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_077} {USE_ERROR} {0};set_instance_parameter_value {crosser_077} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_077} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_077} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_077} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_078} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_078} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_078} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_078} {USE_PACKETS} {1};set_instance_parameter_value {crosser_078} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_078} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_078} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_078} {USE_ERROR} {0};set_instance_parameter_value {crosser_078} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_078} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_078} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_078} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_079} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_079} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_079} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_079} {USE_PACKETS} {1};set_instance_parameter_value {crosser_079} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_079} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_079} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_079} {USE_ERROR} {0};set_instance_parameter_value {crosser_079} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_079} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_079} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_079} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_080} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_080} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_080} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_080} {USE_PACKETS} {1};set_instance_parameter_value {crosser_080} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_080} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_080} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_080} {USE_ERROR} {0};set_instance_parameter_value {crosser_080} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_080} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_080} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_080} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_081} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_081} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_081} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_081} {USE_PACKETS} {1};set_instance_parameter_value {crosser_081} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_081} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_081} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_081} {USE_ERROR} {0};set_instance_parameter_value {crosser_081} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_081} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_081} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_081} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_082} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_082} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_082} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_082} {USE_PACKETS} {1};set_instance_parameter_value {crosser_082} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_082} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_082} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_082} {USE_ERROR} {0};set_instance_parameter_value {crosser_082} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_082} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_082} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_082} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_083} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_083} {DATA_WIDTH} {404};set_instance_parameter_value {crosser_083} {BITS_PER_SYMBOL} {404};set_instance_parameter_value {crosser_083} {USE_PACKETS} {1};set_instance_parameter_value {crosser_083} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_083} {CHANNEL_WIDTH} {21};set_instance_parameter_value {crosser_083} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_083} {USE_ERROR} {0};set_instance_parameter_value {crosser_083} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_083} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_083} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_083} {USE_OUTPUT_PIPELINE} {0};add_instance {FTDI_UMFT601A_Module_reset_sink_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {FTDI_UMFT601A_Module_reset_sink_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {FTDI_UMFT601A_Module_reset_sink_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {FTDI_UMFT601A_Module_reset_sink_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {FTDI_UMFT601A_Module_reset_sink_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {m2_ddr2_memory_soft_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {m2_ddr2_memory_soft_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {m2_ddr2_memory_soft_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {m2_ddr2_memory_soft_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {m2_ddr2_memory_soft_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {m1_clock_bridge_s0_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {m1_clock_bridge_s0_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {m1_clock_bridge_s0_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {m1_clock_bridge_s0_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {m1_clock_bridge_s0_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {m2_ddr2_memory_avl_translator_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {m2_ddr2_memory_avl_translator_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {m2_ddr2_memory_avl_translator_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {m2_ddr2_memory_avl_translator_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {m2_ddr2_memory_avl_translator_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_100_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_100_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {100000000};set_instance_parameter_value {clk_100_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_instance {m2_ddr2_memory_afi_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {m2_ddr2_memory_afi_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {200000000};set_instance_parameter_value {m2_ddr2_memory_afi_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_instance {m2_ddr2_memory_afi_half_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {m2_ddr2_memory_afi_half_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {100000000};set_instance_parameter_value {m2_ddr2_memory_afi_half_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {FTDI_UMFT601A_Module_avalon_master_data_translator.avalon_universal_master_0} {FTDI_UMFT601A_Module_avalon_master_data_agent.av} {avalon};set_connection_parameter_value {FTDI_UMFT601A_Module_avalon_master_data_translator.avalon_universal_master_0/FTDI_UMFT601A_Module_avalon_master_data_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {FTDI_UMFT601A_Module_avalon_master_data_translator.avalon_universal_master_0/FTDI_UMFT601A_Module_avalon_master_data_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {FTDI_UMFT601A_Module_avalon_master_data_translator.avalon_universal_master_0/FTDI_UMFT601A_Module_avalon_master_data_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {FTDI_UMFT601A_Module_avalon_master_data_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/FTDI_UMFT601A_Module_avalon_master_data_agent.rp} {qsys_mm.response};add_connection {Memory_Filler_avalon_master_data_translator.avalon_universal_master_0} {Memory_Filler_avalon_master_data_agent.av} {avalon};set_connection_parameter_value {Memory_Filler_avalon_master_data_translator.avalon_universal_master_0/Memory_Filler_avalon_master_data_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {Memory_Filler_avalon_master_data_translator.avalon_universal_master_0/Memory_Filler_avalon_master_data_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {Memory_Filler_avalon_master_data_translator.avalon_universal_master_0/Memory_Filler_avalon_master_data_agent.av} {defaultConnection} {false};add_connection {rsp_mux_001.src} {Memory_Filler_avalon_master_data_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/Memory_Filler_avalon_master_data_agent.rp} {qsys_mm.response};add_connection {Communication_Module_v2_Ch1_avalon_mm_left_buffer_master_translator.avalon_universal_master_0} {Communication_Module_v2_Ch1_avalon_mm_left_buffer_master_agent.av} {avalon};set_connection_parameter_value {Communication_Module_v2_Ch1_avalon_mm_left_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch1_avalon_mm_left_buffer_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {Communication_Module_v2_Ch1_avalon_mm_left_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch1_avalon_mm_left_buffer_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {Communication_Module_v2_Ch1_avalon_mm_left_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch1_avalon_mm_left_buffer_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_002.src} {Communication_Module_v2_Ch1_avalon_mm_left_buffer_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_002.src/Communication_Module_v2_Ch1_avalon_mm_left_buffer_master_agent.rp} {qsys_mm.response};add_connection {Communication_Module_v2_Ch2_avalon_mm_left_buffer_master_translator.avalon_universal_master_0} {Communication_Module_v2_Ch2_avalon_mm_left_buffer_master_agent.av} {avalon};set_connection_parameter_value {Communication_Module_v2_Ch2_avalon_mm_left_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch2_avalon_mm_left_buffer_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {Communication_Module_v2_Ch2_avalon_mm_left_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch2_avalon_mm_left_buffer_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {Communication_Module_v2_Ch2_avalon_mm_left_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch2_avalon_mm_left_buffer_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_003.src} {Communication_Module_v2_Ch2_avalon_mm_left_buffer_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_003.src/Communication_Module_v2_Ch2_avalon_mm_left_buffer_master_agent.rp} {qsys_mm.response};add_connection {Communication_Module_v2_Ch3_avalon_mm_left_buffer_master_translator.avalon_universal_master_0} {Communication_Module_v2_Ch3_avalon_mm_left_buffer_master_agent.av} {avalon};set_connection_parameter_value {Communication_Module_v2_Ch3_avalon_mm_left_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch3_avalon_mm_left_buffer_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {Communication_Module_v2_Ch3_avalon_mm_left_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch3_avalon_mm_left_buffer_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {Communication_Module_v2_Ch3_avalon_mm_left_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch3_avalon_mm_left_buffer_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_004.src} {Communication_Module_v2_Ch3_avalon_mm_left_buffer_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_004.src/Communication_Module_v2_Ch3_avalon_mm_left_buffer_master_agent.rp} {qsys_mm.response};add_connection {Communication_Module_v2_Ch4_avalon_mm_left_buffer_master_translator.avalon_universal_master_0} {Communication_Module_v2_Ch4_avalon_mm_left_buffer_master_agent.av} {avalon};set_connection_parameter_value {Communication_Module_v2_Ch4_avalon_mm_left_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch4_avalon_mm_left_buffer_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {Communication_Module_v2_Ch4_avalon_mm_left_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch4_avalon_mm_left_buffer_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {Communication_Module_v2_Ch4_avalon_mm_left_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch4_avalon_mm_left_buffer_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_005.src} {Communication_Module_v2_Ch4_avalon_mm_left_buffer_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_005.src/Communication_Module_v2_Ch4_avalon_mm_left_buffer_master_agent.rp} {qsys_mm.response};add_connection {Communication_Module_v2_Ch5_avalon_mm_left_buffer_master_translator.avalon_universal_master_0} {Communication_Module_v2_Ch5_avalon_mm_left_buffer_master_agent.av} {avalon};set_connection_parameter_value {Communication_Module_v2_Ch5_avalon_mm_left_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch5_avalon_mm_left_buffer_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {Communication_Module_v2_Ch5_avalon_mm_left_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch5_avalon_mm_left_buffer_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {Communication_Module_v2_Ch5_avalon_mm_left_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch5_avalon_mm_left_buffer_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_006.src} {Communication_Module_v2_Ch5_avalon_mm_left_buffer_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_006.src/Communication_Module_v2_Ch5_avalon_mm_left_buffer_master_agent.rp} {qsys_mm.response};add_connection {Communication_Module_v2_Ch6_avalon_mm_left_buffer_master_translator.avalon_universal_master_0} {Communication_Module_v2_Ch6_avalon_mm_left_buffer_master_agent.av} {avalon};set_connection_parameter_value {Communication_Module_v2_Ch6_avalon_mm_left_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch6_avalon_mm_left_buffer_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {Communication_Module_v2_Ch6_avalon_mm_left_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch6_avalon_mm_left_buffer_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {Communication_Module_v2_Ch6_avalon_mm_left_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch6_avalon_mm_left_buffer_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_007.src} {Communication_Module_v2_Ch6_avalon_mm_left_buffer_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_007.src/Communication_Module_v2_Ch6_avalon_mm_left_buffer_master_agent.rp} {qsys_mm.response};add_connection {Communication_Module_v2_Ch1_avalon_mm_right_buffer_master_translator.avalon_universal_master_0} {Communication_Module_v2_Ch1_avalon_mm_right_buffer_master_agent.av} {avalon};set_connection_parameter_value {Communication_Module_v2_Ch1_avalon_mm_right_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch1_avalon_mm_right_buffer_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {Communication_Module_v2_Ch1_avalon_mm_right_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch1_avalon_mm_right_buffer_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {Communication_Module_v2_Ch1_avalon_mm_right_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch1_avalon_mm_right_buffer_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_008.src} {Communication_Module_v2_Ch1_avalon_mm_right_buffer_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_008.src/Communication_Module_v2_Ch1_avalon_mm_right_buffer_master_agent.rp} {qsys_mm.response};add_connection {Communication_Module_v2_Ch2_avalon_mm_right_buffer_master_translator.avalon_universal_master_0} {Communication_Module_v2_Ch2_avalon_mm_right_buffer_master_agent.av} {avalon};set_connection_parameter_value {Communication_Module_v2_Ch2_avalon_mm_right_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch2_avalon_mm_right_buffer_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {Communication_Module_v2_Ch2_avalon_mm_right_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch2_avalon_mm_right_buffer_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {Communication_Module_v2_Ch2_avalon_mm_right_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch2_avalon_mm_right_buffer_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_009.src} {Communication_Module_v2_Ch2_avalon_mm_right_buffer_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_009.src/Communication_Module_v2_Ch2_avalon_mm_right_buffer_master_agent.rp} {qsys_mm.response};add_connection {Communication_Module_v2_Ch3_avalon_mm_right_buffer_master_translator.avalon_universal_master_0} {Communication_Module_v2_Ch3_avalon_mm_right_buffer_master_agent.av} {avalon};set_connection_parameter_value {Communication_Module_v2_Ch3_avalon_mm_right_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch3_avalon_mm_right_buffer_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {Communication_Module_v2_Ch3_avalon_mm_right_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch3_avalon_mm_right_buffer_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {Communication_Module_v2_Ch3_avalon_mm_right_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch3_avalon_mm_right_buffer_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_010.src} {Communication_Module_v2_Ch3_avalon_mm_right_buffer_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_010.src/Communication_Module_v2_Ch3_avalon_mm_right_buffer_master_agent.rp} {qsys_mm.response};add_connection {Communication_Module_v2_Ch4_avalon_mm_right_buffer_master_translator.avalon_universal_master_0} {Communication_Module_v2_Ch4_avalon_mm_right_buffer_master_agent.av} {avalon};set_connection_parameter_value {Communication_Module_v2_Ch4_avalon_mm_right_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch4_avalon_mm_right_buffer_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {Communication_Module_v2_Ch4_avalon_mm_right_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch4_avalon_mm_right_buffer_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {Communication_Module_v2_Ch4_avalon_mm_right_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch4_avalon_mm_right_buffer_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_011.src} {Communication_Module_v2_Ch4_avalon_mm_right_buffer_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_011.src/Communication_Module_v2_Ch4_avalon_mm_right_buffer_master_agent.rp} {qsys_mm.response};add_connection {Communication_Module_v2_Ch5_avalon_mm_right_buffer_master_translator.avalon_universal_master_0} {Communication_Module_v2_Ch5_avalon_mm_right_buffer_master_agent.av} {avalon};set_connection_parameter_value {Communication_Module_v2_Ch5_avalon_mm_right_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch5_avalon_mm_right_buffer_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {Communication_Module_v2_Ch5_avalon_mm_right_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch5_avalon_mm_right_buffer_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {Communication_Module_v2_Ch5_avalon_mm_right_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch5_avalon_mm_right_buffer_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_012.src} {Communication_Module_v2_Ch5_avalon_mm_right_buffer_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_012.src/Communication_Module_v2_Ch5_avalon_mm_right_buffer_master_agent.rp} {qsys_mm.response};add_connection {Communication_Module_v2_Ch6_avalon_mm_right_buffer_master_translator.avalon_universal_master_0} {Communication_Module_v2_Ch6_avalon_mm_right_buffer_master_agent.av} {avalon};set_connection_parameter_value {Communication_Module_v2_Ch6_avalon_mm_right_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch6_avalon_mm_right_buffer_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {Communication_Module_v2_Ch6_avalon_mm_right_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch6_avalon_mm_right_buffer_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {Communication_Module_v2_Ch6_avalon_mm_right_buffer_master_translator.avalon_universal_master_0/Communication_Module_v2_Ch6_avalon_mm_right_buffer_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux_013.src} {Communication_Module_v2_Ch6_avalon_mm_right_buffer_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux_013.src/Communication_Module_v2_Ch6_avalon_mm_right_buffer_master_agent.rp} {qsys_mm.response};add_connection {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_translator.avalon_universal_master_0} {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_agent.av} {avalon};set_connection_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_translator.avalon_universal_master_0/rmap_mem_nfee_comm_1_avalon_mm_rmap_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_translator.avalon_universal_master_0/rmap_mem_nfee_comm_1_avalon_mm_rmap_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_translator.avalon_universal_master_0/rmap_mem_nfee_comm_1_avalon_mm_rmap_master_agent.av} {defaultConnection} {false};add_connection {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_translator.avalon_universal_master_0} {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_agent.av} {avalon};set_connection_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_translator.avalon_universal_master_0/rmap_mem_nfee_comm_2_avalon_mm_rmap_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_translator.avalon_universal_master_0/rmap_mem_nfee_comm_2_avalon_mm_rmap_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_translator.avalon_universal_master_0/rmap_mem_nfee_comm_2_avalon_mm_rmap_master_agent.av} {defaultConnection} {false};add_connection {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_translator.avalon_universal_master_0} {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_agent.av} {avalon};set_connection_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_translator.avalon_universal_master_0/rmap_mem_nfee_comm_3_avalon_mm_rmap_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_translator.avalon_universal_master_0/rmap_mem_nfee_comm_3_avalon_mm_rmap_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_translator.avalon_universal_master_0/rmap_mem_nfee_comm_3_avalon_mm_rmap_master_agent.av} {defaultConnection} {false};add_connection {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_translator.avalon_universal_master_0} {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_agent.av} {avalon};set_connection_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_translator.avalon_universal_master_0/rmap_mem_nfee_comm_4_avalon_mm_rmap_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_translator.avalon_universal_master_0/rmap_mem_nfee_comm_4_avalon_mm_rmap_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_translator.avalon_universal_master_0/rmap_mem_nfee_comm_4_avalon_mm_rmap_master_agent.av} {defaultConnection} {false};add_connection {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_translator.avalon_universal_master_0} {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_agent.av} {avalon};set_connection_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_translator.avalon_universal_master_0/rmap_mem_nfee_comm_5_avalon_mm_rmap_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_translator.avalon_universal_master_0/rmap_mem_nfee_comm_5_avalon_mm_rmap_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_translator.avalon_universal_master_0/rmap_mem_nfee_comm_5_avalon_mm_rmap_master_agent.av} {defaultConnection} {false};add_connection {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_translator.avalon_universal_master_0} {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_agent.av} {avalon};set_connection_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_translator.avalon_universal_master_0/rmap_mem_nfee_comm_6_avalon_mm_rmap_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_translator.avalon_universal_master_0/rmap_mem_nfee_comm_6_avalon_mm_rmap_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_translator.avalon_universal_master_0/rmap_mem_nfee_comm_6_avalon_mm_rmap_master_agent.av} {defaultConnection} {false};add_connection {ddr2_address_span_extender_expanded_master_translator.avalon_universal_master_0} {ddr2_address_span_extender_expanded_master_agent.av} {avalon};set_connection_parameter_value {ddr2_address_span_extender_expanded_master_translator.avalon_universal_master_0/ddr2_address_span_extender_expanded_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {ddr2_address_span_extender_expanded_master_translator.avalon_universal_master_0/ddr2_address_span_extender_expanded_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {ddr2_address_span_extender_expanded_master_translator.avalon_universal_master_0/ddr2_address_span_extender_expanded_master_agent.av} {defaultConnection} {false};add_connection {m2_ddr2_memory_avl_agent.m0} {m2_ddr2_memory_avl_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {m2_ddr2_memory_avl_agent.m0/m2_ddr2_memory_avl_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {m2_ddr2_memory_avl_agent.m0/m2_ddr2_memory_avl_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {m2_ddr2_memory_avl_agent.m0/m2_ddr2_memory_avl_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {m2_ddr2_memory_avl_agent.rf_source} {m2_ddr2_memory_avl_agent_rsp_fifo.in} {avalon_streaming};add_connection {m2_ddr2_memory_avl_agent_rsp_fifo.out} {m2_ddr2_memory_avl_agent.rf_sink} {avalon_streaming};add_connection {m2_ddr2_memory_avl_agent.rdata_fifo_src} {m2_ddr2_memory_avl_agent_rdata_fifo.in} {avalon_streaming};add_connection {m2_ddr2_memory_avl_agent_rdata_fifo.out} {m2_ddr2_memory_avl_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {m2_ddr2_memory_avl_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/m2_ddr2_memory_avl_agent.cp} {qsys_mm.command};add_connection {m1_clock_bridge_s0_agent.m0} {m1_clock_bridge_s0_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {m1_clock_bridge_s0_agent.m0/m1_clock_bridge_s0_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {m1_clock_bridge_s0_agent.m0/m1_clock_bridge_s0_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {m1_clock_bridge_s0_agent.m0/m1_clock_bridge_s0_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {m1_clock_bridge_s0_agent.rf_source} {m1_clock_bridge_s0_agent_rsp_fifo.in} {avalon_streaming};add_connection {m1_clock_bridge_s0_agent_rsp_fifo.out} {m1_clock_bridge_s0_agent.rf_sink} {avalon_streaming};add_connection {m1_clock_bridge_s0_agent.rdata_fifo_src} {m1_clock_bridge_s0_agent_rdata_fifo.in} {avalon_streaming};add_connection {m1_clock_bridge_s0_agent_rdata_fifo.out} {m1_clock_bridge_s0_agent.rdata_fifo_sink} {avalon_streaming};add_connection {FTDI_UMFT601A_Module_avalon_master_data_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {FTDI_UMFT601A_Module_avalon_master_data_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {Memory_Filler_avalon_master_data_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {Memory_Filler_avalon_master_data_agent.cp/router_001.sink} {qsys_mm.command};add_connection {router_001.src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/cmd_demux_001.sink} {qsys_mm.command};add_connection {Communication_Module_v2_Ch1_avalon_mm_left_buffer_master_agent.cp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {Communication_Module_v2_Ch1_avalon_mm_left_buffer_master_agent.cp/router_002.sink} {qsys_mm.command};add_connection {router_002.src} {cmd_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/cmd_demux_002.sink} {qsys_mm.command};add_connection {Communication_Module_v2_Ch2_avalon_mm_left_buffer_master_agent.cp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {Communication_Module_v2_Ch2_avalon_mm_left_buffer_master_agent.cp/router_003.sink} {qsys_mm.command};add_connection {router_003.src} {cmd_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/cmd_demux_003.sink} {qsys_mm.command};add_connection {Communication_Module_v2_Ch3_avalon_mm_left_buffer_master_agent.cp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {Communication_Module_v2_Ch3_avalon_mm_left_buffer_master_agent.cp/router_004.sink} {qsys_mm.command};add_connection {router_004.src} {cmd_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/cmd_demux_004.sink} {qsys_mm.command};add_connection {Communication_Module_v2_Ch4_avalon_mm_left_buffer_master_agent.cp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {Communication_Module_v2_Ch4_avalon_mm_left_buffer_master_agent.cp/router_005.sink} {qsys_mm.command};add_connection {router_005.src} {cmd_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/cmd_demux_005.sink} {qsys_mm.command};add_connection {Communication_Module_v2_Ch5_avalon_mm_left_buffer_master_agent.cp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {Communication_Module_v2_Ch5_avalon_mm_left_buffer_master_agent.cp/router_006.sink} {qsys_mm.command};add_connection {router_006.src} {cmd_demux_006.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/cmd_demux_006.sink} {qsys_mm.command};add_connection {Communication_Module_v2_Ch6_avalon_mm_left_buffer_master_agent.cp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {Communication_Module_v2_Ch6_avalon_mm_left_buffer_master_agent.cp/router_007.sink} {qsys_mm.command};add_connection {router_007.src} {cmd_demux_007.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/cmd_demux_007.sink} {qsys_mm.command};add_connection {Communication_Module_v2_Ch1_avalon_mm_right_buffer_master_agent.cp} {router_008.sink} {avalon_streaming};preview_set_connection_tag {Communication_Module_v2_Ch1_avalon_mm_right_buffer_master_agent.cp/router_008.sink} {qsys_mm.command};add_connection {router_008.src} {cmd_demux_008.sink} {avalon_streaming};preview_set_connection_tag {router_008.src/cmd_demux_008.sink} {qsys_mm.command};add_connection {Communication_Module_v2_Ch2_avalon_mm_right_buffer_master_agent.cp} {router_009.sink} {avalon_streaming};preview_set_connection_tag {Communication_Module_v2_Ch2_avalon_mm_right_buffer_master_agent.cp/router_009.sink} {qsys_mm.command};add_connection {router_009.src} {cmd_demux_009.sink} {avalon_streaming};preview_set_connection_tag {router_009.src/cmd_demux_009.sink} {qsys_mm.command};add_connection {Communication_Module_v2_Ch3_avalon_mm_right_buffer_master_agent.cp} {router_010.sink} {avalon_streaming};preview_set_connection_tag {Communication_Module_v2_Ch3_avalon_mm_right_buffer_master_agent.cp/router_010.sink} {qsys_mm.command};add_connection {router_010.src} {cmd_demux_010.sink} {avalon_streaming};preview_set_connection_tag {router_010.src/cmd_demux_010.sink} {qsys_mm.command};add_connection {Communication_Module_v2_Ch4_avalon_mm_right_buffer_master_agent.cp} {router_011.sink} {avalon_streaming};preview_set_connection_tag {Communication_Module_v2_Ch4_avalon_mm_right_buffer_master_agent.cp/router_011.sink} {qsys_mm.command};add_connection {router_011.src} {cmd_demux_011.sink} {avalon_streaming};preview_set_connection_tag {router_011.src/cmd_demux_011.sink} {qsys_mm.command};add_connection {Communication_Module_v2_Ch5_avalon_mm_right_buffer_master_agent.cp} {router_012.sink} {avalon_streaming};preview_set_connection_tag {Communication_Module_v2_Ch5_avalon_mm_right_buffer_master_agent.cp/router_012.sink} {qsys_mm.command};add_connection {router_012.src} {cmd_demux_012.sink} {avalon_streaming};preview_set_connection_tag {router_012.src/cmd_demux_012.sink} {qsys_mm.command};add_connection {Communication_Module_v2_Ch6_avalon_mm_right_buffer_master_agent.cp} {router_013.sink} {avalon_streaming};preview_set_connection_tag {Communication_Module_v2_Ch6_avalon_mm_right_buffer_master_agent.cp/router_013.sink} {qsys_mm.command};add_connection {router_013.src} {cmd_demux_013.sink} {avalon_streaming};preview_set_connection_tag {router_013.src/cmd_demux_013.sink} {qsys_mm.command};add_connection {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_agent.cp} {router_014.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_agent.cp/router_014.sink} {qsys_mm.command};add_connection {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_agent.cp} {router_015.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_agent.cp/router_015.sink} {qsys_mm.command};add_connection {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_agent.cp} {router_016.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_agent.cp/router_016.sink} {qsys_mm.command};add_connection {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_agent.cp} {router_017.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_agent.cp/router_017.sink} {qsys_mm.command};add_connection {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_agent.cp} {router_018.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_agent.cp/router_018.sink} {qsys_mm.command};add_connection {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_agent.cp} {router_019.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_agent.cp/router_019.sink} {qsys_mm.command};add_connection {ddr2_address_span_extender_expanded_master_agent.cp} {router_020.sink} {avalon_streaming};preview_set_connection_tag {ddr2_address_span_extender_expanded_master_agent.cp/router_020.sink} {qsys_mm.command};add_connection {m2_ddr2_memory_avl_agent.rp} {router_021.sink} {avalon_streaming};preview_set_connection_tag {m2_ddr2_memory_avl_agent.rp/router_021.sink} {qsys_mm.response};add_connection {router_021.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_021.src/rsp_demux.sink} {qsys_mm.response};add_connection {m1_clock_bridge_s0_agent.rp} {router_022.sink} {avalon_streaming};preview_set_connection_tag {m1_clock_bridge_s0_agent.rp/router_022.sink} {qsys_mm.response};add_connection {router_022.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_022.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {router_020.src} {ddr2_address_span_extender_expanded_master_limiter.cmd_sink} {avalon_streaming};preview_set_connection_tag {router_020.src/ddr2_address_span_extender_expanded_master_limiter.cmd_sink} {qsys_mm.command};add_connection {ddr2_address_span_extender_expanded_master_limiter.rsp_src} {ddr2_address_span_extender_expanded_master_agent.rp} {avalon_streaming};preview_set_connection_tag {ddr2_address_span_extender_expanded_master_limiter.rsp_src/ddr2_address_span_extender_expanded_master_agent.rp} {qsys_mm.response};add_connection {cmd_mux_001.src} {m1_clock_bridge_s0_burst_adapter.sink0} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/m1_clock_bridge_s0_burst_adapter.sink0} {qsys_mm.command};add_connection {m1_clock_bridge_s0_burst_adapter.source0} {m1_clock_bridge_s0_agent.cp} {avalon_streaming};preview_set_connection_tag {m1_clock_bridge_s0_burst_adapter.source0/m1_clock_bridge_s0_agent.cp} {qsys_mm.command};add_connection {rsp_mux_014.src} {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {rsp_mux_014.src/rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter.sink} {qsys_mm.response};add_connection {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter.src} {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter.src/rmap_mem_nfee_comm_1_avalon_mm_rmap_master_agent.rp} {qsys_mm.response};add_connection {rsp_mux_015.src} {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {rsp_mux_015.src/rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter.sink} {qsys_mm.response};add_connection {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter.src} {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter.src/rmap_mem_nfee_comm_2_avalon_mm_rmap_master_agent.rp} {qsys_mm.response};add_connection {rsp_mux_016.src} {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {rsp_mux_016.src/rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter.sink} {qsys_mm.response};add_connection {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter.src} {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter.src/rmap_mem_nfee_comm_3_avalon_mm_rmap_master_agent.rp} {qsys_mm.response};add_connection {rsp_mux_017.src} {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {rsp_mux_017.src/rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter.sink} {qsys_mm.response};add_connection {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter.src} {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter.src/rmap_mem_nfee_comm_4_avalon_mm_rmap_master_agent.rp} {qsys_mm.response};add_connection {rsp_mux_018.src} {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {rsp_mux_018.src/rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter.sink} {qsys_mm.response};add_connection {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter.src} {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter.src/rmap_mem_nfee_comm_5_avalon_mm_rmap_master_agent.rp} {qsys_mm.response};add_connection {rsp_mux_019.src} {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {rsp_mux_019.src/rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter.sink} {qsys_mm.response};add_connection {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter.src} {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter.src/rmap_mem_nfee_comm_6_avalon_mm_rmap_master_agent.rp} {qsys_mm.response};add_connection {router_014.src} {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {router_014.src/rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter.sink} {qsys_mm.command};add_connection {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter.src} {cmd_demux_014.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter.src/cmd_demux_014.sink} {qsys_mm.command};add_connection {router_015.src} {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {router_015.src/rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter.sink} {qsys_mm.command};add_connection {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter.src} {cmd_demux_015.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter.src/cmd_demux_015.sink} {qsys_mm.command};add_connection {router_016.src} {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {router_016.src/rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter.sink} {qsys_mm.command};add_connection {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter.src} {cmd_demux_016.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter.src/cmd_demux_016.sink} {qsys_mm.command};add_connection {router_017.src} {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {router_017.src/rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter.sink} {qsys_mm.command};add_connection {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter.src} {cmd_demux_017.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter.src/cmd_demux_017.sink} {qsys_mm.command};add_connection {router_018.src} {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {router_018.src/rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter.sink} {qsys_mm.command};add_connection {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter.src} {cmd_demux_018.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter.src/cmd_demux_018.sink} {qsys_mm.command};add_connection {router_019.src} {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {router_019.src/rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter.sink} {qsys_mm.command};add_connection {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter.src} {cmd_demux_019.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter.src/cmd_demux_019.sink} {qsys_mm.command};add_connection {ddr2_address_span_extender_expanded_master_limiter.cmd_src} {ddr2_address_span_extender_expanded_master_cmd_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {ddr2_address_span_extender_expanded_master_limiter.cmd_src/ddr2_address_span_extender_expanded_master_cmd_width_adapter.sink} {qsys_mm.command};add_connection {ddr2_address_span_extender_expanded_master_cmd_width_adapter.src} {cmd_demux_020.sink} {avalon_streaming};preview_set_connection_tag {ddr2_address_span_extender_expanded_master_cmd_width_adapter.src/cmd_demux_020.sink} {qsys_mm.command};add_connection {rsp_mux_020.src} {ddr2_address_span_extender_expanded_master_rsp_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {rsp_mux_020.src/ddr2_address_span_extender_expanded_master_rsp_width_adapter.sink} {qsys_mm.response};add_connection {ddr2_address_span_extender_expanded_master_rsp_width_adapter.src} {ddr2_address_span_extender_expanded_master_limiter.rsp_sink} {avalon_streaming};preview_set_connection_tag {ddr2_address_span_extender_expanded_master_rsp_width_adapter.src/ddr2_address_span_extender_expanded_master_limiter.rsp_sink} {qsys_mm.response};add_connection {cmd_demux.src0} {crosser.in} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/crosser.in} {qsys_mm.command};add_connection {crosser.out} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {crosser.out/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {crosser_001.in} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/crosser_001.in} {qsys_mm.command};add_connection {crosser_001.out} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {crosser_001.out/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {crosser_002.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/crosser_002.in} {qsys_mm.command};add_connection {crosser_002.out} {cmd_mux.sink1} {avalon_streaming};preview_set_connection_tag {crosser_002.out/cmd_mux.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {crosser_003.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/crosser_003.in} {qsys_mm.command};add_connection {crosser_003.out} {cmd_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {crosser_003.out/cmd_mux_001.sink1} {qsys_mm.command};add_connection {cmd_demux_002.src0} {crosser_004.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_002.src0/crosser_004.in} {qsys_mm.command};add_connection {crosser_004.out} {cmd_mux.sink2} {avalon_streaming};preview_set_connection_tag {crosser_004.out/cmd_mux.sink2} {qsys_mm.command};add_connection {cmd_demux_002.src1} {crosser_005.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_002.src1/crosser_005.in} {qsys_mm.command};add_connection {crosser_005.out} {cmd_mux_001.sink2} {avalon_streaming};preview_set_connection_tag {crosser_005.out/cmd_mux_001.sink2} {qsys_mm.command};add_connection {cmd_demux_003.src0} {crosser_006.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_003.src0/crosser_006.in} {qsys_mm.command};add_connection {crosser_006.out} {cmd_mux.sink3} {avalon_streaming};preview_set_connection_tag {crosser_006.out/cmd_mux.sink3} {qsys_mm.command};add_connection {cmd_demux_003.src1} {crosser_007.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_003.src1/crosser_007.in} {qsys_mm.command};add_connection {crosser_007.out} {cmd_mux_001.sink3} {avalon_streaming};preview_set_connection_tag {crosser_007.out/cmd_mux_001.sink3} {qsys_mm.command};add_connection {cmd_demux_004.src0} {crosser_008.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_004.src0/crosser_008.in} {qsys_mm.command};add_connection {crosser_008.out} {cmd_mux.sink4} {avalon_streaming};preview_set_connection_tag {crosser_008.out/cmd_mux.sink4} {qsys_mm.command};add_connection {cmd_demux_004.src1} {crosser_009.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_004.src1/crosser_009.in} {qsys_mm.command};add_connection {crosser_009.out} {cmd_mux_001.sink4} {avalon_streaming};preview_set_connection_tag {crosser_009.out/cmd_mux_001.sink4} {qsys_mm.command};add_connection {cmd_demux_005.src0} {crosser_010.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_005.src0/crosser_010.in} {qsys_mm.command};add_connection {crosser_010.out} {cmd_mux.sink5} {avalon_streaming};preview_set_connection_tag {crosser_010.out/cmd_mux.sink5} {qsys_mm.command};add_connection {cmd_demux_005.src1} {crosser_011.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_005.src1/crosser_011.in} {qsys_mm.command};add_connection {crosser_011.out} {cmd_mux_001.sink5} {avalon_streaming};preview_set_connection_tag {crosser_011.out/cmd_mux_001.sink5} {qsys_mm.command};add_connection {cmd_demux_006.src0} {crosser_012.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_006.src0/crosser_012.in} {qsys_mm.command};add_connection {crosser_012.out} {cmd_mux.sink6} {avalon_streaming};preview_set_connection_tag {crosser_012.out/cmd_mux.sink6} {qsys_mm.command};add_connection {cmd_demux_006.src1} {crosser_013.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_006.src1/crosser_013.in} {qsys_mm.command};add_connection {crosser_013.out} {cmd_mux_001.sink6} {avalon_streaming};preview_set_connection_tag {crosser_013.out/cmd_mux_001.sink6} {qsys_mm.command};add_connection {cmd_demux_007.src0} {crosser_014.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_007.src0/crosser_014.in} {qsys_mm.command};add_connection {crosser_014.out} {cmd_mux.sink7} {avalon_streaming};preview_set_connection_tag {crosser_014.out/cmd_mux.sink7} {qsys_mm.command};add_connection {cmd_demux_007.src1} {crosser_015.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_007.src1/crosser_015.in} {qsys_mm.command};add_connection {crosser_015.out} {cmd_mux_001.sink7} {avalon_streaming};preview_set_connection_tag {crosser_015.out/cmd_mux_001.sink7} {qsys_mm.command};add_connection {cmd_demux_008.src0} {crosser_016.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_008.src0/crosser_016.in} {qsys_mm.command};add_connection {crosser_016.out} {cmd_mux.sink8} {avalon_streaming};preview_set_connection_tag {crosser_016.out/cmd_mux.sink8} {qsys_mm.command};add_connection {cmd_demux_008.src1} {crosser_017.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_008.src1/crosser_017.in} {qsys_mm.command};add_connection {crosser_017.out} {cmd_mux_001.sink8} {avalon_streaming};preview_set_connection_tag {crosser_017.out/cmd_mux_001.sink8} {qsys_mm.command};add_connection {cmd_demux_009.src0} {crosser_018.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_009.src0/crosser_018.in} {qsys_mm.command};add_connection {crosser_018.out} {cmd_mux.sink9} {avalon_streaming};preview_set_connection_tag {crosser_018.out/cmd_mux.sink9} {qsys_mm.command};add_connection {cmd_demux_009.src1} {crosser_019.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_009.src1/crosser_019.in} {qsys_mm.command};add_connection {crosser_019.out} {cmd_mux_001.sink9} {avalon_streaming};preview_set_connection_tag {crosser_019.out/cmd_mux_001.sink9} {qsys_mm.command};add_connection {cmd_demux_010.src0} {crosser_020.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_010.src0/crosser_020.in} {qsys_mm.command};add_connection {crosser_020.out} {cmd_mux.sink10} {avalon_streaming};preview_set_connection_tag {crosser_020.out/cmd_mux.sink10} {qsys_mm.command};add_connection {cmd_demux_010.src1} {crosser_021.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_010.src1/crosser_021.in} {qsys_mm.command};add_connection {crosser_021.out} {cmd_mux_001.sink10} {avalon_streaming};preview_set_connection_tag {crosser_021.out/cmd_mux_001.sink10} {qsys_mm.command};add_connection {cmd_demux_011.src0} {crosser_022.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_011.src0/crosser_022.in} {qsys_mm.command};add_connection {crosser_022.out} {cmd_mux.sink11} {avalon_streaming};preview_set_connection_tag {crosser_022.out/cmd_mux.sink11} {qsys_mm.command};add_connection {cmd_demux_011.src1} {crosser_023.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_011.src1/crosser_023.in} {qsys_mm.command};add_connection {crosser_023.out} {cmd_mux_001.sink11} {avalon_streaming};preview_set_connection_tag {crosser_023.out/cmd_mux_001.sink11} {qsys_mm.command};add_connection {cmd_demux_012.src0} {crosser_024.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_012.src0/crosser_024.in} {qsys_mm.command};add_connection {crosser_024.out} {cmd_mux.sink12} {avalon_streaming};preview_set_connection_tag {crosser_024.out/cmd_mux.sink12} {qsys_mm.command};add_connection {cmd_demux_012.src1} {crosser_025.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_012.src1/crosser_025.in} {qsys_mm.command};add_connection {crosser_025.out} {cmd_mux_001.sink12} {avalon_streaming};preview_set_connection_tag {crosser_025.out/cmd_mux_001.sink12} {qsys_mm.command};add_connection {cmd_demux_013.src0} {crosser_026.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_013.src0/crosser_026.in} {qsys_mm.command};add_connection {crosser_026.out} {cmd_mux.sink13} {avalon_streaming};preview_set_connection_tag {crosser_026.out/cmd_mux.sink13} {qsys_mm.command};add_connection {cmd_demux_013.src1} {crosser_027.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_013.src1/crosser_027.in} {qsys_mm.command};add_connection {crosser_027.out} {cmd_mux_001.sink13} {avalon_streaming};preview_set_connection_tag {crosser_027.out/cmd_mux_001.sink13} {qsys_mm.command};add_connection {cmd_demux_014.src0} {crosser_028.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_014.src0/crosser_028.in} {qsys_mm.command};add_connection {crosser_028.out} {cmd_mux.sink14} {avalon_streaming};preview_set_connection_tag {crosser_028.out/cmd_mux.sink14} {qsys_mm.command};add_connection {cmd_demux_014.src1} {crosser_029.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_014.src1/crosser_029.in} {qsys_mm.command};add_connection {crosser_029.out} {cmd_mux_001.sink14} {avalon_streaming};preview_set_connection_tag {crosser_029.out/cmd_mux_001.sink14} {qsys_mm.command};add_connection {cmd_demux_015.src0} {crosser_030.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_015.src0/crosser_030.in} {qsys_mm.command};add_connection {crosser_030.out} {cmd_mux.sink15} {avalon_streaming};preview_set_connection_tag {crosser_030.out/cmd_mux.sink15} {qsys_mm.command};add_connection {cmd_demux_015.src1} {crosser_031.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_015.src1/crosser_031.in} {qsys_mm.command};add_connection {crosser_031.out} {cmd_mux_001.sink15} {avalon_streaming};preview_set_connection_tag {crosser_031.out/cmd_mux_001.sink15} {qsys_mm.command};add_connection {cmd_demux_016.src0} {crosser_032.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_016.src0/crosser_032.in} {qsys_mm.command};add_connection {crosser_032.out} {cmd_mux.sink16} {avalon_streaming};preview_set_connection_tag {crosser_032.out/cmd_mux.sink16} {qsys_mm.command};add_connection {cmd_demux_016.src1} {crosser_033.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_016.src1/crosser_033.in} {qsys_mm.command};add_connection {crosser_033.out} {cmd_mux_001.sink16} {avalon_streaming};preview_set_connection_tag {crosser_033.out/cmd_mux_001.sink16} {qsys_mm.command};add_connection {cmd_demux_017.src0} {crosser_034.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_017.src0/crosser_034.in} {qsys_mm.command};add_connection {crosser_034.out} {cmd_mux.sink17} {avalon_streaming};preview_set_connection_tag {crosser_034.out/cmd_mux.sink17} {qsys_mm.command};add_connection {cmd_demux_017.src1} {crosser_035.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_017.src1/crosser_035.in} {qsys_mm.command};add_connection {crosser_035.out} {cmd_mux_001.sink17} {avalon_streaming};preview_set_connection_tag {crosser_035.out/cmd_mux_001.sink17} {qsys_mm.command};add_connection {cmd_demux_018.src0} {crosser_036.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_018.src0/crosser_036.in} {qsys_mm.command};add_connection {crosser_036.out} {cmd_mux.sink18} {avalon_streaming};preview_set_connection_tag {crosser_036.out/cmd_mux.sink18} {qsys_mm.command};add_connection {cmd_demux_018.src1} {crosser_037.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_018.src1/crosser_037.in} {qsys_mm.command};add_connection {crosser_037.out} {cmd_mux_001.sink18} {avalon_streaming};preview_set_connection_tag {crosser_037.out/cmd_mux_001.sink18} {qsys_mm.command};add_connection {cmd_demux_019.src0} {crosser_038.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_019.src0/crosser_038.in} {qsys_mm.command};add_connection {crosser_038.out} {cmd_mux.sink19} {avalon_streaming};preview_set_connection_tag {crosser_038.out/cmd_mux.sink19} {qsys_mm.command};add_connection {cmd_demux_019.src1} {crosser_039.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_019.src1/crosser_039.in} {qsys_mm.command};add_connection {crosser_039.out} {cmd_mux_001.sink19} {avalon_streaming};preview_set_connection_tag {crosser_039.out/cmd_mux_001.sink19} {qsys_mm.command};add_connection {cmd_demux_020.src0} {crosser_040.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_020.src0/crosser_040.in} {qsys_mm.command};add_connection {crosser_040.out} {cmd_mux.sink20} {avalon_streaming};preview_set_connection_tag {crosser_040.out/cmd_mux.sink20} {qsys_mm.command};add_connection {cmd_demux_020.src1} {crosser_041.in} {avalon_streaming};preview_set_connection_tag {cmd_demux_020.src1/crosser_041.in} {qsys_mm.command};add_connection {crosser_041.out} {cmd_mux_001.sink20} {avalon_streaming};preview_set_connection_tag {crosser_041.out/cmd_mux_001.sink20} {qsys_mm.command};add_connection {rsp_demux.src0} {crosser_042.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/crosser_042.in} {qsys_mm.response};add_connection {crosser_042.out} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {crosser_042.out/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux.src1} {crosser_043.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src1/crosser_043.in} {qsys_mm.response};add_connection {crosser_043.out} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {crosser_043.out/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux.src2} {crosser_044.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src2/crosser_044.in} {qsys_mm.response};add_connection {crosser_044.out} {rsp_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {crosser_044.out/rsp_mux_002.sink0} {qsys_mm.response};add_connection {rsp_demux.src3} {crosser_045.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src3/crosser_045.in} {qsys_mm.response};add_connection {crosser_045.out} {rsp_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {crosser_045.out/rsp_mux_003.sink0} {qsys_mm.response};add_connection {rsp_demux.src4} {crosser_046.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src4/crosser_046.in} {qsys_mm.response};add_connection {crosser_046.out} {rsp_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {crosser_046.out/rsp_mux_004.sink0} {qsys_mm.response};add_connection {rsp_demux.src5} {crosser_047.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src5/crosser_047.in} {qsys_mm.response};add_connection {crosser_047.out} {rsp_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {crosser_047.out/rsp_mux_005.sink0} {qsys_mm.response};add_connection {rsp_demux.src6} {crosser_048.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src6/crosser_048.in} {qsys_mm.response};add_connection {crosser_048.out} {rsp_mux_006.sink0} {avalon_streaming};preview_set_connection_tag {crosser_048.out/rsp_mux_006.sink0} {qsys_mm.response};add_connection {rsp_demux.src7} {crosser_049.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src7/crosser_049.in} {qsys_mm.response};add_connection {crosser_049.out} {rsp_mux_007.sink0} {avalon_streaming};preview_set_connection_tag {crosser_049.out/rsp_mux_007.sink0} {qsys_mm.response};add_connection {rsp_demux.src8} {crosser_050.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src8/crosser_050.in} {qsys_mm.response};add_connection {crosser_050.out} {rsp_mux_008.sink0} {avalon_streaming};preview_set_connection_tag {crosser_050.out/rsp_mux_008.sink0} {qsys_mm.response};add_connection {rsp_demux.src9} {crosser_051.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src9/crosser_051.in} {qsys_mm.response};add_connection {crosser_051.out} {rsp_mux_009.sink0} {avalon_streaming};preview_set_connection_tag {crosser_051.out/rsp_mux_009.sink0} {qsys_mm.response};add_connection {rsp_demux.src10} {crosser_052.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src10/crosser_052.in} {qsys_mm.response};add_connection {crosser_052.out} {rsp_mux_010.sink0} {avalon_streaming};preview_set_connection_tag {crosser_052.out/rsp_mux_010.sink0} {qsys_mm.response};add_connection {rsp_demux.src11} {crosser_053.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src11/crosser_053.in} {qsys_mm.response};add_connection {crosser_053.out} {rsp_mux_011.sink0} {avalon_streaming};preview_set_connection_tag {crosser_053.out/rsp_mux_011.sink0} {qsys_mm.response};add_connection {rsp_demux.src12} {crosser_054.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src12/crosser_054.in} {qsys_mm.response};add_connection {crosser_054.out} {rsp_mux_012.sink0} {avalon_streaming};preview_set_connection_tag {crosser_054.out/rsp_mux_012.sink0} {qsys_mm.response};add_connection {rsp_demux.src13} {crosser_055.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src13/crosser_055.in} {qsys_mm.response};add_connection {crosser_055.out} {rsp_mux_013.sink0} {avalon_streaming};preview_set_connection_tag {crosser_055.out/rsp_mux_013.sink0} {qsys_mm.response};add_connection {rsp_demux.src14} {crosser_056.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src14/crosser_056.in} {qsys_mm.response};add_connection {crosser_056.out} {rsp_mux_014.sink0} {avalon_streaming};preview_set_connection_tag {crosser_056.out/rsp_mux_014.sink0} {qsys_mm.response};add_connection {rsp_demux.src15} {crosser_057.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src15/crosser_057.in} {qsys_mm.response};add_connection {crosser_057.out} {rsp_mux_015.sink0} {avalon_streaming};preview_set_connection_tag {crosser_057.out/rsp_mux_015.sink0} {qsys_mm.response};add_connection {rsp_demux.src16} {crosser_058.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src16/crosser_058.in} {qsys_mm.response};add_connection {crosser_058.out} {rsp_mux_016.sink0} {avalon_streaming};preview_set_connection_tag {crosser_058.out/rsp_mux_016.sink0} {qsys_mm.response};add_connection {rsp_demux.src17} {crosser_059.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src17/crosser_059.in} {qsys_mm.response};add_connection {crosser_059.out} {rsp_mux_017.sink0} {avalon_streaming};preview_set_connection_tag {crosser_059.out/rsp_mux_017.sink0} {qsys_mm.response};add_connection {rsp_demux.src18} {crosser_060.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src18/crosser_060.in} {qsys_mm.response};add_connection {crosser_060.out} {rsp_mux_018.sink0} {avalon_streaming};preview_set_connection_tag {crosser_060.out/rsp_mux_018.sink0} {qsys_mm.response};add_connection {rsp_demux.src19} {crosser_061.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src19/crosser_061.in} {qsys_mm.response};add_connection {crosser_061.out} {rsp_mux_019.sink0} {avalon_streaming};preview_set_connection_tag {crosser_061.out/rsp_mux_019.sink0} {qsys_mm.response};add_connection {rsp_demux.src20} {crosser_062.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src20/crosser_062.in} {qsys_mm.response};add_connection {crosser_062.out} {rsp_mux_020.sink0} {avalon_streaming};preview_set_connection_tag {crosser_062.out/rsp_mux_020.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {crosser_063.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/crosser_063.in} {qsys_mm.response};add_connection {crosser_063.out} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {crosser_063.out/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src1} {crosser_064.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src1/crosser_064.in} {qsys_mm.response};add_connection {crosser_064.out} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {crosser_064.out/rsp_mux_001.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src2} {crosser_065.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src2/crosser_065.in} {qsys_mm.response};add_connection {crosser_065.out} {rsp_mux_002.sink1} {avalon_streaming};preview_set_connection_tag {crosser_065.out/rsp_mux_002.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src3} {crosser_066.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src3/crosser_066.in} {qsys_mm.response};add_connection {crosser_066.out} {rsp_mux_003.sink1} {avalon_streaming};preview_set_connection_tag {crosser_066.out/rsp_mux_003.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src4} {crosser_067.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src4/crosser_067.in} {qsys_mm.response};add_connection {crosser_067.out} {rsp_mux_004.sink1} {avalon_streaming};preview_set_connection_tag {crosser_067.out/rsp_mux_004.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src5} {crosser_068.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src5/crosser_068.in} {qsys_mm.response};add_connection {crosser_068.out} {rsp_mux_005.sink1} {avalon_streaming};preview_set_connection_tag {crosser_068.out/rsp_mux_005.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src6} {crosser_069.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src6/crosser_069.in} {qsys_mm.response};add_connection {crosser_069.out} {rsp_mux_006.sink1} {avalon_streaming};preview_set_connection_tag {crosser_069.out/rsp_mux_006.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src7} {crosser_070.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src7/crosser_070.in} {qsys_mm.response};add_connection {crosser_070.out} {rsp_mux_007.sink1} {avalon_streaming};preview_set_connection_tag {crosser_070.out/rsp_mux_007.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src8} {crosser_071.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src8/crosser_071.in} {qsys_mm.response};add_connection {crosser_071.out} {rsp_mux_008.sink1} {avalon_streaming};preview_set_connection_tag {crosser_071.out/rsp_mux_008.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src9} {crosser_072.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src9/crosser_072.in} {qsys_mm.response};add_connection {crosser_072.out} {rsp_mux_009.sink1} {avalon_streaming};preview_set_connection_tag {crosser_072.out/rsp_mux_009.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src10} {crosser_073.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src10/crosser_073.in} {qsys_mm.response};add_connection {crosser_073.out} {rsp_mux_010.sink1} {avalon_streaming};preview_set_connection_tag {crosser_073.out/rsp_mux_010.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src11} {crosser_074.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src11/crosser_074.in} {qsys_mm.response};add_connection {crosser_074.out} {rsp_mux_011.sink1} {avalon_streaming};preview_set_connection_tag {crosser_074.out/rsp_mux_011.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src12} {crosser_075.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src12/crosser_075.in} {qsys_mm.response};add_connection {crosser_075.out} {rsp_mux_012.sink1} {avalon_streaming};preview_set_connection_tag {crosser_075.out/rsp_mux_012.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src13} {crosser_076.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src13/crosser_076.in} {qsys_mm.response};add_connection {crosser_076.out} {rsp_mux_013.sink1} {avalon_streaming};preview_set_connection_tag {crosser_076.out/rsp_mux_013.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src14} {crosser_077.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src14/crosser_077.in} {qsys_mm.response};add_connection {crosser_077.out} {rsp_mux_014.sink1} {avalon_streaming};preview_set_connection_tag {crosser_077.out/rsp_mux_014.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src15} {crosser_078.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src15/crosser_078.in} {qsys_mm.response};add_connection {crosser_078.out} {rsp_mux_015.sink1} {avalon_streaming};preview_set_connection_tag {crosser_078.out/rsp_mux_015.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src16} {crosser_079.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src16/crosser_079.in} {qsys_mm.response};add_connection {crosser_079.out} {rsp_mux_016.sink1} {avalon_streaming};preview_set_connection_tag {crosser_079.out/rsp_mux_016.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src17} {crosser_080.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src17/crosser_080.in} {qsys_mm.response};add_connection {crosser_080.out} {rsp_mux_017.sink1} {avalon_streaming};preview_set_connection_tag {crosser_080.out/rsp_mux_017.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src18} {crosser_081.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src18/crosser_081.in} {qsys_mm.response};add_connection {crosser_081.out} {rsp_mux_018.sink1} {avalon_streaming};preview_set_connection_tag {crosser_081.out/rsp_mux_018.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src19} {crosser_082.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src19/crosser_082.in} {qsys_mm.response};add_connection {crosser_082.out} {rsp_mux_019.sink1} {avalon_streaming};preview_set_connection_tag {crosser_082.out/rsp_mux_019.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src20} {crosser_083.in} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src20/crosser_083.in} {qsys_mm.response};add_connection {crosser_083.out} {rsp_mux_020.sink1} {avalon_streaming};preview_set_connection_tag {crosser_083.out/rsp_mux_020.sink1} {qsys_mm.response};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {FTDI_UMFT601A_Module_avalon_master_data_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Memory_Filler_avalon_master_data_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch1_avalon_mm_left_buffer_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch2_avalon_mm_left_buffer_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch3_avalon_mm_left_buffer_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch4_avalon_mm_left_buffer_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch5_avalon_mm_left_buffer_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch6_avalon_mm_left_buffer_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch1_avalon_mm_right_buffer_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch2_avalon_mm_right_buffer_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch3_avalon_mm_right_buffer_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch4_avalon_mm_right_buffer_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch5_avalon_mm_right_buffer_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch6_avalon_mm_right_buffer_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {ddr2_address_span_extender_expanded_master_translator.reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {FTDI_UMFT601A_Module_avalon_master_data_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Memory_Filler_avalon_master_data_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch1_avalon_mm_left_buffer_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch2_avalon_mm_left_buffer_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch3_avalon_mm_left_buffer_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch4_avalon_mm_left_buffer_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch5_avalon_mm_left_buffer_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch6_avalon_mm_left_buffer_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch1_avalon_mm_right_buffer_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch2_avalon_mm_right_buffer_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch3_avalon_mm_right_buffer_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch4_avalon_mm_right_buffer_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch5_avalon_mm_right_buffer_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {Communication_Module_v2_Ch6_avalon_mm_right_buffer_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {ddr2_address_span_extender_expanded_master_agent.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_008.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_009.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_010.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_011.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_012.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_013.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_014.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_015.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_016.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_017.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_018.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_019.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {router_020.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {ddr2_address_span_extender_expanded_master_limiter.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_002.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_003.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_004.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_005.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_006.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_007.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_008.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_009.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_010.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_011.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_012.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_013.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_014.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_015.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_016.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_017.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_018.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_019.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {cmd_demux_020.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_002.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_003.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_004.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_005.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_006.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_007.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_008.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_009.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_010.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_011.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_012.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_013.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_014.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_015.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_016.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_017.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_018.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_019.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rsp_mux_020.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {ddr2_address_span_extender_expanded_master_cmd_width_adapter.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {ddr2_address_span_extender_expanded_master_rsp_width_adapter.clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_001.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_002.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_003.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_004.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_005.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_006.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_007.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_008.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_009.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_010.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_011.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_012.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_013.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_014.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_015.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_016.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_017.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_018.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_019.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_020.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_021.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_022.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_023.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_024.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_025.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_026.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_027.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_028.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_029.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_030.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_031.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_032.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_033.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_034.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_035.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_036.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_037.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_038.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_039.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_040.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_041.in_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_042.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_043.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_044.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_045.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_046.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_047.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_048.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_049.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_050.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_051.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_052.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_053.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_054.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_055.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_056.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_057.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_058.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_059.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_060.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_061.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_062.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_063.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_064.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_065.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_066.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_067.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_068.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_069.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_070.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_071.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_072.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_073.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_074.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_075.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_076.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_077.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_078.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_079.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_080.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_081.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_082.out_clk_reset} {reset};add_connection {FTDI_UMFT601A_Module_reset_sink_reset_bridge.out_reset} {crosser_083.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {m1_clock_bridge_s0_translator.reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {m1_clock_bridge_s0_agent.clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {m1_clock_bridge_s0_agent_rsp_fifo.clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {m1_clock_bridge_s0_agent_rdata_fifo.clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {router_022.clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {m1_clock_bridge_s0_burst_adapter.cr0_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_001.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_003.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_005.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_007.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_009.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_011.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_013.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_015.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_017.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_019.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_021.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_023.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_025.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_027.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_029.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_031.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_033.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_035.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_037.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_039.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_041.out_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_063.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_064.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_065.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_066.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_067.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_068.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_069.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_070.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_071.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_072.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_073.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_074.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_075.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_076.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_077.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_078.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_079.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_080.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_081.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_082.in_clk_reset} {reset};add_connection {m1_clock_bridge_s0_reset_reset_bridge.out_reset} {crosser_083.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {m2_ddr2_memory_avl_translator.reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {m2_ddr2_memory_avl_agent.clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {m2_ddr2_memory_avl_agent_rsp_fifo.clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {m2_ddr2_memory_avl_agent_rdata_fifo.clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {router_021.clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_002.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_004.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_006.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_008.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_010.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_012.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_014.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_016.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_018.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_020.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_022.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_024.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_026.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_028.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_030.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_032.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_034.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_036.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_038.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_040.out_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_042.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_043.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_044.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_045.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_046.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_047.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_048.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_049.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_050.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_051.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_052.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_053.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_054.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_055.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_056.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_057.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_058.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_059.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_060.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_061.in_clk_reset} {reset};add_connection {m2_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_062.in_clk_reset} {reset};add_connection {clk_100_clk_clock_bridge.out_clk} {FTDI_UMFT601A_Module_avalon_master_data_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Memory_Filler_avalon_master_data_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch1_avalon_mm_left_buffer_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch2_avalon_mm_left_buffer_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch3_avalon_mm_left_buffer_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch4_avalon_mm_left_buffer_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch5_avalon_mm_left_buffer_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch6_avalon_mm_left_buffer_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch1_avalon_mm_right_buffer_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch2_avalon_mm_right_buffer_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch3_avalon_mm_right_buffer_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch4_avalon_mm_right_buffer_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch5_avalon_mm_right_buffer_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch6_avalon_mm_right_buffer_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ddr2_address_span_extender_expanded_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {FTDI_UMFT601A_Module_avalon_master_data_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Memory_Filler_avalon_master_data_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch1_avalon_mm_left_buffer_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch2_avalon_mm_left_buffer_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch3_avalon_mm_left_buffer_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch4_avalon_mm_left_buffer_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch5_avalon_mm_left_buffer_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch6_avalon_mm_left_buffer_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch1_avalon_mm_right_buffer_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch2_avalon_mm_right_buffer_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch3_avalon_mm_right_buffer_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch4_avalon_mm_right_buffer_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch5_avalon_mm_right_buffer_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch6_avalon_mm_right_buffer_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ddr2_address_span_extender_expanded_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_008.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_009.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_010.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_011.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_012.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_013.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_014.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_015.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_016.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_017.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_018.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_019.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_020.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ddr2_address_span_extender_expanded_master_limiter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_002.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_002.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_003.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_003.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_004.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_004.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_005.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_005.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_006.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_006.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_007.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_007.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_008.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_008.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_009.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_009.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_010.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_010.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_011.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_011.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_012.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_012.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_013.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_013.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_014.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_014.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_015.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_015.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_016.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_016.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_017.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_017.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_018.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_018.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_019.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_019.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_020.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_020.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_rsp_width_adapter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_rsp_width_adapter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_rsp_width_adapter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_rsp_width_adapter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_rsp_width_adapter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_cmd_width_adapter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_cmd_width_adapter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_cmd_width_adapter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_cmd_width_adapter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_cmd_width_adapter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_cmd_width_adapter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ddr2_address_span_extender_expanded_master_cmd_width_adapter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ddr2_address_span_extender_expanded_master_rsp_width_adapter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_001.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_002.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_003.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_004.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_005.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_006.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_007.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_008.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_009.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_010.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_011.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_012.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_013.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_014.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_015.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_016.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_017.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_018.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_019.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_020.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_021.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_022.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_023.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_024.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_025.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_026.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_027.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_028.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_029.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_030.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_031.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_032.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_033.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_034.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_035.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_036.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_037.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_038.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_039.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_040.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_041.in_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_042.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_043.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_044.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_045.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_046.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_047.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_048.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_049.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_050.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_051.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_052.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_053.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_054.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_055.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_056.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_057.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_058.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_059.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_060.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_061.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_062.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_063.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_064.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_065.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_066.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_067.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_068.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_069.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_070.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_071.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_072.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_073.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_074.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_075.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_076.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_077.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_078.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_079.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_080.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_081.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_082.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {crosser_083.out_clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {FTDI_UMFT601A_Module_reset_sink_reset_bridge.clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {m2_ddr2_memory_avl_translator.clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {m2_ddr2_memory_avl_agent.clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {m2_ddr2_memory_avl_agent_rsp_fifo.clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {m2_ddr2_memory_avl_agent_rdata_fifo.clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {router_021.clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_002.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_004.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_006.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_008.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_010.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_012.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_014.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_016.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_018.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_020.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_022.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_024.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_026.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_028.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_030.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_032.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_034.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_036.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_038.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_040.out_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_042.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_043.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_044.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_045.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_046.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_047.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_048.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_049.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_050.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_051.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_052.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_053.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_054.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_055.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_056.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_057.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_058.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_059.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_060.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_061.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_062.in_clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {m2_ddr2_memory_soft_reset_reset_bridge.clk} {clock};add_connection {m2_ddr2_memory_afi_clk_clock_bridge.out_clk} {m2_ddr2_memory_avl_translator_reset_reset_bridge.clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {m1_clock_bridge_s0_translator.clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {m1_clock_bridge_s0_agent.clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {m1_clock_bridge_s0_agent_rsp_fifo.clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {m1_clock_bridge_s0_agent_rdata_fifo.clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {router_022.clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {m1_clock_bridge_s0_burst_adapter.cr0} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_001.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_003.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_005.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_007.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_009.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_011.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_013.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_015.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_017.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_019.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_021.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_023.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_025.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_027.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_029.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_031.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_033.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_035.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_037.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_039.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_041.out_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_063.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_064.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_065.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_066.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_067.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_068.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_069.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_070.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_071.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_072.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_073.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_074.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_075.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_076.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_077.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_078.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_079.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_080.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_081.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_082.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_083.in_clk} {clock};add_connection {m2_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {m1_clock_bridge_s0_reset_reset_bridge.clk} {clock};add_interface {clk_100_clk} {clock} {slave};set_interface_property {clk_100_clk} {EXPORT_OF} {clk_100_clk_clock_bridge.in_clk};add_interface {m2_ddr2_memory_afi_clk} {clock} {slave};set_interface_property {m2_ddr2_memory_afi_clk} {EXPORT_OF} {m2_ddr2_memory_afi_clk_clock_bridge.in_clk};add_interface {m2_ddr2_memory_afi_half_clk} {clock} {slave};set_interface_property {m2_ddr2_memory_afi_half_clk} {EXPORT_OF} {m2_ddr2_memory_afi_half_clk_clock_bridge.in_clk};add_interface {FTDI_UMFT601A_Module_reset_sink_reset_bridge_in_reset} {reset} {slave};set_interface_property {FTDI_UMFT601A_Module_reset_sink_reset_bridge_in_reset} {EXPORT_OF} {FTDI_UMFT601A_Module_reset_sink_reset_bridge.in_reset};add_interface {m1_clock_bridge_s0_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {m1_clock_bridge_s0_reset_reset_bridge_in_reset} {EXPORT_OF} {m1_clock_bridge_s0_reset_reset_bridge.in_reset};add_interface {m2_ddr2_memory_avl_translator_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {m2_ddr2_memory_avl_translator_reset_reset_bridge_in_reset} {EXPORT_OF} {m2_ddr2_memory_avl_translator_reset_reset_bridge.in_reset};add_interface {m2_ddr2_memory_soft_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {m2_ddr2_memory_soft_reset_reset_bridge_in_reset} {EXPORT_OF} {m2_ddr2_memory_soft_reset_reset_bridge.in_reset};add_interface {Communication_Module_v2_Ch1_avalon_mm_left_buffer_master} {avalon} {slave};set_interface_property {Communication_Module_v2_Ch1_avalon_mm_left_buffer_master} {EXPORT_OF} {Communication_Module_v2_Ch1_avalon_mm_left_buffer_master_translator.avalon_anti_master_0};add_interface {Communication_Module_v2_Ch1_avalon_mm_right_buffer_master} {avalon} {slave};set_interface_property {Communication_Module_v2_Ch1_avalon_mm_right_buffer_master} {EXPORT_OF} {Communication_Module_v2_Ch1_avalon_mm_right_buffer_master_translator.avalon_anti_master_0};add_interface {Communication_Module_v2_Ch2_avalon_mm_left_buffer_master} {avalon} {slave};set_interface_property {Communication_Module_v2_Ch2_avalon_mm_left_buffer_master} {EXPORT_OF} {Communication_Module_v2_Ch2_avalon_mm_left_buffer_master_translator.avalon_anti_master_0};add_interface {Communication_Module_v2_Ch2_avalon_mm_right_buffer_master} {avalon} {slave};set_interface_property {Communication_Module_v2_Ch2_avalon_mm_right_buffer_master} {EXPORT_OF} {Communication_Module_v2_Ch2_avalon_mm_right_buffer_master_translator.avalon_anti_master_0};add_interface {Communication_Module_v2_Ch3_avalon_mm_left_buffer_master} {avalon} {slave};set_interface_property {Communication_Module_v2_Ch3_avalon_mm_left_buffer_master} {EXPORT_OF} {Communication_Module_v2_Ch3_avalon_mm_left_buffer_master_translator.avalon_anti_master_0};add_interface {Communication_Module_v2_Ch3_avalon_mm_right_buffer_master} {avalon} {slave};set_interface_property {Communication_Module_v2_Ch3_avalon_mm_right_buffer_master} {EXPORT_OF} {Communication_Module_v2_Ch3_avalon_mm_right_buffer_master_translator.avalon_anti_master_0};add_interface {Communication_Module_v2_Ch4_avalon_mm_left_buffer_master} {avalon} {slave};set_interface_property {Communication_Module_v2_Ch4_avalon_mm_left_buffer_master} {EXPORT_OF} {Communication_Module_v2_Ch4_avalon_mm_left_buffer_master_translator.avalon_anti_master_0};add_interface {Communication_Module_v2_Ch4_avalon_mm_right_buffer_master} {avalon} {slave};set_interface_property {Communication_Module_v2_Ch4_avalon_mm_right_buffer_master} {EXPORT_OF} {Communication_Module_v2_Ch4_avalon_mm_right_buffer_master_translator.avalon_anti_master_0};add_interface {Communication_Module_v2_Ch5_avalon_mm_left_buffer_master} {avalon} {slave};set_interface_property {Communication_Module_v2_Ch5_avalon_mm_left_buffer_master} {EXPORT_OF} {Communication_Module_v2_Ch5_avalon_mm_left_buffer_master_translator.avalon_anti_master_0};add_interface {Communication_Module_v2_Ch5_avalon_mm_right_buffer_master} {avalon} {slave};set_interface_property {Communication_Module_v2_Ch5_avalon_mm_right_buffer_master} {EXPORT_OF} {Communication_Module_v2_Ch5_avalon_mm_right_buffer_master_translator.avalon_anti_master_0};add_interface {Communication_Module_v2_Ch6_avalon_mm_left_buffer_master} {avalon} {slave};set_interface_property {Communication_Module_v2_Ch6_avalon_mm_left_buffer_master} {EXPORT_OF} {Communication_Module_v2_Ch6_avalon_mm_left_buffer_master_translator.avalon_anti_master_0};add_interface {Communication_Module_v2_Ch6_avalon_mm_right_buffer_master} {avalon} {slave};set_interface_property {Communication_Module_v2_Ch6_avalon_mm_right_buffer_master} {EXPORT_OF} {Communication_Module_v2_Ch6_avalon_mm_right_buffer_master_translator.avalon_anti_master_0};add_interface {ddr2_address_span_extender_expanded_master} {avalon} {slave};set_interface_property {ddr2_address_span_extender_expanded_master} {EXPORT_OF} {ddr2_address_span_extender_expanded_master_translator.avalon_anti_master_0};add_interface {FTDI_UMFT601A_Module_avalon_master_data} {avalon} {slave};set_interface_property {FTDI_UMFT601A_Module_avalon_master_data} {EXPORT_OF} {FTDI_UMFT601A_Module_avalon_master_data_translator.avalon_anti_master_0};add_interface {Memory_Filler_avalon_master_data} {avalon} {slave};set_interface_property {Memory_Filler_avalon_master_data} {EXPORT_OF} {Memory_Filler_avalon_master_data_translator.avalon_anti_master_0};add_interface {rmap_mem_nfee_comm_1_avalon_mm_rmap_master} {avalon} {slave};set_interface_property {rmap_mem_nfee_comm_1_avalon_mm_rmap_master} {EXPORT_OF} {rmap_mem_nfee_comm_1_avalon_mm_rmap_master_translator.avalon_anti_master_0};add_interface {rmap_mem_nfee_comm_2_avalon_mm_rmap_master} {avalon} {slave};set_interface_property {rmap_mem_nfee_comm_2_avalon_mm_rmap_master} {EXPORT_OF} {rmap_mem_nfee_comm_2_avalon_mm_rmap_master_translator.avalon_anti_master_0};add_interface {rmap_mem_nfee_comm_3_avalon_mm_rmap_master} {avalon} {slave};set_interface_property {rmap_mem_nfee_comm_3_avalon_mm_rmap_master} {EXPORT_OF} {rmap_mem_nfee_comm_3_avalon_mm_rmap_master_translator.avalon_anti_master_0};add_interface {rmap_mem_nfee_comm_4_avalon_mm_rmap_master} {avalon} {slave};set_interface_property {rmap_mem_nfee_comm_4_avalon_mm_rmap_master} {EXPORT_OF} {rmap_mem_nfee_comm_4_avalon_mm_rmap_master_translator.avalon_anti_master_0};add_interface {rmap_mem_nfee_comm_5_avalon_mm_rmap_master} {avalon} {slave};set_interface_property {rmap_mem_nfee_comm_5_avalon_mm_rmap_master} {EXPORT_OF} {rmap_mem_nfee_comm_5_avalon_mm_rmap_master_translator.avalon_anti_master_0};add_interface {rmap_mem_nfee_comm_6_avalon_mm_rmap_master} {avalon} {slave};set_interface_property {rmap_mem_nfee_comm_6_avalon_mm_rmap_master} {EXPORT_OF} {rmap_mem_nfee_comm_6_avalon_mm_rmap_master_translator.avalon_anti_master_0};add_interface {m1_clock_bridge_s0} {avalon} {master};set_interface_property {m1_clock_bridge_s0} {EXPORT_OF} {m1_clock_bridge_s0_translator.avalon_anti_slave_0};add_interface {m2_ddr2_memory_avl} {avalon} {master};set_interface_property {m2_ddr2_memory_avl} {EXPORT_OF} {m2_ddr2_memory_avl_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.Communication_Module_v2_Ch1.avalon_mm_left_buffer_master} {0};set_module_assignment {interconnect_id.Communication_Module_v2_Ch1.avalon_mm_right_buffer_master} {1};set_module_assignment {interconnect_id.Communication_Module_v2_Ch2.avalon_mm_left_buffer_master} {2};set_module_assignment {interconnect_id.Communication_Module_v2_Ch2.avalon_mm_right_buffer_master} {3};set_module_assignment {interconnect_id.Communication_Module_v2_Ch3.avalon_mm_left_buffer_master} {4};set_module_assignment {interconnect_id.Communication_Module_v2_Ch3.avalon_mm_right_buffer_master} {5};set_module_assignment {interconnect_id.Communication_Module_v2_Ch4.avalon_mm_left_buffer_master} {6};set_module_assignment {interconnect_id.Communication_Module_v2_Ch4.avalon_mm_right_buffer_master} {7};set_module_assignment {interconnect_id.Communication_Module_v2_Ch5.avalon_mm_left_buffer_master} {8};set_module_assignment {interconnect_id.Communication_Module_v2_Ch5.avalon_mm_right_buffer_master} {9};set_module_assignment {interconnect_id.Communication_Module_v2_Ch6.avalon_mm_left_buffer_master} {10};set_module_assignment {interconnect_id.Communication_Module_v2_Ch6.avalon_mm_right_buffer_master} {11};set_module_assignment {interconnect_id.FTDI_UMFT601A_Module.avalon_master_data} {12};set_module_assignment {interconnect_id.Memory_Filler.avalon_master_data} {13};set_module_assignment {interconnect_id.ddr2_address_span_extender.expanded_master} {14};set_module_assignment {interconnect_id.m1_clock_bridge.s0} {0};set_module_assignment {interconnect_id.m2_ddr2_memory.avl} {1};set_module_assignment {interconnect_id.rmap_mem_nfee_comm_1.avalon_mm_rmap_master} {15};set_module_assignment {interconnect_id.rmap_mem_nfee_comm_2.avalon_mm_rmap_master} {16};set_module_assignment {interconnect_id.rmap_mem_nfee_comm_3.avalon_mm_rmap_master} {17};set_module_assignment {interconnect_id.rmap_mem_nfee_comm_4.avalon_mm_rmap_master} {18};set_module_assignment {interconnect_id.rmap_mem_nfee_comm_5.avalon_mm_rmap_master} {19};set_module_assignment {interconnect_id.rmap_mem_nfee_comm_6.avalon_mm_rmap_master} {20};" /> @@ -21310,16 +21394,16 @@ Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.031s - Timing: COM:3/0.058s/0.083s + Timing: ELA:1/0.007s + Timing: COM:3/0.037s/0.055s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.008s - Timing: COM:3/0.017s/0.018s + Timing: ELA:1/0.007s + Timing: COM:3/0.013s/0.016s 228 modules, 901 connections]]> @@ -21546,62 +21630,62 @@ mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_0_avalon_st_adapter"]]> mm_interconnect_0" reuses altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_0_avalon_st_adapter"]]> MebX_Qsys_Project" instantiated altera_mm_interconnect "mm_interconnect_0"]]> - queue size: 606 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + queue size: 613 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" mm_interconnect_0" instantiated altera_merlin_master_translator "FTDI_UMFT601A_Module_avalon_master_data_translator"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> - queue size: 621 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> + queue size: 628 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" ext_flash" instantiated altera_merlin_slave_translator "slave_translator"]]> - queue size: 583 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + queue size: 590 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" mm_interconnect_0" instantiated altera_merlin_master_agent "FTDI_UMFT601A_Module_avalon_master_data_agent"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> - queue size: 562 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> + queue size: 569 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" mm_interconnect_0" instantiated altera_merlin_slave_agent "m2_ddr2_memory_avl_agent"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> - queue size: 561 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + queue size: 568 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" mm_interconnect_0" instantiated altera_avalon_sc_fifo "m2_ddr2_memory_avl_agent_rsp_fifo"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> - queue size: 556 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + queue size: 563 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router" mm_interconnect_0" instantiated altera_merlin_router "router"]]> - queue size: 542 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router_014" + queue size: 549 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router_014" mm_interconnect_0" instantiated altera_merlin_router "router_014"]]> - queue size: 536 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router_020" + queue size: 543 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router_020" mm_interconnect_0" instantiated altera_merlin_router "router_020"]]> - queue size: 535 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router_021" + queue size: 542 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router_021" mm_interconnect_0" instantiated altera_merlin_router "router_021"]]> - queue size: 533 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" + queue size: 540 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" mm_interconnect_0" instantiated altera_merlin_traffic_limiter "ddr2_address_span_extender_expanded_master_limiter"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> - queue size: 532 starting:altera_merlin_burst_adapter "submodules/altera_merlin_burst_adapter" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + queue size: 539 starting:altera_merlin_burst_adapter "submodules/altera_merlin_burst_adapter" mm_interconnect_0" instantiated altera_merlin_burst_adapter "m1_clock_bridge_s0_burst_adapter"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> - queue size: 531 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_cmd_demux" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> + queue size: 538 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_cmd_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux"]]> - queue size: 510 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_cmd_mux" + queue size: 517 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_cmd_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 508 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_rsp_demux" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 515 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_rsp_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux"]]> - queue size: 507 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_rsp_demux_001" + queue size: 514 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_rsp_demux_001" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux_001"]]> - queue size: 506 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_rsp_mux" + queue size: 513 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_rsp_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 485 starting:altera_merlin_width_adapter "submodules/altera_merlin_width_adapter" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 492 starting:altera_merlin_width_adapter "submodules/altera_merlin_width_adapter" mm_interconnect_0" instantiated altera_merlin_width_adapter "rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_address_alignment.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> - queue size: 471 starting:altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_address_alignment.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + queue size: 478 starting:altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser" mm_interconnect_0" instantiated altera_avalon_st_handshake_clock_crosser "crosser"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_std_synchronizer_nocut.v]]> - queue size: 387 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_0_avalon_st_adapter" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_std_synchronizer_nocut.v]]> + queue size: 394 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_0_avalon_st_adapter" @@ -22220,193 +22304,193 @@ };set_instance_parameter_value {nios2_gen2_0_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {1};set_instance_parameter_value {nios2_gen2_0_instruction_master_agent} {ID} {1};set_instance_parameter_value {nios2_gen2_0_instruction_master_agent} {BURSTWRAP_VALUE} {31};set_instance_parameter_value {nios2_gen2_0_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_gen2_0_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_gen2_0_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_gen2_0_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_0_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {ST_DATA_W} {122};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {ID} {13};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent} {ECC_ENABLE} {0};add_instance {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {ST_DATA_W} {122};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {ID} {0};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent} {ECC_ENABLE} {0};add_instance {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {ST_DATA_W} {122};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {ID} {1};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent} {ECC_ENABLE} {0};add_instance {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {ST_DATA_W} {122};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {ID} {2};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent} {ECC_ENABLE} {0};add_instance {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {ST_DATA_W} {122};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {ID} {3};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent} {ECC_ENABLE} {0};add_instance {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {ST_DATA_W} {122};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {ID} {4};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent} {ECC_ENABLE} {0};add_instance {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {ST_DATA_W} {122};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {ID} {5};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent} {ECC_ENABLE} {0};add_instance {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {Synchronization_COMM_0_avalon_mm_config_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {ST_DATA_W} {122};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {ID} {8};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent} {ECC_ENABLE} {0};add_instance {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {altera_merlin_slave_agent};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_DATA_H} {31};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {ST_DATA_W} {122};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {ID} {16};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent} {ECC_ENABLE} {0};add_instance {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {altera_merlin_slave_agent};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_DATA_H} {31};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {ST_DATA_W} {122};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {ID} {17};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent} {ECC_ENABLE} {0};add_instance {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {altera_merlin_slave_agent};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_DATA_H} {31};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {ST_DATA_W} {122};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {ID} {18};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent} {ECC_ENABLE} {0};add_instance {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {altera_merlin_slave_agent};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_DATA_H} {31};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {ST_DATA_W} {122};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {ID} {19};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent} {ECC_ENABLE} {0};add_instance {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {altera_merlin_slave_agent};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_DATA_H} {31};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {ST_DATA_W} {122};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {ID} {20};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent} {ECC_ENABLE} {0};add_instance {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {altera_merlin_slave_agent};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_DATA_H} {31};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {ST_DATA_W} {122};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {ID} {21};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent} {ECC_ENABLE} {0};add_instance {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {altera_merlin_slave_agent};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_DATA_H} {31};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_DATA_L} {0};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {ST_DATA_W} {122};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {ID} {22};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent} {ECC_ENABLE} {0};add_instance {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {FTDI_UMFT601A_Module_avalon_slave_config_agent} {altera_merlin_slave_agent};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_DATA_H} {31};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_DATA_L} {0};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {ST_DATA_W} {122};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {ID} {6};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent} {ECC_ENABLE} {0};add_instance {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {Memory_Filler_avalon_slave_config_agent} {altera_merlin_slave_agent};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_DATA_H} {31};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_DATA_L} {0};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {ST_DATA_W} {122};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {ID} {7};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent} {ECC_ENABLE} {0};add_instance {Memory_Filler_avalon_slave_config_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {Memory_Filler_avalon_slave_config_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {ddr2_address_span_extender_cntl_agent} {altera_merlin_slave_agent};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_ORI_BURST_SIZE_H} {157};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_ORI_BURST_SIZE_L} {155};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_RESPONSE_STATUS_H} {154};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_RESPONSE_STATUS_L} {153};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_BURST_SIZE_H} {128};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_BURST_SIZE_L} {126};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_TRANS_LOCK} {108};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_BEGIN_BURST} {133};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_PROTECTION_H} {148};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_PROTECTION_L} {146};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_BURSTWRAP_H} {125};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_BURSTWRAP_L} {120};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_BYTE_CNT_H} {119};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_BYTE_CNT_L} {110};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_ADDR_H} {103};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_ADDR_L} {72};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_TRANS_COMPRESSED_READ} {104};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_TRANS_POSTED} {105};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_TRANS_WRITE} {106};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_TRANS_READ} {107};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_DATA_H} {63};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_DATA_L} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_BYTEEN_H} {71};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_BYTEEN_L} {64};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_SRC_ID_H} {139};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_SRC_ID_L} {135};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_DEST_ID_H} {144};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_DEST_ID_L} {140};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {ST_DATA_W} {158};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {AVS_BURSTCOUNT_W} {4};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(157:155) response_status(154:153) cache(152:149) protection(148:146) thread_id(145) dest_id(144:140) src_id(139:135) qos(134) begin_burst(133) data_sideband(132) addr_sideband(131) burst_type(130:129) burst_size(128:126) burstwrap(125:120) byte_cnt(119:110) trans_exclusive(109) trans_lock(108) trans_read(107) trans_write(106) trans_posted(105) trans_compressed_read(104) addr(103:72) byteen(71:64) data(63:0)};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {MAX_BYTE_CNT} {8};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {ID} {10};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent} {ECC_ENABLE} {0};add_instance {ddr2_address_span_extender_cntl_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rsp_fifo} {BITS_PER_SYMBOL} {159};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {ddr2_address_span_extender_cntl_agent_rdata_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rdata_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rdata_fifo} {BITS_PER_SYMBOL} {66};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rdata_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rdata_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rdata_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rdata_fifo} {USE_PACKETS} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rdata_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rdata_fifo} {EMPTY_LATENCY} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rdata_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rdata_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rdata_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rdata_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rdata_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {ddr2_address_span_extender_cntl_agent_rdata_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sysid_qsys_control_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ST_DATA_W} {122};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sysid_qsys_control_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ID} {23};set_instance_parameter_value {sysid_qsys_control_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ECC_ENABLE} {0};add_instance {sysid_qsys_control_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {nios2_gen2_0_debug_mem_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {ST_DATA_W} {122};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {ID} {14};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent} {ECC_ENABLE} {0};add_instance {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {clock_bridge_afi_50_s0_agent} {altera_merlin_slave_agent};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_DATA_H} {31};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_DATA_L} {0};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {ST_DATA_W} {122};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {ID} {9};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {clock_bridge_afi_50_s0_agent} {ECC_ENABLE} {0};add_instance {clock_bridge_afi_50_s0_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {clock_bridge_afi_50_s0_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {clock_bridge_afi_50_s0_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {clock_bridge_afi_50_s0_agent_rsp_fifo} {FIFO_DEPTH} {9};set_instance_parameter_value {clock_bridge_afi_50_s0_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {clock_bridge_afi_50_s0_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {clock_bridge_afi_50_s0_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {clock_bridge_afi_50_s0_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {clock_bridge_afi_50_s0_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {clock_bridge_afi_50_s0_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {clock_bridge_afi_50_s0_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {clock_bridge_afi_50_s0_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {clock_bridge_afi_50_s0_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {clock_bridge_afi_50_s0_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {clock_bridge_afi_50_s0_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {onchip_memory_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {onchip_memory_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {onchip_memory_s1_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {onchip_memory_s1_agent} {ST_DATA_W} {122};set_instance_parameter_value {onchip_memory_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {onchip_memory_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {onchip_memory_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {onchip_memory_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {onchip_memory_s1_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {onchip_memory_s1_agent} {ID} {15};set_instance_parameter_value {onchip_memory_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {onchip_memory_s1_agent} {ECC_ENABLE} {0};add_instance {onchip_memory_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {onchip_memory_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {onchip_memory_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {onchip_memory_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {onchip_memory_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {onchip_memory_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {onchip_memory_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {onchip_memory_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {onchip_memory_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {onchip_memory_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {onchip_memory_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {onchip_memory_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {onchip_memory_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {onchip_memory_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {onchip_memory_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {ext_flash_uas_agent} {altera_merlin_slave_agent};set_instance_parameter_value {ext_flash_uas_agent} {PKT_ORI_BURST_SIZE_H} {103};set_instance_parameter_value {ext_flash_uas_agent} {PKT_ORI_BURST_SIZE_L} {101};set_instance_parameter_value {ext_flash_uas_agent} {PKT_RESPONSE_STATUS_H} {100};set_instance_parameter_value {ext_flash_uas_agent} {PKT_RESPONSE_STATUS_L} {99};set_instance_parameter_value {ext_flash_uas_agent} {PKT_BURST_SIZE_H} {74};set_instance_parameter_value {ext_flash_uas_agent} {PKT_BURST_SIZE_L} {72};set_instance_parameter_value {ext_flash_uas_agent} {PKT_TRANS_LOCK} {54};set_instance_parameter_value {ext_flash_uas_agent} {PKT_BEGIN_BURST} {79};set_instance_parameter_value {ext_flash_uas_agent} {PKT_PROTECTION_H} {94};set_instance_parameter_value {ext_flash_uas_agent} {PKT_PROTECTION_L} {92};set_instance_parameter_value {ext_flash_uas_agent} {PKT_BURSTWRAP_H} {71};set_instance_parameter_value {ext_flash_uas_agent} {PKT_BURSTWRAP_L} {66};set_instance_parameter_value {ext_flash_uas_agent} {PKT_BYTE_CNT_H} {65};set_instance_parameter_value {ext_flash_uas_agent} {PKT_BYTE_CNT_L} {56};set_instance_parameter_value {ext_flash_uas_agent} {PKT_ADDR_H} {49};set_instance_parameter_value {ext_flash_uas_agent} {PKT_ADDR_L} {18};set_instance_parameter_value {ext_flash_uas_agent} {PKT_TRANS_COMPRESSED_READ} {50};set_instance_parameter_value {ext_flash_uas_agent} {PKT_TRANS_POSTED} {51};set_instance_parameter_value {ext_flash_uas_agent} {PKT_TRANS_WRITE} {52};set_instance_parameter_value {ext_flash_uas_agent} {PKT_TRANS_READ} {53};set_instance_parameter_value {ext_flash_uas_agent} {PKT_DATA_H} {15};set_instance_parameter_value {ext_flash_uas_agent} {PKT_DATA_L} {0};set_instance_parameter_value {ext_flash_uas_agent} {PKT_BYTEEN_H} {17};set_instance_parameter_value {ext_flash_uas_agent} {PKT_BYTEEN_L} {16};set_instance_parameter_value {ext_flash_uas_agent} {PKT_SRC_ID_H} {85};set_instance_parameter_value {ext_flash_uas_agent} {PKT_SRC_ID_L} {81};set_instance_parameter_value {ext_flash_uas_agent} {PKT_DEST_ID_H} {90};set_instance_parameter_value {ext_flash_uas_agent} {PKT_DEST_ID_L} {86};set_instance_parameter_value {ext_flash_uas_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {ext_flash_uas_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {ext_flash_uas_agent} {ST_DATA_W} {104};set_instance_parameter_value {ext_flash_uas_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {ext_flash_uas_agent} {AVS_BURSTCOUNT_W} {2};set_instance_parameter_value {ext_flash_uas_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {ext_flash_uas_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(103:101) response_status(100:99) cache(98:95) protection(94:92) thread_id(91) dest_id(90:86) src_id(85:81) qos(80) begin_burst(79) data_sideband(78) addr_sideband(77) burst_type(76:75) burst_size(74:72) burstwrap(71:66) byte_cnt(65:56) trans_exclusive(55) trans_lock(54) trans_read(53) trans_write(52) trans_posted(51) trans_compressed_read(50) addr(49:18) byteen(17:16) data(15:0)};set_instance_parameter_value {ext_flash_uas_agent} {SUPPRESS_0_BYTEEN_CMD} {1};set_instance_parameter_value {ext_flash_uas_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {ext_flash_uas_agent} {MAX_BYTE_CNT} {2};set_instance_parameter_value {ext_flash_uas_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {ext_flash_uas_agent} {ID} {12};set_instance_parameter_value {ext_flash_uas_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {ext_flash_uas_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {ext_flash_uas_agent} {ECC_ENABLE} {0};add_instance {ext_flash_uas_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {ext_flash_uas_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {ext_flash_uas_agent_rsp_fifo} {BITS_PER_SYMBOL} {105};set_instance_parameter_value {ext_flash_uas_agent_rsp_fifo} {FIFO_DEPTH} {4};set_instance_parameter_value {ext_flash_uas_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {ext_flash_uas_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {ext_flash_uas_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {ext_flash_uas_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {ext_flash_uas_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {ext_flash_uas_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {ext_flash_uas_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {ext_flash_uas_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {ext_flash_uas_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {ext_flash_uas_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {ext_flash_uas_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {ext_flash_uas_agent_rdata_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {ext_flash_uas_agent_rdata_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {ext_flash_uas_agent_rdata_fifo} {BITS_PER_SYMBOL} {18};set_instance_parameter_value {ext_flash_uas_agent_rdata_fifo} {FIFO_DEPTH} {4};set_instance_parameter_value {ext_flash_uas_agent_rdata_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {ext_flash_uas_agent_rdata_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {ext_flash_uas_agent_rdata_fifo} {USE_PACKETS} {0};set_instance_parameter_value {ext_flash_uas_agent_rdata_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {ext_flash_uas_agent_rdata_fifo} {EMPTY_LATENCY} {0};set_instance_parameter_value {ext_flash_uas_agent_rdata_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {ext_flash_uas_agent_rdata_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {ext_flash_uas_agent_rdata_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {ext_flash_uas_agent_rdata_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {ext_flash_uas_agent_rdata_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {ext_flash_uas_agent_rdata_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {ddr2_address_span_extender_windowed_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_PROTECTION_H} {112};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_PROTECTION_L} {110};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_ADDR_H} {67};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_TRANS_READ} {71};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_SRC_ID_H} {103};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_SRC_ID_L} {99};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_DEST_ID_H} {108};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_DEST_ID_L} {104};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {ST_CHANNEL_W} {24};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {ST_DATA_W} {122};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {AVS_BURSTCOUNT_W} {10};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {MAX_BYTE_CNT} {512};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {MAX_BURSTWRAP} {63};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {ID} {11};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent} {ECC_ENABLE} {0};add_instance {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {123};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo} {FIFO_DEPTH} {9};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {11 9 22 21 20 19 18 17 16 8 6 5 4 3 2 1 0 10 15 14 23 13 7 12 };set_instance_parameter_value {router} {CHANNEL_ID} {100000000000000000000000 000100000000000000000000 000000000100000000000000 000000000010000000000000 000000000001000000000000 000000000000100000000000 000000000000010000000000 000000000000001000000000 000000000000000100000000 000000000000000010000000 000000001000000000000000 000000000000000001000000 000000000000000000100000 000000000000000000010000 000000000000000000001000 000000000000000000000100 000000000000000000000010 000000100000000000000000 001000000000000000000000 000010000000000000000000 000001000000000000000000 000000000000000000000001 000000010000000000000000 010000000000000000000000 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both both both both both both both both both both both both both both both both both both both read both both both };set_instance_parameter_value {router} {START_ADDRESS} {0x0 0x80000000 0x81000000 0x81004000 0x81008000 0x8100c000 0x81010000 0x81014000 0x81018000 0x8101c000 0x8101c400 0x8101c800 0x8101cc00 0x8101d000 0x8101d400 0x8101d800 0x8101dc00 0x8101e000 0x81100000 0x81218800 0x8121af40 0x8121af50 0x82000000 0x84000000 };set_instance_parameter_value {router} {END_ADDRESS} {0x80000000 0x80001000 0x81004000 0x81008000 0x8100c000 0x81010000 0x81014000 0x81018000 0x8101c000 0x8101c400 0x8101c800 0x8101cc00 0x8101d000 0x8101d400 0x8101d800 0x8101dc00 0x8101e000 0x8101e008 0x81200000 0x81219000 0x8121af48 0x8121af58 0x82000400 0x88000000 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {67};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router} {PKT_TRANS_READ} {71};set_instance_parameter_value {router} {ST_DATA_W} {122};set_instance_parameter_value {router} {ST_CHANNEL_W} {24};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {23};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {11};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {15 14 12 };set_instance_parameter_value {router_001} {CHANNEL_ID} {010 001 100 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x81100000 0x81218800 0x84000000 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x81200000 0x81219000 0x88000000 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {67};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_001} {ST_DATA_W} {122};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {2};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {12};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {1 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {67};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_002} {ST_DATA_W} {122};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {1 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {67};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_003} {ST_DATA_W} {122};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 };set_instance_parameter_value {router_004} {CHANNEL_ID} {1 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {67};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_004} {ST_DATA_W} {122};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {67};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_005} {ST_DATA_W} {122};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {67};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_006} {ST_DATA_W} {122};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {67};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_007} {ST_DATA_W} {122};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {router_008} {altera_merlin_router};set_instance_parameter_value {router_008} {DESTINATION_ID} {0 };set_instance_parameter_value {router_008} {CHANNEL_ID} {1 };set_instance_parameter_value {router_008} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_008} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_008} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_008} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_008} {SPAN_OFFSET} {};set_instance_parameter_value {router_008} {PKT_ADDR_H} {67};set_instance_parameter_value {router_008} {PKT_ADDR_L} {36};set_instance_parameter_value {router_008} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_008} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_008} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_008} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_008} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_008} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_008} {ST_DATA_W} {122};set_instance_parameter_value {router_008} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_008} {DECODER_TYPE} {1};set_instance_parameter_value {router_008} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_008} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_008} {MEMORY_ALIASING_DECODE} {0};add_instance {router_009} {altera_merlin_router};set_instance_parameter_value {router_009} {DESTINATION_ID} {0 };set_instance_parameter_value {router_009} {CHANNEL_ID} {1 };set_instance_parameter_value {router_009} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_009} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_009} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_009} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_009} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_009} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_009} {SPAN_OFFSET} {};set_instance_parameter_value {router_009} {PKT_ADDR_H} {67};set_instance_parameter_value {router_009} {PKT_ADDR_L} {36};set_instance_parameter_value {router_009} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_009} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_009} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_009} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_009} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_009} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_009} {ST_DATA_W} {122};set_instance_parameter_value {router_009} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_009} {DECODER_TYPE} {1};set_instance_parameter_value {router_009} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_009} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_009} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_009} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_009} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_009} {MEMORY_ALIASING_DECODE} {0};add_instance {router_010} {altera_merlin_router};set_instance_parameter_value {router_010} {DESTINATION_ID} {0 };set_instance_parameter_value {router_010} {CHANNEL_ID} {1 };set_instance_parameter_value {router_010} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_010} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_010} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_010} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_010} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_010} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_010} {SPAN_OFFSET} {};set_instance_parameter_value {router_010} {PKT_ADDR_H} {67};set_instance_parameter_value {router_010} {PKT_ADDR_L} {36};set_instance_parameter_value {router_010} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_010} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_010} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_010} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_010} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_010} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_010} {ST_DATA_W} {122};set_instance_parameter_value {router_010} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_010} {DECODER_TYPE} {1};set_instance_parameter_value {router_010} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_010} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_010} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_010} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_010} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_010} {MEMORY_ALIASING_DECODE} {0};add_instance {router_011} {altera_merlin_router};set_instance_parameter_value {router_011} {DESTINATION_ID} {0 };set_instance_parameter_value {router_011} {CHANNEL_ID} {1 };set_instance_parameter_value {router_011} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_011} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_011} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_011} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_011} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_011} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_011} {SPAN_OFFSET} {};set_instance_parameter_value {router_011} {PKT_ADDR_H} {67};set_instance_parameter_value {router_011} {PKT_ADDR_L} {36};set_instance_parameter_value {router_011} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_011} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_011} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_011} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_011} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_011} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_011} {ST_DATA_W} {122};set_instance_parameter_value {router_011} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_011} {DECODER_TYPE} {1};set_instance_parameter_value {router_011} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_011} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_011} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_011} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_011} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_011} {MEMORY_ALIASING_DECODE} {0};add_instance {router_012} {altera_merlin_router};set_instance_parameter_value {router_012} {DESTINATION_ID} {0 };set_instance_parameter_value {router_012} {CHANNEL_ID} {1 };set_instance_parameter_value {router_012} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_012} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_012} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_012} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_012} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_012} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_012} {SPAN_OFFSET} {};set_instance_parameter_value {router_012} {PKT_ADDR_H} {67};set_instance_parameter_value {router_012} {PKT_ADDR_L} {36};set_instance_parameter_value {router_012} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_012} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_012} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_012} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_012} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_012} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_012} {ST_DATA_W} {122};set_instance_parameter_value {router_012} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_012} {DECODER_TYPE} {1};set_instance_parameter_value {router_012} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_012} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_012} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_012} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_012} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_012} {MEMORY_ALIASING_DECODE} {0};add_instance {router_013} {altera_merlin_router};set_instance_parameter_value {router_013} {DESTINATION_ID} {0 };set_instance_parameter_value {router_013} {CHANNEL_ID} {1 };set_instance_parameter_value {router_013} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_013} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_013} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_013} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_013} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_013} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_013} {SPAN_OFFSET} {};set_instance_parameter_value {router_013} {PKT_ADDR_H} {67};set_instance_parameter_value {router_013} {PKT_ADDR_L} {36};set_instance_parameter_value {router_013} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_013} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_013} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_013} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_013} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_013} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_013} {ST_DATA_W} {122};set_instance_parameter_value {router_013} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_013} {DECODER_TYPE} {1};set_instance_parameter_value {router_013} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_013} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_013} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_013} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_013} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_013} {MEMORY_ALIASING_DECODE} {0};add_instance {router_014} {altera_merlin_router};set_instance_parameter_value {router_014} {DESTINATION_ID} {0 };set_instance_parameter_value {router_014} {CHANNEL_ID} {1 };set_instance_parameter_value {router_014} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_014} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_014} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_014} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_014} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_014} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_014} {SPAN_OFFSET} {};set_instance_parameter_value {router_014} {PKT_ADDR_H} {67};set_instance_parameter_value {router_014} {PKT_ADDR_L} {36};set_instance_parameter_value {router_014} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_014} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_014} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_014} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_014} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_014} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_014} {ST_DATA_W} {122};set_instance_parameter_value {router_014} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_014} {DECODER_TYPE} {1};set_instance_parameter_value {router_014} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_014} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_014} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_014} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_014} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_014} {MEMORY_ALIASING_DECODE} {0};add_instance {router_015} {altera_merlin_router};set_instance_parameter_value {router_015} {DESTINATION_ID} {0 };set_instance_parameter_value {router_015} {CHANNEL_ID} {1 };set_instance_parameter_value {router_015} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_015} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_015} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_015} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_015} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_015} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_015} {SPAN_OFFSET} {};set_instance_parameter_value {router_015} {PKT_ADDR_H} {67};set_instance_parameter_value {router_015} {PKT_ADDR_L} {36};set_instance_parameter_value {router_015} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_015} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_015} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_015} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_015} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_015} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_015} {ST_DATA_W} {122};set_instance_parameter_value {router_015} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_015} {DECODER_TYPE} {1};set_instance_parameter_value {router_015} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_015} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_015} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_015} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_015} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_015} {MEMORY_ALIASING_DECODE} {0};add_instance {router_016} {altera_merlin_router};set_instance_parameter_value {router_016} {DESTINATION_ID} {0 };set_instance_parameter_value {router_016} {CHANNEL_ID} {1 };set_instance_parameter_value {router_016} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_016} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_016} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_016} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_016} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_016} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_016} {SPAN_OFFSET} {};set_instance_parameter_value {router_016} {PKT_ADDR_H} {67};set_instance_parameter_value {router_016} {PKT_ADDR_L} {36};set_instance_parameter_value {router_016} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_016} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_016} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_016} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_016} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_016} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_016} {ST_DATA_W} {122};set_instance_parameter_value {router_016} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_016} {DECODER_TYPE} {1};set_instance_parameter_value {router_016} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_016} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_016} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_016} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_016} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_016} {MEMORY_ALIASING_DECODE} {0};add_instance {router_017} {altera_merlin_router};set_instance_parameter_value {router_017} {DESTINATION_ID} {0 };set_instance_parameter_value {router_017} {CHANNEL_ID} {1 };set_instance_parameter_value {router_017} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_017} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_017} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_017} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_017} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_017} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_017} {SPAN_OFFSET} {};set_instance_parameter_value {router_017} {PKT_ADDR_H} {67};set_instance_parameter_value {router_017} {PKT_ADDR_L} {36};set_instance_parameter_value {router_017} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_017} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_017} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_017} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_017} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_017} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_017} {ST_DATA_W} {122};set_instance_parameter_value {router_017} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_017} {DECODER_TYPE} {1};set_instance_parameter_value {router_017} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_017} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_017} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_017} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_017} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_017} {MEMORY_ALIASING_DECODE} {0};add_instance {router_018} {altera_merlin_router};set_instance_parameter_value {router_018} {DESTINATION_ID} {0 };set_instance_parameter_value {router_018} {CHANNEL_ID} {1 };set_instance_parameter_value {router_018} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_018} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_018} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_018} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_018} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_018} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_018} {SPAN_OFFSET} {};set_instance_parameter_value {router_018} {PKT_ADDR_H} {67};set_instance_parameter_value {router_018} {PKT_ADDR_L} {36};set_instance_parameter_value {router_018} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_018} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_018} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_018} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_018} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_018} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_018} {ST_DATA_W} {122};set_instance_parameter_value {router_018} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_018} {DECODER_TYPE} {1};set_instance_parameter_value {router_018} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_018} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_018} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_018} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_018} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_018} {MEMORY_ALIASING_DECODE} {0};add_instance {router_019} {altera_merlin_router};set_instance_parameter_value {router_019} {DESTINATION_ID} {0 };set_instance_parameter_value {router_019} {CHANNEL_ID} {1 };set_instance_parameter_value {router_019} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_019} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_019} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_019} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_019} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_019} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_019} {SPAN_OFFSET} {};set_instance_parameter_value {router_019} {PKT_ADDR_H} {103};set_instance_parameter_value {router_019} {PKT_ADDR_L} {72};set_instance_parameter_value {router_019} {PKT_PROTECTION_H} {148};set_instance_parameter_value {router_019} {PKT_PROTECTION_L} {146};set_instance_parameter_value {router_019} {PKT_DEST_ID_H} {144};set_instance_parameter_value {router_019} {PKT_DEST_ID_L} {140};set_instance_parameter_value {router_019} {PKT_TRANS_WRITE} {106};set_instance_parameter_value {router_019} {PKT_TRANS_READ} {107};set_instance_parameter_value {router_019} {ST_DATA_W} {158};set_instance_parameter_value {router_019} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_019} {DECODER_TYPE} {1};set_instance_parameter_value {router_019} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_019} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_019} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_019} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_019} {MERLIN_PACKET_FORMAT} {ori_burst_size(157:155) response_status(154:153) cache(152:149) protection(148:146) thread_id(145) dest_id(144:140) src_id(139:135) qos(134) begin_burst(133) data_sideband(132) addr_sideband(131) burst_type(130:129) burst_size(128:126) burstwrap(125:120) byte_cnt(119:110) trans_exclusive(109) trans_lock(108) trans_read(107) trans_write(106) trans_posted(105) trans_compressed_read(104) addr(103:72) byteen(71:64) data(63:0)};set_instance_parameter_value {router_019} {MEMORY_ALIASING_DECODE} {0};add_instance {router_020} {altera_merlin_router};set_instance_parameter_value {router_020} {DESTINATION_ID} {0 };set_instance_parameter_value {router_020} {CHANNEL_ID} {1 };set_instance_parameter_value {router_020} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_020} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_020} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_020} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_020} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_020} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_020} {SPAN_OFFSET} {};set_instance_parameter_value {router_020} {PKT_ADDR_H} {67};set_instance_parameter_value {router_020} {PKT_ADDR_L} {36};set_instance_parameter_value {router_020} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_020} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_020} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_020} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_020} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_020} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_020} {ST_DATA_W} {122};set_instance_parameter_value {router_020} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_020} {DECODER_TYPE} {1};set_instance_parameter_value {router_020} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_020} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_020} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_020} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_020} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_020} {MEMORY_ALIASING_DECODE} {0};add_instance {router_021} {altera_merlin_router};set_instance_parameter_value {router_021} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_021} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_021} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_021} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_021} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_021} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_021} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_021} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_021} {SPAN_OFFSET} {};set_instance_parameter_value {router_021} {PKT_ADDR_H} {67};set_instance_parameter_value {router_021} {PKT_ADDR_L} {36};set_instance_parameter_value {router_021} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_021} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_021} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_021} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_021} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_021} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_021} {ST_DATA_W} {122};set_instance_parameter_value {router_021} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_021} {DECODER_TYPE} {1};set_instance_parameter_value {router_021} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_021} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_021} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_021} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_021} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_021} {MEMORY_ALIASING_DECODE} {0};add_instance {router_022} {altera_merlin_router};set_instance_parameter_value {router_022} {DESTINATION_ID} {0 };set_instance_parameter_value {router_022} {CHANNEL_ID} {1 };set_instance_parameter_value {router_022} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_022} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_022} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_022} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_022} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_022} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_022} {SPAN_OFFSET} {};set_instance_parameter_value {router_022} {PKT_ADDR_H} {67};set_instance_parameter_value {router_022} {PKT_ADDR_L} {36};set_instance_parameter_value {router_022} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_022} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_022} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_022} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_022} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_022} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_022} {ST_DATA_W} {122};set_instance_parameter_value {router_022} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_022} {DECODER_TYPE} {1};set_instance_parameter_value {router_022} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_022} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_022} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_022} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_022} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_022} {MEMORY_ALIASING_DECODE} {0};add_instance {router_023} {altera_merlin_router};set_instance_parameter_value {router_023} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_023} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_023} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_023} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_023} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_023} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_023} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_023} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_023} {SPAN_OFFSET} {};set_instance_parameter_value {router_023} {PKT_ADDR_H} {67};set_instance_parameter_value {router_023} {PKT_ADDR_L} {36};set_instance_parameter_value {router_023} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_023} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_023} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_023} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_023} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_023} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_023} {ST_DATA_W} {122};set_instance_parameter_value {router_023} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_023} {DECODER_TYPE} {1};set_instance_parameter_value {router_023} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_023} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_023} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_023} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_023} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_023} {MEMORY_ALIASING_DECODE} {0};add_instance {router_024} {altera_merlin_router};set_instance_parameter_value {router_024} {DESTINATION_ID} {0 1 };set_instance_parameter_value {router_024} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_024} {TYPE_OF_TRANSACTION} {both read };set_instance_parameter_value {router_024} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_024} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_024} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_024} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_024} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_024} {SPAN_OFFSET} {};set_instance_parameter_value {router_024} {PKT_ADDR_H} {49};set_instance_parameter_value {router_024} {PKT_ADDR_L} {18};set_instance_parameter_value {router_024} {PKT_PROTECTION_H} {94};set_instance_parameter_value {router_024} {PKT_PROTECTION_L} {92};set_instance_parameter_value {router_024} {PKT_DEST_ID_H} {90};set_instance_parameter_value {router_024} {PKT_DEST_ID_L} {86};set_instance_parameter_value {router_024} {PKT_TRANS_WRITE} {52};set_instance_parameter_value {router_024} {PKT_TRANS_READ} {53};set_instance_parameter_value {router_024} {ST_DATA_W} {104};set_instance_parameter_value {router_024} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_024} {DECODER_TYPE} {1};set_instance_parameter_value {router_024} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_024} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_024} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_024} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_024} {MERLIN_PACKET_FORMAT} {ori_burst_size(103:101) response_status(100:99) cache(98:95) protection(94:92) thread_id(91) dest_id(90:86) src_id(85:81) qos(80) begin_burst(79) data_sideband(78) addr_sideband(77) burst_type(76:75) burst_size(74:72) burstwrap(71:66) byte_cnt(65:56) trans_exclusive(55) trans_lock(54) trans_read(53) trans_write(52) trans_posted(51) trans_compressed_read(50) addr(49:18) byteen(17:16) data(15:0)};set_instance_parameter_value {router_024} {MEMORY_ALIASING_DECODE} {0};add_instance {router_025} {altera_merlin_router};set_instance_parameter_value {router_025} {DESTINATION_ID} {0 };set_instance_parameter_value {router_025} {CHANNEL_ID} {1 };set_instance_parameter_value {router_025} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_025} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_025} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_025} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_025} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_025} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_025} {SPAN_OFFSET} {};set_instance_parameter_value {router_025} {PKT_ADDR_H} {67};set_instance_parameter_value {router_025} {PKT_ADDR_L} {36};set_instance_parameter_value {router_025} {PKT_PROTECTION_H} {112};set_instance_parameter_value {router_025} {PKT_PROTECTION_L} {110};set_instance_parameter_value {router_025} {PKT_DEST_ID_H} {108};set_instance_parameter_value {router_025} {PKT_DEST_ID_L} {104};set_instance_parameter_value {router_025} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {router_025} {PKT_TRANS_READ} {71};set_instance_parameter_value {router_025} {ST_DATA_W} {122};set_instance_parameter_value {router_025} {ST_CHANNEL_W} {24};set_instance_parameter_value {router_025} {DECODER_TYPE} {1};set_instance_parameter_value {router_025} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_025} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_025} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_025} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_025} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_025} {MEMORY_ALIASING_DECODE} {0};add_instance {nios2_gen2_0_instruction_master_limiter} {altera_merlin_traffic_limiter};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {PKT_DEST_ID_H} {108};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {PKT_DEST_ID_L} {104};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {PKT_SRC_ID_H} {103};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {PKT_SRC_ID_L} {99};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {PKT_TRANS_POSTED} {69};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {PKT_THREAD_ID_H} {109};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {PKT_THREAD_ID_L} {109};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {MAX_BURST_LENGTH} {8};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {MAX_OUTSTANDING_RESPONSES} {5};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {PIPELINED} {0};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {ST_DATA_W} {122};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {ST_CHANNEL_W} {24};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {VALID_WIDTH} {24};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {ENFORCE_ORDER} {1};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {PREVENT_HAZARDS} {0};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {SUPPORTS_POSTED_WRITES} {1};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {SUPPORTS_NONPOSTED_WRITES} {0};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_gen2_0_instruction_master_limiter} {REORDER} {0};add_instance {nios2_gen2_0_debug_mem_slave_burst_adapter} {altera_merlin_burst_adapter};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {PKT_ADDR_H} {67};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {PKT_BURST_TYPE_H} {94};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {PKT_BURST_TYPE_L} {93};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {PKT_TRANS_READ} {71};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {OUT_NARROW_SIZE} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {IN_NARROW_SIZE} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {OUT_FIXED} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {OUT_COMPLETE_WRAP} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {ST_DATA_W} {122};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {ST_CHANNEL_W} {24};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {OUT_BYTE_CNT_H} {76};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {OUT_BURSTWRAP_H} {89};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {COMPRESSED_READ_SUPPORT} {1};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {BYTEENABLE_SYNTHESIS} {1};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {PIPE_INPUTS} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {NO_WRAP_SUPPORT} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {INCOMPLETE_WRAP_SUPPORT} {0};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {BURSTWRAP_CONST_MASK} {31};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {BURSTWRAP_CONST_VALUE} {31};set_instance_parameter_value {nios2_gen2_0_debug_mem_slave_burst_adapter} {ADAPTER_VERSION} {13.1};add_instance {onchip_memory_s1_burst_adapter} {altera_merlin_burst_adapter};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {PKT_ADDR_H} {67};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {PKT_ADDR_L} {36};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {PKT_BEGIN_BURST} {97};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {PKT_BYTE_CNT_H} {83};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {PKT_BYTE_CNT_L} {74};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {PKT_BURST_SIZE_H} {92};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {PKT_BURST_SIZE_L} {90};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {PKT_BURST_TYPE_H} {94};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {PKT_BURST_TYPE_L} {93};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {PKT_BURSTWRAP_H} {89};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {PKT_BURSTWRAP_L} {84};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {PKT_TRANS_WRITE} {70};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {PKT_TRANS_READ} {71};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {OUT_NARROW_SIZE} {0};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {IN_NARROW_SIZE} {0};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {OUT_FIXED} {0};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {OUT_COMPLETE_WRAP} {0};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {ST_DATA_W} {122};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {ST_CHANNEL_W} {24};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {OUT_BYTE_CNT_H} {76};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {OUT_BURSTWRAP_H} {89};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {COMPRESSED_READ_SUPPORT} {1};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {BYTEENABLE_SYNTHESIS} {1};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {PIPE_INPUTS} {0};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {NO_WRAP_SUPPORT} {0};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {INCOMPLETE_WRAP_SUPPORT} {0};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {BURSTWRAP_CONST_MASK} {31};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {BURSTWRAP_CONST_VALUE} {31};set_instance_parameter_value {onchip_memory_s1_burst_adapter} {ADAPTER_VERSION} {13.1};add_instance {ext_flash_uas_burst_adapter} {altera_merlin_burst_adapter};set_instance_parameter_value {ext_flash_uas_burst_adapter} {PKT_ADDR_H} {49};set_instance_parameter_value {ext_flash_uas_burst_adapter} {PKT_ADDR_L} {18};set_instance_parameter_value {ext_flash_uas_burst_adapter} {PKT_BEGIN_BURST} {79};set_instance_parameter_value {ext_flash_uas_burst_adapter} {PKT_BYTE_CNT_H} {65};set_instance_parameter_value {ext_flash_uas_burst_adapter} {PKT_BYTE_CNT_L} {56};set_instance_parameter_value {ext_flash_uas_burst_adapter} {PKT_BYTEEN_H} {17};set_instance_parameter_value {ext_flash_uas_burst_adapter} {PKT_BYTEEN_L} {16};set_instance_parameter_value {ext_flash_uas_burst_adapter} {PKT_BURST_SIZE_H} {74};set_instance_parameter_value {ext_flash_uas_burst_adapter} {PKT_BURST_SIZE_L} {72};set_instance_parameter_value {ext_flash_uas_burst_adapter} {PKT_BURST_TYPE_H} {76};set_instance_parameter_value {ext_flash_uas_burst_adapter} {PKT_BURST_TYPE_L} {75};set_instance_parameter_value {ext_flash_uas_burst_adapter} {PKT_BURSTWRAP_H} {71};set_instance_parameter_value {ext_flash_uas_burst_adapter} {PKT_BURSTWRAP_L} {66};set_instance_parameter_value {ext_flash_uas_burst_adapter} {PKT_TRANS_COMPRESSED_READ} {50};set_instance_parameter_value {ext_flash_uas_burst_adapter} {PKT_TRANS_WRITE} {52};set_instance_parameter_value {ext_flash_uas_burst_adapter} {PKT_TRANS_READ} {53};set_instance_parameter_value {ext_flash_uas_burst_adapter} {OUT_NARROW_SIZE} {0};set_instance_parameter_value {ext_flash_uas_burst_adapter} {IN_NARROW_SIZE} {0};set_instance_parameter_value {ext_flash_uas_burst_adapter} {OUT_FIXED} {0};set_instance_parameter_value {ext_flash_uas_burst_adapter} {OUT_COMPLETE_WRAP} {0};set_instance_parameter_value {ext_flash_uas_burst_adapter} {ST_DATA_W} {104};set_instance_parameter_value {ext_flash_uas_burst_adapter} {ST_CHANNEL_W} {24};set_instance_parameter_value {ext_flash_uas_burst_adapter} {OUT_BYTE_CNT_H} {57};set_instance_parameter_value {ext_flash_uas_burst_adapter} {OUT_BURSTWRAP_H} {71};set_instance_parameter_value {ext_flash_uas_burst_adapter} {MERLIN_PACKET_FORMAT} {ori_burst_size(103:101) response_status(100:99) cache(98:95) protection(94:92) thread_id(91) dest_id(90:86) src_id(85:81) qos(80) begin_burst(79) data_sideband(78) addr_sideband(77) burst_type(76:75) burst_size(74:72) burstwrap(71:66) byte_cnt(65:56) trans_exclusive(55) trans_lock(54) trans_read(53) trans_write(52) trans_posted(51) trans_compressed_read(50) addr(49:18) byteen(17:16) data(15:0)};set_instance_parameter_value {ext_flash_uas_burst_adapter} {COMPRESSED_READ_SUPPORT} {1};set_instance_parameter_value {ext_flash_uas_burst_adapter} {BYTEENABLE_SYNTHESIS} {1};set_instance_parameter_value {ext_flash_uas_burst_adapter} {PIPE_INPUTS} {0};set_instance_parameter_value {ext_flash_uas_burst_adapter} {NO_WRAP_SUPPORT} {0};set_instance_parameter_value {ext_flash_uas_burst_adapter} {INCOMPLETE_WRAP_SUPPORT} {0};set_instance_parameter_value {ext_flash_uas_burst_adapter} {BURSTWRAP_CONST_MASK} {31};set_instance_parameter_value {ext_flash_uas_burst_adapter} {BURSTWRAP_CONST_VALUE} {31};set_instance_parameter_value {ext_flash_uas_burst_adapter} {ADAPTER_VERSION} {13.1};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {122};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {24};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {122};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {3};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {24};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_006} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_006} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_006} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_006} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_006} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_006} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_006} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_007} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_007} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_007} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_007} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_007} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_007} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_007} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_007} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_007} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_008} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_008} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_008} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_008} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_008} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_008} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_008} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_008} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_008} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_009} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_009} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_009} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_009} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_009} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_009} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_009} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_009} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_009} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_009} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_010} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_010} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_010} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_010} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_010} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_010} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_010} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_010} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_010} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_010} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_011} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_011} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_011} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_011} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_011} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_011} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_011} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_011} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_011} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_011} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_012} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_012} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_012} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_012} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_012} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_012} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_012} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_012} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_012} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_012} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_013} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_013} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_013} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_013} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_013} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_013} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_013} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_013} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_013} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_013} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_014} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_014} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_014} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_014} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_014} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_014} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_014} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_014} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_014} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_014} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_015} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_015} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_015} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_015} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_015} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_015} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_015} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_015} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_015} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_015} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_016} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_016} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_016} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_016} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_016} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_016} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_016} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_016} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_016} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_016} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_017} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_017} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_017} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_017} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_017} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_017} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_017} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_017} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_017} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_017} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_018} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_018} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_018} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_018} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_018} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_018} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_018} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_018} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_018} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_018} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_019} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_019} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_019} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_019} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_019} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_019} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_019} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_019} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_019} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_019} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_020} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_020} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_020} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_020} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_020} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_020} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_020} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_020} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_020} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_020} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_021} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_021} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_021} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_021} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_021} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_021} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_021} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_021} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_021} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_021} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_022} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_022} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_022} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_022} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_022} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_022} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_022} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_022} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_022} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_022} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_023} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_023} {ST_DATA_W} {122};set_instance_parameter_value {cmd_mux_023} {ST_CHANNEL_W} {24};set_instance_parameter_value {cmd_mux_023} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_023} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_023} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_023} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {cmd_mux_023} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_023} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_023} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_006} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_006} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_006} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_006} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_006} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_007} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_007} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_007} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_007} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_007} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_008} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_008} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_008} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_008} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_008} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_009} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_009} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_009} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_009} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_009} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_009} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_010} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_010} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_010} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_010} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_010} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_010} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_011} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_011} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_011} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_011} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_011} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_011} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_012} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_012} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_012} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_012} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_012} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_012} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_013} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_013} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_013} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_013} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_013} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_013} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_014} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_014} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_014} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_014} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_014} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_014} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_015} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_015} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_015} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_015} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_015} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_015} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_016} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_016} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_016} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_016} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_016} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_016} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_017} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_017} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_017} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_017} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_017} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_017} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_018} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_018} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_018} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_018} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_018} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_018} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_019} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_019} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_019} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_019} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_019} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_019} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_020} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_020} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_020} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_020} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_020} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_020} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_021} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_021} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_021} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_021} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_021} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_021} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_022} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_022} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_022} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_022} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_022} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_022} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_023} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_023} {ST_DATA_W} {122};set_instance_parameter_value {rsp_demux_023} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_demux_023} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_023} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_023} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {122};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {24};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {122};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {24};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {3};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {72};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};add_instance {ddr2_address_span_extender_cntl_cmd_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_ADDR_H} {67};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_ADDR_L} {36};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_DATA_H} {31};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_BYTEEN_H} {35};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_BYTEEN_L} {32};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_BYTE_CNT_H} {83};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_BYTE_CNT_L} {74};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_TRANS_WRITE} {70};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_BURSTWRAP_H} {89};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_BURSTWRAP_L} {84};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_BURST_SIZE_H} {92};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_BURST_SIZE_L} {90};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {73};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_BURST_TYPE_H} {94};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_BURST_TYPE_L} {93};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_ST_DATA_W} {122};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_PKT_ADDR_H} {103};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_PKT_ADDR_L} {72};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_PKT_DATA_H} {63};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_PKT_BYTEEN_H} {71};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_PKT_BYTEEN_L} {64};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_PKT_BYTE_CNT_H} {119};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_PKT_BYTE_CNT_L} {110};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {104};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_PKT_BURST_SIZE_H} {128};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_PKT_BURST_SIZE_L} {126};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {154};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {153};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {109};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_PKT_BURST_TYPE_H} {130};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_PKT_BURST_TYPE_L} {129};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {155};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {157};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_ST_DATA_W} {158};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {ST_CHANNEL_W} {24};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OPTIMIZE_FOR_RSP} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {RESPONSE_PATH} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {PACKING} {1};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(157:155) response_status(154:153) cache(152:149) protection(148:146) thread_id(145) dest_id(144:140) src_id(139:135) qos(134) begin_burst(133) data_sideband(132) addr_sideband(131) burst_type(130:129) burst_size(128:126) burstwrap(125:120) byte_cnt(119:110) trans_exclusive(109) trans_lock(108) trans_read(107) trans_write(106) trans_posted(105) trans_compressed_read(104) addr(103:72) byteen(71:64) data(63:0)};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {ddr2_address_span_extender_cntl_cmd_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {ddr2_address_span_extender_cntl_rsp_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_ADDR_H} {103};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_ADDR_L} {72};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_DATA_H} {63};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_BYTEEN_H} {71};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_BYTEEN_L} {64};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_BYTE_CNT_H} {119};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_BYTE_CNT_L} {110};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {104};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_TRANS_WRITE} {106};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_BURSTWRAP_H} {125};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_BURSTWRAP_L} {120};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_BURST_SIZE_H} {128};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_BURST_SIZE_L} {126};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {154};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {153};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {109};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_BURST_TYPE_H} {130};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_BURST_TYPE_L} {129};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {155};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {157};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_ST_DATA_W} {158};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_PKT_ADDR_H} {67};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_PKT_ADDR_L} {36};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_PKT_DATA_H} {31};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_PKT_BYTEEN_H} {35};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_PKT_BYTEEN_L} {32};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_PKT_BYTE_CNT_H} {83};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_PKT_BYTE_CNT_L} {74};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_PKT_BURST_SIZE_H} {92};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_PKT_BURST_SIZE_L} {90};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {73};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_PKT_BURST_TYPE_H} {94};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_PKT_BURST_TYPE_L} {93};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_ST_DATA_W} {122};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {ST_CHANNEL_W} {24};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OPTIMIZE_FOR_RSP} {1};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {RESPONSE_PATH} {1};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {PACKING} {1};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(157:155) response_status(154:153) cache(152:149) protection(148:146) thread_id(145) dest_id(144:140) src_id(139:135) qos(134) begin_burst(133) data_sideband(132) addr_sideband(131) burst_type(130:129) burst_size(128:126) burstwrap(125:120) byte_cnt(119:110) trans_exclusive(109) trans_lock(108) trans_read(107) trans_write(106) trans_posted(105) trans_compressed_read(104) addr(103:72) byteen(71:64) data(63:0)};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {ddr2_address_span_extender_cntl_rsp_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {ext_flash_uas_rsp_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_ADDR_H} {49};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_ADDR_L} {18};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_DATA_H} {15};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_BYTEEN_H} {17};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_BYTEEN_L} {16};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_BYTE_CNT_H} {65};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_BYTE_CNT_L} {56};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {50};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_TRANS_WRITE} {52};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_BURSTWRAP_H} {71};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_BURSTWRAP_L} {66};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_BURST_SIZE_H} {74};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_BURST_SIZE_L} {72};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {100};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {99};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {55};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_BURST_TYPE_H} {76};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_BURST_TYPE_L} {75};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {101};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {103};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_ST_DATA_W} {104};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_PKT_ADDR_H} {67};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_PKT_ADDR_L} {36};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_PKT_DATA_H} {31};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_PKT_BYTEEN_H} {35};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_PKT_BYTEEN_L} {32};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_PKT_BYTE_CNT_H} {83};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_PKT_BYTE_CNT_L} {74};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_PKT_BURST_SIZE_H} {92};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_PKT_BURST_SIZE_L} {90};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {73};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_PKT_BURST_TYPE_H} {94};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_PKT_BURST_TYPE_L} {93};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_ST_DATA_W} {122};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {ST_CHANNEL_W} {24};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OPTIMIZE_FOR_RSP} {0};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {RESPONSE_PATH} {1};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {PACKING} {1};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(103:101) response_status(100:99) cache(98:95) protection(94:92) thread_id(91) dest_id(90:86) src_id(85:81) qos(80) begin_burst(79) data_sideband(78) addr_sideband(77) burst_type(76:75) burst_size(74:72) burstwrap(71:66) byte_cnt(65:56) trans_exclusive(55) trans_lock(54) trans_read(53) trans_write(52) trans_posted(51) trans_compressed_read(50) addr(49:18) byteen(17:16) data(15:0)};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {ext_flash_uas_rsp_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {ext_flash_uas_cmd_width_adapter} {altera_merlin_width_adapter};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_ADDR_H} {67};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_ADDR_L} {36};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_DATA_H} {31};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_DATA_L} {0};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_BYTEEN_H} {35};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_BYTEEN_L} {32};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_BYTE_CNT_H} {83};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_BYTE_CNT_L} {74};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_TRANS_COMPRESSED_READ} {68};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_TRANS_WRITE} {70};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_BURSTWRAP_H} {89};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_BURSTWRAP_L} {84};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_BURST_SIZE_H} {92};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_BURST_SIZE_L} {90};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_H} {118};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_RESPONSE_STATUS_L} {117};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_TRANS_EXCLUSIVE} {73};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_BURST_TYPE_H} {94};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_BURST_TYPE_L} {93};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_L} {119};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_PKT_ORI_BURST_SIZE_H} {121};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_ST_DATA_W} {122};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_PKT_ADDR_H} {49};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_PKT_ADDR_L} {18};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_PKT_DATA_H} {15};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_PKT_DATA_L} {0};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_PKT_BYTEEN_H} {17};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_PKT_BYTEEN_L} {16};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_PKT_BYTE_CNT_H} {65};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_PKT_BYTE_CNT_L} {56};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_PKT_TRANS_COMPRESSED_READ} {50};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_PKT_BURST_SIZE_H} {74};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_PKT_BURST_SIZE_L} {72};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_H} {100};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_PKT_RESPONSE_STATUS_L} {99};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_PKT_TRANS_EXCLUSIVE} {55};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_PKT_BURST_TYPE_H} {76};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_PKT_BURST_TYPE_L} {75};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_L} {101};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_PKT_ORI_BURST_SIZE_H} {103};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_ST_DATA_W} {104};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {ST_CHANNEL_W} {24};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OPTIMIZE_FOR_RSP} {0};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {RESPONSE_PATH} {0};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {CONSTANT_BURST_SIZE} {1};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {PACKING} {1};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {IN_MERLIN_PACKET_FORMAT} {ori_burst_size(121:119) response_status(118:117) cache(116:113) protection(112:110) thread_id(109) dest_id(108:104) src_id(103:99) qos(98) begin_burst(97) data_sideband(96) addr_sideband(95) burst_type(94:93) burst_size(92:90) burstwrap(89:84) byte_cnt(83:74) trans_exclusive(73) trans_lock(72) trans_read(71) trans_write(70) trans_posted(69) trans_compressed_read(68) addr(67:36) byteen(35:32) data(31:0)};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {OUT_MERLIN_PACKET_FORMAT} {ori_burst_size(103:101) response_status(100:99) cache(98:95) protection(94:92) thread_id(91) dest_id(90:86) src_id(85:81) qos(80) begin_burst(79) data_sideband(78) addr_sideband(77) burst_type(76:75) burst_size(74:72) burstwrap(71:66) byte_cnt(65:56) trans_exclusive(55) trans_lock(54) trans_read(53) trans_write(52) trans_posted(51) trans_compressed_read(50) addr(49:18) byteen(17:16) data(15:0)};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {COMMAND_SIZE_W} {3};set_instance_parameter_value {ext_flash_uas_cmd_width_adapter} {ENABLE_ADDRESS_ALIGNMENT} {0};add_instance {nios2_gen2_0_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {nios2_gen2_0_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {nios2_gen2_0_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {nios2_gen2_0_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {nios2_gen2_0_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {jtag_uart_0_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {jtag_uart_0_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {jtag_uart_0_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {jtag_uart_0_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {jtag_uart_0_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {ext_flash_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {ext_flash_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {ext_flash_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {ext_flash_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {ext_flash_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_100_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_100_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {100000000};set_instance_parameter_value {clk_100_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {nios2_gen2_0_data_master_translator.avalon_universal_master_0} {nios2_gen2_0_data_master_agent.av} {avalon};set_connection_parameter_value {nios2_gen2_0_data_master_translator.avalon_universal_master_0/nios2_gen2_0_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_gen2_0_data_master_translator.avalon_universal_master_0/nios2_gen2_0_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_gen2_0_data_master_translator.avalon_universal_master_0/nios2_gen2_0_data_master_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {nios2_gen2_0_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/nios2_gen2_0_data_master_agent.rp} {qsys_mm.response};add_connection {nios2_gen2_0_instruction_master_translator.avalon_universal_master_0} {nios2_gen2_0_instruction_master_agent.av} {avalon};set_connection_parameter_value {nios2_gen2_0_instruction_master_translator.avalon_universal_master_0/nios2_gen2_0_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_gen2_0_instruction_master_translator.avalon_universal_master_0/nios2_gen2_0_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_gen2_0_instruction_master_translator.avalon_universal_master_0/nios2_gen2_0_instruction_master_agent.av} {defaultConnection} {false};add_connection {jtag_uart_0_avalon_jtag_slave_agent.m0} {jtag_uart_0_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_0_avalon_jtag_slave_agent.m0/jtag_uart_0_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_0_avalon_jtag_slave_agent.m0/jtag_uart_0_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_0_avalon_jtag_slave_agent.m0/jtag_uart_0_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_0_avalon_jtag_slave_agent.rf_source} {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_0_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_0_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_0_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {jtag_uart_0_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/jtag_uart_0_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent.m0} {Communication_Module_v2_Ch1_avalon_mm_config_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent.m0/Communication_Module_v2_Ch1_avalon_mm_config_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent.m0/Communication_Module_v2_Ch1_avalon_mm_config_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent.m0/Communication_Module_v2_Ch1_avalon_mm_config_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent.rf_source} {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo.out} {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent.rf_sink} {avalon_streaming};add_connection {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent.rdata_fifo_src} {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/Communication_Module_v2_Ch1_avalon_mm_config_slave_agent.cp} {qsys_mm.command};add_connection {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent.m0} {Communication_Module_v2_Ch2_avalon_mm_config_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent.m0/Communication_Module_v2_Ch2_avalon_mm_config_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent.m0/Communication_Module_v2_Ch2_avalon_mm_config_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent.m0/Communication_Module_v2_Ch2_avalon_mm_config_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent.rf_source} {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo.out} {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent.rf_sink} {avalon_streaming};add_connection {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent.rdata_fifo_src} {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/Communication_Module_v2_Ch2_avalon_mm_config_slave_agent.cp} {qsys_mm.command};add_connection {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent.m0} {Communication_Module_v2_Ch3_avalon_mm_config_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent.m0/Communication_Module_v2_Ch3_avalon_mm_config_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent.m0/Communication_Module_v2_Ch3_avalon_mm_config_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent.m0/Communication_Module_v2_Ch3_avalon_mm_config_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent.rf_source} {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo.out} {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent.rf_sink} {avalon_streaming};add_connection {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent.rdata_fifo_src} {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/Communication_Module_v2_Ch3_avalon_mm_config_slave_agent.cp} {qsys_mm.command};add_connection {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent.m0} {Communication_Module_v2_Ch4_avalon_mm_config_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent.m0/Communication_Module_v2_Ch4_avalon_mm_config_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent.m0/Communication_Module_v2_Ch4_avalon_mm_config_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent.m0/Communication_Module_v2_Ch4_avalon_mm_config_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent.rf_source} {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo.out} {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent.rf_sink} {avalon_streaming};add_connection {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent.rdata_fifo_src} {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/Communication_Module_v2_Ch4_avalon_mm_config_slave_agent.cp} {qsys_mm.command};add_connection {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent.m0} {Communication_Module_v2_Ch5_avalon_mm_config_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent.m0/Communication_Module_v2_Ch5_avalon_mm_config_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent.m0/Communication_Module_v2_Ch5_avalon_mm_config_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent.m0/Communication_Module_v2_Ch5_avalon_mm_config_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent.rf_source} {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo.out} {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent.rf_sink} {avalon_streaming};add_connection {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent.rdata_fifo_src} {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/Communication_Module_v2_Ch5_avalon_mm_config_slave_agent.cp} {qsys_mm.command};add_connection {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent.m0} {Communication_Module_v2_Ch6_avalon_mm_config_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent.m0/Communication_Module_v2_Ch6_avalon_mm_config_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent.m0/Communication_Module_v2_Ch6_avalon_mm_config_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent.m0/Communication_Module_v2_Ch6_avalon_mm_config_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent.rf_source} {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo.out} {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent.rf_sink} {avalon_streaming};add_connection {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent.rdata_fifo_src} {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_006.src} {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_006.src/Communication_Module_v2_Ch6_avalon_mm_config_slave_agent.cp} {qsys_mm.command};add_connection {Synchronization_COMM_0_avalon_mm_config_slave_agent.m0} {Synchronization_COMM_0_avalon_mm_config_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent.m0/Synchronization_COMM_0_avalon_mm_config_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent.m0/Synchronization_COMM_0_avalon_mm_config_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {Synchronization_COMM_0_avalon_mm_config_slave_agent.m0/Synchronization_COMM_0_avalon_mm_config_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {Synchronization_COMM_0_avalon_mm_config_slave_agent.rf_source} {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo.out} {Synchronization_COMM_0_avalon_mm_config_slave_agent.rf_sink} {avalon_streaming};add_connection {Synchronization_COMM_0_avalon_mm_config_slave_agent.rdata_fifo_src} {Synchronization_COMM_0_avalon_mm_config_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_007.src} {Synchronization_COMM_0_avalon_mm_config_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_007.src/Synchronization_COMM_0_avalon_mm_config_slave_agent.cp} {qsys_mm.command};add_connection {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent.m0} {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_comm_1_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_comm_1_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_comm_1_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent.rf_source} {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo.in} {avalon_streaming};add_connection {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo.out} {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent.rf_sink} {avalon_streaming};add_connection {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent.rdata_fifo_src} {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_008.src} {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_008.src/rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent.cp} {qsys_mm.command};add_connection {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent.m0} {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_comm_2_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_comm_2_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_comm_2_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent.rf_source} {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo.in} {avalon_streaming};add_connection {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo.out} {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent.rf_sink} {avalon_streaming};add_connection {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent.rdata_fifo_src} {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_009.src} {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_009.src/rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent.cp} {qsys_mm.command};add_connection {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent.m0} {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_comm_3_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_comm_3_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_comm_3_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent.rf_source} {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo.in} {avalon_streaming};add_connection {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo.out} {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent.rf_sink} {avalon_streaming};add_connection {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent.rdata_fifo_src} {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_010.src} {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_010.src/rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent.cp} {qsys_mm.command};add_connection {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent.m0} {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_comm_4_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_comm_4_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_comm_4_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent.rf_source} {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo.in} {avalon_streaming};add_connection {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo.out} {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent.rf_sink} {avalon_streaming};add_connection {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent.rdata_fifo_src} {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_011.src} {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_011.src/rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent.cp} {qsys_mm.command};add_connection {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent.m0} {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_comm_5_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_comm_5_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_comm_5_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent.rf_source} {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo.in} {avalon_streaming};add_connection {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo.out} {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent.rf_sink} {avalon_streaming};add_connection {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent.rdata_fifo_src} {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_012.src} {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_012.src/rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent.cp} {qsys_mm.command};add_connection {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent.m0} {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_comm_6_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_comm_6_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_comm_6_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent.rf_source} {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo.in} {avalon_streaming};add_connection {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo.out} {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent.rf_sink} {avalon_streaming};add_connection {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent.rdata_fifo_src} {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_013.src} {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_013.src/rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent.cp} {qsys_mm.command};add_connection {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent.m0} {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_scom_0_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_scom_0_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent.m0/rmap_mem_nfee_scom_0_avalon_rmap_slave_0_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent.rf_source} {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo.in} {avalon_streaming};add_connection {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo.out} {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent.rf_sink} {avalon_streaming};add_connection {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent.rdata_fifo_src} {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_014.src} {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_014.src/rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent.cp} {qsys_mm.command};add_connection {FTDI_UMFT601A_Module_avalon_slave_config_agent.m0} {FTDI_UMFT601A_Module_avalon_slave_config_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent.m0/FTDI_UMFT601A_Module_avalon_slave_config_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent.m0/FTDI_UMFT601A_Module_avalon_slave_config_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {FTDI_UMFT601A_Module_avalon_slave_config_agent.m0/FTDI_UMFT601A_Module_avalon_slave_config_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {FTDI_UMFT601A_Module_avalon_slave_config_agent.rf_source} {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo.in} {avalon_streaming};add_connection {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo.out} {FTDI_UMFT601A_Module_avalon_slave_config_agent.rf_sink} {avalon_streaming};add_connection {FTDI_UMFT601A_Module_avalon_slave_config_agent.rdata_fifo_src} {FTDI_UMFT601A_Module_avalon_slave_config_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_015.src} {FTDI_UMFT601A_Module_avalon_slave_config_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_015.src/FTDI_UMFT601A_Module_avalon_slave_config_agent.cp} {qsys_mm.command};add_connection {Memory_Filler_avalon_slave_config_agent.m0} {Memory_Filler_avalon_slave_config_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {Memory_Filler_avalon_slave_config_agent.m0/Memory_Filler_avalon_slave_config_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {Memory_Filler_avalon_slave_config_agent.m0/Memory_Filler_avalon_slave_config_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {Memory_Filler_avalon_slave_config_agent.m0/Memory_Filler_avalon_slave_config_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {Memory_Filler_avalon_slave_config_agent.rf_source} {Memory_Filler_avalon_slave_config_agent_rsp_fifo.in} {avalon_streaming};add_connection {Memory_Filler_avalon_slave_config_agent_rsp_fifo.out} {Memory_Filler_avalon_slave_config_agent.rf_sink} {avalon_streaming};add_connection {Memory_Filler_avalon_slave_config_agent.rdata_fifo_src} {Memory_Filler_avalon_slave_config_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_016.src} {Memory_Filler_avalon_slave_config_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_016.src/Memory_Filler_avalon_slave_config_agent.cp} {qsys_mm.command};add_connection {ddr2_address_span_extender_cntl_agent.m0} {ddr2_address_span_extender_cntl_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {ddr2_address_span_extender_cntl_agent.m0/ddr2_address_span_extender_cntl_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {ddr2_address_span_extender_cntl_agent.m0/ddr2_address_span_extender_cntl_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {ddr2_address_span_extender_cntl_agent.m0/ddr2_address_span_extender_cntl_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {ddr2_address_span_extender_cntl_agent.rf_source} {ddr2_address_span_extender_cntl_agent_rsp_fifo.in} {avalon_streaming};add_connection {ddr2_address_span_extender_cntl_agent_rsp_fifo.out} {ddr2_address_span_extender_cntl_agent.rf_sink} {avalon_streaming};add_connection {ddr2_address_span_extender_cntl_agent.rdata_fifo_src} {ddr2_address_span_extender_cntl_agent_rdata_fifo.in} {avalon_streaming};add_connection {ddr2_address_span_extender_cntl_agent_rdata_fifo.out} {ddr2_address_span_extender_cntl_agent.rdata_fifo_sink} {avalon_streaming};add_connection {sysid_qsys_control_slave_agent.m0} {sysid_qsys_control_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sysid_qsys_control_slave_agent.rf_source} {sysid_qsys_control_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sysid_qsys_control_slave_agent_rsp_fifo.out} {sysid_qsys_control_slave_agent.rf_sink} {avalon_streaming};add_connection {sysid_qsys_control_slave_agent.rdata_fifo_src} {sysid_qsys_control_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_018.src} {sysid_qsys_control_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_018.src/sysid_qsys_control_slave_agent.cp} {qsys_mm.command};add_connection {nios2_gen2_0_debug_mem_slave_agent.m0} {nios2_gen2_0_debug_mem_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {nios2_gen2_0_debug_mem_slave_agent.m0/nios2_gen2_0_debug_mem_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {nios2_gen2_0_debug_mem_slave_agent.m0/nios2_gen2_0_debug_mem_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {nios2_gen2_0_debug_mem_slave_agent.m0/nios2_gen2_0_debug_mem_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {nios2_gen2_0_debug_mem_slave_agent.rf_source} {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo.out} {nios2_gen2_0_debug_mem_slave_agent.rf_sink} {avalon_streaming};add_connection {nios2_gen2_0_debug_mem_slave_agent.rdata_fifo_src} {nios2_gen2_0_debug_mem_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {clock_bridge_afi_50_s0_agent.m0} {clock_bridge_afi_50_s0_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {clock_bridge_afi_50_s0_agent.m0/clock_bridge_afi_50_s0_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {clock_bridge_afi_50_s0_agent.m0/clock_bridge_afi_50_s0_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {clock_bridge_afi_50_s0_agent.m0/clock_bridge_afi_50_s0_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {clock_bridge_afi_50_s0_agent.rf_source} {clock_bridge_afi_50_s0_agent_rsp_fifo.in} {avalon_streaming};add_connection {clock_bridge_afi_50_s0_agent_rsp_fifo.out} {clock_bridge_afi_50_s0_agent.rf_sink} {avalon_streaming};add_connection {clock_bridge_afi_50_s0_agent.rdata_fifo_src} {clock_bridge_afi_50_s0_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_020.src} {clock_bridge_afi_50_s0_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_020.src/clock_bridge_afi_50_s0_agent.cp} {qsys_mm.command};add_connection {onchip_memory_s1_agent.m0} {onchip_memory_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {onchip_memory_s1_agent.m0/onchip_memory_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {onchip_memory_s1_agent.m0/onchip_memory_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {onchip_memory_s1_agent.m0/onchip_memory_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {onchip_memory_s1_agent.rf_source} {onchip_memory_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {onchip_memory_s1_agent_rsp_fifo.out} {onchip_memory_s1_agent.rf_sink} {avalon_streaming};add_connection {onchip_memory_s1_agent.rdata_fifo_src} {onchip_memory_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {ext_flash_uas_agent.m0} {ext_flash_uas_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {ext_flash_uas_agent.m0/ext_flash_uas_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {ext_flash_uas_agent.m0/ext_flash_uas_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {ext_flash_uas_agent.m0/ext_flash_uas_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {ext_flash_uas_agent.rf_source} {ext_flash_uas_agent_rsp_fifo.in} {avalon_streaming};add_connection {ext_flash_uas_agent_rsp_fifo.out} {ext_flash_uas_agent.rf_sink} {avalon_streaming};add_connection {ext_flash_uas_agent.rdata_fifo_src} {ext_flash_uas_agent_rdata_fifo.in} {avalon_streaming};add_connection {ext_flash_uas_agent_rdata_fifo.out} {ext_flash_uas_agent.rdata_fifo_sink} {avalon_streaming};add_connection {ddr2_address_span_extender_windowed_slave_agent.m0} {ddr2_address_span_extender_windowed_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {ddr2_address_span_extender_windowed_slave_agent.m0/ddr2_address_span_extender_windowed_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {ddr2_address_span_extender_windowed_slave_agent.m0/ddr2_address_span_extender_windowed_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {ddr2_address_span_extender_windowed_slave_agent.m0/ddr2_address_span_extender_windowed_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {ddr2_address_span_extender_windowed_slave_agent.rf_source} {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo.out} {ddr2_address_span_extender_windowed_slave_agent.rf_sink} {avalon_streaming};add_connection {ddr2_address_span_extender_windowed_slave_agent.rdata_fifo_src} {ddr2_address_span_extender_windowed_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_023.src} {ddr2_address_span_extender_windowed_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_023.src/ddr2_address_span_extender_windowed_slave_agent.cp} {qsys_mm.command};add_connection {nios2_gen2_0_data_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {nios2_gen2_0_data_master_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {nios2_gen2_0_instruction_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_gen2_0_instruction_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {jtag_uart_0_avalon_jtag_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_0_avalon_jtag_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent.rp} {router_008.sink} {avalon_streaming};preview_set_connection_tag {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent.rp/router_008.sink} {qsys_mm.response};add_connection {router_008.src} {rsp_demux_006.sink} {avalon_streaming};preview_set_connection_tag {router_008.src/rsp_demux_006.sink} {qsys_mm.response};add_connection {Synchronization_COMM_0_avalon_mm_config_slave_agent.rp} {router_009.sink} {avalon_streaming};preview_set_connection_tag {Synchronization_COMM_0_avalon_mm_config_slave_agent.rp/router_009.sink} {qsys_mm.response};add_connection {router_009.src} {rsp_demux_007.sink} {avalon_streaming};preview_set_connection_tag {router_009.src/rsp_demux_007.sink} {qsys_mm.response};add_connection {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent.rp} {router_010.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent.rp/router_010.sink} {qsys_mm.response};add_connection {router_010.src} {rsp_demux_008.sink} {avalon_streaming};preview_set_connection_tag {router_010.src/rsp_demux_008.sink} {qsys_mm.response};add_connection {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent.rp} {router_011.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent.rp/router_011.sink} {qsys_mm.response};add_connection {router_011.src} {rsp_demux_009.sink} {avalon_streaming};preview_set_connection_tag {router_011.src/rsp_demux_009.sink} {qsys_mm.response};add_connection {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent.rp} {router_012.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent.rp/router_012.sink} {qsys_mm.response};add_connection {router_012.src} {rsp_demux_010.sink} {avalon_streaming};preview_set_connection_tag {router_012.src/rsp_demux_010.sink} {qsys_mm.response};add_connection {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent.rp} {router_013.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent.rp/router_013.sink} {qsys_mm.response};add_connection {router_013.src} {rsp_demux_011.sink} {avalon_streaming};preview_set_connection_tag {router_013.src/rsp_demux_011.sink} {qsys_mm.response};add_connection {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent.rp} {router_014.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent.rp/router_014.sink} {qsys_mm.response};add_connection {router_014.src} {rsp_demux_012.sink} {avalon_streaming};preview_set_connection_tag {router_014.src/rsp_demux_012.sink} {qsys_mm.response};add_connection {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent.rp} {router_015.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent.rp/router_015.sink} {qsys_mm.response};add_connection {router_015.src} {rsp_demux_013.sink} {avalon_streaming};preview_set_connection_tag {router_015.src/rsp_demux_013.sink} {qsys_mm.response};add_connection {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent.rp} {router_016.sink} {avalon_streaming};preview_set_connection_tag {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent.rp/router_016.sink} {qsys_mm.response};add_connection {router_016.src} {rsp_demux_014.sink} {avalon_streaming};preview_set_connection_tag {router_016.src/rsp_demux_014.sink} {qsys_mm.response};add_connection {FTDI_UMFT601A_Module_avalon_slave_config_agent.rp} {router_017.sink} {avalon_streaming};preview_set_connection_tag {FTDI_UMFT601A_Module_avalon_slave_config_agent.rp/router_017.sink} {qsys_mm.response};add_connection {router_017.src} {rsp_demux_015.sink} {avalon_streaming};preview_set_connection_tag {router_017.src/rsp_demux_015.sink} {qsys_mm.response};add_connection {Memory_Filler_avalon_slave_config_agent.rp} {router_018.sink} {avalon_streaming};preview_set_connection_tag {Memory_Filler_avalon_slave_config_agent.rp/router_018.sink} {qsys_mm.response};add_connection {router_018.src} {rsp_demux_016.sink} {avalon_streaming};preview_set_connection_tag {router_018.src/rsp_demux_016.sink} {qsys_mm.response};add_connection {ddr2_address_span_extender_cntl_agent.rp} {router_019.sink} {avalon_streaming};preview_set_connection_tag {ddr2_address_span_extender_cntl_agent.rp/router_019.sink} {qsys_mm.response};add_connection {sysid_qsys_control_slave_agent.rp} {router_020.sink} {avalon_streaming};preview_set_connection_tag {sysid_qsys_control_slave_agent.rp/router_020.sink} {qsys_mm.response};add_connection {router_020.src} {rsp_demux_018.sink} {avalon_streaming};preview_set_connection_tag {router_020.src/rsp_demux_018.sink} {qsys_mm.response};add_connection {nios2_gen2_0_debug_mem_slave_agent.rp} {router_021.sink} {avalon_streaming};preview_set_connection_tag {nios2_gen2_0_debug_mem_slave_agent.rp/router_021.sink} {qsys_mm.response};add_connection {router_021.src} {rsp_demux_019.sink} {avalon_streaming};preview_set_connection_tag {router_021.src/rsp_demux_019.sink} {qsys_mm.response};add_connection {clock_bridge_afi_50_s0_agent.rp} {router_022.sink} {avalon_streaming};preview_set_connection_tag {clock_bridge_afi_50_s0_agent.rp/router_022.sink} {qsys_mm.response};add_connection {router_022.src} {rsp_demux_020.sink} {avalon_streaming};preview_set_connection_tag {router_022.src/rsp_demux_020.sink} {qsys_mm.response};add_connection {onchip_memory_s1_agent.rp} {router_023.sink} {avalon_streaming};preview_set_connection_tag {onchip_memory_s1_agent.rp/router_023.sink} {qsys_mm.response};add_connection {router_023.src} {rsp_demux_021.sink} {avalon_streaming};preview_set_connection_tag {router_023.src/rsp_demux_021.sink} {qsys_mm.response};add_connection {ext_flash_uas_agent.rp} {router_024.sink} {avalon_streaming};preview_set_connection_tag {ext_flash_uas_agent.rp/router_024.sink} {qsys_mm.response};add_connection {ddr2_address_span_extender_windowed_slave_agent.rp} {router_025.sink} {avalon_streaming};preview_set_connection_tag {ddr2_address_span_extender_windowed_slave_agent.rp/router_025.sink} {qsys_mm.response};add_connection {router_025.src} {rsp_demux_023.sink} {avalon_streaming};preview_set_connection_tag {router_025.src/rsp_demux_023.sink} {qsys_mm.response};add_connection {router_001.src} {nios2_gen2_0_instruction_master_limiter.cmd_sink} {avalon_streaming};preview_set_connection_tag {router_001.src/nios2_gen2_0_instruction_master_limiter.cmd_sink} {qsys_mm.command};add_connection {nios2_gen2_0_instruction_master_limiter.cmd_src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_gen2_0_instruction_master_limiter.cmd_src/cmd_demux_001.sink} {qsys_mm.command};add_connection {rsp_mux_001.src} {nios2_gen2_0_instruction_master_limiter.rsp_sink} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/nios2_gen2_0_instruction_master_limiter.rsp_sink} {qsys_mm.response};add_connection {nios2_gen2_0_instruction_master_limiter.rsp_src} {nios2_gen2_0_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {nios2_gen2_0_instruction_master_limiter.rsp_src/nios2_gen2_0_instruction_master_agent.rp} {qsys_mm.response};add_connection {cmd_mux_019.src} {nios2_gen2_0_debug_mem_slave_burst_adapter.sink0} {avalon_streaming};preview_set_connection_tag {cmd_mux_019.src/nios2_gen2_0_debug_mem_slave_burst_adapter.sink0} {qsys_mm.command};add_connection {nios2_gen2_0_debug_mem_slave_burst_adapter.source0} {nios2_gen2_0_debug_mem_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {nios2_gen2_0_debug_mem_slave_burst_adapter.source0/nios2_gen2_0_debug_mem_slave_agent.cp} {qsys_mm.command};add_connection {cmd_mux_021.src} {onchip_memory_s1_burst_adapter.sink0} {avalon_streaming};preview_set_connection_tag {cmd_mux_021.src/onchip_memory_s1_burst_adapter.sink0} {qsys_mm.command};add_connection {onchip_memory_s1_burst_adapter.source0} {onchip_memory_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {onchip_memory_s1_burst_adapter.source0/onchip_memory_s1_agent.cp} {qsys_mm.command};add_connection {ext_flash_uas_burst_adapter.source0} {ext_flash_uas_agent.cp} {avalon_streaming};preview_set_connection_tag {ext_flash_uas_burst_adapter.source0/ext_flash_uas_agent.cp} {qsys_mm.command};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux.src5} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src5/cmd_mux_005.sink0} {qsys_mm.command};add_connection {cmd_demux.src6} {cmd_mux_006.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src6/cmd_mux_006.sink0} {qsys_mm.command};add_connection {cmd_demux.src7} {cmd_mux_007.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src7/cmd_mux_007.sink0} {qsys_mm.command};add_connection {cmd_demux.src8} {cmd_mux_008.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src8/cmd_mux_008.sink0} {qsys_mm.command};add_connection {cmd_demux.src9} {cmd_mux_009.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src9/cmd_mux_009.sink0} {qsys_mm.command};add_connection {cmd_demux.src10} {cmd_mux_010.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src10/cmd_mux_010.sink0} {qsys_mm.command};add_connection {cmd_demux.src11} {cmd_mux_011.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src11/cmd_mux_011.sink0} {qsys_mm.command};add_connection {cmd_demux.src12} {cmd_mux_012.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src12/cmd_mux_012.sink0} {qsys_mm.command};add_connection {cmd_demux.src13} {cmd_mux_013.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src13/cmd_mux_013.sink0} {qsys_mm.command};add_connection {cmd_demux.src14} {cmd_mux_014.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src14/cmd_mux_014.sink0} {qsys_mm.command};add_connection {cmd_demux.src15} {cmd_mux_015.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src15/cmd_mux_015.sink0} {qsys_mm.command};add_connection {cmd_demux.src16} {cmd_mux_016.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src16/cmd_mux_016.sink0} {qsys_mm.command};add_connection {cmd_demux.src17} {cmd_mux_017.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src17/cmd_mux_017.sink0} {qsys_mm.command};add_connection {cmd_demux.src18} {cmd_mux_018.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src18/cmd_mux_018.sink0} {qsys_mm.command};add_connection {cmd_demux.src19} {cmd_mux_019.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src19/cmd_mux_019.sink0} {qsys_mm.command};add_connection {cmd_demux.src20} {cmd_mux_020.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src20/cmd_mux_020.sink0} {qsys_mm.command};add_connection {cmd_demux.src21} {cmd_mux_021.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src21/cmd_mux_021.sink0} {qsys_mm.command};add_connection {cmd_demux.src22} {cmd_mux_022.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src22/cmd_mux_022.sink0} {qsys_mm.command};add_connection {cmd_demux.src23} {cmd_mux_023.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src23/cmd_mux_023.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux_019.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux_019.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_021.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_021.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src2} {cmd_mux_022.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src2/cmd_mux_022.sink1} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux.sink5} {qsys_mm.response};add_connection {rsp_demux_006.src0} {rsp_mux.sink6} {avalon_streaming};preview_set_connection_tag {rsp_demux_006.src0/rsp_mux.sink6} {qsys_mm.response};add_connection {rsp_demux_007.src0} {rsp_mux.sink7} {avalon_streaming};preview_set_connection_tag {rsp_demux_007.src0/rsp_mux.sink7} {qsys_mm.response};add_connection {rsp_demux_008.src0} {rsp_mux.sink8} {avalon_streaming};preview_set_connection_tag {rsp_demux_008.src0/rsp_mux.sink8} {qsys_mm.response};add_connection {rsp_demux_009.src0} {rsp_mux.sink9} {avalon_streaming};preview_set_connection_tag {rsp_demux_009.src0/rsp_mux.sink9} {qsys_mm.response};add_connection {rsp_demux_010.src0} {rsp_mux.sink10} {avalon_streaming};preview_set_connection_tag {rsp_demux_010.src0/rsp_mux.sink10} {qsys_mm.response};add_connection {rsp_demux_011.src0} {rsp_mux.sink11} {avalon_streaming};preview_set_connection_tag {rsp_demux_011.src0/rsp_mux.sink11} {qsys_mm.response};add_connection {rsp_demux_012.src0} {rsp_mux.sink12} {avalon_streaming};preview_set_connection_tag {rsp_demux_012.src0/rsp_mux.sink12} {qsys_mm.response};add_connection {rsp_demux_013.src0} {rsp_mux.sink13} {avalon_streaming};preview_set_connection_tag {rsp_demux_013.src0/rsp_mux.sink13} {qsys_mm.response};add_connection {rsp_demux_014.src0} {rsp_mux.sink14} {avalon_streaming};preview_set_connection_tag {rsp_demux_014.src0/rsp_mux.sink14} {qsys_mm.response};add_connection {rsp_demux_015.src0} {rsp_mux.sink15} {avalon_streaming};preview_set_connection_tag {rsp_demux_015.src0/rsp_mux.sink15} {qsys_mm.response};add_connection {rsp_demux_016.src0} {rsp_mux.sink16} {avalon_streaming};preview_set_connection_tag {rsp_demux_016.src0/rsp_mux.sink16} {qsys_mm.response};add_connection {rsp_demux_017.src0} {rsp_mux.sink17} {avalon_streaming};preview_set_connection_tag {rsp_demux_017.src0/rsp_mux.sink17} {qsys_mm.response};add_connection {rsp_demux_018.src0} {rsp_mux.sink18} {avalon_streaming};preview_set_connection_tag {rsp_demux_018.src0/rsp_mux.sink18} {qsys_mm.response};add_connection {rsp_demux_019.src0} {rsp_mux.sink19} {avalon_streaming};preview_set_connection_tag {rsp_demux_019.src0/rsp_mux.sink19} {qsys_mm.response};add_connection {rsp_demux_019.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux_019.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_020.src0} {rsp_mux.sink20} {avalon_streaming};preview_set_connection_tag {rsp_demux_020.src0/rsp_mux.sink20} {qsys_mm.response};add_connection {rsp_demux_021.src0} {rsp_mux.sink21} {avalon_streaming};preview_set_connection_tag {rsp_demux_021.src0/rsp_mux.sink21} {qsys_mm.response};add_connection {rsp_demux_021.src1} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_021.src1/rsp_mux_001.sink1} {qsys_mm.response};add_connection {rsp_demux_022.src0} {rsp_mux.sink22} {avalon_streaming};preview_set_connection_tag {rsp_demux_022.src0/rsp_mux.sink22} {qsys_mm.response};add_connection {rsp_demux_022.src1} {rsp_mux_001.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_022.src1/rsp_mux_001.sink2} {qsys_mm.response};add_connection {rsp_demux_023.src0} {rsp_mux.sink23} {avalon_streaming};preview_set_connection_tag {rsp_demux_023.src0/rsp_mux.sink23} {qsys_mm.response};add_connection {cmd_mux_017.src} {ddr2_address_span_extender_cntl_cmd_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {cmd_mux_017.src/ddr2_address_span_extender_cntl_cmd_width_adapter.sink} {qsys_mm.command};add_connection {ddr2_address_span_extender_cntl_cmd_width_adapter.src} {ddr2_address_span_extender_cntl_agent.cp} {avalon_streaming};preview_set_connection_tag {ddr2_address_span_extender_cntl_cmd_width_adapter.src/ddr2_address_span_extender_cntl_agent.cp} {qsys_mm.command};add_connection {router_019.src} {ddr2_address_span_extender_cntl_rsp_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {router_019.src/ddr2_address_span_extender_cntl_rsp_width_adapter.sink} {qsys_mm.response};add_connection {ddr2_address_span_extender_cntl_rsp_width_adapter.src} {rsp_demux_017.sink} {avalon_streaming};preview_set_connection_tag {ddr2_address_span_extender_cntl_rsp_width_adapter.src/rsp_demux_017.sink} {qsys_mm.response};add_connection {router_024.src} {ext_flash_uas_rsp_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {router_024.src/ext_flash_uas_rsp_width_adapter.sink} {qsys_mm.response};add_connection {ext_flash_uas_rsp_width_adapter.src} {rsp_demux_022.sink} {avalon_streaming};preview_set_connection_tag {ext_flash_uas_rsp_width_adapter.src/rsp_demux_022.sink} {qsys_mm.response};add_connection {cmd_mux_022.src} {ext_flash_uas_cmd_width_adapter.sink} {avalon_streaming};preview_set_connection_tag {cmd_mux_022.src/ext_flash_uas_cmd_width_adapter.sink} {qsys_mm.command};add_connection {ext_flash_uas_cmd_width_adapter.src} {ext_flash_uas_burst_adapter.sink0} {avalon_streaming};preview_set_connection_tag {ext_flash_uas_cmd_width_adapter.src/ext_flash_uas_burst_adapter.sink0} {qsys_mm.command};add_connection {nios2_gen2_0_instruction_master_limiter.cmd_valid} {cmd_demux_001.sink_valid} {avalon_streaming};add_connection {nios2_gen2_0_reset_reset_bridge.out_reset} {nios2_gen2_0_data_master_translator.reset} {reset};add_connection {nios2_gen2_0_reset_reset_bridge.out_reset} {nios2_gen2_0_instruction_master_translator.reset} {reset};add_connection {nios2_gen2_0_reset_reset_bridge.out_reset} {nios2_gen2_0_debug_mem_slave_translator.reset} {reset};add_connection {nios2_gen2_0_reset_reset_bridge.out_reset} {nios2_gen2_0_data_master_agent.clk_reset} {reset};add_connection {nios2_gen2_0_reset_reset_bridge.out_reset} {nios2_gen2_0_instruction_master_agent.clk_reset} {reset};add_connection {nios2_gen2_0_reset_reset_bridge.out_reset} {nios2_gen2_0_debug_mem_slave_agent.clk_reset} {reset};add_connection {nios2_gen2_0_reset_reset_bridge.out_reset} {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_gen2_0_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {nios2_gen2_0_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {nios2_gen2_0_reset_reset_bridge.out_reset} {router_021.clk_reset} {reset};add_connection {nios2_gen2_0_reset_reset_bridge.out_reset} {nios2_gen2_0_instruction_master_limiter.clk_reset} {reset};add_connection {nios2_gen2_0_reset_reset_bridge.out_reset} {nios2_gen2_0_debug_mem_slave_burst_adapter.cr0_reset} {reset};add_connection {nios2_gen2_0_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {nios2_gen2_0_reset_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {nios2_gen2_0_reset_reset_bridge.out_reset} {cmd_mux_019.clk_reset} {reset};add_connection {nios2_gen2_0_reset_reset_bridge.out_reset} {rsp_demux_019.clk_reset} {reset};add_connection {nios2_gen2_0_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {nios2_gen2_0_reset_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {jtag_uart_0_avalon_jtag_slave_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Communication_Module_v2_Ch1_avalon_mm_config_slave_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Communication_Module_v2_Ch2_avalon_mm_config_slave_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Communication_Module_v2_Ch3_avalon_mm_config_slave_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Communication_Module_v2_Ch4_avalon_mm_config_slave_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Communication_Module_v2_Ch5_avalon_mm_config_slave_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Communication_Module_v2_Ch6_avalon_mm_config_slave_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Synchronization_COMM_0_avalon_mm_config_slave_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {FTDI_UMFT601A_Module_avalon_slave_config_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Memory_Filler_avalon_slave_config_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {ddr2_address_span_extender_cntl_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {sysid_qsys_control_slave_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {clock_bridge_afi_50_s0_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {onchip_memory_s1_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {ddr2_address_span_extender_windowed_slave_translator.reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {jtag_uart_0_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Synchronization_COMM_0_avalon_mm_config_slave_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {FTDI_UMFT601A_Module_avalon_slave_config_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Memory_Filler_avalon_slave_config_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {Memory_Filler_avalon_slave_config_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {ddr2_address_span_extender_cntl_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {ddr2_address_span_extender_cntl_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {ddr2_address_span_extender_cntl_agent_rdata_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {sysid_qsys_control_slave_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {sysid_qsys_control_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {clock_bridge_afi_50_s0_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {clock_bridge_afi_50_s0_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {onchip_memory_s1_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {onchip_memory_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {ddr2_address_span_extender_windowed_slave_agent.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_008.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_009.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_010.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_011.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_012.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_013.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_014.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_015.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_016.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_017.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_018.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_019.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_020.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_022.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_023.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {router_025.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {onchip_memory_s1_burst_adapter.cr0_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_006.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_007.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_008.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_009.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_010.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_011.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_012.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_013.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_014.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_015.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_016.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_017.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_018.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_020.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_021.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {cmd_mux_023.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_006.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_007.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_008.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_009.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_010.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_011.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_012.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_013.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_014.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_015.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_016.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_017.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_018.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_020.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_021.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {rsp_demux_023.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {ddr2_address_span_extender_cntl_cmd_width_adapter.clk_reset} {reset};add_connection {jtag_uart_0_reset_reset_bridge.out_reset} {ddr2_address_span_extender_cntl_rsp_width_adapter.clk_reset} {reset};add_connection {ext_flash_reset_reset_bridge.out_reset} {ext_flash_uas_translator.reset} {reset};add_connection {ext_flash_reset_reset_bridge.out_reset} {ext_flash_uas_agent.clk_reset} {reset};add_connection {ext_flash_reset_reset_bridge.out_reset} {ext_flash_uas_agent_rsp_fifo.clk_reset} {reset};add_connection {ext_flash_reset_reset_bridge.out_reset} {ext_flash_uas_agent_rdata_fifo.clk_reset} {reset};add_connection {ext_flash_reset_reset_bridge.out_reset} {router_024.clk_reset} {reset};add_connection {ext_flash_reset_reset_bridge.out_reset} {ext_flash_uas_burst_adapter.cr0_reset} {reset};add_connection {ext_flash_reset_reset_bridge.out_reset} {cmd_mux_022.clk_reset} {reset};add_connection {ext_flash_reset_reset_bridge.out_reset} {rsp_demux_022.clk_reset} {reset};add_connection {ext_flash_reset_reset_bridge.out_reset} {ext_flash_uas_rsp_width_adapter.clk_reset} {reset};add_connection {ext_flash_reset_reset_bridge.out_reset} {ext_flash_uas_cmd_width_adapter.clk_reset} {reset};add_connection {clk_100_clk_clock_bridge.out_clk} {nios2_gen2_0_data_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {nios2_gen2_0_instruction_master_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {jtag_uart_0_avalon_jtag_slave_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch1_avalon_mm_config_slave_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch2_avalon_mm_config_slave_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch3_avalon_mm_config_slave_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch4_avalon_mm_config_slave_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch5_avalon_mm_config_slave_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch6_avalon_mm_config_slave_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Synchronization_COMM_0_avalon_mm_config_slave_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {FTDI_UMFT601A_Module_avalon_slave_config_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Memory_Filler_avalon_slave_config_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ddr2_address_span_extender_cntl_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {sysid_qsys_control_slave_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {nios2_gen2_0_debug_mem_slave_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {clock_bridge_afi_50_s0_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {onchip_memory_s1_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ext_flash_uas_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ddr2_address_span_extender_windowed_slave_translator.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {nios2_gen2_0_data_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {nios2_gen2_0_instruction_master_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {jtag_uart_0_avalon_jtag_slave_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Synchronization_COMM_0_avalon_mm_config_slave_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {FTDI_UMFT601A_Module_avalon_slave_config_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Memory_Filler_avalon_slave_config_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {Memory_Filler_avalon_slave_config_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ddr2_address_span_extender_cntl_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ddr2_address_span_extender_cntl_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ddr2_address_span_extender_cntl_agent_rdata_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {sysid_qsys_control_slave_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {sysid_qsys_control_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {nios2_gen2_0_debug_mem_slave_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {nios2_gen2_0_debug_mem_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {clock_bridge_afi_50_s0_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {clock_bridge_afi_50_s0_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {onchip_memory_s1_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {onchip_memory_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ext_flash_uas_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ext_flash_uas_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ext_flash_uas_agent_rdata_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ddr2_address_span_extender_windowed_slave_agent.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ddr2_address_span_extender_windowed_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_008.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_009.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_010.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_011.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_012.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_013.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_014.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_015.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_016.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_017.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_018.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_019.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_020.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_021.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_022.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_023.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_024.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {router_025.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {nios2_gen2_0_instruction_master_limiter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {nios2_gen2_0_debug_mem_slave_burst_adapter.cr0} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {onchip_memory_s1_burst_adapter.cr0} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ext_flash_uas_burst_adapter.cr0} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_006.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_006.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_007.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_007.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_008.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_008.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_009.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_009.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_010.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_010.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_011.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_011.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_012.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_012.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_013.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_013.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_014.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_014.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_015.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_015.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_016.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_016.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_017.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_017.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_018.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_018.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_019.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_019.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_020.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_020.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_021.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_021.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_022.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_022.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {cmd_mux_023.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {rsp_demux_023.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ddr2_address_span_extender_cntl_cmd_width_adapter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ddr2_address_span_extender_cntl_rsp_width_adapter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ext_flash_uas_rsp_width_adapter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ext_flash_uas_cmd_width_adapter.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {nios2_gen2_0_reset_reset_bridge.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {jtag_uart_0_reset_reset_bridge.clk} {clock};add_connection {clk_100_clk_clock_bridge.out_clk} {ext_flash_reset_reset_bridge.clk} {clock};add_interface {clk_100_clk} {clock} {slave};set_interface_property {clk_100_clk} {EXPORT_OF} {clk_100_clk_clock_bridge.in_clk};add_interface {ext_flash_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {ext_flash_reset_reset_bridge_in_reset} {EXPORT_OF} {ext_flash_reset_reset_bridge.in_reset};add_interface {jtag_uart_0_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {jtag_uart_0_reset_reset_bridge_in_reset} {EXPORT_OF} {jtag_uart_0_reset_reset_bridge.in_reset};add_interface {nios2_gen2_0_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {nios2_gen2_0_reset_reset_bridge_in_reset} {EXPORT_OF} {nios2_gen2_0_reset_reset_bridge.in_reset};add_interface {nios2_gen2_0_data_master} {avalon} {slave};set_interface_property {nios2_gen2_0_data_master} {EXPORT_OF} {nios2_gen2_0_data_master_translator.avalon_anti_master_0};add_interface {nios2_gen2_0_instruction_master} {avalon} {slave};set_interface_property {nios2_gen2_0_instruction_master} {EXPORT_OF} {nios2_gen2_0_instruction_master_translator.avalon_anti_master_0};add_interface {clock_bridge_afi_50_s0} {avalon} {master};set_interface_property {clock_bridge_afi_50_s0} {EXPORT_OF} {clock_bridge_afi_50_s0_translator.avalon_anti_slave_0};add_interface {Communication_Module_v2_Ch1_avalon_mm_config_slave} {avalon} {master};set_interface_property {Communication_Module_v2_Ch1_avalon_mm_config_slave} {EXPORT_OF} {Communication_Module_v2_Ch1_avalon_mm_config_slave_translator.avalon_anti_slave_0};add_interface {Communication_Module_v2_Ch2_avalon_mm_config_slave} {avalon} {master};set_interface_property {Communication_Module_v2_Ch2_avalon_mm_config_slave} {EXPORT_OF} {Communication_Module_v2_Ch2_avalon_mm_config_slave_translator.avalon_anti_slave_0};add_interface {Communication_Module_v2_Ch3_avalon_mm_config_slave} {avalon} {master};set_interface_property {Communication_Module_v2_Ch3_avalon_mm_config_slave} {EXPORT_OF} {Communication_Module_v2_Ch3_avalon_mm_config_slave_translator.avalon_anti_slave_0};add_interface {Communication_Module_v2_Ch4_avalon_mm_config_slave} {avalon} {master};set_interface_property {Communication_Module_v2_Ch4_avalon_mm_config_slave} {EXPORT_OF} {Communication_Module_v2_Ch4_avalon_mm_config_slave_translator.avalon_anti_slave_0};add_interface {Communication_Module_v2_Ch5_avalon_mm_config_slave} {avalon} {master};set_interface_property {Communication_Module_v2_Ch5_avalon_mm_config_slave} {EXPORT_OF} {Communication_Module_v2_Ch5_avalon_mm_config_slave_translator.avalon_anti_slave_0};add_interface {Communication_Module_v2_Ch6_avalon_mm_config_slave} {avalon} {master};set_interface_property {Communication_Module_v2_Ch6_avalon_mm_config_slave} {EXPORT_OF} {Communication_Module_v2_Ch6_avalon_mm_config_slave_translator.avalon_anti_slave_0};add_interface {ddr2_address_span_extender_cntl} {avalon} {master};set_interface_property {ddr2_address_span_extender_cntl} {EXPORT_OF} {ddr2_address_span_extender_cntl_translator.avalon_anti_slave_0};add_interface {ddr2_address_span_extender_windowed_slave} {avalon} {master};set_interface_property {ddr2_address_span_extender_windowed_slave} {EXPORT_OF} {ddr2_address_span_extender_windowed_slave_translator.avalon_anti_slave_0};add_interface {ext_flash_uas} {avalon} {master};set_interface_property {ext_flash_uas} {EXPORT_OF} {ext_flash_uas_translator.avalon_anti_slave_0};add_interface {FTDI_UMFT601A_Module_avalon_slave_config} {avalon} {master};set_interface_property {FTDI_UMFT601A_Module_avalon_slave_config} {EXPORT_OF} {FTDI_UMFT601A_Module_avalon_slave_config_translator.avalon_anti_slave_0};add_interface {jtag_uart_0_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_0_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_0_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {Memory_Filler_avalon_slave_config} {avalon} {master};set_interface_property {Memory_Filler_avalon_slave_config} {EXPORT_OF} {Memory_Filler_avalon_slave_config_translator.avalon_anti_slave_0};add_interface {nios2_gen2_0_debug_mem_slave} {avalon} {master};set_interface_property {nios2_gen2_0_debug_mem_slave} {EXPORT_OF} {nios2_gen2_0_debug_mem_slave_translator.avalon_anti_slave_0};add_interface {onchip_memory_s1} {avalon} {master};set_interface_property {onchip_memory_s1} {EXPORT_OF} {onchip_memory_s1_translator.avalon_anti_slave_0};add_interface {rmap_mem_nfee_comm_1_avalon_rmap_slave_0} {avalon} {master};set_interface_property {rmap_mem_nfee_comm_1_avalon_rmap_slave_0} {EXPORT_OF} {rmap_mem_nfee_comm_1_avalon_rmap_slave_0_translator.avalon_anti_slave_0};add_interface {rmap_mem_nfee_comm_2_avalon_rmap_slave_0} {avalon} {master};set_interface_property {rmap_mem_nfee_comm_2_avalon_rmap_slave_0} {EXPORT_OF} {rmap_mem_nfee_comm_2_avalon_rmap_slave_0_translator.avalon_anti_slave_0};add_interface {rmap_mem_nfee_comm_3_avalon_rmap_slave_0} {avalon} {master};set_interface_property {rmap_mem_nfee_comm_3_avalon_rmap_slave_0} {EXPORT_OF} {rmap_mem_nfee_comm_3_avalon_rmap_slave_0_translator.avalon_anti_slave_0};add_interface {rmap_mem_nfee_comm_4_avalon_rmap_slave_0} {avalon} {master};set_interface_property {rmap_mem_nfee_comm_4_avalon_rmap_slave_0} {EXPORT_OF} {rmap_mem_nfee_comm_4_avalon_rmap_slave_0_translator.avalon_anti_slave_0};add_interface {rmap_mem_nfee_comm_5_avalon_rmap_slave_0} {avalon} {master};set_interface_property {rmap_mem_nfee_comm_5_avalon_rmap_slave_0} {EXPORT_OF} {rmap_mem_nfee_comm_5_avalon_rmap_slave_0_translator.avalon_anti_slave_0};add_interface {rmap_mem_nfee_comm_6_avalon_rmap_slave_0} {avalon} {master};set_interface_property {rmap_mem_nfee_comm_6_avalon_rmap_slave_0} {EXPORT_OF} {rmap_mem_nfee_comm_6_avalon_rmap_slave_0_translator.avalon_anti_slave_0};add_interface {rmap_mem_nfee_scom_0_avalon_rmap_slave_0} {avalon} {master};set_interface_property {rmap_mem_nfee_scom_0_avalon_rmap_slave_0} {EXPORT_OF} {rmap_mem_nfee_scom_0_avalon_rmap_slave_0_translator.avalon_anti_slave_0};add_interface {Synchronization_COMM_0_avalon_mm_config_slave} {avalon} {master};set_interface_property {Synchronization_COMM_0_avalon_mm_config_slave} {EXPORT_OF} {Synchronization_COMM_0_avalon_mm_config_slave_translator.avalon_anti_slave_0};add_interface {sysid_qsys_control_slave} {avalon} {master};set_interface_property {sysid_qsys_control_slave} {EXPORT_OF} {sysid_qsys_control_slave_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.Communication_Module_v2_Ch1.avalon_mm_config_slave} {0};set_module_assignment {interconnect_id.Communication_Module_v2_Ch2.avalon_mm_config_slave} {1};set_module_assignment {interconnect_id.Communication_Module_v2_Ch3.avalon_mm_config_slave} {2};set_module_assignment {interconnect_id.Communication_Module_v2_Ch4.avalon_mm_config_slave} {3};set_module_assignment {interconnect_id.Communication_Module_v2_Ch5.avalon_mm_config_slave} {4};set_module_assignment {interconnect_id.Communication_Module_v2_Ch6.avalon_mm_config_slave} {5};set_module_assignment {interconnect_id.FTDI_UMFT601A_Module.avalon_slave_config} {6};set_module_assignment {interconnect_id.Memory_Filler.avalon_slave_config} {7};set_module_assignment {interconnect_id.Synchronization_COMM_0.avalon_mm_config_slave} {8};set_module_assignment {interconnect_id.clock_bridge_afi_50.s0} {9};set_module_assignment {interconnect_id.ddr2_address_span_extender.cntl} {10};set_module_assignment {interconnect_id.ddr2_address_span_extender.windowed_slave} {11};set_module_assignment {interconnect_id.ext_flash.uas} {12};set_module_assignment {interconnect_id.jtag_uart_0.avalon_jtag_slave} {13};set_module_assignment {interconnect_id.nios2_gen2_0.data_master} {0};set_module_assignment {interconnect_id.nios2_gen2_0.debug_mem_slave} {14};set_module_assignment {interconnect_id.nios2_gen2_0.instruction_master} {1};set_module_assignment {interconnect_id.onchip_memory.s1} {15};set_module_assignment {interconnect_id.rmap_mem_nfee_comm_1.avalon_rmap_slave_0} {16};set_module_assignment {interconnect_id.rmap_mem_nfee_comm_2.avalon_rmap_slave_0} {17};set_module_assignment {interconnect_id.rmap_mem_nfee_comm_3.avalon_rmap_slave_0} {18};set_module_assignment {interconnect_id.rmap_mem_nfee_comm_4.avalon_rmap_slave_0} {19};set_module_assignment {interconnect_id.rmap_mem_nfee_comm_5.avalon_rmap_slave_0} {20};set_module_assignment {interconnect_id.rmap_mem_nfee_comm_6.avalon_rmap_slave_0} {21};set_module_assignment {interconnect_id.rmap_mem_nfee_scom_0.avalon_rmap_slave_0} {22};set_module_assignment {interconnect_id.sysid_qsys.control_slave} {23};" /> @@ -23142,154 +23226,154 @@ Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.015s/0.017s + Timing: ELA:1/0.001s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.006s + Timing: COM:3/0.012s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.014s/0.015s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.007s + Timing: COM:3/0.012s/0.013s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s + Timing: ELA:1/0.001s Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.014s/0.015s + Timing: ELA:1/0.010s + Timing: COM:3/0.031s/0.057s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.010s - Timing: COM:3/0.013s/0.015s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.008s + Timing: COM:3/0.012s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.007s - Timing: COM:3/0.019s/0.031s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.008s + Timing: COM:3/0.012s/0.014s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.010s - Timing: COM:3/0.014s/0.015s + Timing: ELA:1/0.008s + Timing: COM:3/0.010s/0.011s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.013s/0.014s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.008s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.015s/0.016s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.006s + Timing: COM:3/0.010s/0.011s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s + Timing: ELA:1/0.001s Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.011s - Timing: COM:3/0.015s/0.016s + Timing: ELA:1/0.005s + Timing: COM:3/0.011s/0.014s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.010s - Timing: COM:3/0.014s/0.015s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.005s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.010s - Timing: COM:3/0.013s/0.015s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.008s + Timing: COM:3/0.013s/0.014s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.033s - Timing: COM:3/0.025s/0.040s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.008s + Timing: COM:3/0.011s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.016s/0.018s + Timing: ELA:1/0.008s + Timing: COM:3/0.011s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.002s - Timing: ELA:1/0.011s - Timing: COM:3/0.018s/0.019s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.008s + Timing: COM:3/0.010s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.001s - Timing: ELA:2/0.000s/0.001s + Timing: ELA:2/0.001s/0.002s Timing: ELA:1/0.009s - Timing: COM:3/0.017s/0.018s + Timing: COM:3/0.014s/0.016s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.010s - Timing: COM:3/0.014s/0.015s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.008s + Timing: COM:3/0.012s/0.014s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.008s - Timing: COM:3/0.013s/0.014s + Timing: ELA:1/0.009s + Timing: COM:3/0.013s/0.015s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.010s + Timing: ELA:1/0.000s + Timing: ELA:2/0.001s/0.002s + Timing: ELA:1/0.009s Timing: COM:3/0.014s/0.015s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.009s - Timing: COM:3/0.014s/0.016s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.007s + Timing: COM:3/0.012s/0.015s @@ -23297,39 +23381,39 @@ Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s Timing: ELA:1/0.008s - Timing: COM:3/0.013s/0.017s + Timing: COM:3/0.016s/0.028s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.014s/0.016s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.009s + Timing: COM:3/0.012s/0.013s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.008s - Timing: COM:3/0.015s/0.016s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.006s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s + Timing: ELA:1/0.001s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.010s - Timing: COM:3/0.015s/0.016s + Timing: ELA:1/0.008s + Timing: COM:3/0.016s/0.026s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.017s/0.020s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.008s + Timing: COM:3/0.010s/0.012s 192 modules, 645 connections]]> @@ -23523,70 +23607,70 @@ mm_interconnect_1" reuses altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_022"]]> mm_interconnect_1" reuses altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter"]]> MebX_Qsys_Project" instantiated altera_mm_interconnect "mm_interconnect_1"]]> - queue size: 606 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + queue size: 613 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" mm_interconnect_0" instantiated altera_merlin_master_translator "FTDI_UMFT601A_Module_avalon_master_data_translator"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> - queue size: 621 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> + queue size: 628 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" ext_flash" instantiated altera_merlin_slave_translator "slave_translator"]]> - queue size: 583 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + queue size: 590 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" mm_interconnect_0" instantiated altera_merlin_master_agent "FTDI_UMFT601A_Module_avalon_master_data_agent"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> - queue size: 562 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> + queue size: 569 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" mm_interconnect_0" instantiated altera_merlin_slave_agent "m2_ddr2_memory_avl_agent"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> - queue size: 561 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + queue size: 568 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" mm_interconnect_0" instantiated altera_avalon_sc_fifo "m2_ddr2_memory_avl_agent_rsp_fifo"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> - queue size: 308 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + queue size: 315 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router" mm_interconnect_1" instantiated altera_merlin_router "router"]]> - queue size: 307 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_001" + queue size: 314 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_001" mm_interconnect_1" instantiated altera_merlin_router "router_001"]]> - queue size: 306 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_002" + queue size: 313 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_002" mm_interconnect_1" instantiated altera_merlin_router "router_002"]]> - queue size: 289 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_019" + queue size: 296 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_019" mm_interconnect_1" instantiated altera_merlin_router "router_019"]]> - queue size: 287 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_021" + queue size: 294 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_021" mm_interconnect_1" instantiated altera_merlin_router "router_021"]]> - queue size: 284 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_024" + queue size: 291 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_024" mm_interconnect_1" instantiated altera_merlin_router "router_024"]]> - queue size: 533 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" + queue size: 540 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" mm_interconnect_0" instantiated altera_merlin_traffic_limiter "ddr2_address_span_extender_expanded_master_limiter"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> - queue size: 532 starting:altera_merlin_burst_adapter "submodules/altera_merlin_burst_adapter" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + queue size: 539 starting:altera_merlin_burst_adapter "submodules/altera_merlin_burst_adapter" mm_interconnect_0" instantiated altera_merlin_burst_adapter "m1_clock_bridge_s0_burst_adapter"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> - queue size: 278 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_demux" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> + queue size: 285 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_demux" mm_interconnect_1" instantiated altera_merlin_demultiplexer "cmd_demux"]]> - queue size: 277 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_demux_001" + queue size: 284 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_demux_001" mm_interconnect_1" instantiated altera_merlin_demultiplexer "cmd_demux_001"]]> - queue size: 276 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_mux" + queue size: 283 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_mux" mm_interconnect_1" instantiated altera_merlin_multiplexer "cmd_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 257 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_mux_019" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 264 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_mux_019" mm_interconnect_1" instantiated altera_merlin_multiplexer "cmd_mux_019"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 252 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_demux" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 259 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_demux" mm_interconnect_1" instantiated altera_merlin_demultiplexer "rsp_demux"]]> - queue size: 233 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_demux_019" + queue size: 240 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_demux_019" mm_interconnect_1" instantiated altera_merlin_demultiplexer "rsp_demux_019"]]> - queue size: 228 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_mux" + queue size: 235 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_mux" mm_interconnect_1" instantiated altera_merlin_multiplexer "rsp_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 227 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_mux_001" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 234 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_mux_001" mm_interconnect_1" instantiated altera_merlin_multiplexer "rsp_mux_001"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 485 starting:altera_merlin_width_adapter "submodules/altera_merlin_width_adapter" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 492 starting:altera_merlin_width_adapter "submodules/altera_merlin_width_adapter" mm_interconnect_0" instantiated altera_merlin_width_adapter "rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_address_alignment.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> - queue size: 222 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_address_alignment.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + queue size: 229 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter" @@ -23603,7 +23687,7 @@ mm_interconnect_1" instantiated altera_avalon_st_adapter "avalon_st_adapter"]]> queue size: 2 starting:error_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_error_adapter_0" avalon_st_adapter" instantiated error_adapter "error_adapter_0"]]> - queue size: 206 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_017" + queue size: 213 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_017" @@ -23620,7 +23704,7 @@ mm_interconnect_1" instantiated altera_avalon_st_adapter "avalon_st_adapter_017"]]> queue size: 1 starting:error_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_017_error_adapter_0" avalon_st_adapter_017" instantiated error_adapter "error_adapter_0"]]> - queue size: 202 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_022" + queue size: 209 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_022" @@ -23641,17 +23725,17 @@ +};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {ID} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {BURSTWRAP_VALUE} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {CACHE_VALUE} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {USE_WRITERESPONSE} {0};add_instance {sync_avalon_mm_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sync_avalon_mm_slave_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {sync_avalon_mm_slave_agent} {ST_DATA_W} {90};set_instance_parameter_value {sync_avalon_mm_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sync_avalon_mm_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sync_avalon_mm_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sync_avalon_mm_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sync_avalon_mm_slave_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {sync_avalon_mm_slave_agent} {ID} {21};set_instance_parameter_value {sync_avalon_mm_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent} {ECC_ENABLE} {0};add_instance {sync_avalon_mm_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {rst_controller_avalon_rst_controller_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {ST_DATA_W} {90};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {ID} {19};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {ECC_ENABLE} {0};add_instance {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {m1_ddr2_i2c_sda_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {ID} {6};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {ECC_ENABLE} {0};add_instance {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {m1_ddr2_i2c_scl_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {ID} {5};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {ECC_ENABLE} {0};add_instance {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_BUTTON_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_BUTTON_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {pio_BUTTON_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_BUTTON_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_BUTTON_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_BUTTON_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_BUTTON_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_BUTTON_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_BUTTON_s1_agent} {ID} {9};set_instance_parameter_value {pio_BUTTON_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {ECC_ENABLE} {0};add_instance {pio_BUTTON_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_LED_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_LED_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_LED_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_LED_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_LED_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_LED_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {pio_LED_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_LED_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_LED_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_LED_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_LED_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_LED_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_LED_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_LED_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_LED_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_LED_s1_agent} {ID} {13};set_instance_parameter_value {pio_LED_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_LED_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_LED_s1_agent} {ECC_ENABLE} {0};add_instance {pio_LED_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {timer_1ms_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {timer_1ms_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {timer_1ms_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {timer_1ms_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {timer_1ms_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {timer_1ms_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {timer_1ms_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {timer_1ms_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {timer_1ms_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {timer_1ms_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {timer_1ms_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {timer_1ms_s1_agent} {ID} {24};set_instance_parameter_value {timer_1ms_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {timer_1ms_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {timer_1ms_s1_agent} {ECC_ENABLE} {0};add_instance {timer_1ms_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_DIP_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_DIP_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {pio_DIP_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_DIP_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_DIP_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_DIP_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_DIP_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_DIP_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_DIP_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_DIP_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_DIP_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_DIP_s1_agent} {ID} {10};set_instance_parameter_value {pio_DIP_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_DIP_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_DIP_s1_agent} {ECC_ENABLE} {0};add_instance {pio_DIP_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {timer_1us_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {timer_1us_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {timer_1us_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {timer_1us_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {timer_1us_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {timer_1us_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {timer_1us_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {timer_1us_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {timer_1us_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {timer_1us_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {timer_1us_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {timer_1us_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {timer_1us_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {timer_1us_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {timer_1us_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {timer_1us_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {timer_1us_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {timer_1us_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {timer_1us_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {timer_1us_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {timer_1us_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {timer_1us_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {timer_1us_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {timer_1us_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {timer_1us_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {timer_1us_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {timer_1us_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {timer_1us_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {timer_1us_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {timer_1us_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {timer_1us_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {timer_1us_s1_agent} {ID} {25};set_instance_parameter_value {timer_1us_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {timer_1us_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {timer_1us_s1_agent} {ECC_ENABLE} {0};add_instance {timer_1us_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_EXT_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_EXT_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {pio_EXT_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_EXT_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_EXT_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_EXT_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_EXT_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_EXT_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_EXT_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_EXT_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_EXT_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_EXT_s1_agent} {ID} {11};set_instance_parameter_value {pio_EXT_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_EXT_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_EXT_s1_agent} {ECC_ENABLE} {0};add_instance {pio_EXT_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sd_card_wp_n_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sd_card_wp_n_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {sd_card_wp_n_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {sd_card_wp_n_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sd_card_wp_n_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sd_card_wp_n_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sd_card_wp_n_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sd_card_wp_n_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {sd_card_wp_n_s1_agent} {ID} {20};set_instance_parameter_value {sd_card_wp_n_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent} {ECC_ENABLE} {0};add_instance {sd_card_wp_n_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {temp_scl_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {temp_scl_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {temp_scl_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {temp_scl_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {temp_scl_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {temp_scl_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {temp_scl_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {temp_scl_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {temp_scl_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {temp_scl_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {temp_scl_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {temp_scl_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {temp_scl_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {temp_scl_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {temp_scl_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {temp_scl_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {temp_scl_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {temp_scl_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {temp_scl_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {temp_scl_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {temp_scl_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {temp_scl_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {temp_scl_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {temp_scl_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {temp_scl_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {temp_scl_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {temp_scl_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {temp_scl_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {temp_scl_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {temp_scl_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {temp_scl_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {temp_scl_s1_agent} {ID} {22};set_instance_parameter_value {temp_scl_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {temp_scl_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {temp_scl_s1_agent} {ECC_ENABLE} {0};add_instance {temp_scl_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {temp_sda_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {temp_sda_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {temp_sda_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {temp_sda_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {temp_sda_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {temp_sda_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {temp_sda_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {temp_sda_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {temp_sda_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {temp_sda_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {temp_sda_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {temp_sda_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {temp_sda_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {temp_sda_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {temp_sda_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {temp_sda_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {temp_sda_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {temp_sda_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {temp_sda_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {temp_sda_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {temp_sda_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {temp_sda_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {temp_sda_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {temp_sda_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {temp_sda_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {temp_sda_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {temp_sda_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {temp_sda_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {temp_sda_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {temp_sda_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {temp_sda_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {temp_sda_s1_agent} {ID} {23};set_instance_parameter_value {temp_sda_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {temp_sda_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {temp_sda_s1_agent} {ECC_ENABLE} {0};add_instance {temp_sda_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {m2_ddr2_i2c_sda_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {ID} {8};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {ECC_ENABLE} {0};add_instance {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {m2_ddr2_i2c_scl_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {ID} {7};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {ECC_ENABLE} {0};add_instance {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {csense_sdo_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {csense_sdo_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {csense_sdo_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {csense_sdo_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {csense_sdo_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {csense_sdo_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {csense_sdo_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {csense_sdo_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {csense_sdo_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {csense_sdo_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {csense_sdo_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {csense_sdo_s1_agent} {ID} {4};set_instance_parameter_value {csense_sdo_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {csense_sdo_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {csense_sdo_s1_agent} {ECC_ENABLE} {0};add_instance {csense_sdo_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {csense_sdi_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {csense_sdi_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {csense_sdi_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {csense_sdi_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {csense_sdi_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {csense_sdi_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {csense_sdi_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {csense_sdi_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {csense_sdi_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {csense_sdi_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {csense_sdi_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {csense_sdi_s1_agent} {ID} {3};set_instance_parameter_value {csense_sdi_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {csense_sdi_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {csense_sdi_s1_agent} {ECC_ENABLE} {0};add_instance {csense_sdi_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {csense_sck_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {csense_sck_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {csense_sck_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {csense_sck_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {csense_sck_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {csense_sck_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {csense_sck_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {csense_sck_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {csense_sck_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {csense_sck_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {csense_sck_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {csense_sck_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {csense_sck_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {csense_sck_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {csense_sck_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {csense_sck_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {csense_sck_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {csense_sck_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {csense_sck_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {csense_sck_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {csense_sck_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {csense_sck_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {csense_sck_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {csense_sck_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {csense_sck_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {csense_sck_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {csense_sck_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {csense_sck_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {csense_sck_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {csense_sck_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {csense_sck_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {csense_sck_s1_agent} {ID} {2};set_instance_parameter_value {csense_sck_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {csense_sck_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {csense_sck_s1_agent} {ECC_ENABLE} {0};add_instance {csense_sck_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {csense_cs_n_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {csense_cs_n_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {csense_cs_n_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {csense_cs_n_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {csense_cs_n_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {csense_cs_n_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {csense_cs_n_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {csense_cs_n_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {csense_cs_n_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {csense_cs_n_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {csense_cs_n_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {csense_cs_n_s1_agent} {ID} {1};set_instance_parameter_value {csense_cs_n_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {csense_cs_n_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {csense_cs_n_s1_agent} {ECC_ENABLE} {0};add_instance {csense_cs_n_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {csense_adc_fo_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {csense_adc_fo_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {csense_adc_fo_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {csense_adc_fo_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {csense_adc_fo_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {csense_adc_fo_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {csense_adc_fo_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {csense_adc_fo_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {csense_adc_fo_s1_agent} {ID} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {ECC_ENABLE} {0};add_instance {csense_adc_fo_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_LED_painel_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_LED_painel_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {pio_LED_painel_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_LED_painel_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_LED_painel_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_LED_painel_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_LED_painel_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_LED_painel_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_LED_painel_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_LED_painel_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_LED_painel_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_LED_painel_s1_agent} {ID} {12};set_instance_parameter_value {pio_LED_painel_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_LED_painel_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_LED_painel_s1_agent} {ECC_ENABLE} {0};add_instance {pio_LED_painel_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {rs232_uart_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {rs232_uart_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {rs232_uart_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {rs232_uart_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {rs232_uart_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {rs232_uart_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {rs232_uart_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {rs232_uart_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {rs232_uart_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {rs232_uart_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {rs232_uart_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {rs232_uart_s1_agent} {ID} {18};set_instance_parameter_value {rs232_uart_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {rs232_uart_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {rs232_uart_s1_agent} {ECC_ENABLE} {0};add_instance {rs232_uart_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_ctrl_io_lvds_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {ID} {14};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {ECC_ENABLE} {0};add_instance {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_ftdi_umft601a_module_reset_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {ID} {15};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {ECC_ENABLE} {0};add_instance {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_iso_logic_signal_enable_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {ID} {16};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {ECC_ENABLE} {0};add_instance {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_rmap_echoing_module_reset_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {ID} {17};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {ECC_ENABLE} {0};add_instance {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {21 19 18 25 24 14 12 0 1 2 3 4 7 8 23 22 20 11 10 13 9 5 6 15 16 17 };set_instance_parameter_value {router} {CHANNEL_ID} {00000000000000000000000001 00000000000000000000000010 00001000000000000000000000 00000000000000000100000000 00000000000000000001000000 00010000000000000000000000 00000100000000000000000000 00000010000000000000000000 00000001000000000000000000 00000000100000000000000000 00000000010000000000000000 00000000001000000000000000 00000000000100000000000000 00000000000010000000000000 00000000000001000000000000 00000000000000100000000000 00000000000000010000000000 00000000000000001000000000 00000000000000000010000000 00000000000000000000100000 00000000000000000000010000 00000000000000000000001000 00000000000000000000000100 00100000000000000000000000 01000000000000000000000000 10000000000000000000000000 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both both both both both both both both both both read both both both both read read read both read both both both both both };set_instance_parameter_value {router} {START_ADDRESS} {0x400 0x800 0x840 0x860 0x880 0x8a0 0x900 0x910 0x920 0x930 0x940 0x950 0x960 0x970 0x980 0x990 0x9a0 0x9b0 0x9c0 0x9d0 0x9e0 0x9f0 0xa00 0xb00 0xb10 0xc00 };set_instance_parameter_value {router} {END_ADDRESS} {0x800 0x840 0x860 0x880 0x8a0 0x8b0 0x910 0x920 0x930 0x940 0x950 0x960 0x970 0x980 0x990 0x9a0 0x9b0 0x9c0 0x9d0 0x9e0 0x9f0 0xa00 0xa10 0xb10 0xb20 0xc10 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {47};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router} {PKT_TRANS_READ} {51};set_instance_parameter_value {router} {ST_DATA_W} {90};set_instance_parameter_value {router} {ST_CHANNEL_W} {26};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {21};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {1 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {47};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_001} {ST_DATA_W} {90};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_001} {DECODER_TYPE} {1};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {1 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {47};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_002} {ST_DATA_W} {90};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {1 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {47};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_003} {ST_DATA_W} {90};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 };set_instance_parameter_value {router_004} {CHANNEL_ID} {1 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {47};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_004} {ST_DATA_W} {90};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {47};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_005} {ST_DATA_W} {90};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {47};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_006} {ST_DATA_W} {90};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {47};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_007} {ST_DATA_W} {90};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {router_008} {altera_merlin_router};set_instance_parameter_value {router_008} {DESTINATION_ID} {0 };set_instance_parameter_value {router_008} {CHANNEL_ID} {1 };set_instance_parameter_value {router_008} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_008} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_008} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_008} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_008} {SPAN_OFFSET} {};set_instance_parameter_value {router_008} {PKT_ADDR_H} {47};set_instance_parameter_value {router_008} {PKT_ADDR_L} {36};set_instance_parameter_value {router_008} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_008} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_008} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_008} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_008} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_008} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_008} {ST_DATA_W} {90};set_instance_parameter_value {router_008} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_008} {DECODER_TYPE} {1};set_instance_parameter_value {router_008} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_008} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_008} {MEMORY_ALIASING_DECODE} {0};add_instance {router_009} {altera_merlin_router};set_instance_parameter_value {router_009} {DESTINATION_ID} {0 };set_instance_parameter_value {router_009} {CHANNEL_ID} {1 };set_instance_parameter_value {router_009} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_009} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_009} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_009} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_009} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_009} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_009} {SPAN_OFFSET} {};set_instance_parameter_value {router_009} {PKT_ADDR_H} {47};set_instance_parameter_value {router_009} {PKT_ADDR_L} {36};set_instance_parameter_value {router_009} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_009} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_009} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_009} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_009} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_009} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_009} {ST_DATA_W} {90};set_instance_parameter_value {router_009} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_009} {DECODER_TYPE} {1};set_instance_parameter_value {router_009} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_009} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_009} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_009} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_009} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_009} {MEMORY_ALIASING_DECODE} {0};add_instance {router_010} {altera_merlin_router};set_instance_parameter_value {router_010} {DESTINATION_ID} {0 };set_instance_parameter_value {router_010} {CHANNEL_ID} {1 };set_instance_parameter_value {router_010} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_010} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_010} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_010} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_010} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_010} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_010} {SPAN_OFFSET} {};set_instance_parameter_value {router_010} {PKT_ADDR_H} {47};set_instance_parameter_value {router_010} {PKT_ADDR_L} {36};set_instance_parameter_value {router_010} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_010} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_010} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_010} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_010} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_010} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_010} {ST_DATA_W} {90};set_instance_parameter_value {router_010} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_010} {DECODER_TYPE} {1};set_instance_parameter_value {router_010} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_010} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_010} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_010} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_010} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_010} {MEMORY_ALIASING_DECODE} {0};add_instance {router_011} {altera_merlin_router};set_instance_parameter_value {router_011} {DESTINATION_ID} {0 };set_instance_parameter_value {router_011} {CHANNEL_ID} {1 };set_instance_parameter_value {router_011} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_011} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_011} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_011} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_011} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_011} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_011} {SPAN_OFFSET} {};set_instance_parameter_value {router_011} {PKT_ADDR_H} {47};set_instance_parameter_value {router_011} {PKT_ADDR_L} {36};set_instance_parameter_value {router_011} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_011} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_011} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_011} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_011} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_011} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_011} {ST_DATA_W} {90};set_instance_parameter_value {router_011} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_011} {DECODER_TYPE} {1};set_instance_parameter_value {router_011} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_011} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_011} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_011} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_011} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_011} {MEMORY_ALIASING_DECODE} {0};add_instance {router_012} {altera_merlin_router};set_instance_parameter_value {router_012} {DESTINATION_ID} {0 };set_instance_parameter_value {router_012} {CHANNEL_ID} {1 };set_instance_parameter_value {router_012} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_012} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_012} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_012} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_012} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_012} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_012} {SPAN_OFFSET} {};set_instance_parameter_value {router_012} {PKT_ADDR_H} {47};set_instance_parameter_value {router_012} {PKT_ADDR_L} {36};set_instance_parameter_value {router_012} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_012} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_012} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_012} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_012} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_012} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_012} {ST_DATA_W} {90};set_instance_parameter_value {router_012} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_012} {DECODER_TYPE} {1};set_instance_parameter_value {router_012} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_012} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_012} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_012} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_012} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_012} {MEMORY_ALIASING_DECODE} {0};add_instance {router_013} {altera_merlin_router};set_instance_parameter_value {router_013} {DESTINATION_ID} {0 };set_instance_parameter_value {router_013} {CHANNEL_ID} {1 };set_instance_parameter_value {router_013} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_013} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_013} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_013} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_013} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_013} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_013} {SPAN_OFFSET} {};set_instance_parameter_value {router_013} {PKT_ADDR_H} {47};set_instance_parameter_value {router_013} {PKT_ADDR_L} {36};set_instance_parameter_value {router_013} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_013} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_013} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_013} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_013} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_013} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_013} {ST_DATA_W} {90};set_instance_parameter_value {router_013} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_013} {DECODER_TYPE} {1};set_instance_parameter_value {router_013} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_013} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_013} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_013} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_013} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_013} {MEMORY_ALIASING_DECODE} {0};add_instance {router_014} {altera_merlin_router};set_instance_parameter_value {router_014} {DESTINATION_ID} {0 };set_instance_parameter_value {router_014} {CHANNEL_ID} {1 };set_instance_parameter_value {router_014} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_014} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_014} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_014} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_014} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_014} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_014} {SPAN_OFFSET} {};set_instance_parameter_value {router_014} {PKT_ADDR_H} {47};set_instance_parameter_value {router_014} {PKT_ADDR_L} {36};set_instance_parameter_value {router_014} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_014} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_014} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_014} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_014} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_014} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_014} {ST_DATA_W} {90};set_instance_parameter_value {router_014} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_014} {DECODER_TYPE} {1};set_instance_parameter_value {router_014} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_014} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_014} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_014} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_014} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_014} {MEMORY_ALIASING_DECODE} {0};add_instance {router_015} {altera_merlin_router};set_instance_parameter_value {router_015} {DESTINATION_ID} {0 };set_instance_parameter_value {router_015} {CHANNEL_ID} {1 };set_instance_parameter_value {router_015} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_015} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_015} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_015} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_015} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_015} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_015} {SPAN_OFFSET} {};set_instance_parameter_value {router_015} {PKT_ADDR_H} {47};set_instance_parameter_value {router_015} {PKT_ADDR_L} {36};set_instance_parameter_value {router_015} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_015} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_015} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_015} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_015} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_015} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_015} {ST_DATA_W} {90};set_instance_parameter_value {router_015} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_015} {DECODER_TYPE} {1};set_instance_parameter_value {router_015} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_015} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_015} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_015} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_015} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_015} {MEMORY_ALIASING_DECODE} {0};add_instance {router_016} {altera_merlin_router};set_instance_parameter_value {router_016} {DESTINATION_ID} {0 };set_instance_parameter_value {router_016} {CHANNEL_ID} {1 };set_instance_parameter_value {router_016} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_016} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_016} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_016} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_016} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_016} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_016} {SPAN_OFFSET} {};set_instance_parameter_value {router_016} {PKT_ADDR_H} {47};set_instance_parameter_value {router_016} {PKT_ADDR_L} {36};set_instance_parameter_value {router_016} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_016} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_016} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_016} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_016} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_016} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_016} {ST_DATA_W} {90};set_instance_parameter_value {router_016} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_016} {DECODER_TYPE} {1};set_instance_parameter_value {router_016} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_016} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_016} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_016} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_016} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_016} {MEMORY_ALIASING_DECODE} {0};add_instance {router_017} {altera_merlin_router};set_instance_parameter_value {router_017} {DESTINATION_ID} {0 };set_instance_parameter_value {router_017} {CHANNEL_ID} {1 };set_instance_parameter_value {router_017} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_017} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_017} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_017} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_017} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_017} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_017} {SPAN_OFFSET} {};set_instance_parameter_value {router_017} {PKT_ADDR_H} {47};set_instance_parameter_value {router_017} {PKT_ADDR_L} {36};set_instance_parameter_value {router_017} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_017} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_017} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_017} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_017} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_017} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_017} {ST_DATA_W} {90};set_instance_parameter_value {router_017} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_017} {DECODER_TYPE} {1};set_instance_parameter_value {router_017} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_017} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_017} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_017} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_017} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_017} {MEMORY_ALIASING_DECODE} {0};add_instance {router_018} {altera_merlin_router};set_instance_parameter_value {router_018} {DESTINATION_ID} {0 };set_instance_parameter_value {router_018} {CHANNEL_ID} {1 };set_instance_parameter_value {router_018} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_018} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_018} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_018} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_018} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_018} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_018} {SPAN_OFFSET} {};set_instance_parameter_value {router_018} {PKT_ADDR_H} {47};set_instance_parameter_value {router_018} {PKT_ADDR_L} {36};set_instance_parameter_value {router_018} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_018} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_018} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_018} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_018} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_018} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_018} {ST_DATA_W} {90};set_instance_parameter_value {router_018} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_018} {DECODER_TYPE} {1};set_instance_parameter_value {router_018} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_018} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_018} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_018} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_018} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_018} {MEMORY_ALIASING_DECODE} {0};add_instance {router_019} {altera_merlin_router};set_instance_parameter_value {router_019} {DESTINATION_ID} {0 };set_instance_parameter_value {router_019} {CHANNEL_ID} {1 };set_instance_parameter_value {router_019} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_019} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_019} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_019} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_019} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_019} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_019} {SPAN_OFFSET} {};set_instance_parameter_value {router_019} {PKT_ADDR_H} {47};set_instance_parameter_value {router_019} {PKT_ADDR_L} {36};set_instance_parameter_value {router_019} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_019} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_019} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_019} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_019} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_019} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_019} {ST_DATA_W} {90};set_instance_parameter_value {router_019} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_019} {DECODER_TYPE} {1};set_instance_parameter_value {router_019} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_019} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_019} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_019} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_019} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_019} {MEMORY_ALIASING_DECODE} {0};add_instance {router_020} {altera_merlin_router};set_instance_parameter_value {router_020} {DESTINATION_ID} {0 };set_instance_parameter_value {router_020} {CHANNEL_ID} {1 };set_instance_parameter_value {router_020} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_020} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_020} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_020} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_020} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_020} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_020} {SPAN_OFFSET} {};set_instance_parameter_value {router_020} {PKT_ADDR_H} {47};set_instance_parameter_value {router_020} {PKT_ADDR_L} {36};set_instance_parameter_value {router_020} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_020} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_020} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_020} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_020} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_020} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_020} {ST_DATA_W} {90};set_instance_parameter_value {router_020} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_020} {DECODER_TYPE} {1};set_instance_parameter_value {router_020} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_020} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_020} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_020} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_020} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_020} {MEMORY_ALIASING_DECODE} {0};add_instance {router_021} {altera_merlin_router};set_instance_parameter_value {router_021} {DESTINATION_ID} {0 };set_instance_parameter_value {router_021} {CHANNEL_ID} {1 };set_instance_parameter_value {router_021} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_021} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_021} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_021} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_021} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_021} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_021} {SPAN_OFFSET} {};set_instance_parameter_value {router_021} {PKT_ADDR_H} {47};set_instance_parameter_value {router_021} {PKT_ADDR_L} {36};set_instance_parameter_value {router_021} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_021} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_021} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_021} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_021} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_021} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_021} {ST_DATA_W} {90};set_instance_parameter_value {router_021} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_021} {DECODER_TYPE} {1};set_instance_parameter_value {router_021} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_021} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_021} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_021} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_021} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_021} {MEMORY_ALIASING_DECODE} {0};add_instance {router_022} {altera_merlin_router};set_instance_parameter_value {router_022} {DESTINATION_ID} {0 };set_instance_parameter_value {router_022} {CHANNEL_ID} {1 };set_instance_parameter_value {router_022} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_022} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_022} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_022} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_022} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_022} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_022} {SPAN_OFFSET} {};set_instance_parameter_value {router_022} {PKT_ADDR_H} {47};set_instance_parameter_value {router_022} {PKT_ADDR_L} {36};set_instance_parameter_value {router_022} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_022} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_022} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_022} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_022} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_022} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_022} {ST_DATA_W} {90};set_instance_parameter_value {router_022} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_022} {DECODER_TYPE} {1};set_instance_parameter_value {router_022} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_022} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_022} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_022} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_022} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_022} {MEMORY_ALIASING_DECODE} {0};add_instance {router_023} {altera_merlin_router};set_instance_parameter_value {router_023} {DESTINATION_ID} {0 };set_instance_parameter_value {router_023} {CHANNEL_ID} {1 };set_instance_parameter_value {router_023} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_023} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_023} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_023} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_023} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_023} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_023} {SPAN_OFFSET} {};set_instance_parameter_value {router_023} {PKT_ADDR_H} {47};set_instance_parameter_value {router_023} {PKT_ADDR_L} {36};set_instance_parameter_value {router_023} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_023} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_023} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_023} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_023} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_023} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_023} {ST_DATA_W} {90};set_instance_parameter_value {router_023} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_023} {DECODER_TYPE} {1};set_instance_parameter_value {router_023} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_023} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_023} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_023} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_023} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_023} {MEMORY_ALIASING_DECODE} {0};add_instance {router_024} {altera_merlin_router};set_instance_parameter_value {router_024} {DESTINATION_ID} {0 };set_instance_parameter_value {router_024} {CHANNEL_ID} {1 };set_instance_parameter_value {router_024} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_024} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_024} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_024} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_024} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_024} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_024} {SPAN_OFFSET} {};set_instance_parameter_value {router_024} {PKT_ADDR_H} {47};set_instance_parameter_value {router_024} {PKT_ADDR_L} {36};set_instance_parameter_value {router_024} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_024} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_024} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_024} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_024} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_024} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_024} {ST_DATA_W} {90};set_instance_parameter_value {router_024} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_024} {DECODER_TYPE} {1};set_instance_parameter_value {router_024} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_024} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_024} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_024} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_024} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_024} {MEMORY_ALIASING_DECODE} {0};add_instance {router_025} {altera_merlin_router};set_instance_parameter_value {router_025} {DESTINATION_ID} {0 };set_instance_parameter_value {router_025} {CHANNEL_ID} {1 };set_instance_parameter_value {router_025} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_025} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_025} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_025} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_025} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_025} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_025} {SPAN_OFFSET} {};set_instance_parameter_value {router_025} {PKT_ADDR_H} {47};set_instance_parameter_value {router_025} {PKT_ADDR_L} {36};set_instance_parameter_value {router_025} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_025} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_025} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_025} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_025} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_025} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_025} {ST_DATA_W} {90};set_instance_parameter_value {router_025} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_025} {DECODER_TYPE} {1};set_instance_parameter_value {router_025} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_025} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_025} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_025} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_025} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_025} {MEMORY_ALIASING_DECODE} {0};add_instance {router_026} {altera_merlin_router};set_instance_parameter_value {router_026} {DESTINATION_ID} {0 };set_instance_parameter_value {router_026} {CHANNEL_ID} {1 };set_instance_parameter_value {router_026} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_026} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_026} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_026} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_026} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_026} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_026} {SPAN_OFFSET} {};set_instance_parameter_value {router_026} {PKT_ADDR_H} {47};set_instance_parameter_value {router_026} {PKT_ADDR_L} {36};set_instance_parameter_value {router_026} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_026} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_026} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_026} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_026} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_026} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_026} {ST_DATA_W} {90};set_instance_parameter_value {router_026} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_026} {DECODER_TYPE} {1};set_instance_parameter_value {router_026} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_026} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_026} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_026} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_026} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_026} {MEMORY_ALIASING_DECODE} {0};add_instance {clock_bridge_afi_50_m0_limiter} {altera_merlin_traffic_limiter};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_DEST_ID_H} {76};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_DEST_ID_L} {72};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_SRC_ID_H} {71};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_SRC_ID_L} {67};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_THREAD_ID_H} {77};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_THREAD_ID_L} {77};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {MAX_BURST_LENGTH} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {MAX_OUTSTANDING_RESPONSES} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PIPELINED} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {ST_DATA_W} {90};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {ST_CHANNEL_W} {26};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {VALID_WIDTH} {26};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {ENFORCE_ORDER} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PREVENT_HAZARDS} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {SUPPORTS_POSTED_WRITES} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {SUPPORTS_NONPOSTED_WRITES} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {REORDER} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {90};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {26};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {26};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_006} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_006} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_006} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_006} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_006} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_006} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_006} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_007} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_007} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_007} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_007} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_007} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_007} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_007} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_007} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_007} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_008} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_008} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_008} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_008} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_008} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_008} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_008} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_008} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_008} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_009} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_009} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_009} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_009} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_009} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_009} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_009} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_009} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_009} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_009} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_010} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_010} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_010} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_010} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_010} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_010} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_010} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_010} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_010} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_010} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_011} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_011} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_011} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_011} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_011} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_011} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_011} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_011} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_011} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_011} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_012} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_012} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_012} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_012} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_012} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_012} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_012} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_012} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_012} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_012} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_013} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_013} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_013} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_013} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_013} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_013} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_013} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_013} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_013} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_013} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_014} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_014} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_014} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_014} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_014} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_014} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_014} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_014} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_014} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_014} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_015} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_015} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_015} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_015} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_015} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_015} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_015} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_015} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_015} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_015} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_016} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_016} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_016} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_016} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_016} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_016} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_016} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_016} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_016} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_016} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_017} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_017} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_017} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_017} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_017} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_017} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_017} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_017} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_017} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_017} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_018} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_018} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_018} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_018} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_018} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_018} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_018} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_018} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_018} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_018} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_019} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_019} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_019} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_019} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_019} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_019} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_019} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_019} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_019} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_019} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_020} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_020} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_020} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_020} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_020} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_020} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_020} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_020} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_020} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_020} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_021} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_021} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_021} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_021} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_021} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_021} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_021} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_021} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_021} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_021} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_022} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_022} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_022} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_022} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_022} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_022} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_022} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_022} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_022} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_022} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_023} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_023} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_023} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_023} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_023} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_023} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_023} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_023} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_023} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_023} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_024} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_024} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_024} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_024} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_024} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_024} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_024} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_024} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_024} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_024} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_025} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_025} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_025} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_025} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_025} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_025} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_025} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_025} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_025} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_025} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_006} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_006} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_006} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_006} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_006} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_007} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_007} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_007} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_007} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_007} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_008} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_008} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_008} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_008} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_008} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_009} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_009} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_009} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_009} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_009} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_009} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_010} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_010} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_010} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_010} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_010} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_010} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_011} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_011} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_011} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_011} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_011} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_011} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_012} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_012} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_012} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_012} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_012} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_012} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_013} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_013} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_013} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_013} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_013} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_013} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_014} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_014} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_014} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_014} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_014} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_014} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_015} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_015} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_015} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_015} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_015} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_015} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_016} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_016} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_016} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_016} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_016} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_016} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_017} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_017} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_017} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_017} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_017} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_017} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_018} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_018} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_018} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_018} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_018} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_018} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_019} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_019} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_019} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_019} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_019} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_019} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_020} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_020} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_020} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_020} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_020} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_020} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_021} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_021} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_021} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_021} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_021} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_021} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_022} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_022} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_022} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_022} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_022} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_022} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_023} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_023} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_023} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_023} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_023} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_023} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_024} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_024} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_024} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_024} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_024} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_024} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_025} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_025} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_025} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_025} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_025} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_025} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {90};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {26};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {clock_bridge_afi_50_m0_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {clock_bridge_afi_50_m0_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {clock_bridge_afi_50_m0_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {rs232_uart_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {rs232_uart_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {rs232_uart_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {rs232_uart_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {rs232_uart_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_50_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_50_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_50_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {clock_bridge_afi_50_m0_translator.avalon_universal_master_0} {clock_bridge_afi_50_m0_agent.av} {avalon};set_connection_parameter_value {clock_bridge_afi_50_m0_translator.avalon_universal_master_0/clock_bridge_afi_50_m0_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {clock_bridge_afi_50_m0_translator.avalon_universal_master_0/clock_bridge_afi_50_m0_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {clock_bridge_afi_50_m0_translator.avalon_universal_master_0/clock_bridge_afi_50_m0_agent.av} {defaultConnection} {false};add_connection {sync_avalon_mm_slave_agent.m0} {sync_avalon_mm_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sync_avalon_mm_slave_agent.m0/sync_avalon_mm_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sync_avalon_mm_slave_agent.m0/sync_avalon_mm_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sync_avalon_mm_slave_agent.m0/sync_avalon_mm_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sync_avalon_mm_slave_agent.rf_source} {sync_avalon_mm_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sync_avalon_mm_slave_agent_rsp_fifo.out} {sync_avalon_mm_slave_agent.rf_sink} {avalon_streaming};add_connection {sync_avalon_mm_slave_agent.rdata_fifo_src} {sync_avalon_mm_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {sync_avalon_mm_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/sync_avalon_mm_slave_agent.cp} {qsys_mm.command};add_connection {rst_controller_avalon_rst_controller_slave_agent.m0} {rst_controller_avalon_rst_controller_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {rst_controller_avalon_rst_controller_slave_agent.m0/rst_controller_avalon_rst_controller_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {rst_controller_avalon_rst_controller_slave_agent.m0/rst_controller_avalon_rst_controller_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {rst_controller_avalon_rst_controller_slave_agent.m0/rst_controller_avalon_rst_controller_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {rst_controller_avalon_rst_controller_slave_agent.rf_source} {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo.out} {rst_controller_avalon_rst_controller_slave_agent.rf_sink} {avalon_streaming};add_connection {rst_controller_avalon_rst_controller_slave_agent.rdata_fifo_src} {rst_controller_avalon_rst_controller_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {rst_controller_avalon_rst_controller_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/rst_controller_avalon_rst_controller_slave_agent.cp} {qsys_mm.command};add_connection {m1_ddr2_i2c_sda_s1_agent.m0} {m1_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {m1_ddr2_i2c_sda_s1_agent.m0/m1_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {m1_ddr2_i2c_sda_s1_agent.m0/m1_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {m1_ddr2_i2c_sda_s1_agent.m0/m1_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {m1_ddr2_i2c_sda_s1_agent.rf_source} {m1_ddr2_i2c_sda_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {m1_ddr2_i2c_sda_s1_agent_rsp_fifo.out} {m1_ddr2_i2c_sda_s1_agent.rf_sink} {avalon_streaming};add_connection {m1_ddr2_i2c_sda_s1_agent.rdata_fifo_src} {m1_ddr2_i2c_sda_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {m1_ddr2_i2c_sda_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/m1_ddr2_i2c_sda_s1_agent.cp} {qsys_mm.command};add_connection {m1_ddr2_i2c_scl_s1_agent.m0} {m1_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {m1_ddr2_i2c_scl_s1_agent.m0/m1_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {m1_ddr2_i2c_scl_s1_agent.m0/m1_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {m1_ddr2_i2c_scl_s1_agent.m0/m1_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {m1_ddr2_i2c_scl_s1_agent.rf_source} {m1_ddr2_i2c_scl_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {m1_ddr2_i2c_scl_s1_agent_rsp_fifo.out} {m1_ddr2_i2c_scl_s1_agent.rf_sink} {avalon_streaming};add_connection {m1_ddr2_i2c_scl_s1_agent.rdata_fifo_src} {m1_ddr2_i2c_scl_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {m1_ddr2_i2c_scl_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/m1_ddr2_i2c_scl_s1_agent.cp} {qsys_mm.command};add_connection {pio_BUTTON_s1_agent.m0} {pio_BUTTON_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_BUTTON_s1_agent.m0/pio_BUTTON_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_BUTTON_s1_agent.m0/pio_BUTTON_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_BUTTON_s1_agent.m0/pio_BUTTON_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_BUTTON_s1_agent.rf_source} {pio_BUTTON_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_BUTTON_s1_agent_rsp_fifo.out} {pio_BUTTON_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_BUTTON_s1_agent.rdata_fifo_src} {pio_BUTTON_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {pio_BUTTON_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/pio_BUTTON_s1_agent.cp} {qsys_mm.command};add_connection {pio_LED_s1_agent.m0} {pio_LED_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_LED_s1_agent.rf_source} {pio_LED_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_LED_s1_agent_rsp_fifo.out} {pio_LED_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_LED_s1_agent.rdata_fifo_src} {pio_LED_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {pio_LED_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/pio_LED_s1_agent.cp} {qsys_mm.command};add_connection {timer_1ms_s1_agent.m0} {timer_1ms_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {timer_1ms_s1_agent.m0/timer_1ms_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {timer_1ms_s1_agent.m0/timer_1ms_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {timer_1ms_s1_agent.m0/timer_1ms_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {timer_1ms_s1_agent.rf_source} {timer_1ms_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {timer_1ms_s1_agent_rsp_fifo.out} {timer_1ms_s1_agent.rf_sink} {avalon_streaming};add_connection {timer_1ms_s1_agent.rdata_fifo_src} {timer_1ms_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_006.src} {timer_1ms_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_006.src/timer_1ms_s1_agent.cp} {qsys_mm.command};add_connection {pio_DIP_s1_agent.m0} {pio_DIP_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_DIP_s1_agent.m0/pio_DIP_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_DIP_s1_agent.m0/pio_DIP_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_DIP_s1_agent.m0/pio_DIP_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_DIP_s1_agent.rf_source} {pio_DIP_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_DIP_s1_agent_rsp_fifo.out} {pio_DIP_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_DIP_s1_agent.rdata_fifo_src} {pio_DIP_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_007.src} {pio_DIP_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_007.src/pio_DIP_s1_agent.cp} {qsys_mm.command};add_connection {timer_1us_s1_agent.m0} {timer_1us_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {timer_1us_s1_agent.m0/timer_1us_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {timer_1us_s1_agent.m0/timer_1us_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {timer_1us_s1_agent.m0/timer_1us_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {timer_1us_s1_agent.rf_source} {timer_1us_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {timer_1us_s1_agent_rsp_fifo.out} {timer_1us_s1_agent.rf_sink} {avalon_streaming};add_connection {timer_1us_s1_agent.rdata_fifo_src} {timer_1us_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_008.src} {timer_1us_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_008.src/timer_1us_s1_agent.cp} {qsys_mm.command};add_connection {pio_EXT_s1_agent.m0} {pio_EXT_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_EXT_s1_agent.m0/pio_EXT_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_EXT_s1_agent.m0/pio_EXT_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_EXT_s1_agent.m0/pio_EXT_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_EXT_s1_agent.rf_source} {pio_EXT_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_EXT_s1_agent_rsp_fifo.out} {pio_EXT_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_EXT_s1_agent.rdata_fifo_src} {pio_EXT_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_009.src} {pio_EXT_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_009.src/pio_EXT_s1_agent.cp} {qsys_mm.command};add_connection {sd_card_wp_n_s1_agent.m0} {sd_card_wp_n_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sd_card_wp_n_s1_agent.m0/sd_card_wp_n_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sd_card_wp_n_s1_agent.m0/sd_card_wp_n_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sd_card_wp_n_s1_agent.m0/sd_card_wp_n_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sd_card_wp_n_s1_agent.rf_source} {sd_card_wp_n_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sd_card_wp_n_s1_agent_rsp_fifo.out} {sd_card_wp_n_s1_agent.rf_sink} {avalon_streaming};add_connection {sd_card_wp_n_s1_agent.rdata_fifo_src} {sd_card_wp_n_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_010.src} {sd_card_wp_n_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_010.src/sd_card_wp_n_s1_agent.cp} {qsys_mm.command};add_connection {temp_scl_s1_agent.m0} {temp_scl_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {temp_scl_s1_agent.m0/temp_scl_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {temp_scl_s1_agent.m0/temp_scl_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {temp_scl_s1_agent.m0/temp_scl_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {temp_scl_s1_agent.rf_source} {temp_scl_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {temp_scl_s1_agent_rsp_fifo.out} {temp_scl_s1_agent.rf_sink} {avalon_streaming};add_connection {temp_scl_s1_agent.rdata_fifo_src} {temp_scl_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_011.src} {temp_scl_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_011.src/temp_scl_s1_agent.cp} {qsys_mm.command};add_connection {temp_sda_s1_agent.m0} {temp_sda_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {temp_sda_s1_agent.m0/temp_sda_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {temp_sda_s1_agent.m0/temp_sda_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {temp_sda_s1_agent.m0/temp_sda_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {temp_sda_s1_agent.rf_source} {temp_sda_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {temp_sda_s1_agent_rsp_fifo.out} {temp_sda_s1_agent.rf_sink} {avalon_streaming};add_connection {temp_sda_s1_agent.rdata_fifo_src} {temp_sda_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_012.src} {temp_sda_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_012.src/temp_sda_s1_agent.cp} {qsys_mm.command};add_connection {m2_ddr2_i2c_sda_s1_agent.m0} {m2_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {m2_ddr2_i2c_sda_s1_agent.m0/m2_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {m2_ddr2_i2c_sda_s1_agent.m0/m2_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {m2_ddr2_i2c_sda_s1_agent.m0/m2_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {m2_ddr2_i2c_sda_s1_agent.rf_source} {m2_ddr2_i2c_sda_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {m2_ddr2_i2c_sda_s1_agent_rsp_fifo.out} {m2_ddr2_i2c_sda_s1_agent.rf_sink} {avalon_streaming};add_connection {m2_ddr2_i2c_sda_s1_agent.rdata_fifo_src} {m2_ddr2_i2c_sda_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_013.src} {m2_ddr2_i2c_sda_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_013.src/m2_ddr2_i2c_sda_s1_agent.cp} {qsys_mm.command};add_connection {m2_ddr2_i2c_scl_s1_agent.m0} {m2_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {m2_ddr2_i2c_scl_s1_agent.m0/m2_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {m2_ddr2_i2c_scl_s1_agent.m0/m2_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {m2_ddr2_i2c_scl_s1_agent.m0/m2_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {m2_ddr2_i2c_scl_s1_agent.rf_source} {m2_ddr2_i2c_scl_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {m2_ddr2_i2c_scl_s1_agent_rsp_fifo.out} {m2_ddr2_i2c_scl_s1_agent.rf_sink} {avalon_streaming};add_connection {m2_ddr2_i2c_scl_s1_agent.rdata_fifo_src} {m2_ddr2_i2c_scl_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_014.src} {m2_ddr2_i2c_scl_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_014.src/m2_ddr2_i2c_scl_s1_agent.cp} {qsys_mm.command};add_connection {csense_sdo_s1_agent.m0} {csense_sdo_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {csense_sdo_s1_agent.m0/csense_sdo_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {csense_sdo_s1_agent.m0/csense_sdo_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {csense_sdo_s1_agent.m0/csense_sdo_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {csense_sdo_s1_agent.rf_source} {csense_sdo_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {csense_sdo_s1_agent_rsp_fifo.out} {csense_sdo_s1_agent.rf_sink} {avalon_streaming};add_connection {csense_sdo_s1_agent.rdata_fifo_src} {csense_sdo_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_015.src} {csense_sdo_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_015.src/csense_sdo_s1_agent.cp} {qsys_mm.command};add_connection {csense_sdi_s1_agent.m0} {csense_sdi_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {csense_sdi_s1_agent.m0/csense_sdi_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {csense_sdi_s1_agent.m0/csense_sdi_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {csense_sdi_s1_agent.m0/csense_sdi_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {csense_sdi_s1_agent.rf_source} {csense_sdi_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {csense_sdi_s1_agent_rsp_fifo.out} {csense_sdi_s1_agent.rf_sink} {avalon_streaming};add_connection {csense_sdi_s1_agent.rdata_fifo_src} {csense_sdi_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_016.src} {csense_sdi_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_016.src/csense_sdi_s1_agent.cp} {qsys_mm.command};add_connection {csense_sck_s1_agent.m0} {csense_sck_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {csense_sck_s1_agent.m0/csense_sck_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {csense_sck_s1_agent.m0/csense_sck_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {csense_sck_s1_agent.m0/csense_sck_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {csense_sck_s1_agent.rf_source} {csense_sck_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {csense_sck_s1_agent_rsp_fifo.out} {csense_sck_s1_agent.rf_sink} {avalon_streaming};add_connection {csense_sck_s1_agent.rdata_fifo_src} {csense_sck_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_017.src} {csense_sck_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_017.src/csense_sck_s1_agent.cp} {qsys_mm.command};add_connection {csense_cs_n_s1_agent.m0} {csense_cs_n_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {csense_cs_n_s1_agent.m0/csense_cs_n_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {csense_cs_n_s1_agent.m0/csense_cs_n_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {csense_cs_n_s1_agent.m0/csense_cs_n_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {csense_cs_n_s1_agent.rf_source} {csense_cs_n_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {csense_cs_n_s1_agent_rsp_fifo.out} {csense_cs_n_s1_agent.rf_sink} {avalon_streaming};add_connection {csense_cs_n_s1_agent.rdata_fifo_src} {csense_cs_n_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_018.src} {csense_cs_n_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_018.src/csense_cs_n_s1_agent.cp} {qsys_mm.command};add_connection {csense_adc_fo_s1_agent.m0} {csense_adc_fo_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {csense_adc_fo_s1_agent.m0/csense_adc_fo_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {csense_adc_fo_s1_agent.m0/csense_adc_fo_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {csense_adc_fo_s1_agent.m0/csense_adc_fo_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {csense_adc_fo_s1_agent.rf_source} {csense_adc_fo_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {csense_adc_fo_s1_agent_rsp_fifo.out} {csense_adc_fo_s1_agent.rf_sink} {avalon_streaming};add_connection {csense_adc_fo_s1_agent.rdata_fifo_src} {csense_adc_fo_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_019.src} {csense_adc_fo_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_019.src/csense_adc_fo_s1_agent.cp} {qsys_mm.command};add_connection {pio_LED_painel_s1_agent.m0} {pio_LED_painel_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_LED_painel_s1_agent.m0/pio_LED_painel_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_LED_painel_s1_agent.m0/pio_LED_painel_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_LED_painel_s1_agent.m0/pio_LED_painel_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_LED_painel_s1_agent.rf_source} {pio_LED_painel_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_LED_painel_s1_agent_rsp_fifo.out} {pio_LED_painel_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_LED_painel_s1_agent.rdata_fifo_src} {pio_LED_painel_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_020.src} {pio_LED_painel_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_020.src/pio_LED_painel_s1_agent.cp} {qsys_mm.command};add_connection {rs232_uart_s1_agent.m0} {rs232_uart_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {rs232_uart_s1_agent.m0/rs232_uart_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {rs232_uart_s1_agent.m0/rs232_uart_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {rs232_uart_s1_agent.m0/rs232_uart_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {rs232_uart_s1_agent.rf_source} {rs232_uart_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {rs232_uart_s1_agent_rsp_fifo.out} {rs232_uart_s1_agent.rf_sink} {avalon_streaming};add_connection {rs232_uart_s1_agent.rdata_fifo_src} {rs232_uart_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_021.src} {rs232_uart_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_021.src/rs232_uart_s1_agent.cp} {qsys_mm.command};add_connection {pio_ctrl_io_lvds_s1_agent.m0} {pio_ctrl_io_lvds_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_ctrl_io_lvds_s1_agent.m0/pio_ctrl_io_lvds_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_ctrl_io_lvds_s1_agent.m0/pio_ctrl_io_lvds_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_ctrl_io_lvds_s1_agent.m0/pio_ctrl_io_lvds_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_ctrl_io_lvds_s1_agent.rf_source} {pio_ctrl_io_lvds_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_ctrl_io_lvds_s1_agent_rsp_fifo.out} {pio_ctrl_io_lvds_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_ctrl_io_lvds_s1_agent.rdata_fifo_src} {pio_ctrl_io_lvds_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_022.src} {pio_ctrl_io_lvds_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_022.src/pio_ctrl_io_lvds_s1_agent.cp} {qsys_mm.command};add_connection {pio_ftdi_umft601a_module_reset_s1_agent.m0} {pio_ftdi_umft601a_module_reset_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent.m0/pio_ftdi_umft601a_module_reset_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent.m0/pio_ftdi_umft601a_module_reset_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent.m0/pio_ftdi_umft601a_module_reset_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_ftdi_umft601a_module_reset_s1_agent.rf_source} {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo.out} {pio_ftdi_umft601a_module_reset_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_ftdi_umft601a_module_reset_s1_agent.rdata_fifo_src} {pio_ftdi_umft601a_module_reset_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_023.src} {pio_ftdi_umft601a_module_reset_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_023.src/pio_ftdi_umft601a_module_reset_s1_agent.cp} {qsys_mm.command};add_connection {pio_iso_logic_signal_enable_s1_agent.m0} {pio_iso_logic_signal_enable_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_iso_logic_signal_enable_s1_agent.m0/pio_iso_logic_signal_enable_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_iso_logic_signal_enable_s1_agent.m0/pio_iso_logic_signal_enable_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_iso_logic_signal_enable_s1_agent.m0/pio_iso_logic_signal_enable_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_iso_logic_signal_enable_s1_agent.rf_source} {pio_iso_logic_signal_enable_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_iso_logic_signal_enable_s1_agent_rsp_fifo.out} {pio_iso_logic_signal_enable_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_iso_logic_signal_enable_s1_agent.rdata_fifo_src} {pio_iso_logic_signal_enable_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_024.src} {pio_iso_logic_signal_enable_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_024.src/pio_iso_logic_signal_enable_s1_agent.cp} {qsys_mm.command};add_connection {pio_rmap_echoing_module_reset_s1_agent.m0} {pio_rmap_echoing_module_reset_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_rmap_echoing_module_reset_s1_agent.m0/pio_rmap_echoing_module_reset_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_rmap_echoing_module_reset_s1_agent.m0/pio_rmap_echoing_module_reset_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_rmap_echoing_module_reset_s1_agent.m0/pio_rmap_echoing_module_reset_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_rmap_echoing_module_reset_s1_agent.rf_source} {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo.out} {pio_rmap_echoing_module_reset_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_rmap_echoing_module_reset_s1_agent.rdata_fifo_src} {pio_rmap_echoing_module_reset_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_025.src} {pio_rmap_echoing_module_reset_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_025.src/pio_rmap_echoing_module_reset_s1_agent.cp} {qsys_mm.command};add_connection {clock_bridge_afi_50_m0_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {clock_bridge_afi_50_m0_agent.cp/router.sink} {qsys_mm.command};add_connection {sync_avalon_mm_slave_agent.rp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {sync_avalon_mm_slave_agent.rp/router_001.sink} {qsys_mm.response};add_connection {router_001.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/rsp_demux.sink} {qsys_mm.response};add_connection {rst_controller_avalon_rst_controller_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {rst_controller_avalon_rst_controller_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {m1_ddr2_i2c_sda_s1_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {m1_ddr2_i2c_sda_s1_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {m1_ddr2_i2c_scl_s1_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {m1_ddr2_i2c_scl_s1_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {pio_BUTTON_s1_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {pio_BUTTON_s1_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {pio_LED_s1_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {pio_LED_s1_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {timer_1ms_s1_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {timer_1ms_s1_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_006.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_006.sink} {qsys_mm.response};add_connection {pio_DIP_s1_agent.rp} {router_008.sink} {avalon_streaming};preview_set_connection_tag {pio_DIP_s1_agent.rp/router_008.sink} {qsys_mm.response};add_connection {router_008.src} {rsp_demux_007.sink} {avalon_streaming};preview_set_connection_tag {router_008.src/rsp_demux_007.sink} {qsys_mm.response};add_connection {timer_1us_s1_agent.rp} {router_009.sink} {avalon_streaming};preview_set_connection_tag {timer_1us_s1_agent.rp/router_009.sink} {qsys_mm.response};add_connection {router_009.src} {rsp_demux_008.sink} {avalon_streaming};preview_set_connection_tag {router_009.src/rsp_demux_008.sink} {qsys_mm.response};add_connection {pio_EXT_s1_agent.rp} {router_010.sink} {avalon_streaming};preview_set_connection_tag {pio_EXT_s1_agent.rp/router_010.sink} {qsys_mm.response};add_connection {router_010.src} {rsp_demux_009.sink} {avalon_streaming};preview_set_connection_tag {router_010.src/rsp_demux_009.sink} {qsys_mm.response};add_connection {sd_card_wp_n_s1_agent.rp} {router_011.sink} {avalon_streaming};preview_set_connection_tag {sd_card_wp_n_s1_agent.rp/router_011.sink} {qsys_mm.response};add_connection {router_011.src} {rsp_demux_010.sink} {avalon_streaming};preview_set_connection_tag {router_011.src/rsp_demux_010.sink} {qsys_mm.response};add_connection {temp_scl_s1_agent.rp} {router_012.sink} {avalon_streaming};preview_set_connection_tag {temp_scl_s1_agent.rp/router_012.sink} {qsys_mm.response};add_connection {router_012.src} {rsp_demux_011.sink} {avalon_streaming};preview_set_connection_tag {router_012.src/rsp_demux_011.sink} {qsys_mm.response};add_connection {temp_sda_s1_agent.rp} {router_013.sink} {avalon_streaming};preview_set_connection_tag {temp_sda_s1_agent.rp/router_013.sink} {qsys_mm.response};add_connection {router_013.src} {rsp_demux_012.sink} {avalon_streaming};preview_set_connection_tag {router_013.src/rsp_demux_012.sink} {qsys_mm.response};add_connection {m2_ddr2_i2c_sda_s1_agent.rp} {router_014.sink} {avalon_streaming};preview_set_connection_tag {m2_ddr2_i2c_sda_s1_agent.rp/router_014.sink} {qsys_mm.response};add_connection {router_014.src} {rsp_demux_013.sink} {avalon_streaming};preview_set_connection_tag {router_014.src/rsp_demux_013.sink} {qsys_mm.response};add_connection {m2_ddr2_i2c_scl_s1_agent.rp} {router_015.sink} {avalon_streaming};preview_set_connection_tag {m2_ddr2_i2c_scl_s1_agent.rp/router_015.sink} {qsys_mm.response};add_connection {router_015.src} {rsp_demux_014.sink} {avalon_streaming};preview_set_connection_tag {router_015.src/rsp_demux_014.sink} {qsys_mm.response};add_connection {csense_sdo_s1_agent.rp} {router_016.sink} {avalon_streaming};preview_set_connection_tag {csense_sdo_s1_agent.rp/router_016.sink} {qsys_mm.response};add_connection {router_016.src} {rsp_demux_015.sink} {avalon_streaming};preview_set_connection_tag {router_016.src/rsp_demux_015.sink} {qsys_mm.response};add_connection {csense_sdi_s1_agent.rp} {router_017.sink} {avalon_streaming};preview_set_connection_tag {csense_sdi_s1_agent.rp/router_017.sink} {qsys_mm.response};add_connection {router_017.src} {rsp_demux_016.sink} {avalon_streaming};preview_set_connection_tag {router_017.src/rsp_demux_016.sink} {qsys_mm.response};add_connection {csense_sck_s1_agent.rp} {router_018.sink} {avalon_streaming};preview_set_connection_tag {csense_sck_s1_agent.rp/router_018.sink} {qsys_mm.response};add_connection {router_018.src} {rsp_demux_017.sink} {avalon_streaming};preview_set_connection_tag {router_018.src/rsp_demux_017.sink} {qsys_mm.response};add_connection {csense_cs_n_s1_agent.rp} {router_019.sink} {avalon_streaming};preview_set_connection_tag {csense_cs_n_s1_agent.rp/router_019.sink} {qsys_mm.response};add_connection {router_019.src} {rsp_demux_018.sink} {avalon_streaming};preview_set_connection_tag {router_019.src/rsp_demux_018.sink} {qsys_mm.response};add_connection {csense_adc_fo_s1_agent.rp} {router_020.sink} {avalon_streaming};preview_set_connection_tag {csense_adc_fo_s1_agent.rp/router_020.sink} {qsys_mm.response};add_connection {router_020.src} {rsp_demux_019.sink} {avalon_streaming};preview_set_connection_tag {router_020.src/rsp_demux_019.sink} {qsys_mm.response};add_connection {pio_LED_painel_s1_agent.rp} {router_021.sink} {avalon_streaming};preview_set_connection_tag {pio_LED_painel_s1_agent.rp/router_021.sink} {qsys_mm.response};add_connection {router_021.src} {rsp_demux_020.sink} {avalon_streaming};preview_set_connection_tag {router_021.src/rsp_demux_020.sink} {qsys_mm.response};add_connection {rs232_uart_s1_agent.rp} {router_022.sink} {avalon_streaming};preview_set_connection_tag {rs232_uart_s1_agent.rp/router_022.sink} {qsys_mm.response};add_connection {router_022.src} {rsp_demux_021.sink} {avalon_streaming};preview_set_connection_tag {router_022.src/rsp_demux_021.sink} {qsys_mm.response};add_connection {pio_ctrl_io_lvds_s1_agent.rp} {router_023.sink} {avalon_streaming};preview_set_connection_tag {pio_ctrl_io_lvds_s1_agent.rp/router_023.sink} {qsys_mm.response};add_connection {router_023.src} {rsp_demux_022.sink} {avalon_streaming};preview_set_connection_tag {router_023.src/rsp_demux_022.sink} {qsys_mm.response};add_connection {pio_ftdi_umft601a_module_reset_s1_agent.rp} {router_024.sink} {avalon_streaming};preview_set_connection_tag {pio_ftdi_umft601a_module_reset_s1_agent.rp/router_024.sink} {qsys_mm.response};add_connection {router_024.src} {rsp_demux_023.sink} {avalon_streaming};preview_set_connection_tag {router_024.src/rsp_demux_023.sink} {qsys_mm.response};add_connection {pio_iso_logic_signal_enable_s1_agent.rp} {router_025.sink} {avalon_streaming};preview_set_connection_tag {pio_iso_logic_signal_enable_s1_agent.rp/router_025.sink} {qsys_mm.response};add_connection {router_025.src} {rsp_demux_024.sink} {avalon_streaming};preview_set_connection_tag {router_025.src/rsp_demux_024.sink} {qsys_mm.response};add_connection {pio_rmap_echoing_module_reset_s1_agent.rp} {router_026.sink} {avalon_streaming};preview_set_connection_tag {pio_rmap_echoing_module_reset_s1_agent.rp/router_026.sink} {qsys_mm.response};add_connection {router_026.src} {rsp_demux_025.sink} {avalon_streaming};preview_set_connection_tag {router_026.src/rsp_demux_025.sink} {qsys_mm.response};add_connection {router.src} {clock_bridge_afi_50_m0_limiter.cmd_sink} {avalon_streaming};preview_set_connection_tag {router.src/clock_bridge_afi_50_m0_limiter.cmd_sink} {qsys_mm.command};add_connection {clock_bridge_afi_50_m0_limiter.cmd_src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {clock_bridge_afi_50_m0_limiter.cmd_src/cmd_demux.sink} {qsys_mm.command};add_connection {rsp_mux.src} {clock_bridge_afi_50_m0_limiter.rsp_sink} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/clock_bridge_afi_50_m0_limiter.rsp_sink} {qsys_mm.response};add_connection {clock_bridge_afi_50_m0_limiter.rsp_src} {clock_bridge_afi_50_m0_agent.rp} {avalon_streaming};preview_set_connection_tag {clock_bridge_afi_50_m0_limiter.rsp_src/clock_bridge_afi_50_m0_agent.rp} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux.src5} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src5/cmd_mux_005.sink0} {qsys_mm.command};add_connection {cmd_demux.src6} {cmd_mux_006.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src6/cmd_mux_006.sink0} {qsys_mm.command};add_connection {cmd_demux.src7} {cmd_mux_007.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src7/cmd_mux_007.sink0} {qsys_mm.command};add_connection {cmd_demux.src8} {cmd_mux_008.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src8/cmd_mux_008.sink0} {qsys_mm.command};add_connection {cmd_demux.src9} {cmd_mux_009.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src9/cmd_mux_009.sink0} {qsys_mm.command};add_connection {cmd_demux.src10} {cmd_mux_010.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src10/cmd_mux_010.sink0} {qsys_mm.command};add_connection {cmd_demux.src11} {cmd_mux_011.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src11/cmd_mux_011.sink0} {qsys_mm.command};add_connection {cmd_demux.src12} {cmd_mux_012.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src12/cmd_mux_012.sink0} {qsys_mm.command};add_connection {cmd_demux.src13} {cmd_mux_013.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src13/cmd_mux_013.sink0} {qsys_mm.command};add_connection {cmd_demux.src14} {cmd_mux_014.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src14/cmd_mux_014.sink0} {qsys_mm.command};add_connection {cmd_demux.src15} {cmd_mux_015.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src15/cmd_mux_015.sink0} {qsys_mm.command};add_connection {cmd_demux.src16} {cmd_mux_016.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src16/cmd_mux_016.sink0} {qsys_mm.command};add_connection {cmd_demux.src17} {cmd_mux_017.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src17/cmd_mux_017.sink0} {qsys_mm.command};add_connection {cmd_demux.src18} {cmd_mux_018.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src18/cmd_mux_018.sink0} {qsys_mm.command};add_connection {cmd_demux.src19} {cmd_mux_019.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src19/cmd_mux_019.sink0} {qsys_mm.command};add_connection {cmd_demux.src20} {cmd_mux_020.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src20/cmd_mux_020.sink0} {qsys_mm.command};add_connection {cmd_demux.src21} {cmd_mux_021.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src21/cmd_mux_021.sink0} {qsys_mm.command};add_connection {cmd_demux.src22} {cmd_mux_022.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src22/cmd_mux_022.sink0} {qsys_mm.command};add_connection {cmd_demux.src23} {cmd_mux_023.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src23/cmd_mux_023.sink0} {qsys_mm.command};add_connection {cmd_demux.src24} {cmd_mux_024.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src24/cmd_mux_024.sink0} {qsys_mm.command};add_connection {cmd_demux.src25} {cmd_mux_025.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src25/cmd_mux_025.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux.sink5} {qsys_mm.response};add_connection {rsp_demux_006.src0} {rsp_mux.sink6} {avalon_streaming};preview_set_connection_tag {rsp_demux_006.src0/rsp_mux.sink6} {qsys_mm.response};add_connection {rsp_demux_007.src0} {rsp_mux.sink7} {avalon_streaming};preview_set_connection_tag {rsp_demux_007.src0/rsp_mux.sink7} {qsys_mm.response};add_connection {rsp_demux_008.src0} {rsp_mux.sink8} {avalon_streaming};preview_set_connection_tag {rsp_demux_008.src0/rsp_mux.sink8} {qsys_mm.response};add_connection {rsp_demux_009.src0} {rsp_mux.sink9} {avalon_streaming};preview_set_connection_tag {rsp_demux_009.src0/rsp_mux.sink9} {qsys_mm.response};add_connection {rsp_demux_010.src0} {rsp_mux.sink10} {avalon_streaming};preview_set_connection_tag {rsp_demux_010.src0/rsp_mux.sink10} {qsys_mm.response};add_connection {rsp_demux_011.src0} {rsp_mux.sink11} {avalon_streaming};preview_set_connection_tag {rsp_demux_011.src0/rsp_mux.sink11} {qsys_mm.response};add_connection {rsp_demux_012.src0} {rsp_mux.sink12} {avalon_streaming};preview_set_connection_tag {rsp_demux_012.src0/rsp_mux.sink12} {qsys_mm.response};add_connection {rsp_demux_013.src0} {rsp_mux.sink13} {avalon_streaming};preview_set_connection_tag {rsp_demux_013.src0/rsp_mux.sink13} {qsys_mm.response};add_connection {rsp_demux_014.src0} {rsp_mux.sink14} {avalon_streaming};preview_set_connection_tag {rsp_demux_014.src0/rsp_mux.sink14} {qsys_mm.response};add_connection {rsp_demux_015.src0} {rsp_mux.sink15} {avalon_streaming};preview_set_connection_tag {rsp_demux_015.src0/rsp_mux.sink15} {qsys_mm.response};add_connection {rsp_demux_016.src0} {rsp_mux.sink16} {avalon_streaming};preview_set_connection_tag {rsp_demux_016.src0/rsp_mux.sink16} {qsys_mm.response};add_connection {rsp_demux_017.src0} {rsp_mux.sink17} {avalon_streaming};preview_set_connection_tag {rsp_demux_017.src0/rsp_mux.sink17} {qsys_mm.response};add_connection {rsp_demux_018.src0} {rsp_mux.sink18} {avalon_streaming};preview_set_connection_tag {rsp_demux_018.src0/rsp_mux.sink18} {qsys_mm.response};add_connection {rsp_demux_019.src0} {rsp_mux.sink19} {avalon_streaming};preview_set_connection_tag {rsp_demux_019.src0/rsp_mux.sink19} {qsys_mm.response};add_connection {rsp_demux_020.src0} {rsp_mux.sink20} {avalon_streaming};preview_set_connection_tag {rsp_demux_020.src0/rsp_mux.sink20} {qsys_mm.response};add_connection {rsp_demux_021.src0} {rsp_mux.sink21} {avalon_streaming};preview_set_connection_tag {rsp_demux_021.src0/rsp_mux.sink21} {qsys_mm.response};add_connection {rsp_demux_022.src0} {rsp_mux.sink22} {avalon_streaming};preview_set_connection_tag {rsp_demux_022.src0/rsp_mux.sink22} {qsys_mm.response};add_connection {rsp_demux_023.src0} {rsp_mux.sink23} {avalon_streaming};preview_set_connection_tag {rsp_demux_023.src0/rsp_mux.sink23} {qsys_mm.response};add_connection {rsp_demux_024.src0} {rsp_mux.sink24} {avalon_streaming};preview_set_connection_tag {rsp_demux_024.src0/rsp_mux.sink24} {qsys_mm.response};add_connection {rsp_demux_025.src0} {rsp_mux.sink25} {avalon_streaming};preview_set_connection_tag {rsp_demux_025.src0/rsp_mux.sink25} {qsys_mm.response};add_connection {clock_bridge_afi_50_m0_limiter.cmd_valid} {cmd_demux.sink_valid} {avalon_streaming};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {clock_bridge_afi_50_m0_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {sync_avalon_mm_slave_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rst_controller_avalon_rst_controller_slave_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m1_ddr2_i2c_sda_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m1_ddr2_i2c_scl_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_BUTTON_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_LED_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {timer_1ms_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_DIP_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {timer_1us_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_EXT_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {sd_card_wp_n_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {temp_scl_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {temp_sda_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m2_ddr2_i2c_sda_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m2_ddr2_i2c_scl_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sdo_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sdi_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sck_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_cs_n_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_adc_fo_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_LED_painel_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_ctrl_io_lvds_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_ftdi_umft601a_module_reset_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_iso_logic_signal_enable_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_rmap_echoing_module_reset_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {clock_bridge_afi_50_m0_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {sync_avalon_mm_slave_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {sync_avalon_mm_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rst_controller_avalon_rst_controller_slave_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m1_ddr2_i2c_sda_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m1_ddr2_i2c_sda_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m1_ddr2_i2c_scl_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m1_ddr2_i2c_scl_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_BUTTON_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_BUTTON_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_LED_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_LED_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {timer_1ms_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {timer_1ms_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_DIP_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_DIP_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {timer_1us_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {timer_1us_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_EXT_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_EXT_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {sd_card_wp_n_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {sd_card_wp_n_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {temp_scl_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {temp_scl_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {temp_sda_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {temp_sda_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m2_ddr2_i2c_sda_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m2_ddr2_i2c_sda_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m2_ddr2_i2c_scl_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m2_ddr2_i2c_scl_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sdo_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sdo_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sdi_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sdi_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sck_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sck_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_cs_n_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_cs_n_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_adc_fo_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_adc_fo_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_LED_painel_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_LED_painel_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_ctrl_io_lvds_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_ctrl_io_lvds_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_ftdi_umft601a_module_reset_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_iso_logic_signal_enable_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_iso_logic_signal_enable_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_rmap_echoing_module_reset_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_008.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_009.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_010.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_011.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_012.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_013.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_014.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_015.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_016.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_017.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_018.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_019.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_020.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_021.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_023.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_024.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_025.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_026.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {clock_bridge_afi_50_m0_limiter.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_006.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_007.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_008.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_009.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_010.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_011.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_012.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_013.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_014.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_015.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_016.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_017.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_018.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_019.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_020.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_022.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_023.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_024.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_025.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_006.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_007.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_008.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_009.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_010.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_011.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_012.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_013.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_014.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_015.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_016.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_017.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_018.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_019.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_020.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_022.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_023.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_024.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_025.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {rs232_uart_reset_reset_bridge.out_reset} {rs232_uart_s1_translator.reset} {reset};add_connection {rs232_uart_reset_reset_bridge.out_reset} {rs232_uart_s1_agent.clk_reset} {reset};add_connection {rs232_uart_reset_reset_bridge.out_reset} {rs232_uart_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {rs232_uart_reset_reset_bridge.out_reset} {router_022.clk_reset} {reset};add_connection {rs232_uart_reset_reset_bridge.out_reset} {cmd_mux_021.clk_reset} {reset};add_connection {rs232_uart_reset_reset_bridge.out_reset} {rsp_demux_021.clk_reset} {reset};add_connection {clk_50_clk_clock_bridge.out_clk} {clock_bridge_afi_50_m0_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sync_avalon_mm_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rst_controller_avalon_rst_controller_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m1_ddr2_i2c_sda_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m1_ddr2_i2c_scl_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_BUTTON_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_1ms_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_DIP_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_1us_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_EXT_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sd_card_wp_n_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {temp_scl_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {temp_sda_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m2_ddr2_i2c_sda_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m2_ddr2_i2c_scl_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sdo_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sdi_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sck_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_cs_n_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_adc_fo_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_painel_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rs232_uart_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_ctrl_io_lvds_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_ftdi_umft601a_module_reset_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_iso_logic_signal_enable_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_rmap_echoing_module_reset_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {clock_bridge_afi_50_m0_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sync_avalon_mm_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sync_avalon_mm_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rst_controller_avalon_rst_controller_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m1_ddr2_i2c_sda_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m1_ddr2_i2c_sda_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m1_ddr2_i2c_scl_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m1_ddr2_i2c_scl_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_BUTTON_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_BUTTON_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_1ms_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_1ms_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_DIP_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_DIP_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_1us_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_1us_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_EXT_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_EXT_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sd_card_wp_n_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sd_card_wp_n_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {temp_scl_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {temp_scl_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {temp_sda_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {temp_sda_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m2_ddr2_i2c_sda_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m2_ddr2_i2c_sda_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m2_ddr2_i2c_scl_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m2_ddr2_i2c_scl_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sdo_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sdo_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sdi_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sdi_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sck_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sck_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_cs_n_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_cs_n_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_adc_fo_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_adc_fo_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_painel_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_painel_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rs232_uart_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rs232_uart_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_ctrl_io_lvds_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_ctrl_io_lvds_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_ftdi_umft601a_module_reset_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_iso_logic_signal_enable_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_iso_logic_signal_enable_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_rmap_echoing_module_reset_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_008.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_009.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_010.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_011.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_012.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_013.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_014.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_015.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_016.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_017.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_018.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_019.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_020.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_021.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_022.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_023.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_024.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_025.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_026.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {clock_bridge_afi_50_m0_limiter.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_007.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_007.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_008.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_008.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_009.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_009.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_010.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_010.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_011.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_011.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_012.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_012.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_013.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_013.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_014.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_014.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_015.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_015.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_016.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_016.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_017.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_017.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_018.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_018.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_019.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_019.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_020.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_020.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_021.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_021.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_022.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_022.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_023.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_023.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_024.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_024.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_025.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_025.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {clock_bridge_afi_50_m0_reset_reset_bridge.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rs232_uart_reset_reset_bridge.clk} {clock};add_interface {clk_50_clk} {clock} {slave};set_interface_property {clk_50_clk} {EXPORT_OF} {clk_50_clk_clock_bridge.in_clk};add_interface {clock_bridge_afi_50_m0_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {clock_bridge_afi_50_m0_reset_reset_bridge_in_reset} {EXPORT_OF} {clock_bridge_afi_50_m0_reset_reset_bridge.in_reset};add_interface {rs232_uart_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {rs232_uart_reset_reset_bridge_in_reset} {EXPORT_OF} {rs232_uart_reset_reset_bridge.in_reset};add_interface {clock_bridge_afi_50_m0} {avalon} {slave};set_interface_property {clock_bridge_afi_50_m0} {EXPORT_OF} {clock_bridge_afi_50_m0_translator.avalon_anti_master_0};add_interface {csense_adc_fo_s1} {avalon} {master};set_interface_property {csense_adc_fo_s1} {EXPORT_OF} {csense_adc_fo_s1_translator.avalon_anti_slave_0};add_interface {csense_cs_n_s1} {avalon} {master};set_interface_property {csense_cs_n_s1} {EXPORT_OF} {csense_cs_n_s1_translator.avalon_anti_slave_0};add_interface {csense_sck_s1} {avalon} {master};set_interface_property {csense_sck_s1} {EXPORT_OF} {csense_sck_s1_translator.avalon_anti_slave_0};add_interface {csense_sdi_s1} {avalon} {master};set_interface_property {csense_sdi_s1} {EXPORT_OF} {csense_sdi_s1_translator.avalon_anti_slave_0};add_interface {csense_sdo_s1} {avalon} {master};set_interface_property {csense_sdo_s1} {EXPORT_OF} {csense_sdo_s1_translator.avalon_anti_slave_0};add_interface {m1_ddr2_i2c_scl_s1} {avalon} {master};set_interface_property {m1_ddr2_i2c_scl_s1} {EXPORT_OF} {m1_ddr2_i2c_scl_s1_translator.avalon_anti_slave_0};add_interface {m1_ddr2_i2c_sda_s1} {avalon} {master};set_interface_property {m1_ddr2_i2c_sda_s1} {EXPORT_OF} {m1_ddr2_i2c_sda_s1_translator.avalon_anti_slave_0};add_interface {m2_ddr2_i2c_scl_s1} {avalon} {master};set_interface_property {m2_ddr2_i2c_scl_s1} {EXPORT_OF} {m2_ddr2_i2c_scl_s1_translator.avalon_anti_slave_0};add_interface {m2_ddr2_i2c_sda_s1} {avalon} {master};set_interface_property {m2_ddr2_i2c_sda_s1} {EXPORT_OF} {m2_ddr2_i2c_sda_s1_translator.avalon_anti_slave_0};add_interface {pio_BUTTON_s1} {avalon} {master};set_interface_property {pio_BUTTON_s1} {EXPORT_OF} {pio_BUTTON_s1_translator.avalon_anti_slave_0};add_interface {pio_ctrl_io_lvds_s1} {avalon} {master};set_interface_property {pio_ctrl_io_lvds_s1} {EXPORT_OF} {pio_ctrl_io_lvds_s1_translator.avalon_anti_slave_0};add_interface {pio_DIP_s1} {avalon} {master};set_interface_property {pio_DIP_s1} {EXPORT_OF} {pio_DIP_s1_translator.avalon_anti_slave_0};add_interface {pio_EXT_s1} {avalon} {master};set_interface_property {pio_EXT_s1} {EXPORT_OF} {pio_EXT_s1_translator.avalon_anti_slave_0};add_interface {pio_ftdi_umft601a_module_reset_s1} {avalon} {master};set_interface_property {pio_ftdi_umft601a_module_reset_s1} {EXPORT_OF} {pio_ftdi_umft601a_module_reset_s1_translator.avalon_anti_slave_0};add_interface {pio_iso_logic_signal_enable_s1} {avalon} {master};set_interface_property {pio_iso_logic_signal_enable_s1} {EXPORT_OF} {pio_iso_logic_signal_enable_s1_translator.avalon_anti_slave_0};add_interface {pio_LED_s1} {avalon} {master};set_interface_property {pio_LED_s1} {EXPORT_OF} {pio_LED_s1_translator.avalon_anti_slave_0};add_interface {pio_LED_painel_s1} {avalon} {master};set_interface_property {pio_LED_painel_s1} {EXPORT_OF} {pio_LED_painel_s1_translator.avalon_anti_slave_0};add_interface {pio_rmap_echoing_module_reset_s1} {avalon} {master};set_interface_property {pio_rmap_echoing_module_reset_s1} {EXPORT_OF} {pio_rmap_echoing_module_reset_s1_translator.avalon_anti_slave_0};add_interface {rs232_uart_s1} {avalon} {master};set_interface_property {rs232_uart_s1} {EXPORT_OF} {rs232_uart_s1_translator.avalon_anti_slave_0};add_interface {rst_controller_avalon_rst_controller_slave} {avalon} {master};set_interface_property {rst_controller_avalon_rst_controller_slave} {EXPORT_OF} {rst_controller_avalon_rst_controller_slave_translator.avalon_anti_slave_0};add_interface {sd_card_wp_n_s1} {avalon} {master};set_interface_property {sd_card_wp_n_s1} {EXPORT_OF} {sd_card_wp_n_s1_translator.avalon_anti_slave_0};add_interface {sync_avalon_mm_slave} {avalon} {master};set_interface_property {sync_avalon_mm_slave} {EXPORT_OF} {sync_avalon_mm_slave_translator.avalon_anti_slave_0};add_interface {temp_scl_s1} {avalon} {master};set_interface_property {temp_scl_s1} {EXPORT_OF} {temp_scl_s1_translator.avalon_anti_slave_0};add_interface {temp_sda_s1} {avalon} {master};set_interface_property {temp_sda_s1} {EXPORT_OF} {temp_sda_s1_translator.avalon_anti_slave_0};add_interface {timer_1ms_s1} {avalon} {master};set_interface_property {timer_1ms_s1} {EXPORT_OF} {timer_1ms_s1_translator.avalon_anti_slave_0};add_interface {timer_1us_s1} {avalon} {master};set_interface_property {timer_1us_s1} {EXPORT_OF} {timer_1us_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.clock_bridge_afi_50.m0} {0};set_module_assignment {interconnect_id.csense_adc_fo.s1} {0};set_module_assignment {interconnect_id.csense_cs_n.s1} {1};set_module_assignment {interconnect_id.csense_sck.s1} {2};set_module_assignment {interconnect_id.csense_sdi.s1} {3};set_module_assignment {interconnect_id.csense_sdo.s1} {4};set_module_assignment {interconnect_id.m1_ddr2_i2c_scl.s1} {5};set_module_assignment {interconnect_id.m1_ddr2_i2c_sda.s1} {6};set_module_assignment {interconnect_id.m2_ddr2_i2c_scl.s1} {7};set_module_assignment {interconnect_id.m2_ddr2_i2c_sda.s1} {8};set_module_assignment {interconnect_id.pio_BUTTON.s1} {9};set_module_assignment {interconnect_id.pio_DIP.s1} {10};set_module_assignment {interconnect_id.pio_EXT.s1} {11};set_module_assignment {interconnect_id.pio_LED.s1} {12};set_module_assignment {interconnect_id.pio_LED_painel.s1} {13};set_module_assignment {interconnect_id.pio_ctrl_io_lvds.s1} {14};set_module_assignment {interconnect_id.pio_ftdi_umft601a_module_reset.s1} {15};set_module_assignment {interconnect_id.pio_iso_logic_signal_enable.s1} {16};set_module_assignment {interconnect_id.pio_rmap_echoing_module_reset.s1} {17};set_module_assignment {interconnect_id.rs232_uart.s1} {18};set_module_assignment {interconnect_id.rst_controller.avalon_rst_controller_slave} {19};set_module_assignment {interconnect_id.sd_card_wp_n.s1} {20};set_module_assignment {interconnect_id.sync.avalon_mm_slave} {21};set_module_assignment {interconnect_id.temp_scl.s1} {22};set_module_assignment {interconnect_id.temp_sda.s1} {23};set_module_assignment {interconnect_id.timer_1ms.s1} {24};set_module_assignment {interconnect_id.timer_1us.s1} {25};" /> @@ -24316,7 +24421,7 @@ Transform: CustomInstructionTransform No custom instruction connections, skipping transform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: MMTransform Transform: InitialInterconnectTransform 0 modules, 0 connections]]> @@ -24342,7 +24447,32 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> + Transform: InitialInterconnectTransform + 0 modules, 0 connections]]> + Transform: TerminalIdAssignmentUpdateTransform + Transform: DefaultSlaveTransform + Transform: TranslatorTransform + No Avalon connections, skipping transform + Transform: IDPadTransform + Transform: DomainTransform + Transform: RouterTransform + Transform: TrafficLimiterTransform + Transform: BurstTransform + Transform: TreeTransform + Transform: NetworkToSwitchTransform + Transform: WidthTransform + Transform: RouterTableTransform + Transform: ThreadIDMappingTableTransform + Transform: ClockCrossingTransform + Transform: PipelineTransform + Transform: SpotPipelineTransform + Transform: PerformanceMonitorTransform + Transform: TrafficLimiterUpdateTransform + Transform: InsertClockAndResetBridgesTransform + Transform: InterconnectConnectionsTagger + Transform: HierarchyTransform + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24367,7 +24497,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24392,7 +24522,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24417,7 +24547,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24442,7 +24572,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24467,7 +24597,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24492,7 +24622,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24517,7 +24647,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24542,7 +24672,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24567,7 +24697,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24592,7 +24722,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24617,7 +24747,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24642,7 +24772,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24667,7 +24797,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24692,7 +24822,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24717,7 +24847,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24742,7 +24872,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24767,7 +24897,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24792,7 +24922,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24817,7 +24947,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24842,7 +24972,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24867,7 +24997,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24892,7 +25022,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24917,7 +25047,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24942,7 +25072,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InitialInterconnectTransform 0 modules, 0 connections]]> Transform: TerminalIdAssignmentUpdateTransform @@ -24967,7 +25097,7 @@ Transform: InsertClockAndResetBridgesTransform Transform: InterconnectConnectionsTagger Transform: HierarchyTransform - 159 modules, 546 connections]]> + 165 modules, 567 connections]]> Transform: InterruptMapperTransform Transform: InterruptSyncTransform Transform: InterruptFanoutTransform @@ -24977,204 +25107,212 @@ Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.016s/0.017s + Timing: ELA:2/0.016s/0.032s + Timing: ELA:1/0.009s + Timing: COM:3/0.022s/0.043s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.009s - Timing: COM:3/0.014s/0.015s + Timing: ELA:1/0.008s + Timing: COM:3/0.012s/0.014s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.008s - Timing: COM:3/0.013s/0.014s + Timing: ELA:1/0.001s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.007s + Timing: COM:3/0.010s/0.011s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.013s/0.014s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.006s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.010s - Timing: COM:3/0.016s/0.017s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.009s + Timing: COM:3/0.014s/0.015s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.014s/0.015s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.005s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.016s/0.017s + Timing: ELA:2/0.001s/0.002s + Timing: ELA:1/0.006s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.012s/0.013s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.008s + Timing: COM:3/0.010s/0.011s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.008s - Timing: COM:3/0.013s/0.014s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.006s + Timing: COM:3/0.010s/0.011s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.025s - Timing: COM:3/0.020s/0.030s + Timing: ELA:1/0.001s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.006s + Timing: COM:3/0.010s/0.012s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.010s - Timing: COM:3/0.015s/0.016s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.006s + Timing: COM:3/0.012s/0.014s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s + Timing: ELA:1/0.001s Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.007s - Timing: COM:3/0.014s/0.015s + Timing: ELA:1/0.006s + Timing: COM:3/0.014s/0.024s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s + Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.000s - Timing: ELA:1/0.009s - Timing: COM:3/0.014s/0.015s + Timing: ELA:1/0.007s + Timing: COM:3/0.010s/0.010s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.015s/0.016s + Timing: ELA:1/0.006s + Timing: COM:3/0.011s/0.011s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.015s/0.017s + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.022s + Timing: COM:3/0.016s/0.027s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.015s/0.016s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.006s + Timing: COM:3/0.011s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.009s - Timing: COM:3/0.015s/0.017s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.005s + Timing: COM:3/0.010s/0.011s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.014s/0.016s + Timing: ELA:1/0.001s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.005s + Timing: COM:3/0.011s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.007s - Timing: COM:3/0.015s/0.017s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.008s + Timing: COM:3/0.013s/0.015s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.029s - Timing: COM:3/0.022s/0.035s + Timing: ELA:1/0.000s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.007s + Timing: COM:3/0.012s/0.013s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.008s - Timing: COM:3/0.013s/0.014s + Timing: ELA:1/0.002s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.009s + Timing: COM:3/0.012s/0.013s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.010s - Timing: COM:3/0.019s/0.025s + Timing: ELA:1/0.001s + Timing: ELA:2/0.001s/0.001s + Timing: ELA:1/0.007s + Timing: COM:3/0.010s/0.011s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.008s - Timing: COM:3/0.017s/0.019s + Timing: ELA:1/0.004s + Timing: COM:3/0.011s/0.013s Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.013s - Timing: COM:3/0.017s/0.019s + Timing: ELA:2/0.000s/0.000s + Timing: ELA:1/0.008s + Timing: COM:3/0.011s/0.012s Inserting error_adapter: error_adapter_0 - Timing: ELA:1/0.001s + Timing: ELA:1/0.000s Timing: ELA:2/0.000s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.018s/0.019s + Timing: ELA:1/0.009s + Timing: COM:3/0.012s/0.014s + + + + Inserting error_adapter: error_adapter_0 + Timing: ELA:1/0.000s + Timing: ELA:2/0.000s/0.001s + Timing: ELA:1/0.008s + Timing: COM:3/0.016s/0.025s 184 modules, 621 connections]]> + culprit="com_altera_sopcmodel_transforms_avalonst_AvalonStreamingTransform">191 modules, 645 connections]]> Transform: ResetAdaptation mm_interconnect_2" reuses altera_merlin_master_translator "submodules/altera_merlin_master_translator"]]> mm_interconnect_2" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> @@ -25202,6 +25340,7 @@ mm_interconnect_2" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> mm_interconnect_2" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> mm_interconnect_2" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> + mm_interconnect_2" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]> mm_interconnect_2" reuses altera_merlin_master_agent "submodules/altera_merlin_master_agent"]]> mm_interconnect_2" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> mm_interconnect_2" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> @@ -25253,6 +25392,8 @@ mm_interconnect_2" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> mm_interconnect_2" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> mm_interconnect_2" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> + mm_interconnect_2" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]> + mm_interconnect_2" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]> mm_interconnect_2" reuses altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router"]]> mm_interconnect_2" reuses altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router_001"]]> mm_interconnect_2" reuses altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router_001"]]> @@ -25279,6 +25420,7 @@ mm_interconnect_2" reuses altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router_001"]]> mm_interconnect_2" reuses altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router_001"]]> mm_interconnect_2" reuses altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router_001"]]> + mm_interconnect_2" reuses altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router_001"]]> mm_interconnect_2" reuses altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter"]]> mm_interconnect_2" reuses altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_demux"]]> mm_interconnect_2" reuses altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux"]]> @@ -25306,6 +25448,8 @@ mm_interconnect_2" reuses altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux"]]> mm_interconnect_2" reuses altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux"]]> mm_interconnect_2" reuses altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux"]]> + mm_interconnect_2" reuses altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux"]]> + mm_interconnect_2" reuses altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_demux"]]> mm_interconnect_2" reuses altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_demux"]]> mm_interconnect_2" reuses altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_demux"]]> mm_interconnect_2" reuses altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_demux"]]> @@ -25357,44 +25501,45 @@ mm_interconnect_2" reuses altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter"]]> mm_interconnect_2" reuses altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter"]]> mm_interconnect_2" reuses altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter"]]> + mm_interconnect_2" reuses altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter"]]> MebX_Qsys_Project" instantiated altera_mm_interconnect "mm_interconnect_2"]]> - queue size: 606 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + queue size: 613 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" mm_interconnect_0" instantiated altera_merlin_master_translator "FTDI_UMFT601A_Module_avalon_master_data_translator"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> - queue size: 621 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> + queue size: 628 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" ext_flash" instantiated altera_merlin_slave_translator "slave_translator"]]> - queue size: 583 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + queue size: 590 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" mm_interconnect_0" instantiated altera_merlin_master_agent "FTDI_UMFT601A_Module_avalon_master_data_agent"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> - queue size: 562 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> + queue size: 569 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" mm_interconnect_0" instantiated altera_merlin_slave_agent "m2_ddr2_memory_avl_agent"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> - queue size: 561 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + queue size: 568 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" mm_interconnect_0" instantiated altera_avalon_sc_fifo "m2_ddr2_memory_avl_agent_rsp_fifo"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> - queue size: 124 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + queue size: 128 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router" mm_interconnect_2" instantiated altera_merlin_router "router"]]> - queue size: 123 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router_001" + queue size: 127 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router_001" mm_interconnect_2" instantiated altera_merlin_router "router_001"]]> - queue size: 533 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" + queue size: 540 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" mm_interconnect_0" instantiated altera_merlin_traffic_limiter "ddr2_address_span_extender_expanded_master_limiter"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> - queue size: 97 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_demux" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + queue size: 100 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_demux" mm_interconnect_2" instantiated altera_merlin_demultiplexer "cmd_demux"]]> - queue size: 96 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux" + queue size: 99 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux" mm_interconnect_2" instantiated altera_merlin_multiplexer "cmd_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 71 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_demux" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 73 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_demux" mm_interconnect_2" instantiated altera_merlin_demultiplexer "rsp_demux"]]> - queue size: 46 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_mux" + queue size: 47 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_mux" mm_interconnect_2" instantiated altera_merlin_multiplexer "rsp_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 222 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 229 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter" @@ -25458,91 +25603,91 @@ };set_instance_parameter_value {m1_clock_bridge_m0_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {m1_clock_bridge_m0_agent} {ID} {0};set_instance_parameter_value {m1_clock_bridge_m0_agent} {BURSTWRAP_VALUE} {1};set_instance_parameter_value {m1_clock_bridge_m0_agent} {CACHE_VALUE} {0};set_instance_parameter_value {m1_clock_bridge_m0_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {m1_clock_bridge_m0_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {m1_clock_bridge_m0_agent} {USE_WRITERESPONSE} {0};add_instance {m1_ddr2_memory_avl_agent} {altera_merlin_slave_agent};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_ORI_BURST_SIZE_H} {362};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_ORI_BURST_SIZE_L} {360};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_RESPONSE_STATUS_H} {359};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_RESPONSE_STATUS_L} {358};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_BURST_SIZE_H} {341};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_BURST_SIZE_L} {339};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_TRANS_LOCK} {323};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_BEGIN_BURST} {346};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_PROTECTION_H} {353};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_PROTECTION_L} {351};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_BURSTWRAP_H} {338};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_BURSTWRAP_L} {338};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_BYTE_CNT_H} {337};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_BYTE_CNT_L} {325};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_ADDR_H} {318};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_ADDR_L} {288};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_TRANS_COMPRESSED_READ} {319};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_TRANS_POSTED} {320};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_TRANS_WRITE} {321};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_TRANS_READ} {322};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_DATA_H} {255};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_DATA_L} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_BYTEEN_H} {287};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_BYTEEN_L} {256};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_SRC_ID_H} {348};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_SRC_ID_L} {348};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_DEST_ID_H} {349};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_DEST_ID_L} {349};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {ST_CHANNEL_W} {1};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {ST_DATA_W} {363};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {AVS_BURSTCOUNT_W} {13};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(362:360) response_status(359:358) cache(357:354) protection(353:351) thread_id(350) dest_id(349) src_id(348) qos(347) begin_burst(346) data_sideband(345) addr_sideband(344) burst_type(343:342) burst_size(341:339) burstwrap(338) byte_cnt(337:325) trans_exclusive(324) trans_lock(323) trans_read(322) trans_write(321) trans_posted(320) trans_compressed_read(319) addr(318:288) byteen(287:256) data(255:0)};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {MAX_BYTE_CNT} {4096};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {ID} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent} {ECC_ENABLE} {0};add_instance {m1_ddr2_memory_avl_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rsp_fifo} {BITS_PER_SYMBOL} {364};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rsp_fifo} {FIFO_DEPTH} {33};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {m1_ddr2_memory_avl_agent_rdata_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rdata_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rdata_fifo} {BITS_PER_SYMBOL} {258};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rdata_fifo} {FIFO_DEPTH} {256};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rdata_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rdata_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rdata_fifo} {USE_PACKETS} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rdata_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rdata_fifo} {EMPTY_LATENCY} {3};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rdata_fifo} {USE_MEMORY_BLOCKS} {1};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rdata_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rdata_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rdata_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rdata_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {m1_ddr2_memory_avl_agent_rdata_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {0 };set_instance_parameter_value {router} {CHANNEL_ID} {1 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router} {START_ADDRESS} {0x0 };set_instance_parameter_value {router} {END_ADDRESS} {0x80000000 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {318};set_instance_parameter_value {router} {PKT_ADDR_L} {288};set_instance_parameter_value {router} {PKT_PROTECTION_H} {353};set_instance_parameter_value {router} {PKT_PROTECTION_L} {351};set_instance_parameter_value {router} {PKT_DEST_ID_H} {349};set_instance_parameter_value {router} {PKT_DEST_ID_L} {349};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {321};set_instance_parameter_value {router} {PKT_TRANS_READ} {322};set_instance_parameter_value {router} {ST_DATA_W} {363};set_instance_parameter_value {router} {ST_CHANNEL_W} {1};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {0};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(362:360) response_status(359:358) cache(357:354) protection(353:351) thread_id(350) dest_id(349) src_id(348) qos(347) begin_burst(346) data_sideband(345) addr_sideband(344) burst_type(343:342) burst_size(341:339) burstwrap(338) byte_cnt(337:325) trans_exclusive(324) trans_lock(323) trans_read(322) trans_write(321) trans_posted(320) trans_compressed_read(319) addr(318:288) byteen(287:256) data(255:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {1 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {318};set_instance_parameter_value {router_001} {PKT_ADDR_L} {288};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {353};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {351};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {349};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {349};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {321};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {322};set_instance_parameter_value {router_001} {ST_DATA_W} {363};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {1};set_instance_parameter_value {router_001} {DECODER_TYPE} {1};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(362:360) response_status(359:358) cache(357:354) protection(353:351) thread_id(350) dest_id(349) src_id(348) qos(347) begin_burst(346) data_sideband(345) addr_sideband(344) burst_type(343:342) burst_size(341:339) burstwrap(338) byte_cnt(337:325) trans_exclusive(324) trans_lock(323) trans_read(322) trans_write(321) trans_posted(320) trans_compressed_read(319) addr(318:288) byteen(287:256) data(255:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {363};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {1};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {1};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {1};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(362:360) response_status(359:358) cache(357:354) protection(353:351) thread_id(350) dest_id(349) src_id(348) qos(347) begin_burst(346) data_sideband(345) addr_sideband(344) burst_type(343:342) burst_size(341:339) burstwrap(338) byte_cnt(337:325) trans_exclusive(324) trans_lock(323) trans_read(322) trans_write(321) trans_posted(320) trans_compressed_read(319) addr(318:288) byteen(287:256) data(255:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {363};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {1};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {323};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(362:360) response_status(359:358) cache(357:354) protection(353:351) thread_id(350) dest_id(349) src_id(348) qos(347) begin_burst(346) data_sideband(345) addr_sideband(344) burst_type(343:342) burst_size(341:339) burstwrap(338) byte_cnt(337:325) trans_exclusive(324) trans_lock(323) trans_read(322) trans_write(321) trans_posted(320) trans_compressed_read(319) addr(318:288) byteen(287:256) data(255:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {363};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {1};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(362:360) response_status(359:358) cache(357:354) protection(353:351) thread_id(350) dest_id(349) src_id(348) qos(347) begin_burst(346) data_sideband(345) addr_sideband(344) burst_type(343:342) burst_size(341:339) burstwrap(338) byte_cnt(337:325) trans_exclusive(324) trans_lock(323) trans_read(322) trans_write(321) trans_posted(320) trans_compressed_read(319) addr(318:288) byteen(287:256) data(255:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {363};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {1};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {1};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {323};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(362:360) response_status(359:358) cache(357:354) protection(353:351) thread_id(350) dest_id(349) src_id(348) qos(347) begin_burst(346) data_sideband(345) addr_sideband(344) burst_type(343:342) burst_size(341:339) burstwrap(338) byte_cnt(337:325) trans_exclusive(324) trans_lock(323) trans_read(322) trans_write(321) trans_posted(320) trans_compressed_read(319) addr(318:288) byteen(287:256) data(255:0)};add_instance {crosser} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser} {DATA_WIDTH} {363};set_instance_parameter_value {crosser} {BITS_PER_SYMBOL} {363};set_instance_parameter_value {crosser} {USE_PACKETS} {1};set_instance_parameter_value {crosser} {USE_CHANNEL} {1};set_instance_parameter_value {crosser} {CHANNEL_WIDTH} {1};set_instance_parameter_value {crosser} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser} {USE_ERROR} {0};set_instance_parameter_value {crosser} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser} {USE_OUTPUT_PIPELINE} {0};add_instance {crosser_001} {altera_avalon_st_handshake_clock_crosser};set_instance_parameter_value {crosser_001} {DATA_WIDTH} {363};set_instance_parameter_value {crosser_001} {BITS_PER_SYMBOL} {363};set_instance_parameter_value {crosser_001} {USE_PACKETS} {1};set_instance_parameter_value {crosser_001} {USE_CHANNEL} {1};set_instance_parameter_value {crosser_001} {CHANNEL_WIDTH} {1};set_instance_parameter_value {crosser_001} {MAX_CHANNEL} {0};set_instance_parameter_value {crosser_001} {USE_ERROR} {0};set_instance_parameter_value {crosser_001} {ERROR_WIDTH} {1};set_instance_parameter_value {crosser_001} {VALID_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_001} {READY_SYNC_DEPTH} {2};set_instance_parameter_value {crosser_001} {USE_OUTPUT_PIPELINE} {0};add_instance {m1_clock_bridge_m0_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {m1_clock_bridge_m0_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {m1_clock_bridge_m0_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {m1_clock_bridge_m0_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {m1_clock_bridge_m0_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {m1_ddr2_memory_soft_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {m1_ddr2_memory_soft_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {m1_ddr2_memory_soft_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {m1_ddr2_memory_soft_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {m1_ddr2_memory_soft_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {m1_ddr2_memory_avl_translator_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {m1_ddr2_memory_avl_translator_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {m1_ddr2_memory_avl_translator_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {m1_ddr2_memory_avl_translator_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {m1_ddr2_memory_avl_translator_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {m1_ddr2_memory_afi_half_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {m1_ddr2_memory_afi_half_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {100000000};set_instance_parameter_value {m1_ddr2_memory_afi_half_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_instance {m1_ddr2_memory_afi_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {m1_ddr2_memory_afi_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {200000000};set_instance_parameter_value {m1_ddr2_memory_afi_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {m1_clock_bridge_m0_translator.avalon_universal_master_0} {m1_clock_bridge_m0_agent.av} {avalon};set_connection_parameter_value {m1_clock_bridge_m0_translator.avalon_universal_master_0/m1_clock_bridge_m0_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {m1_clock_bridge_m0_translator.avalon_universal_master_0/m1_clock_bridge_m0_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {m1_clock_bridge_m0_translator.avalon_universal_master_0/m1_clock_bridge_m0_agent.av} {defaultConnection} {false};add_connection {rsp_mux.src} {m1_clock_bridge_m0_agent.rp} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/m1_clock_bridge_m0_agent.rp} {qsys_mm.response};add_connection {m1_ddr2_memory_avl_agent.m0} {m1_ddr2_memory_avl_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {m1_ddr2_memory_avl_agent.m0/m1_ddr2_memory_avl_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {m1_ddr2_memory_avl_agent.m0/m1_ddr2_memory_avl_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {m1_ddr2_memory_avl_agent.m0/m1_ddr2_memory_avl_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {m1_ddr2_memory_avl_agent.rf_source} {m1_ddr2_memory_avl_agent_rsp_fifo.in} {avalon_streaming};add_connection {m1_ddr2_memory_avl_agent_rsp_fifo.out} {m1_ddr2_memory_avl_agent.rf_sink} {avalon_streaming};add_connection {m1_ddr2_memory_avl_agent.rdata_fifo_src} {m1_ddr2_memory_avl_agent_rdata_fifo.in} {avalon_streaming};add_connection {m1_ddr2_memory_avl_agent_rdata_fifo.out} {m1_ddr2_memory_avl_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {m1_ddr2_memory_avl_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/m1_ddr2_memory_avl_agent.cp} {qsys_mm.command};add_connection {m1_clock_bridge_m0_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {m1_clock_bridge_m0_agent.cp/router.sink} {qsys_mm.command};add_connection {router.src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {router.src/cmd_demux.sink} {qsys_mm.command};add_connection {m1_ddr2_memory_avl_agent.rp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {m1_ddr2_memory_avl_agent.rp/router_001.sink} {qsys_mm.response};add_connection {router_001.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/rsp_demux.sink} {qsys_mm.response};add_connection {cmd_demux.src0} {crosser.in} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/crosser.in} {qsys_mm.command};add_connection {crosser.out} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {crosser.out/cmd_mux.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {crosser_001.in} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/crosser_001.in} {qsys_mm.response};add_connection {crosser_001.out} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {crosser_001.out/rsp_mux.sink0} {qsys_mm.response};add_connection {m1_clock_bridge_m0_reset_reset_bridge.out_reset} {m1_clock_bridge_m0_translator.reset} {reset};add_connection {m1_clock_bridge_m0_reset_reset_bridge.out_reset} {m1_clock_bridge_m0_agent.clk_reset} {reset};add_connection {m1_clock_bridge_m0_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {m1_clock_bridge_m0_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {m1_clock_bridge_m0_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {m1_clock_bridge_m0_reset_reset_bridge.out_reset} {crosser.in_clk_reset} {reset};add_connection {m1_clock_bridge_m0_reset_reset_bridge.out_reset} {crosser_001.out_clk_reset} {reset};add_connection {m1_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {m1_ddr2_memory_avl_translator.reset} {reset};add_connection {m1_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {m1_ddr2_memory_avl_agent.clk_reset} {reset};add_connection {m1_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {m1_ddr2_memory_avl_agent_rsp_fifo.clk_reset} {reset};add_connection {m1_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {m1_ddr2_memory_avl_agent_rdata_fifo.clk_reset} {reset};add_connection {m1_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {m1_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {m1_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {m1_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser.out_clk_reset} {reset};add_connection {m1_ddr2_memory_avl_translator_reset_reset_bridge.out_reset} {crosser_001.in_clk_reset} {reset};add_connection {m1_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {m1_clock_bridge_m0_translator.clk} {clock};add_connection {m1_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {m1_clock_bridge_m0_agent.clk} {clock};add_connection {m1_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {m1_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {m1_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {m1_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser.in_clk} {clock};add_connection {m1_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {crosser_001.out_clk} {clock};add_connection {m1_ddr2_memory_afi_half_clk_clock_bridge.out_clk} {m1_clock_bridge_m0_reset_reset_bridge.clk} {clock};add_connection {m1_ddr2_memory_afi_clk_clock_bridge.out_clk} {m1_ddr2_memory_avl_translator.clk} {clock};add_connection {m1_ddr2_memory_afi_clk_clock_bridge.out_clk} {m1_ddr2_memory_avl_agent.clk} {clock};add_connection {m1_ddr2_memory_afi_clk_clock_bridge.out_clk} {m1_ddr2_memory_avl_agent_rsp_fifo.clk} {clock};add_connection {m1_ddr2_memory_afi_clk_clock_bridge.out_clk} {m1_ddr2_memory_avl_agent_rdata_fifo.clk} {clock};add_connection {m1_ddr2_memory_afi_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {m1_ddr2_memory_afi_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {m1_ddr2_memory_afi_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {m1_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser.out_clk} {clock};add_connection {m1_ddr2_memory_afi_clk_clock_bridge.out_clk} {crosser_001.in_clk} {clock};add_connection {m1_ddr2_memory_afi_clk_clock_bridge.out_clk} {m1_ddr2_memory_soft_reset_reset_bridge.clk} {clock};add_connection {m1_ddr2_memory_afi_clk_clock_bridge.out_clk} {m1_ddr2_memory_avl_translator_reset_reset_bridge.clk} {clock};add_interface {m1_ddr2_memory_afi_clk} {clock} {slave};set_interface_property {m1_ddr2_memory_afi_clk} {EXPORT_OF} {m1_ddr2_memory_afi_clk_clock_bridge.in_clk};add_interface {m1_ddr2_memory_afi_half_clk} {clock} {slave};set_interface_property {m1_ddr2_memory_afi_half_clk} {EXPORT_OF} {m1_ddr2_memory_afi_half_clk_clock_bridge.in_clk};add_interface {m1_clock_bridge_m0_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {m1_clock_bridge_m0_reset_reset_bridge_in_reset} {EXPORT_OF} {m1_clock_bridge_m0_reset_reset_bridge.in_reset};add_interface {m1_ddr2_memory_avl_translator_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {m1_ddr2_memory_avl_translator_reset_reset_bridge_in_reset} {EXPORT_OF} {m1_ddr2_memory_avl_translator_reset_reset_bridge.in_reset};add_interface {m1_ddr2_memory_soft_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {m1_ddr2_memory_soft_reset_reset_bridge_in_reset} {EXPORT_OF} {m1_ddr2_memory_soft_reset_reset_bridge.in_reset};add_interface {m1_clock_bridge_m0} {avalon} {slave};set_interface_property {m1_clock_bridge_m0} {EXPORT_OF} {m1_clock_bridge_m0_translator.avalon_anti_master_0};add_interface {m1_ddr2_memory_avl} {avalon} {master};set_interface_property {m1_ddr2_memory_avl} {EXPORT_OF} {m1_ddr2_memory_avl_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.m1_clock_bridge.m0} {0};set_module_assignment {interconnect_id.m1_ddr2_memory.avl} {0};" /> @@ -25586,7 +25731,7 @@ - queue size: 628 starting:altera_mm_interconnect "submodules/MebX_Qsys_Project_mm_interconnect_3" + queue size: 635 starting:altera_mm_interconnect "submodules/MebX_Qsys_Project_mm_interconnect_3" @@ -25653,9 +25798,9 @@ Inserting error_adapter: error_adapter_0 Timing: ELA:1/0.000s - Timing: ELA:2/0.001s/0.001s - Timing: ELA:1/0.011s - Timing: COM:3/0.014s/0.017s + Timing: ELA:2/0.001s/0.002s + Timing: ELA:1/0.005s + Timing: COM:3/0.011s/0.012s 20 modules, 54 connections]]> @@ -25676,23 +25821,23 @@ mm_interconnect_3" reuses altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser"]]> mm_interconnect_3" reuses altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_0_avalon_st_adapter"]]> MebX_Qsys_Project" instantiated altera_mm_interconnect "mm_interconnect_3"]]> - queue size: 606 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + queue size: 613 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" mm_interconnect_0" instantiated altera_merlin_master_translator "FTDI_UMFT601A_Module_avalon_master_data_translator"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> - queue size: 621 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> + queue size: 628 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" ext_flash" instantiated altera_merlin_slave_translator "slave_translator"]]> - queue size: 583 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + queue size: 590 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" mm_interconnect_0" instantiated altera_merlin_master_agent "FTDI_UMFT601A_Module_avalon_master_data_agent"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> - queue size: 562 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> + queue size: 569 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" mm_interconnect_0" instantiated altera_merlin_slave_agent "m2_ddr2_memory_avl_agent"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> - queue size: 561 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + queue size: 568 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" mm_interconnect_0" instantiated altera_avalon_sc_fifo "m2_ddr2_memory_avl_agent_rsp_fifo"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> queue size: 14 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_3_router" mm_interconnect_3" instantiated altera_merlin_router "router"]]> queue size: 13 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_3_router_001" @@ -25701,17 +25846,17 @@ mm_interconnect_3" instantiated altera_merlin_demultiplexer "cmd_demux"]]> queue size: 11 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_3_cmd_mux" mm_interconnect_3" instantiated altera_merlin_multiplexer "cmd_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> queue size: 10 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_3_rsp_demux" mm_interconnect_3" instantiated altera_merlin_demultiplexer "rsp_demux"]]> queue size: 9 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_3_rsp_mux" mm_interconnect_3" instantiated altera_merlin_multiplexer "rsp_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - queue size: 471 starting:altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + queue size: 478 starting:altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser" mm_interconnect_0" instantiated altera_avalon_st_handshake_clock_crosser "crosser"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_std_synchronizer_nocut.v]]> - queue size: 387 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_0_avalon_st_adapter" + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_std_synchronizer_nocut.v]]> + queue size: 394 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_0_avalon_st_adapter" @@ -25745,7 +25890,7 @@ @@ -25757,7 +25902,7 @@ - queue size: 642 starting:altera_irq_mapper "submodules/MebX_Qsys_Project_irq_mapper" + queue size: 649 starting:altera_irq_mapper "submodules/MebX_Qsys_Project_irq_mapper" MebX_Qsys_Project" instantiated altera_irq_mapper "irq_mapper"]]> @@ -25772,7 +25917,7 @@ @@ -25786,7 +25931,7 @@ instantiator="MebX_Qsys_Project" as="irq_synchronizer,irq_synchronizer_001,irq_synchronizer_002,irq_synchronizer_003,irq_synchronizer_004" /> - queue size: 641 starting:altera_irq_clock_crosser "submodules/altera_irq_clock_crosser" + queue size: 648 starting:altera_irq_clock_crosser "submodules/altera_irq_clock_crosser" MebX_Qsys_Project" instantiated altera_irq_clock_crosser "irq_synchronizer"]]> @@ -25799,15 +25944,15 @@ name="altera_reset_controller"> @@ -25821,7 +25966,7 @@ instantiator="MebX_Qsys_Project" as="rst_controller_001,rst_controller_002,rst_controller_003,rst_controller_004,rst_controller_005,rst_controller_006,rst_controller_007,rst_controller_008,rst_controller_009,rst_controller_001,rst_controller_002,rst_controller_004" /> - queue size: 636 starting:altera_reset_controller "submodules/altera_reset_controller" + queue size: 643 starting:altera_reset_controller "submodules/altera_reset_controller" MebX_Qsys_Project" instantiated altera_reset_controller "rst_controller_001"]]> @@ -25848,7 +25993,7 @@ @@ -25860,7 +26005,7 @@ - queue size: 622 starting:altera_tristate_controller_translator "submodules/altera_tristate_controller_translator" + queue size: 629 starting:altera_tristate_controller_translator "submodules/altera_tristate_controller_translator" ext_flash" instantiated altera_tristate_controller_translator "tdt"]]> @@ -25873,7 +26018,7 @@ name="altera_merlin_slave_translator"> @@ -25892,12 +26037,12 @@ as="jtag_uart_0_avalon_jtag_slave_translator,Communication_Module_v2_Ch1_avalon_mm_config_slave_translator,Communication_Module_v2_Ch2_avalon_mm_config_slave_translator,Communication_Module_v2_Ch3_avalon_mm_config_slave_translator,Communication_Module_v2_Ch4_avalon_mm_config_slave_translator,Communication_Module_v2_Ch5_avalon_mm_config_slave_translator,Communication_Module_v2_Ch6_avalon_mm_config_slave_translator,Synchronization_COMM_0_avalon_mm_config_slave_translator,rmap_mem_nfee_comm_1_avalon_rmap_slave_0_translator,rmap_mem_nfee_comm_2_avalon_rmap_slave_0_translator,rmap_mem_nfee_comm_3_avalon_rmap_slave_0_translator,rmap_mem_nfee_comm_4_avalon_rmap_slave_0_translator,rmap_mem_nfee_comm_5_avalon_rmap_slave_0_translator,rmap_mem_nfee_comm_6_avalon_rmap_slave_0_translator,rmap_mem_nfee_scom_0_avalon_rmap_slave_0_translator,FTDI_UMFT601A_Module_avalon_slave_config_translator,Memory_Filler_avalon_slave_config_translator,ddr2_address_span_extender_cntl_translator,sysid_qsys_control_slave_translator,nios2_gen2_0_debug_mem_slave_translator,clock_bridge_afi_50_s0_translator,onchip_memory_s1_translator,ext_flash_uas_translator,ddr2_address_span_extender_windowed_slave_translator" /> + as="sync_avalon_mm_slave_translator,rst_controller_avalon_rst_controller_slave_translator,m1_ddr2_i2c_sda_s1_translator,m1_ddr2_i2c_scl_s1_translator,pio_BUTTON_s1_translator,pio_LED_s1_translator,timer_1ms_s1_translator,pio_DIP_s1_translator,timer_1us_s1_translator,pio_EXT_s1_translator,sd_card_wp_n_s1_translator,temp_scl_s1_translator,temp_sda_s1_translator,m2_ddr2_i2c_sda_s1_translator,m2_ddr2_i2c_scl_s1_translator,csense_sdo_s1_translator,csense_sdi_s1_translator,csense_sck_s1_translator,csense_cs_n_s1_translator,csense_adc_fo_s1_translator,pio_LED_painel_s1_translator,rs232_uart_s1_translator,pio_ctrl_io_lvds_s1_translator,pio_ftdi_umft601a_module_reset_s1_translator,pio_iso_logic_signal_enable_s1_translator,pio_rmap_echoing_module_reset_s1_translator" /> - queue size: 621 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" + queue size: 628 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator" ext_flash" instantiated altera_merlin_slave_translator "slave_translator"]]> @@ -25946,7 +26091,7 @@ @@ -25958,7 +26103,7 @@ - queue size: 620 starting:altera_tristate_controller_aggregator "submodules/altera_tristate_controller_aggregator" + queue size: 627 starting:altera_tristate_controller_aggregator "submodules/altera_tristate_controller_aggregator" ext_flash" instantiated altera_tristate_controller_aggregator "tda"]]> @@ -26690,7 +26835,7 @@ @@ -26765,7 +26910,7 @@ - queue size: 619 starting:altera_mem_if_ddr2_pll "submodules/MebX_Qsys_Project_m1_ddr2_memory_pll0" + queue size: 626 starting:altera_mem_if_ddr2_pll "submodules/MebX_Qsys_Project_m1_ddr2_memory_pll0" m1_ddr2_memory" instantiated altera_mem_if_ddr2_pll "pll0"]]> @@ -27499,115 +27644,115 @@ @@ -27682,7 +27827,7 @@ - queue size: 618 starting:altera_mem_if_ddr2_phy_core "submodules/MebX_Qsys_Project_m1_ddr2_memory_p0" + queue size: 625 starting:altera_mem_if_ddr2_phy_core "submodules/MebX_Qsys_Project_m1_ddr2_memory_p0" Generating clock pair generator Generating MebX_Qsys_Project_m1_ddr2_memory_p0_altdqdqs @@ -27923,7 +28068,7 @@ @@ -27999,7 +28144,7 @@ - queue size: 617 starting:altera_mem_if_ddr2_afi_mux "submodules/afi_mux_ddrx" + queue size: 624 starting:altera_mem_if_ddr2_afi_mux "submodules/afi_mux_ddrx" m1_ddr2_memory" instantiated altera_mem_if_ddr2_afi_mux "m0"]]> @@ -28742,275 +28887,275 @@ @@ -29085,11 +29230,11 @@ - queue size: 616 starting:altera_mem_if_ddr2_qseq "submodules/MebX_Qsys_Project_m1_ddr2_memory_s0" + queue size: 623 starting:altera_mem_if_ddr2_qseq "submodules/MebX_Qsys_Project_m1_ddr2_memory_s0" Generating Qsys sequencer system QSYS sequencer system generated successfully m1_ddr2_memory" instantiated altera_mem_if_ddr2_qseq "s0"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_translator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_translator.sv]]> @@ -30328,7 +30473,7 @@ - queue size: 615 starting:altera_mem_if_nextgen_ddr2_controller "submodules/MebX_Qsys_Project_m1_ddr2_memory_c0" + queue size: 622 starting:altera_mem_if_nextgen_ddr2_controller "submodules/MebX_Qsys_Project_m1_ddr2_memory_c0" @@ -30380,7 +30525,7 @@ @@ -30456,7 +30601,7 @@ - queue size: 616 starting:altera_mem_if_oct "submodules/altera_mem_if_oct_stratixiv" + queue size: 623 starting:altera_mem_if_oct "submodules/altera_mem_if_oct_stratixiv" m1_ddr2_memory" instantiated altera_mem_if_oct "oct0"]]> @@ -30498,7 +30643,7 @@ @@ -30574,7 +30719,7 @@ - queue size: 615 starting:altera_mem_if_dll "submodules/altera_mem_if_dll_stratixiv" + queue size: 622 starting:altera_mem_if_dll "submodules/altera_mem_if_dll_stratixiv" m1_ddr2_memory" instantiated altera_mem_if_dll "dll0"]]> @@ -31306,7 +31451,7 @@ @@ -31381,7 +31526,7 @@ - queue size: 614 starting:altera_mem_if_ddr2_pll "submodules/MebX_Qsys_Project_m2_ddr2_memory_pll0" + queue size: 621 starting:altera_mem_if_ddr2_pll "submodules/MebX_Qsys_Project_m2_ddr2_memory_pll0" m2_ddr2_memory" instantiated altera_mem_if_ddr2_pll "pll0"]]> @@ -32115,115 +32260,115 @@ @@ -32298,7 +32443,7 @@ - queue size: 613 starting:altera_mem_if_ddr2_phy_core "submodules/MebX_Qsys_Project_m2_ddr2_memory_p0" + queue size: 620 starting:altera_mem_if_ddr2_phy_core "submodules/MebX_Qsys_Project_m2_ddr2_memory_p0" Generating clock pair generator Generating MebX_Qsys_Project_m2_ddr2_memory_p0_altdqdqs @@ -32310,7 +32455,7 @@ ***************************** m2_ddr2_memory" instantiated altera_mem_if_ddr2_phy_core "p0"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altdq_dqs2_ddio_3reg_stratixiv.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altdq_dqs2_ddio_3reg_stratixiv.sv]]> @@ -33395,60 +33540,60 @@ - queue size: 611 starting:altera_mem_if_ddr2_qseq "submodules/MebX_Qsys_Project_m2_ddr2_memory_s0" + queue size: 618 starting:altera_mem_if_ddr2_qseq "submodules/MebX_Qsys_Project_m2_ddr2_memory_s0" Generating Qsys sequencer system QSYS sequencer system generated successfully m2_ddr2_memory" instantiated altera_mem_if_ddr2_qseq "s0"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_cpu_no_ifdef_params_synth_cpu_inst.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_cpu_no_ifdef_params_synth_cpu_inst_test_bench.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_mem_no_ifdef_params.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_rst.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_translator.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ac_ROM_no_ifdef_params.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ac_ROM_reg.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_bitcheck.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_core.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_datamux.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_data_broadcast.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_data_decoder.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ddr2.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_di_buffer.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_di_buffer_wrap.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_dm_decoder.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_generic.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_inst_ROM_no_ifdef_params.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_inst_ROM_reg.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_jumplogic.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr12.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr36.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr72.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_pattern_fifo.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ram.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ram_csr.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_read_datapath.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_write_decoder.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_data_mgr.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_phy_mgr.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_reg_file.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_acv_phase_decode.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_acv_wrapper.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_mgr.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_reg_file.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_siii_phase_decode.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_siii_wrapper.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_sv_phase_decode.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_sv_wrapper.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_cpu_no_ifdef_params_synth_cpu_inst.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_cpu_no_ifdef_params_synth_cpu_inst_test_bench.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_mem_no_ifdef_params.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_rst.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_translator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ac_ROM_no_ifdef_params.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ac_ROM_reg.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_bitcheck.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_core.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_datamux.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_data_broadcast.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_data_decoder.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ddr2.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_di_buffer.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_di_buffer_wrap.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_dm_decoder.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_generic.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_inst_ROM_no_ifdef_params.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_inst_ROM_reg.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_jumplogic.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr12.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr36.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr72.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_pattern_fifo.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ram.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ram_csr.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_read_datapath.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_write_decoder.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_data_mgr.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_phy_mgr.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_reg_file.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_acv_phase_decode.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_acv_wrapper.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_mgr.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_reg_file.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_siii_phase_decode.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_siii_wrapper.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_sv_phase_decode.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_sv_wrapper.sv]]> + value="<address-map><slave name='ddr2_address_span_extender.windowed_slave' start='0x0' end='0x80000000' type='altera_address_span_extender.windowed_slave' /><slave name='sync.avalon_mm_slave' start='0x80000400' end='0x80000800' type='Sync.avalon_mm_slave' /><slave name='rst_controller.avalon_rst_controller_slave' start='0x80000800' end='0x80000840' type='rst_controller.avalon_rst_controller_slave' /><slave name='rs232_uart.s1' start='0x80000840' end='0x80000860' type='altera_avalon_uart.s1' /><slave name='timer_1us.s1' start='0x80000860' end='0x80000880' type='altera_avalon_timer.s1' /><slave name='timer_1ms.s1' start='0x80000880' end='0x800008A0' type='altera_avalon_timer.s1' /><slave name='pio_ctrl_io_lvds.s1' start='0x800008A0' end='0x800008B0' type='altera_avalon_pio.s1' /><slave name='pio_LED_painel.s1' start='0x80000900' end='0x80000910' type='altera_avalon_pio.s1' /><slave name='csense_adc_fo.s1' start='0x80000910' end='0x80000920' type='altera_avalon_pio.s1' /><slave name='csense_cs_n.s1' start='0x80000920' end='0x80000930' type='altera_avalon_pio.s1' /><slave name='csense_sck.s1' start='0x80000930' end='0x80000940' type='altera_avalon_pio.s1' /><slave name='csense_sdi.s1' start='0x80000940' end='0x80000950' type='altera_avalon_pio.s1' /><slave name='csense_sdo.s1' start='0x80000950' end='0x80000960' type='altera_avalon_pio.s1' /><slave name='m2_ddr2_i2c_scl.s1' start='0x80000960' end='0x80000970' type='altera_avalon_pio.s1' /><slave name='m2_ddr2_i2c_sda.s1' start='0x80000970' end='0x80000980' type='altera_avalon_pio.s1' /><slave name='temp_sda.s1' start='0x80000980' end='0x80000990' type='altera_avalon_pio.s1' /><slave name='temp_scl.s1' start='0x80000990' end='0x800009A0' type='altera_avalon_pio.s1' /><slave name='sd_card_wp_n.s1' start='0x800009A0' end='0x800009B0' type='altera_avalon_pio.s1' /><slave name='pio_EXT.s1' start='0x800009B0' end='0x800009C0' type='altera_avalon_pio.s1' /><slave name='pio_DIP.s1' start='0x800009C0' end='0x800009D0' type='altera_avalon_pio.s1' /><slave name='pio_LED.s1' start='0x800009D0' end='0x800009E0' type='altera_avalon_pio.s1' /><slave name='pio_BUTTON.s1' start='0x800009E0' end='0x800009F0' type='altera_avalon_pio.s1' /><slave name='m1_ddr2_i2c_scl.s1' start='0x800009F0' end='0x80000A00' type='altera_avalon_pio.s1' /><slave name='m1_ddr2_i2c_sda.s1' start='0x80000A00' end='0x80000A10' type='altera_avalon_pio.s1' /><slave name='pio_ftdi_umft601a_module_reset.s1' start='0x80000B00' end='0x80000B10' type='altera_avalon_pio.s1' /><slave name='pio_iso_logic_signal_enable.s1' start='0x80000B10' end='0x80000B20' type='altera_avalon_pio.s1' /><slave name='pio_rmap_echoing_module_reset.s1' start='0x80000C00' end='0x80000C10' type='altera_avalon_pio.s1' /><slave name='rmap_mem_nfee_scom_0.avalon_rmap_slave_0' start='0x81000000' end='0x81004000' type='RMAP_Memory_SCOM_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_6.avalon_rmap_slave_0' start='0x81004000' end='0x81008000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_5.avalon_rmap_slave_0' start='0x81008000' end='0x8100C000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_4.avalon_rmap_slave_0' start='0x8100C000' end='0x81010000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_3.avalon_rmap_slave_0' start='0x81010000' end='0x81014000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_2.avalon_rmap_slave_0' start='0x81014000' end='0x81018000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='rmap_mem_nfee_comm_1.avalon_rmap_slave_0' start='0x81018000' end='0x8101C000' type='RMAP_Memory_NFEE_Area.avalon_rmap_slave_0' /><slave name='Synchronization_COMM_0.avalon_mm_config_slave' start='0x8101C000' end='0x8101C400' type='Synchronization_COMM.avalon_mm_config_slave' /><slave name='FTDI_UMFT601A_Module.avalon_slave_config' start='0x8101C400' end='0x8101C800' type='FTDI_UMFT601A_Module.avalon_slave_config' /><slave name='Communication_Module_v2_Ch6.avalon_mm_config_slave' start='0x8101C800' end='0x8101CC00' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch5.avalon_mm_config_slave' start='0x8101CC00' end='0x8101D000' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch4.avalon_mm_config_slave' start='0x8101D000' end='0x8101D400' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch3.avalon_mm_config_slave' start='0x8101D400' end='0x8101D800' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch2.avalon_mm_config_slave' start='0x8101D800' end='0x8101DC00' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='Communication_Module_v2_Ch1.avalon_mm_config_slave' start='0x8101DC00' end='0x8101E000' type='Communication_Module_v2.avalon_mm_config_slave' /><slave name='ddr2_address_span_extender.cntl' start='0x8101E000' end='0x8101E008' type='altera_address_span_extender.cntl' /><slave name='onchip_memory.s1' start='0x81100000' end='0x811C0000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2_gen2_0.debug_mem_slave' start='0x81218800' end='0x81219000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='sysid_qsys.control_slave' start='0x8121AF40' end='0x8121AF48' type='altera_avalon_sysid_qsys.control_slave' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x8121AF50' end='0x8121AF58' type='altera_avalon_jtag_uart.avalon_jtag_slave' /><slave name='Memory_Filler.avalon_slave_config' start='0x82000000' end='0x82000400' type='Memory_Filler.avalon_slave_config' /><slave name='ext_flash.uas' start='0x84000000' end='0x88000000' type='altera_generic_tristate_controller.uas' /></address-map>" /> @@ -33643,55 +33788,55 @@ @@ -33703,27 +33848,27 @@ - queue size: 607 starting:altera_nios2_gen2_unit "submodules/MebX_Qsys_Project_nios2_gen2_0_cpu" + queue size: 614 starting:altera_nios2_gen2_unit "submodules/MebX_Qsys_Project_nios2_gen2_0_cpu" Starting RTL generation for module 'MebX_Qsys_Project_nios2_gen2_0_cpu' - Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//eperlcmd.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=MebX_Qsys_Project_nios2_gen2_0_cpu --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0051_cpu_gen/ --quartus_bindir=C:/intelFPGA/18.1/quartus/bin64/ --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0051_cpu_gen//MebX_Qsys_Project_nios2_gen2_0_cpu_processor_configuration.pl --do_build_sim=0 ] - # 2021.10.28 09:28:38 (*) Starting Nios II generation - # 2021.10.28 09:28:38 (*) Checking for plaintext license. - # 2021.10.28 09:28:40 (*) Plaintext license not found. - # 2021.10.28 09:28:40 (*) Checking for encrypted license (non-evaluation). - # 2021.10.28 09:28:41 (*) Encrypted license found. SOF will not be time-limited. - # 2021.10.28 09:28:41 (*) Elaborating CPU configuration settings - # 2021.10.28 09:28:41 (*) Creating all objects for CPU - # 2021.10.28 09:28:41 (*) Testbench - # 2021.10.28 09:28:41 (*) Instruction decoding - # 2021.10.28 09:28:41 (*) Instruction fields - # 2021.10.28 09:28:41 (*) Instruction decodes - # 2021.10.28 09:28:42 (*) Signals for RTL simulation waveforms - # 2021.10.28 09:28:42 (*) Instruction controls - # 2021.10.28 09:28:42 (*) Pipeline frontend - # 2021.10.28 09:28:42 (*) Pipeline backend - # 2021.10.28 09:28:45 (*) Generating RTL from CPU objects - # 2021.10.28 09:28:47 (*) Creating encrypted RTL - # 2021.10.28 09:28:48 (*) Done Nios II generation + Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//eperlcmd.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=MebX_Qsys_Project_nios2_gen2_0_cpu --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0051_cpu_gen/ --quartus_bindir=C:/intelFPGA/18.1/quartus/bin64/ --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0051_cpu_gen//MebX_Qsys_Project_nios2_gen2_0_cpu_processor_configuration.pl --do_build_sim=0 ] + # 2023.04.09 12:36:44 (*) Starting Nios II generation + # 2023.04.09 12:36:44 (*) Checking for plaintext license. + # 2023.04.09 12:36:44 (*) Plaintext license not found. + # 2023.04.09 12:36:44 (*) Checking for encrypted license (non-evaluation). + # 2023.04.09 12:36:45 (*) Encrypted license found. SOF will not be time-limited. + # 2023.04.09 12:36:45 (*) Elaborating CPU configuration settings + # 2023.04.09 12:36:45 (*) Creating all objects for CPU + # 2023.04.09 12:36:45 (*) Testbench + # 2023.04.09 12:36:46 (*) Instruction decoding + # 2023.04.09 12:36:46 (*) Instruction fields + # 2023.04.09 12:36:46 (*) Instruction decodes + # 2023.04.09 12:36:46 (*) Signals for RTL simulation waveforms + # 2023.04.09 12:36:46 (*) Instruction controls + # 2023.04.09 12:36:46 (*) Pipeline frontend + # 2023.04.09 12:36:46 (*) Pipeline backend + # 2023.04.09 12:36:48 (*) Generating RTL from CPU objects + # 2023.04.09 12:36:49 (*) Creating encrypted RTL + # 2023.04.09 12:36:50 (*) Done Nios II generation Done RTL generation for module 'MebX_Qsys_Project_nios2_gen2_0_cpu' nios2_gen2_0" instantiated altera_nios2_gen2_unit "cpu"]]> @@ -33738,7 +33883,7 @@ @@ -33761,11 +33906,11 @@ instantiator="MebX_Qsys_Project_mm_interconnect_3" as="m1_clock_bridge_m0_translator" /> - queue size: 606 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" + queue size: 613 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator" mm_interconnect_0" instantiated altera_merlin_master_translator "FTDI_UMFT601A_Module_avalon_master_data_translator"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv]]> @@ -33817,9 +33962,9 @@ instantiator="MebX_Qsys_Project_mm_interconnect_3" as="m1_clock_bridge_m0_agent" /> - queue size: 583 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" + queue size: 590 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent" mm_interconnect_0" instantiated altera_merlin_master_agent "FTDI_UMFT601A_Module_avalon_master_data_agent"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv]]> @@ -33853,15 +33998,15 @@ as="jtag_uart_0_avalon_jtag_slave_agent,Communication_Module_v2_Ch1_avalon_mm_config_slave_agent,Communication_Module_v2_Ch2_avalon_mm_config_slave_agent,Communication_Module_v2_Ch3_avalon_mm_config_slave_agent,Communication_Module_v2_Ch4_avalon_mm_config_slave_agent,Communication_Module_v2_Ch5_avalon_mm_config_slave_agent,Communication_Module_v2_Ch6_avalon_mm_config_slave_agent,Synchronization_COMM_0_avalon_mm_config_slave_agent,rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent,rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent,rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent,rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent,rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent,rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent,rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent,FTDI_UMFT601A_Module_avalon_slave_config_agent,Memory_Filler_avalon_slave_config_agent,ddr2_address_span_extender_cntl_agent,sysid_qsys_control_slave_agent,nios2_gen2_0_debug_mem_slave_agent,clock_bridge_afi_50_s0_agent,onchip_memory_s1_agent,ext_flash_uas_agent,ddr2_address_span_extender_windowed_slave_agent" /> + as="sync_avalon_mm_slave_agent,rst_controller_avalon_rst_controller_slave_agent,m1_ddr2_i2c_sda_s1_agent,m1_ddr2_i2c_scl_s1_agent,pio_BUTTON_s1_agent,pio_LED_s1_agent,timer_1ms_s1_agent,pio_DIP_s1_agent,timer_1us_s1_agent,pio_EXT_s1_agent,sd_card_wp_n_s1_agent,temp_scl_s1_agent,temp_sda_s1_agent,m2_ddr2_i2c_sda_s1_agent,m2_ddr2_i2c_scl_s1_agent,csense_sdo_s1_agent,csense_sdi_s1_agent,csense_sck_s1_agent,csense_cs_n_s1_agent,csense_adc_fo_s1_agent,pio_LED_painel_s1_agent,rs232_uart_s1_agent,pio_ctrl_io_lvds_s1_agent,pio_ftdi_umft601a_module_reset_s1_agent,pio_iso_logic_signal_enable_s1_agent,pio_rmap_echoing_module_reset_s1_agent" /> - queue size: 562 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" + queue size: 569 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent" mm_interconnect_0" instantiated altera_merlin_slave_agent "m2_ddr2_memory_avl_agent"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> @@ -33895,14 +34040,14 @@ as="jtag_uart_0_avalon_jtag_slave_agent_rsp_fifo,Communication_Module_v2_Ch1_avalon_mm_config_slave_agent_rsp_fifo,Communication_Module_v2_Ch2_avalon_mm_config_slave_agent_rsp_fifo,Communication_Module_v2_Ch3_avalon_mm_config_slave_agent_rsp_fifo,Communication_Module_v2_Ch4_avalon_mm_config_slave_agent_rsp_fifo,Communication_Module_v2_Ch5_avalon_mm_config_slave_agent_rsp_fifo,Communication_Module_v2_Ch6_avalon_mm_config_slave_agent_rsp_fifo,Synchronization_COMM_0_avalon_mm_config_slave_agent_rsp_fifo,rmap_mem_nfee_comm_1_avalon_rmap_slave_0_agent_rsp_fifo,rmap_mem_nfee_comm_2_avalon_rmap_slave_0_agent_rsp_fifo,rmap_mem_nfee_comm_3_avalon_rmap_slave_0_agent_rsp_fifo,rmap_mem_nfee_comm_4_avalon_rmap_slave_0_agent_rsp_fifo,rmap_mem_nfee_comm_5_avalon_rmap_slave_0_agent_rsp_fifo,rmap_mem_nfee_comm_6_avalon_rmap_slave_0_agent_rsp_fifo,rmap_mem_nfee_scom_0_avalon_rmap_slave_0_agent_rsp_fifo,FTDI_UMFT601A_Module_avalon_slave_config_agent_rsp_fifo,Memory_Filler_avalon_slave_config_agent_rsp_fifo,ddr2_address_span_extender_cntl_agent_rsp_fifo,ddr2_address_span_extender_cntl_agent_rdata_fifo,sysid_qsys_control_slave_agent_rsp_fifo,nios2_gen2_0_debug_mem_slave_agent_rsp_fifo,clock_bridge_afi_50_s0_agent_rsp_fifo,onchip_memory_s1_agent_rsp_fifo,ext_flash_uas_agent_rsp_fifo,ext_flash_uas_agent_rdata_fifo,ddr2_address_span_extender_windowed_slave_agent_rsp_fifo" /> + as="sync_avalon_mm_slave_agent_rsp_fifo,rst_controller_avalon_rst_controller_slave_agent_rsp_fifo,m1_ddr2_i2c_sda_s1_agent_rsp_fifo,m1_ddr2_i2c_scl_s1_agent_rsp_fifo,pio_BUTTON_s1_agent_rsp_fifo,pio_LED_s1_agent_rsp_fifo,timer_1ms_s1_agent_rsp_fifo,pio_DIP_s1_agent_rsp_fifo,timer_1us_s1_agent_rsp_fifo,pio_EXT_s1_agent_rsp_fifo,sd_card_wp_n_s1_agent_rsp_fifo,temp_scl_s1_agent_rsp_fifo,temp_sda_s1_agent_rsp_fifo,m2_ddr2_i2c_sda_s1_agent_rsp_fifo,m2_ddr2_i2c_scl_s1_agent_rsp_fifo,csense_sdo_s1_agent_rsp_fifo,csense_sdi_s1_agent_rsp_fifo,csense_sck_s1_agent_rsp_fifo,csense_cs_n_s1_agent_rsp_fifo,csense_adc_fo_s1_agent_rsp_fifo,pio_LED_painel_s1_agent_rsp_fifo,rs232_uart_s1_agent_rsp_fifo,pio_ctrl_io_lvds_s1_agent_rsp_fifo,pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo,pio_iso_logic_signal_enable_s1_agent_rsp_fifo,pio_rmap_echoing_module_reset_s1_agent_rsp_fifo" /> - queue size: 561 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" + queue size: 568 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo" mm_interconnect_0" instantiated altera_avalon_sc_fifo "m2_ddr2_memory_avl_agent_rsp_fifo"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> @@ -33959,7 +34104,7 @@ instantiator="MebX_Qsys_Project_mm_interconnect_0" as="router,router_001,router_002,router_003,router_004,router_005,router_006,router_007,router_008,router_009,router_010,router_011,router_012,router_013" /> - queue size: 556 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router" + queue size: 563 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router" mm_interconnect_0" instantiated altera_merlin_router "router"]]> @@ -34003,7 +34148,7 @@ @@ -34017,7 +34162,7 @@ instantiator="MebX_Qsys_Project_mm_interconnect_0" as="router_014,router_015,router_016,router_017,router_018,router_019" /> - queue size: 542 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router_014" + queue size: 549 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router_014" mm_interconnect_0" instantiated altera_merlin_router "router_014"]]> @@ -34061,7 +34206,7 @@ @@ -34073,7 +34218,7 @@ - queue size: 536 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router_020" + queue size: 543 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router_020" mm_interconnect_0" instantiated altera_merlin_router "router_020"]]> @@ -34133,7 +34278,7 @@ value="1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1" /> @@ -34147,7 +34292,7 @@ instantiator="MebX_Qsys_Project_mm_interconnect_0" as="router_021,router_022" /> - queue size: 535 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router_021" + queue size: 542 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_0_router_021" mm_interconnect_0" instantiated altera_merlin_router "router_021"]]> @@ -34160,19 +34305,19 @@ name="altera_merlin_traffic_limiter"> @@ -34192,11 +34337,11 @@ instantiator="MebX_Qsys_Project_mm_interconnect_2" as="clock_bridge_afi_50_m0_limiter" /> - queue size: 533 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" + queue size: 540 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter" mm_interconnect_0" instantiated altera_merlin_traffic_limiter "ddr2_address_span_extender_expanded_master_limiter"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v]]> @@ -34265,9 +34410,9 @@ instantiator="MebX_Qsys_Project_mm_interconnect_1" as="nios2_gen2_0_debug_mem_slave_burst_adapter,onchip_memory_s1_burst_adapter,ext_flash_uas_burst_adapter" /> - queue size: 532 starting:altera_merlin_burst_adapter "submodules/altera_merlin_burst_adapter" + queue size: 539 starting:altera_merlin_burst_adapter "submodules/altera_merlin_burst_adapter" mm_interconnect_0" instantiated altera_merlin_burst_adapter "m1_clock_bridge_s0_burst_adapter"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> @@ -34302,7 +34447,7 @@ instantiator="MebX_Qsys_Project_mm_interconnect_0" as="cmd_demux,cmd_demux_001,cmd_demux_002,cmd_demux_003,cmd_demux_004,cmd_demux_005,cmd_demux_006,cmd_demux_007,cmd_demux_008,cmd_demux_009,cmd_demux_010,cmd_demux_011,cmd_demux_012,cmd_demux_013,cmd_demux_014,cmd_demux_015,cmd_demux_016,cmd_demux_017,cmd_demux_018,cmd_demux_019,cmd_demux_020" /> - queue size: 531 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_cmd_demux" + queue size: 538 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_cmd_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux"]]> @@ -34328,11 +34473,11 @@ @@ -34346,9 +34491,9 @@ instantiator="MebX_Qsys_Project_mm_interconnect_0" as="cmd_mux,cmd_mux_001" /> - queue size: 510 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_cmd_mux" + queue size: 517 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_cmd_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> @@ -34381,7 +34526,7 @@ - queue size: 508 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_rsp_demux" + queue size: 515 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_rsp_demux" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux"]]> @@ -34403,7 +34548,7 @@ @@ -34415,7 +34560,7 @@ - queue size: 507 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_rsp_demux_001" + queue size: 514 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_rsp_demux_001" mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux_001"]]> @@ -34439,11 +34584,11 @@ @@ -34457,9 +34602,9 @@ instantiator="MebX_Qsys_Project_mm_interconnect_0" as="rsp_mux,rsp_mux_001,rsp_mux_002,rsp_mux_003,rsp_mux_004,rsp_mux_005,rsp_mux_006,rsp_mux_007,rsp_mux_008,rsp_mux_009,rsp_mux_010,rsp_mux_011,rsp_mux_012,rsp_mux_013,rsp_mux_014,rsp_mux_015,rsp_mux_016,rsp_mux_017,rsp_mux_018,rsp_mux_019,rsp_mux_020" /> - queue size: 506 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_rsp_mux" + queue size: 513 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_0_rsp_mux" mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> @@ -34506,12 +34651,12 @@ instantiator="MebX_Qsys_Project_mm_interconnect_1" as="ddr2_address_span_extender_cntl_cmd_width_adapter,ddr2_address_span_extender_cntl_rsp_width_adapter,ext_flash_uas_rsp_width_adapter,ext_flash_uas_cmd_width_adapter" /> - queue size: 485 starting:altera_merlin_width_adapter "submodules/altera_merlin_width_adapter" + queue size: 492 starting:altera_merlin_width_adapter "submodules/altera_merlin_width_adapter" mm_interconnect_0" instantiated altera_merlin_width_adapter "rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_address_alignment.sv]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_address_alignment.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv]]> @@ -34567,10 +34712,10 @@ instantiator="MebX_Qsys_Project_mm_interconnect_3" as="crosser,crosser_001" /> - queue size: 471 starting:altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser" + queue size: 478 starting:altera_avalon_st_handshake_clock_crosser "submodules/altera_avalon_st_handshake_clock_crosser" mm_interconnect_0" instantiated altera_avalon_st_handshake_clock_crosser "crosser"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_std_synchronizer_nocut.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_std_synchronizer_nocut.v]]> @@ -34631,7 +34776,7 @@ instantiator="MebX_Qsys_Project_mm_interconnect_3" as="avalon_st_adapter" /> - queue size: 387 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_0_avalon_st_adapter" + queue size: 394 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_0_avalon_st_adapter" @@ -34706,7 +34851,7 @@ value="1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1" /> @@ -34718,7 +34863,7 @@ - queue size: 308 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router" + queue size: 315 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router" mm_interconnect_1" instantiated altera_merlin_router "router"]]> @@ -34762,7 +34907,7 @@ @@ -34774,7 +34919,7 @@ - queue size: 307 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_001" + queue size: 314 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_001" mm_interconnect_1" instantiated altera_merlin_router "router_001"]]> @@ -34816,7 +34961,7 @@ @@ -34830,7 +34975,7 @@ instantiator="MebX_Qsys_Project_mm_interconnect_1" as="router_002,router_003,router_004,router_005,router_006,router_007,router_008,router_009,router_010,router_011,router_012,router_013,router_014,router_015,router_016,router_017,router_018,router_020,router_022,router_025" /> - queue size: 306 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_002" + queue size: 313 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_002" mm_interconnect_1" instantiated altera_merlin_router "router_002"]]> @@ -34872,7 +35017,7 @@ @@ -34884,7 +35029,7 @@ - queue size: 289 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_019" + queue size: 296 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_019" mm_interconnect_1" instantiated altera_merlin_router "router_019"]]> @@ -34928,7 +35073,7 @@ @@ -34942,7 +35087,7 @@ instantiator="MebX_Qsys_Project_mm_interconnect_1" as="router_021,router_023" /> - queue size: 287 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_021" + queue size: 294 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_021" mm_interconnect_1" instantiated altera_merlin_router "router_021"]]> @@ -34986,7 +35131,7 @@ @@ -34998,7 +35143,7 @@ - queue size: 284 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_024" + queue size: 291 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_1_router_024" mm_interconnect_1" instantiated altera_merlin_router "router_024"]]> @@ -35020,7 +35165,7 @@ @@ -35032,7 +35177,7 @@ - queue size: 278 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_demux" + queue size: 285 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_demux" mm_interconnect_1" instantiated altera_merlin_demultiplexer "cmd_demux"]]> @@ -35054,7 +35199,7 @@ @@ -35066,7 +35211,7 @@ - queue size: 277 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_demux_001" + queue size: 284 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_demux_001" mm_interconnect_1" instantiated altera_merlin_demultiplexer "cmd_demux_001"]]> @@ -35090,11 +35235,11 @@ @@ -35108,9 +35253,9 @@ instantiator="MebX_Qsys_Project_mm_interconnect_1" as="cmd_mux,cmd_mux_001,cmd_mux_002,cmd_mux_003,cmd_mux_004,cmd_mux_005,cmd_mux_006,cmd_mux_007,cmd_mux_008,cmd_mux_009,cmd_mux_010,cmd_mux_011,cmd_mux_012,cmd_mux_013,cmd_mux_014,cmd_mux_015,cmd_mux_016,cmd_mux_017,cmd_mux_018,cmd_mux_020,cmd_mux_023" /> - queue size: 276 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_mux" + queue size: 283 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_mux" mm_interconnect_1" instantiated altera_merlin_multiplexer "cmd_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> @@ -35151,9 +35296,9 @@ instantiator="MebX_Qsys_Project_mm_interconnect_1" as="cmd_mux_019,cmd_mux_021,cmd_mux_022" /> - queue size: 257 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_mux_019" + queue size: 264 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_cmd_mux_019" mm_interconnect_1" instantiated altera_merlin_multiplexer "cmd_mux_019"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> @@ -35188,7 +35333,7 @@ instantiator="MebX_Qsys_Project_mm_interconnect_1" as="rsp_demux,rsp_demux_001,rsp_demux_002,rsp_demux_003,rsp_demux_004,rsp_demux_005,rsp_demux_006,rsp_demux_007,rsp_demux_008,rsp_demux_009,rsp_demux_010,rsp_demux_011,rsp_demux_012,rsp_demux_013,rsp_demux_014,rsp_demux_015,rsp_demux_016,rsp_demux_017,rsp_demux_018,rsp_demux_020,rsp_demux_023" /> - queue size: 252 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_demux" + queue size: 259 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_demux" mm_interconnect_1" instantiated altera_merlin_demultiplexer "rsp_demux"]]> @@ -35210,7 +35355,7 @@ @@ -35224,7 +35369,7 @@ instantiator="MebX_Qsys_Project_mm_interconnect_1" as="rsp_demux_019,rsp_demux_021,rsp_demux_022" /> - queue size: 233 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_demux_019" + queue size: 240 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_demux_019" mm_interconnect_1" instantiated altera_merlin_demultiplexer "rsp_demux_019"]]> @@ -35250,11 +35395,11 @@ @@ -35266,9 +35411,9 @@ - queue size: 228 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_mux" + queue size: 235 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_mux" mm_interconnect_1" instantiated altera_merlin_multiplexer "rsp_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> @@ -35307,9 +35452,9 @@ - queue size: 227 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_mux_001" + queue size: 234 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_1_rsp_mux_001" mm_interconnect_1" instantiated altera_merlin_multiplexer "rsp_mux_001"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> @@ -35368,9 +35513,9 @@ as="avalon_st_adapter,avalon_st_adapter_001,avalon_st_adapter_002,avalon_st_adapter_003,avalon_st_adapter_004,avalon_st_adapter_005,avalon_st_adapter_006,avalon_st_adapter_007,avalon_st_adapter_008,avalon_st_adapter_009,avalon_st_adapter_010,avalon_st_adapter_011,avalon_st_adapter_012,avalon_st_adapter_013,avalon_st_adapter_014,avalon_st_adapter_015,avalon_st_adapter_016,avalon_st_adapter_018,avalon_st_adapter_019,avalon_st_adapter_020,avalon_st_adapter_021,avalon_st_adapter_023" /> + as="avalon_st_adapter,avalon_st_adapter_001,avalon_st_adapter_002,avalon_st_adapter_003,avalon_st_adapter_004,avalon_st_adapter_005,avalon_st_adapter_006,avalon_st_adapter_007,avalon_st_adapter_008,avalon_st_adapter_009,avalon_st_adapter_010,avalon_st_adapter_011,avalon_st_adapter_012,avalon_st_adapter_013,avalon_st_adapter_014,avalon_st_adapter_015,avalon_st_adapter_016,avalon_st_adapter_017,avalon_st_adapter_018,avalon_st_adapter_019,avalon_st_adapter_020,avalon_st_adapter_021,avalon_st_adapter_022,avalon_st_adapter_023,avalon_st_adapter_024,avalon_st_adapter_025" /> - queue size: 222 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter" + queue size: 229 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter" @@ -35423,12 +35568,12 @@ @@ -35444,7 +35589,7 @@ instantiator="MebX_Qsys_Project_mm_interconnect_1" as="avalon_st_adapter_017" /> - queue size: 206 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_017" + queue size: 213 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_017" @@ -35497,12 +35642,12 @@ @@ -35518,7 +35663,7 @@ instantiator="MebX_Qsys_Project_mm_interconnect_1" as="avalon_st_adapter_022" /> - queue size: 202 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_022" + queue size: 209 starting:altera_avalon_st_adapter "submodules/MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter_022" @@ -35539,22 +35684,22 @@ - + + value="0x400,0x800,0x840,0x860,0x880,0x8a0,0x900,0x910,0x920,0x930,0x940,0x950,0x960,0x970,0x980,0x990,0x9a0,0x9b0,0x9c0,0x9d0,0x9e0,0x9f0,0xa00,0xb00,0xb10,0xc00" /> + value="21:00000000000000000000000001:0x400:0x800:both:1:0:0:1,19:00000000000000000000000010:0x800:0x840:both:1:0:0:1,18:00001000000000000000000000:0x840:0x860:both:1:0:0:1,25:00000000000000000100000000:0x860:0x880:both:1:0:0:1,24:00000000000000000001000000:0x880:0x8a0:both:1:0:0:1,14:00010000000000000000000000:0x8a0:0x8b0:both:1:0:0:1,12:00000100000000000000000000:0x900:0x910:both:1:0:0:1,0:00000010000000000000000000:0x910:0x920:both:1:0:0:1,1:00000001000000000000000000:0x920:0x930:both:1:0:0:1,2:00000000100000000000000000:0x930:0x940:both:1:0:0:1,3:00000000010000000000000000:0x940:0x950:both:1:0:0:1,4:00000000001000000000000000:0x950:0x960:read:1:0:0:1,7:00000000000100000000000000:0x960:0x970:both:1:0:0:1,8:00000000000010000000000000:0x970:0x980:both:1:0:0:1,23:00000000000001000000000000:0x980:0x990:both:1:0:0:1,22:00000000000000100000000000:0x990:0x9a0:both:1:0:0:1,20:00000000000000010000000000:0x9a0:0x9b0:read:1:0:0:1,11:00000000000000001000000000:0x9b0:0x9c0:read:1:0:0:1,10:00000000000000000010000000:0x9c0:0x9d0:read:1:0:0:1,13:00000000000000000000100000:0x9d0:0x9e0:both:1:0:0:1,9:00000000000000000000010000:0x9e0:0x9f0:read:1:0:0:1,5:00000000000000000000001000:0x9f0:0xa00:both:1:0:0:1,6:00000000000000000000000100:0xa00:0xa10:both:1:0:0:1,15:00100000000000000000000000:0xb00:0xb10:both:1:0:0:1,16:01000000000000000000000000:0xb10:0xb20:both:1:0:0:1,17:10000000000000000000000000:0xc00:0xc10:both:1:0:0:1" /> @@ -35565,35 +35710,35 @@ value="ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)" /> + value="00000000000000000000000001,00000000000000000000000010,00001000000000000000000000,00000000000000000100000000,00000000000000000001000000,00010000000000000000000000,00000100000000000000000000,00000010000000000000000000,00000001000000000000000000,00000000100000000000000000,00000000010000000000000000,00000000001000000000000000,00000000000100000000000000,00000000000010000000000000,00000000000001000000000000,00000000000000100000000000,00000000000000010000000000,00000000000000001000000000,00000000000000000010000000,00000000000000000000100000,00000000000000000000010000,00000000000000000000001000,00000000000000000000000100,00100000000000000000000000,01000000000000000000000000,10000000000000000000000000" /> + value="both,both,both,both,both,both,both,both,both,both,both,read,both,both,both,both,read,read,read,both,read,both,both,both,both,both" /> + value="0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0" /> + value="0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0" /> + value="0x800,0x840,0x860,0x880,0x8a0,0x8b0,0x910,0x920,0x930,0x940,0x950,0x960,0x970,0x980,0x990,0x9a0,0x9b0,0x9c0,0x9d0,0x9e0,0x9f0,0xa00,0xa10,0xb10,0xb20,0xc10" /> - + + value="21,19,18,25,24,14,12,0,1,2,3,4,7,8,23,22,20,11,10,13,9,5,6,15,16,17" /> + value="1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1" /> @@ -35605,18 +35750,18 @@ - queue size: 124 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router" + queue size: 128 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router" mm_interconnect_2" instantiated altera_merlin_router "router"]]> - + @@ -35647,7 +35792,7 @@ @@ -35659,15 +35804,15 @@ + as="router_001,router_002,router_003,router_004,router_005,router_006,router_007,router_008,router_009,router_010,router_011,router_012,router_013,router_014,router_015,router_016,router_017,router_018,router_019,router_020,router_021,router_022,router_023,router_024,router_025,router_026" /> - queue size: 123 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router_001" + queue size: 127 starting:altera_merlin_router "submodules/MebX_Qsys_Project_mm_interconnect_2_router_001" mm_interconnect_2" instantiated altera_merlin_router "router_001"]]> - + - + - + @@ -35695,13 +35840,13 @@ - queue size: 97 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_demux" + queue size: 100 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_demux" mm_interconnect_2" instantiated altera_merlin_demultiplexer "cmd_demux"]]> - + @@ -35719,11 +35864,11 @@ @@ -35735,16 +35880,16 @@ + as="cmd_mux,cmd_mux_001,cmd_mux_002,cmd_mux_003,cmd_mux_004,cmd_mux_005,cmd_mux_006,cmd_mux_007,cmd_mux_008,cmd_mux_009,cmd_mux_010,cmd_mux_011,cmd_mux_012,cmd_mux_013,cmd_mux_014,cmd_mux_015,cmd_mux_016,cmd_mux_017,cmd_mux_018,cmd_mux_019,cmd_mux_020,cmd_mux_021,cmd_mux_022,cmd_mux_023,cmd_mux_024,cmd_mux_025" /> - queue size: 96 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux" + queue size: 99 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux" mm_interconnect_2" instantiated altera_merlin_multiplexer "cmd_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> - + @@ -35760,7 +35905,7 @@ @@ -35772,15 +35917,15 @@ + as="rsp_demux,rsp_demux_001,rsp_demux_002,rsp_demux_003,rsp_demux_004,rsp_demux_005,rsp_demux_006,rsp_demux_007,rsp_demux_008,rsp_demux_009,rsp_demux_010,rsp_demux_011,rsp_demux_012,rsp_demux_013,rsp_demux_014,rsp_demux_015,rsp_demux_016,rsp_demux_017,rsp_demux_018,rsp_demux_019,rsp_demux_020,rsp_demux_021,rsp_demux_022,rsp_demux_023,rsp_demux_024,rsp_demux_025" /> - queue size: 71 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_demux" + queue size: 73 starting:altera_merlin_demultiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_demux" mm_interconnect_2" instantiated altera_merlin_demultiplexer "rsp_demux"]]> - + - + value="1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1" /> + @@ -35800,11 +35945,11 @@ @@ -35816,9 +35961,9 @@ - queue size: 46 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_mux" + queue size: 47 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_mux" mm_interconnect_2" instantiated altera_merlin_multiplexer "rsp_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> @@ -35913,7 +36058,7 @@ @@ -35947,7 +36092,7 @@ @@ -35983,11 +36128,11 @@ @@ -36001,7 +36146,7 @@ queue size: 11 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_3_cmd_mux" mm_interconnect_3" instantiated altera_merlin_multiplexer "cmd_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> @@ -36058,11 +36203,11 @@ @@ -36076,7 +36221,7 @@ queue size: 9 starting:altera_merlin_multiplexer "submodules/MebX_Qsys_Project_mm_interconnect_3_rsp_mux" mm_interconnect_3" instantiated altera_merlin_multiplexer "rsp_mux"]]> - D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> + C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv]]> @@ -37262,7 +37407,7 @@ @@ -37300,7 +37445,7 @@ @@ -37340,7 +37485,7 @@ @@ -37380,7 +37525,7 @@ @@ -37420,7 +37565,7 @@ diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_bb.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_bb.v index bd00587a..a94835c6 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_bb.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_bb.v @@ -216,7 +216,9 @@ module MebX_Qsys_Project ( umft601a_pins_umft_wr_n_signal, umft601a_pins_umft_rd_n_signal, umft601a_pins_umft_oe_n_signal, - umft601a_pins_umft_siwu_n_signal); + umft601a_pins_umft_siwu_n_signal, + rmap_echoing_echo_rst_sink_reset, + pio_rmap_echoing_module_reset_external_connection_export); input [3:0] button_export; input clk50_clk; @@ -435,4 +437,6 @@ module MebX_Qsys_Project ( output umft601a_pins_umft_rd_n_signal; output umft601a_pins_umft_oe_n_signal; output umft601a_pins_umft_siwu_n_signal; + input rmap_echoing_echo_rst_sink_reset; + output pio_rmap_echoing_module_reset_external_connection_export; endmodule diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_generation.rpt b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_generation.rpt index b1a5e491..89e2901e 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_generation.rpt +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_generation.rpt @@ -1,5 +1,5 @@ Info: Starting: Create block symbol file (.bsf) -Info: qsys-generate D:\rfranca\Development\GitHub\SimuCam_Development4\G3U_HW_V02_2GB\Qsys_Project\MebX_Qsys_Project.qsys --block-symbol-file --output-directory=D:\rfranca\Development\GitHub\SimuCam_Development4\G3U_HW_V02_2GB\Qsys_Project\MebX_Qsys_Project --family="Stratix IV" --part=EP4SGX530KH40C2 +Info: qsys-generate C:\Users\rfranca\Development\GitHub\SimuCam_Development_NFEE\G3U_HW_V02_2GB\Qsys_Project\MebX_Qsys_Project.qsys --block-symbol-file --output-directory=C:\Users\rfranca\Development\GitHub\SimuCam_Development_NFEE\G3U_HW_V02_2GB\Qsys_Project\MebX_Qsys_Project --family="Stratix IV" --part=EP4SGX530KH40C2 Progress: Loading Qsys_Project/MebX_Qsys_Project.qsys Progress: Reading input file Progress: Adding Altera_UP_SD_Card_Avalon_Interface_0 [Altera_UP_SD_Card_Avalon_Interface 18.0] @@ -20,7 +20,7 @@ Progress: Adding FTDI_UMFT601A_Module [FTDI_UMFT601A_Module 2.3] Progress: Parameterizing module FTDI_UMFT601A_Module Progress: Adding Memory_Filler [Memory_Filler 1.0] Progress: Parameterizing module Memory_Filler -Progress: Adding RMAP_Echoing [RMAP_Echoing 1.3] +Progress: Adding RMAP_Echoing [RMAP_Echoing 1.4] Progress: Parameterizing module RMAP_Echoing Progress: Adding SEVEN_SEGMENT_CONTROLLER [SEVEN_SEGMENT_CONTROLLER 1.0] Progress: Parameterizing module SEVEN_SEGMENT_CONTROLLER @@ -144,6 +144,8 @@ Progress: Adding pio_ftdi_umft601a_module_reset [altera_avalon_pio 18.1] Progress: Parameterizing module pio_ftdi_umft601a_module_reset Progress: Adding pio_iso_logic_signal_enable [altera_avalon_pio 18.1] Progress: Parameterizing module pio_iso_logic_signal_enable +Progress: Adding pio_rmap_echoing_module_reset [altera_avalon_pio 18.1] +Progress: Parameterizing module pio_rmap_echoing_module_reset Progress: Adding rmap_mem_nfee_comm_1 [RMAP_Memory_NFEE_Area 1.4] Progress: Parameterizing module rmap_mem_nfee_comm_1 Progress: Adding rmap_mem_nfee_comm_2 [RMAP_Memory_NFEE_Area 1.4] @@ -207,11 +209,12 @@ Info: MebX_Qsys_Project.sd_card_wp_n: PIO inputs are not hardwired in test bench Info: MebX_Qsys_Project.sysid_qsys: System ID is not assigned automatically. Edit the System ID parameter to provide a unique ID Info: MebX_Qsys_Project.sysid_qsys: Time stamp will be automatically updated when this component is generated. Info: MebX_Qsys_Project.temp_sda: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation. +Warning: MebX_Qsys_Project.: You have exported the interface RMAP_Echoing.echo_rst_sink but not its associated clock interface. Export the driver of RMAP_Echoing.clock_sink_100mhz Info: qsys-generate succeeded. Info: Finished: Create block symbol file (.bsf) Info: Info: Starting: Create HDL design files for synthesis -Info: qsys-generate D:\rfranca\Development\GitHub\SimuCam_Development4\G3U_HW_V02_2GB\Qsys_Project\MebX_Qsys_Project.qsys --synthesis=VHDL --output-directory=D:\rfranca\Development\GitHub\SimuCam_Development4\G3U_HW_V02_2GB\Qsys_Project\MebX_Qsys_Project\synthesis --family="Stratix IV" --part=EP4SGX530KH40C2 +Info: qsys-generate C:\Users\rfranca\Development\GitHub\SimuCam_Development_NFEE\G3U_HW_V02_2GB\Qsys_Project\MebX_Qsys_Project.qsys --synthesis=VHDL --output-directory=C:\Users\rfranca\Development\GitHub\SimuCam_Development_NFEE\G3U_HW_V02_2GB\Qsys_Project\MebX_Qsys_Project\synthesis --family="Stratix IV" --part=EP4SGX530KH40C2 Progress: Loading Qsys_Project/MebX_Qsys_Project.qsys Progress: Reading input file Progress: Adding Altera_UP_SD_Card_Avalon_Interface_0 [Altera_UP_SD_Card_Avalon_Interface 18.0] @@ -232,7 +235,7 @@ Progress: Adding FTDI_UMFT601A_Module [FTDI_UMFT601A_Module 2.3] Progress: Parameterizing module FTDI_UMFT601A_Module Progress: Adding Memory_Filler [Memory_Filler 1.0] Progress: Parameterizing module Memory_Filler -Progress: Adding RMAP_Echoing [RMAP_Echoing 1.3] +Progress: Adding RMAP_Echoing [RMAP_Echoing 1.4] Progress: Parameterizing module RMAP_Echoing Progress: Adding SEVEN_SEGMENT_CONTROLLER [SEVEN_SEGMENT_CONTROLLER 1.0] Progress: Parameterizing module SEVEN_SEGMENT_CONTROLLER @@ -356,6 +359,8 @@ Progress: Adding pio_ftdi_umft601a_module_reset [altera_avalon_pio 18.1] Progress: Parameterizing module pio_ftdi_umft601a_module_reset Progress: Adding pio_iso_logic_signal_enable [altera_avalon_pio 18.1] Progress: Parameterizing module pio_iso_logic_signal_enable +Progress: Adding pio_rmap_echoing_module_reset [altera_avalon_pio 18.1] +Progress: Parameterizing module pio_rmap_echoing_module_reset Progress: Adding rmap_mem_nfee_comm_1 [RMAP_Memory_NFEE_Area 1.4] Progress: Parameterizing module rmap_mem_nfee_comm_1 Progress: Adding rmap_mem_nfee_comm_2 [RMAP_Memory_NFEE_Area 1.4] @@ -419,6 +424,7 @@ Info: MebX_Qsys_Project.sd_card_wp_n: PIO inputs are not hardwired in test bench Info: MebX_Qsys_Project.sysid_qsys: System ID is not assigned automatically. Edit the System ID parameter to provide a unique ID Info: MebX_Qsys_Project.sysid_qsys: Time stamp will be automatically updated when this component is generated. Info: MebX_Qsys_Project.temp_sda: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation. +Warning: MebX_Qsys_Project.: You have exported the interface RMAP_Echoing.echo_rst_sink but not its associated clock interface. Export the driver of RMAP_Echoing.clock_sink_100mhz Info: MebX_Qsys_Project: Generating MebX_Qsys_Project "MebX_Qsys_Project" for QUARTUS_SYNTH Info: Inserting clock-crossing logic between cmd_demux.src0 and cmd_mux.sink0 Info: Inserting clock-crossing logic between cmd_demux.src1 and cmd_mux_001.sink0 @@ -513,103 +519,103 @@ Info: Inserting clock-crossing logic between rsp_demux.src0 and rsp_mux.sink0 Info: Communication_Module_v2_Ch1: "MebX_Qsys_Project" instantiated Communication_Module_v2 "Communication_Module_v2_Ch1" Info: FTDI_UMFT601A_Module: "MebX_Qsys_Project" instantiated FTDI_UMFT601A_Module "FTDI_UMFT601A_Module" Info: Memory_Filler: "MebX_Qsys_Project" instantiated Memory_Filler "Memory_Filler" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/delay_block_ent.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/delay_block_ent.vhd Info: RMAP_Echoing: "MebX_Qsys_Project" instantiated RMAP_Echoing "RMAP_Echoing" Info: SpaceWire_Channel_A: "MebX_Qsys_Project" instantiated SpaceWire_Channel "SpaceWire_Channel_A" Info: Sync_Signal_Filter_Latch_0: "MebX_Qsys_Project" instantiated Signal_Filter_Latch "Sync_Signal_Filter_Latch_0" Info: Synchronization_COMM_0: "MebX_Qsys_Project" instantiated Synchronization_COMM "Synchronization_COMM_0" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/spw_codec_pkg.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/spw_mux_ent.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_data_controller_pkg.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_data_manager_ent.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_hkdata_manager_ent.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/data_packet_header_gen_ent.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/data_packet_hk_writer_ent.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/send_buffer_sc_1k_fifo.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_pkg.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_manager_ent.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_housekeep_ent.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_fullimage_ent.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_windowing_ent.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_top.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_hkdata_controller_top.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_crc_pkg.vhd -Warning: Overwriting different file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_pkg.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_command_ent.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_reply_ent.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_read_ent.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_write_ent.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_user_ent.vhd -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_top.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/spw_codec_pkg.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/spw_mux_ent.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_data_controller_pkg.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_data_manager_ent.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_hkdata_manager_ent.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/data_packet_header_gen_ent.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/data_packet_hk_writer_ent.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/send_buffer_sc_1k_fifo.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_pkg.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_manager_ent.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_housekeep_ent.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_fullimage_ent.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_windowing_ent.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/comm_data_transmitter_top.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/fee_hkdata_controller_top.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_crc_pkg.vhd +Warning: Overwriting different file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_pkg.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_command_ent.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_reply_ent.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_read_ent.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_write_ent.vhd +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_user_ent.vhd +Warning: Overwriting different file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_top.vhd Info: clock_bridge_afi_50: "MebX_Qsys_Project" instantiated altera_avalon_mm_clock_crossing_bridge "clock_bridge_afi_50" Info: csense_adc_fo: Starting RTL generation for module 'MebX_Qsys_Project_csense_adc_fo' -Info: csense_adc_fo: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_adc_fo --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0014_csense_adc_fo_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0014_csense_adc_fo_gen//MebX_Qsys_Project_csense_adc_fo_component_configuration.pl --do_build_sim=0 ] +Info: csense_adc_fo: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_adc_fo --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0014_csense_adc_fo_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0014_csense_adc_fo_gen//MebX_Qsys_Project_csense_adc_fo_component_configuration.pl --do_build_sim=0 ] Info: csense_adc_fo: Done RTL generation for module 'MebX_Qsys_Project_csense_adc_fo' Info: csense_adc_fo: "MebX_Qsys_Project" instantiated altera_avalon_pio "csense_adc_fo" Info: csense_cs_n: Starting RTL generation for module 'MebX_Qsys_Project_csense_cs_n' -Info: csense_cs_n: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_cs_n --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0015_csense_cs_n_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0015_csense_cs_n_gen//MebX_Qsys_Project_csense_cs_n_component_configuration.pl --do_build_sim=0 ] +Info: csense_cs_n: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_cs_n --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0015_csense_cs_n_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0015_csense_cs_n_gen//MebX_Qsys_Project_csense_cs_n_component_configuration.pl --do_build_sim=0 ] Info: csense_cs_n: Done RTL generation for module 'MebX_Qsys_Project_csense_cs_n' Info: csense_cs_n: "MebX_Qsys_Project" instantiated altera_avalon_pio "csense_cs_n" Info: csense_sdo: Starting RTL generation for module 'MebX_Qsys_Project_csense_sdo' -Info: csense_sdo: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_sdo --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0016_csense_sdo_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0016_csense_sdo_gen//MebX_Qsys_Project_csense_sdo_component_configuration.pl --do_build_sim=0 ] +Info: csense_sdo: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_sdo --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0016_csense_sdo_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0016_csense_sdo_gen//MebX_Qsys_Project_csense_sdo_component_configuration.pl --do_build_sim=0 ] Info: csense_sdo: Done RTL generation for module 'MebX_Qsys_Project_csense_sdo' Info: csense_sdo: "MebX_Qsys_Project" instantiated altera_avalon_pio "csense_sdo" Info: ddr2_address_span_extender: "MebX_Qsys_Project" instantiated altera_address_span_extender "ddr2_address_span_extender" Info: ext_flash: "MebX_Qsys_Project" instantiated altera_generic_tristate_controller "ext_flash" Info: jtag_uart_0: Starting RTL generation for module 'MebX_Qsys_Project_jtag_uart_0' -Info: jtag_uart_0: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=MebX_Qsys_Project_jtag_uart_0 --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0018_jtag_uart_0_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0018_jtag_uart_0_gen//MebX_Qsys_Project_jtag_uart_0_component_configuration.pl --do_build_sim=0 ] +Info: jtag_uart_0: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=MebX_Qsys_Project_jtag_uart_0 --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0018_jtag_uart_0_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0018_jtag_uart_0_gen//MebX_Qsys_Project_jtag_uart_0_component_configuration.pl --do_build_sim=0 ] Info: jtag_uart_0: Done RTL generation for module 'MebX_Qsys_Project_jtag_uart_0' Info: jtag_uart_0: "MebX_Qsys_Project" instantiated altera_avalon_jtag_uart "jtag_uart_0" Info: m1_ddr2_i2c_sda: Starting RTL generation for module 'MebX_Qsys_Project_m1_ddr2_i2c_sda' -Info: m1_ddr2_i2c_sda: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_m1_ddr2_i2c_sda --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0019_m1_ddr2_i2c_sda_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0019_m1_ddr2_i2c_sda_gen//MebX_Qsys_Project_m1_ddr2_i2c_sda_component_configuration.pl --do_build_sim=0 ] +Info: m1_ddr2_i2c_sda: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_m1_ddr2_i2c_sda --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0019_m1_ddr2_i2c_sda_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0019_m1_ddr2_i2c_sda_gen//MebX_Qsys_Project_m1_ddr2_i2c_sda_component_configuration.pl --do_build_sim=0 ] Info: m1_ddr2_i2c_sda: Done RTL generation for module 'MebX_Qsys_Project_m1_ddr2_i2c_sda' Info: m1_ddr2_i2c_sda: "MebX_Qsys_Project" instantiated altera_avalon_pio "m1_ddr2_i2c_sda" Info: m1_ddr2_memory: "MebX_Qsys_Project" instantiated altera_mem_if_ddr2_emif "m1_ddr2_memory" Info: m2_ddr2_memory: "MebX_Qsys_Project" instantiated altera_mem_if_ddr2_emif "m2_ddr2_memory" Info: nios2_gen2_0: "MebX_Qsys_Project" instantiated altera_nios2_gen2 "nios2_gen2_0" Info: onchip_memory: Starting RTL generation for module 'MebX_Qsys_Project_onchip_memory' -Info: onchip_memory: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=MebX_Qsys_Project_onchip_memory --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0020_onchip_memory_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0020_onchip_memory_gen//MebX_Qsys_Project_onchip_memory_component_configuration.pl --do_build_sim=0 ] +Info: onchip_memory: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=MebX_Qsys_Project_onchip_memory --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0020_onchip_memory_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0020_onchip_memory_gen//MebX_Qsys_Project_onchip_memory_component_configuration.pl --do_build_sim=0 ] Info: onchip_memory: Done RTL generation for module 'MebX_Qsys_Project_onchip_memory' Info: onchip_memory: "MebX_Qsys_Project" instantiated altera_avalon_onchip_memory2 "onchip_memory" Info: pio_BUTTON: Starting RTL generation for module 'MebX_Qsys_Project_pio_BUTTON' -Info: pio_BUTTON: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_BUTTON --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0021_pio_BUTTON_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0021_pio_BUTTON_gen//MebX_Qsys_Project_pio_BUTTON_component_configuration.pl --do_build_sim=0 ] +Info: pio_BUTTON: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_BUTTON --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0021_pio_BUTTON_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0021_pio_BUTTON_gen//MebX_Qsys_Project_pio_BUTTON_component_configuration.pl --do_build_sim=0 ] Info: pio_BUTTON: Done RTL generation for module 'MebX_Qsys_Project_pio_BUTTON' Info: pio_BUTTON: "MebX_Qsys_Project" instantiated altera_avalon_pio "pio_BUTTON" Info: pio_DIP: Starting RTL generation for module 'MebX_Qsys_Project_pio_DIP' -Info: pio_DIP: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_DIP --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0022_pio_DIP_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0022_pio_DIP_gen//MebX_Qsys_Project_pio_DIP_component_configuration.pl --do_build_sim=0 ] +Info: pio_DIP: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_DIP --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0022_pio_DIP_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0022_pio_DIP_gen//MebX_Qsys_Project_pio_DIP_component_configuration.pl --do_build_sim=0 ] Info: pio_DIP: Done RTL generation for module 'MebX_Qsys_Project_pio_DIP' Info: pio_DIP: "MebX_Qsys_Project" instantiated altera_avalon_pio "pio_DIP" Info: pio_EXT: Starting RTL generation for module 'MebX_Qsys_Project_pio_EXT' -Info: pio_EXT: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_EXT --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0023_pio_EXT_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0023_pio_EXT_gen//MebX_Qsys_Project_pio_EXT_component_configuration.pl --do_build_sim=0 ] +Info: pio_EXT: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_EXT --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0023_pio_EXT_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0023_pio_EXT_gen//MebX_Qsys_Project_pio_EXT_component_configuration.pl --do_build_sim=0 ] Info: pio_EXT: Done RTL generation for module 'MebX_Qsys_Project_pio_EXT' Info: pio_EXT: "MebX_Qsys_Project" instantiated altera_avalon_pio "pio_EXT" Info: pio_LED: Starting RTL generation for module 'MebX_Qsys_Project_pio_LED' -Info: pio_LED: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_LED --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0024_pio_LED_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0024_pio_LED_gen//MebX_Qsys_Project_pio_LED_component_configuration.pl --do_build_sim=0 ] +Info: pio_LED: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_LED --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0024_pio_LED_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0024_pio_LED_gen//MebX_Qsys_Project_pio_LED_component_configuration.pl --do_build_sim=0 ] Info: pio_LED: Done RTL generation for module 'MebX_Qsys_Project_pio_LED' Info: pio_LED: "MebX_Qsys_Project" instantiated altera_avalon_pio "pio_LED" Info: pio_LED_painel: Starting RTL generation for module 'MebX_Qsys_Project_pio_LED_painel' -Info: pio_LED_painel: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_LED_painel --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0025_pio_LED_painel_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0025_pio_LED_painel_gen//MebX_Qsys_Project_pio_LED_painel_component_configuration.pl --do_build_sim=0 ] +Info: pio_LED_painel: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_LED_painel --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0025_pio_LED_painel_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0025_pio_LED_painel_gen//MebX_Qsys_Project_pio_LED_painel_component_configuration.pl --do_build_sim=0 ] Info: pio_LED_painel: Done RTL generation for module 'MebX_Qsys_Project_pio_LED_painel' Info: pio_LED_painel: "MebX_Qsys_Project" instantiated altera_avalon_pio "pio_LED_painel" Info: pio_ctrl_io_lvds: Starting RTL generation for module 'MebX_Qsys_Project_pio_ctrl_io_lvds' -Info: pio_ctrl_io_lvds: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_ctrl_io_lvds --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0026_pio_ctrl_io_lvds_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0026_pio_ctrl_io_lvds_gen//MebX_Qsys_Project_pio_ctrl_io_lvds_component_configuration.pl --do_build_sim=0 ] +Info: pio_ctrl_io_lvds: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_ctrl_io_lvds --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0026_pio_ctrl_io_lvds_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0026_pio_ctrl_io_lvds_gen//MebX_Qsys_Project_pio_ctrl_io_lvds_component_configuration.pl --do_build_sim=0 ] Info: pio_ctrl_io_lvds: Done RTL generation for module 'MebX_Qsys_Project_pio_ctrl_io_lvds' Info: pio_ctrl_io_lvds: "MebX_Qsys_Project" instantiated altera_avalon_pio "pio_ctrl_io_lvds" Info: rmap_mem_nfee_comm_1: "MebX_Qsys_Project" instantiated RMAP_Memory_NFEE_Area "rmap_mem_nfee_comm_1" Info: rmap_mem_nfee_scom_0: "MebX_Qsys_Project" instantiated RMAP_Memory_SCOM_Area "rmap_mem_nfee_scom_0" Info: rs232_uart: Starting RTL generation for module 'MebX_Qsys_Project_rs232_uart' -Info: rs232_uart: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart/generate_rtl.pl --name=MebX_Qsys_Project_rs232_uart --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0029_rs232_uart_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0029_rs232_uart_gen//MebX_Qsys_Project_rs232_uart_component_configuration.pl --do_build_sim=0 ] +Info: rs232_uart: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart/generate_rtl.pl --name=MebX_Qsys_Project_rs232_uart --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0029_rs232_uart_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0029_rs232_uart_gen//MebX_Qsys_Project_rs232_uart_component_configuration.pl --do_build_sim=0 ] Info: rs232_uart: Done RTL generation for module 'MebX_Qsys_Project_rs232_uart' Info: rs232_uart: "MebX_Qsys_Project" instantiated altera_avalon_uart "rs232_uart" Info: rst_controller: "MebX_Qsys_Project" instantiated rst_controller "rst_controller" Info: sync: "MebX_Qsys_Project" instantiated Sync "sync" Info: sysid_qsys: "MebX_Qsys_Project" instantiated altera_avalon_sysid_qsys "sysid_qsys" Info: timer_1ms: Starting RTL generation for module 'MebX_Qsys_Project_timer_1ms' -Info: timer_1ms: Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//perl/bin/perl.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=MebX_Qsys_Project_timer_1ms --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0033_timer_1ms_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0033_timer_1ms_gen//MebX_Qsys_Project_timer_1ms_component_configuration.pl --do_build_sim=0 ] +Info: timer_1ms: Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//perl/bin/perl.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=MebX_Qsys_Project_timer_1ms --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0033_timer_1ms_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0033_timer_1ms_gen//MebX_Qsys_Project_timer_1ms_component_configuration.pl --do_build_sim=0 ] Info: timer_1ms: Done RTL generation for module 'MebX_Qsys_Project_timer_1ms' Info: timer_1ms: "MebX_Qsys_Project" instantiated altera_avalon_timer "timer_1ms" Info: timer_1us: Starting RTL generation for module 'MebX_Qsys_Project_timer_1us' -Info: timer_1us: Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//perl/bin/perl.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=MebX_Qsys_Project_timer_1us --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0034_timer_1us_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0034_timer_1us_gen//MebX_Qsys_Project_timer_1us_component_configuration.pl --do_build_sim=0 ] +Info: timer_1us: Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//perl/bin/perl.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=MebX_Qsys_Project_timer_1us --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0034_timer_1us_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0034_timer_1us_gen//MebX_Qsys_Project_timer_1us_component_configuration.pl --do_build_sim=0 ] Info: timer_1us: Done RTL generation for module 'MebX_Qsys_Project_timer_1us' Info: timer_1us: "MebX_Qsys_Project" instantiated altera_avalon_timer "timer_1us" Info: tristate_conduit_bridge_0: "MebX_Qsys_Project" instantiated altera_tristate_conduit_bridge "tristate_conduit_bridge_0" @@ -666,6 +672,7 @@ Info: avalon_st_adapter_021: Inserting error_adapter: error_adapter_0 Info: avalon_st_adapter_022: Inserting error_adapter: error_adapter_0 Info: avalon_st_adapter_023: Inserting error_adapter: error_adapter_0 Info: avalon_st_adapter_024: Inserting error_adapter: error_adapter_0 +Info: avalon_st_adapter_025: Inserting error_adapter: error_adapter_0 Info: mm_interconnect_2: "MebX_Qsys_Project" instantiated altera_mm_interconnect "mm_interconnect_2" Info: avalon_st_adapter: Inserting error_adapter: error_adapter_0 Info: mm_interconnect_3: "MebX_Qsys_Project" instantiated altera_mm_interconnect "mm_interconnect_3" @@ -691,7 +698,7 @@ Info: m0: "m1_ddr2_memory" instantiated altera_mem_if_ddr2_afi_mux "m0" Info: s0: Generating Qsys sequencer system Info: s0: QSYS sequencer system generated successfully Info: s0: "m1_ddr2_memory" instantiated altera_mem_if_ddr2_qseq "s0" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_translator.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_translator.sv Info: c0: "m1_ddr2_memory" instantiated altera_mem_if_nextgen_ddr2_controller "c0" Info: oct0: "m1_ddr2_memory" instantiated altera_mem_if_oct "oct0" Info: dll0: "m1_ddr2_memory" instantiated altera_mem_if_dll "dll0" @@ -707,107 +714,107 @@ Info: p0: Info: p0: ***************************** Info: p0: Info: p0: "m2_ddr2_memory" instantiated altera_mem_if_ddr2_phy_core "p0" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altdq_dqs2_ddio_3reg_stratixiv.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altdq_dqs2_ddio_3reg_stratixiv.sv Info: s0: Generating Qsys sequencer system Info: s0: QSYS sequencer system generated successfully Info: s0: "m2_ddr2_memory" instantiated altera_mem_if_ddr2_qseq "s0" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_cpu_no_ifdef_params_synth_cpu_inst.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_cpu_no_ifdef_params_synth_cpu_inst_test_bench.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_mem_no_ifdef_params.sv -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_rst.sv -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_translator.sv -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ac_ROM_no_ifdef_params.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ac_ROM_reg.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_bitcheck.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_core.sv -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_datamux.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_data_broadcast.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_data_decoder.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ddr2.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_di_buffer.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_di_buffer_wrap.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_dm_decoder.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_generic.sv -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_inst_ROM_no_ifdef_params.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_inst_ROM_reg.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_jumplogic.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr12.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr36.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr72.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_pattern_fifo.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ram.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ram_csr.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_read_datapath.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_write_decoder.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_data_mgr.sv -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_phy_mgr.sv -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_reg_file.sv -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_acv_phase_decode.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_acv_wrapper.sv -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_mgr.sv -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_reg_file.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_siii_phase_decode.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_siii_wrapper.sv -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_sv_phase_decode.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_sv_wrapper.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_cpu_no_ifdef_params_synth_cpu_inst.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_cpu_no_ifdef_params_synth_cpu_inst_test_bench.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_mem_no_ifdef_params.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_mem_if_sequencer_rst.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_translator.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ac_ROM_no_ifdef_params.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ac_ROM_reg.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_bitcheck.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_core.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_datamux.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_data_broadcast.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_data_decoder.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ddr2.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_di_buffer.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_di_buffer_wrap.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_dm_decoder.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_generic.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_inst_ROM_no_ifdef_params.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_inst_ROM_reg.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_jumplogic.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr12.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr36.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_lfsr72.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_pattern_fifo.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ram.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_ram_csr.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_read_datapath.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rw_manager_write_decoder.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_data_mgr.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_phy_mgr.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_reg_file.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_acv_phase_decode.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_acv_wrapper.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_mgr.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_reg_file.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_siii_phase_decode.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_siii_wrapper.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_sv_phase_decode.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_sv_wrapper.sv Info: cpu: Starting RTL generation for module 'MebX_Qsys_Project_nios2_gen2_0_cpu' -Info: cpu: Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//eperlcmd.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=MebX_Qsys_Project_nios2_gen2_0_cpu --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0051_cpu_gen/ --quartus_bindir=C:/intelFPGA/18.1/quartus/bin64/ --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0051_cpu_gen//MebX_Qsys_Project_nios2_gen2_0_cpu_processor_configuration.pl --do_build_sim=0 ] -Info: cpu: # 2021.10.28 09:28:38 (*) Starting Nios II generation -Info: cpu: # 2021.10.28 09:28:38 (*) Checking for plaintext license. -Info: cpu: # 2021.10.28 09:28:40 (*) Plaintext license not found. -Info: cpu: # 2021.10.28 09:28:40 (*) Checking for encrypted license (non-evaluation). -Info: cpu: # 2021.10.28 09:28:41 (*) Encrypted license found. SOF will not be time-limited. -Info: cpu: # 2021.10.28 09:28:41 (*) Elaborating CPU configuration settings -Info: cpu: # 2021.10.28 09:28:41 (*) Creating all objects for CPU -Info: cpu: # 2021.10.28 09:28:41 (*) Testbench -Info: cpu: # 2021.10.28 09:28:41 (*) Instruction decoding -Info: cpu: # 2021.10.28 09:28:41 (*) Instruction fields -Info: cpu: # 2021.10.28 09:28:41 (*) Instruction decodes -Info: cpu: # 2021.10.28 09:28:42 (*) Signals for RTL simulation waveforms -Info: cpu: # 2021.10.28 09:28:42 (*) Instruction controls -Info: cpu: # 2021.10.28 09:28:42 (*) Pipeline frontend -Info: cpu: # 2021.10.28 09:28:42 (*) Pipeline backend -Info: cpu: # 2021.10.28 09:28:45 (*) Generating RTL from CPU objects -Info: cpu: # 2021.10.28 09:28:47 (*) Creating encrypted RTL -Info: cpu: # 2021.10.28 09:28:48 (*) Done Nios II generation +Info: cpu: Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//eperlcmd.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=MebX_Qsys_Project_nios2_gen2_0_cpu --dir=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0051_cpu_gen/ --quartus_bindir=C:/intelFPGA/18.1/quartus/bin64/ --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt9456_5843066602824921459.dir/0051_cpu_gen//MebX_Qsys_Project_nios2_gen2_0_cpu_processor_configuration.pl --do_build_sim=0 ] +Info: cpu: # 2023.04.09 12:36:44 (*) Starting Nios II generation +Info: cpu: # 2023.04.09 12:36:44 (*) Checking for plaintext license. +Info: cpu: # 2023.04.09 12:36:44 (*) Plaintext license not found. +Info: cpu: # 2023.04.09 12:36:44 (*) Checking for encrypted license (non-evaluation). +Info: cpu: # 2023.04.09 12:36:45 (*) Encrypted license found. SOF will not be time-limited. +Info: cpu: # 2023.04.09 12:36:45 (*) Elaborating CPU configuration settings +Info: cpu: # 2023.04.09 12:36:45 (*) Creating all objects for CPU +Info: cpu: # 2023.04.09 12:36:45 (*) Testbench +Info: cpu: # 2023.04.09 12:36:46 (*) Instruction decoding +Info: cpu: # 2023.04.09 12:36:46 (*) Instruction fields +Info: cpu: # 2023.04.09 12:36:46 (*) Instruction decodes +Info: cpu: # 2023.04.09 12:36:46 (*) Signals for RTL simulation waveforms +Info: cpu: # 2023.04.09 12:36:46 (*) Instruction controls +Info: cpu: # 2023.04.09 12:36:46 (*) Pipeline frontend +Info: cpu: # 2023.04.09 12:36:46 (*) Pipeline backend +Info: cpu: # 2023.04.09 12:36:48 (*) Generating RTL from CPU objects +Info: cpu: # 2023.04.09 12:36:49 (*) Creating encrypted RTL +Info: cpu: # 2023.04.09 12:36:50 (*) Done Nios II generation Info: cpu: Done RTL generation for module 'MebX_Qsys_Project_nios2_gen2_0_cpu' Info: cpu: "nios2_gen2_0" instantiated altera_nios2_gen2_unit "cpu" Info: FTDI_UMFT601A_Module_avalon_master_data_translator: "mm_interconnect_0" instantiated altera_merlin_master_translator "FTDI_UMFT601A_Module_avalon_master_data_translator" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_translator.sv Info: FTDI_UMFT601A_Module_avalon_master_data_agent: "mm_interconnect_0" instantiated altera_merlin_master_agent "FTDI_UMFT601A_Module_avalon_master_data_agent" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_master_agent.sv Info: m2_ddr2_memory_avl_agent: "mm_interconnect_0" instantiated altera_merlin_slave_agent "m2_ddr2_memory_avl_agent" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_slave_agent.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv Info: m2_ddr2_memory_avl_agent_rsp_fifo: "mm_interconnect_0" instantiated altera_avalon_sc_fifo "m2_ddr2_memory_avl_agent_rsp_fifo" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v Info: router: "mm_interconnect_0" instantiated altera_merlin_router "router" Info: router_014: "mm_interconnect_0" instantiated altera_merlin_router "router_014" Info: router_020: "mm_interconnect_0" instantiated altera_merlin_router "router_020" Info: router_021: "mm_interconnect_0" instantiated altera_merlin_router "router_021" Info: ddr2_address_span_extender_expanded_master_limiter: "mm_interconnect_0" instantiated altera_merlin_traffic_limiter "ddr2_address_span_extender_expanded_master_limiter" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v Info: m1_clock_bridge_s0_burst_adapter: "mm_interconnect_0" instantiated altera_merlin_burst_adapter "m1_clock_bridge_s0_burst_adapter" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v Info: cmd_demux: "mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux" Info: cmd_mux: "mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv Info: rsp_demux: "mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux" Info: rsp_demux_001: "mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux_001" Info: rsp_mux: "mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv Info: rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter: "mm_interconnect_0" instantiated altera_merlin_width_adapter "rmap_mem_nfee_comm_1_avalon_mm_rmap_master_rsp_width_adapter" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_address_alignment.sv -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_address_alignment.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_burst_uncompressor.sv Info: crosser: "mm_interconnect_0" instantiated altera_avalon_st_handshake_clock_crosser "crosser" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_std_synchronizer_nocut.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_std_synchronizer_nocut.v Info: avalon_st_adapter: "mm_interconnect_0" instantiated altera_avalon_st_adapter "avalon_st_adapter" Info: router: "mm_interconnect_1" instantiated altera_merlin_router "router" Info: router_001: "mm_interconnect_1" instantiated altera_merlin_router "router_001" @@ -818,15 +825,15 @@ Info: router_024: "mm_interconnect_1" instantiated altera_merlin_router "router_ Info: cmd_demux: "mm_interconnect_1" instantiated altera_merlin_demultiplexer "cmd_demux" Info: cmd_demux_001: "mm_interconnect_1" instantiated altera_merlin_demultiplexer "cmd_demux_001" Info: cmd_mux: "mm_interconnect_1" instantiated altera_merlin_multiplexer "cmd_mux" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv Info: cmd_mux_019: "mm_interconnect_1" instantiated altera_merlin_multiplexer "cmd_mux_019" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv Info: rsp_demux: "mm_interconnect_1" instantiated altera_merlin_demultiplexer "rsp_demux" Info: rsp_demux_019: "mm_interconnect_1" instantiated altera_merlin_demultiplexer "rsp_demux_019" Info: rsp_mux: "mm_interconnect_1" instantiated altera_merlin_multiplexer "rsp_mux" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv Info: rsp_mux_001: "mm_interconnect_1" instantiated altera_merlin_multiplexer "rsp_mux_001" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv Info: avalon_st_adapter: "mm_interconnect_1" instantiated altera_avalon_st_adapter "avalon_st_adapter" Info: avalon_st_adapter_017: "mm_interconnect_1" instantiated altera_avalon_st_adapter "avalon_st_adapter_017" Info: avalon_st_adapter_022: "mm_interconnect_1" instantiated altera_avalon_st_adapter "avalon_st_adapter_022" @@ -834,24 +841,24 @@ Info: router: "mm_interconnect_2" instantiated altera_merlin_router "router" Info: router_001: "mm_interconnect_2" instantiated altera_merlin_router "router_001" Info: cmd_demux: "mm_interconnect_2" instantiated altera_merlin_demultiplexer "cmd_demux" Info: cmd_mux: "mm_interconnect_2" instantiated altera_merlin_multiplexer "cmd_mux" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv Info: rsp_demux: "mm_interconnect_2" instantiated altera_merlin_demultiplexer "rsp_demux" Info: rsp_mux: "mm_interconnect_2" instantiated altera_merlin_multiplexer "rsp_mux" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv Info: router: "mm_interconnect_3" instantiated altera_merlin_router "router" Info: router_001: "mm_interconnect_3" instantiated altera_merlin_router "router_001" Info: cmd_demux: "mm_interconnect_3" instantiated altera_merlin_demultiplexer "cmd_demux" Info: cmd_mux: "mm_interconnect_3" instantiated altera_merlin_multiplexer "cmd_mux" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv Info: rsp_demux: "mm_interconnect_3" instantiated altera_merlin_demultiplexer "rsp_demux" Info: rsp_mux: "mm_interconnect_3" instantiated altera_merlin_multiplexer "rsp_mux" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv +Info: Reusing file C:/Users/rfranca/Development/GitHub/SimuCam_Development_NFEE/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv Info: ng0: "c0" instantiated altera_mem_if_nextgen_ddr2_controller_core "ng0" Info: a0: "c0" instantiated alt_mem_ddrx_mm_st_converter "a0" Info: error_adapter_0: "avalon_st_adapter" instantiated error_adapter "error_adapter_0" Info: error_adapter_0: "avalon_st_adapter" instantiated error_adapter "error_adapter_0" Info: error_adapter_0: "avalon_st_adapter_017" instantiated error_adapter "error_adapter_0" Info: error_adapter_0: "avalon_st_adapter_022" instantiated error_adapter "error_adapter_0" -Info: MebX_Qsys_Project: Done "MebX_Qsys_Project" with 109 modules, 535 files +Info: MebX_Qsys_Project: Done "MebX_Qsys_Project" with 109 modules, 536 files Info: qsys-generate succeeded. Info: Finished: Create HDL design files for synthesis diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_generation_previous.rpt b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_generation_previous.rpt index 22fff0cf..b1a5e491 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_generation_previous.rpt +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_generation_previous.rpt @@ -70,6 +70,8 @@ Progress: Adding ext_flash [altera_generic_tristate_controller 18.1] Progress: Parameterizing module ext_flash Progress: Adding jtag_uart_0 [altera_avalon_jtag_uart 18.1] Progress: Parameterizing module jtag_uart_0 +Progress: Adding m1_clock_bridge [altera_avalon_mm_clock_crossing_bridge 18.1] +Progress: Parameterizing module m1_clock_bridge Progress: Adding m1_clock_bridge_ch1_left [altera_avalon_mm_clock_crossing_bridge 18.1] Progress: Parameterizing module m1_clock_bridge_ch1_left Progress: Adding m1_clock_bridge_ch1_right [altera_avalon_mm_clock_crossing_bridge 18.1] @@ -280,6 +282,8 @@ Progress: Adding ext_flash [altera_generic_tristate_controller 18.1] Progress: Parameterizing module ext_flash Progress: Adding jtag_uart_0 [altera_avalon_jtag_uart 18.1] Progress: Parameterizing module jtag_uart_0 +Progress: Adding m1_clock_bridge [altera_avalon_mm_clock_crossing_bridge 18.1] +Progress: Parameterizing module m1_clock_bridge Progress: Adding m1_clock_bridge_ch1_left [altera_avalon_mm_clock_crossing_bridge 18.1] Progress: Parameterizing module m1_clock_bridge_ch1_left Progress: Adding m1_clock_bridge_ch1_right [altera_avalon_mm_clock_crossing_bridge 18.1] @@ -419,45 +423,45 @@ Info: MebX_Qsys_Project: Generating MebX_Qsys_Project "MebX_Qsys_Project" for QU Info: Inserting clock-crossing logic between cmd_demux.src0 and cmd_mux.sink0 Info: Inserting clock-crossing logic between cmd_demux.src1 and cmd_mux_001.sink0 Info: Inserting clock-crossing logic between cmd_demux_001.src0 and cmd_mux.sink1 -Info: Inserting clock-crossing logic between cmd_demux_001.src1 and cmd_mux_002.sink0 +Info: Inserting clock-crossing logic between cmd_demux_001.src1 and cmd_mux_001.sink1 Info: Inserting clock-crossing logic between cmd_demux_002.src0 and cmd_mux.sink2 -Info: Inserting clock-crossing logic between cmd_demux_002.src1 and cmd_mux_020.sink0 +Info: Inserting clock-crossing logic between cmd_demux_002.src1 and cmd_mux_001.sink2 Info: Inserting clock-crossing logic between cmd_demux_003.src0 and cmd_mux.sink3 -Info: Inserting clock-crossing logic between cmd_demux_003.src1 and cmd_mux_019.sink0 +Info: Inserting clock-crossing logic between cmd_demux_003.src1 and cmd_mux_001.sink3 Info: Inserting clock-crossing logic between cmd_demux_004.src0 and cmd_mux.sink4 -Info: Inserting clock-crossing logic between cmd_demux_004.src1 and cmd_mux_018.sink0 +Info: Inserting clock-crossing logic between cmd_demux_004.src1 and cmd_mux_001.sink4 Info: Inserting clock-crossing logic between cmd_demux_005.src0 and cmd_mux.sink5 -Info: Inserting clock-crossing logic between cmd_demux_005.src1 and cmd_mux_017.sink0 +Info: Inserting clock-crossing logic between cmd_demux_005.src1 and cmd_mux_001.sink5 Info: Inserting clock-crossing logic between cmd_demux_006.src0 and cmd_mux.sink6 -Info: Inserting clock-crossing logic between cmd_demux_006.src1 and cmd_mux_016.sink0 +Info: Inserting clock-crossing logic between cmd_demux_006.src1 and cmd_mux_001.sink6 Info: Inserting clock-crossing logic between cmd_demux_007.src0 and cmd_mux.sink7 -Info: Inserting clock-crossing logic between cmd_demux_007.src1 and cmd_mux_015.sink0 +Info: Inserting clock-crossing logic between cmd_demux_007.src1 and cmd_mux_001.sink7 Info: Inserting clock-crossing logic between cmd_demux_008.src0 and cmd_mux.sink8 -Info: Inserting clock-crossing logic between cmd_demux_008.src1 and cmd_mux_014.sink0 +Info: Inserting clock-crossing logic between cmd_demux_008.src1 and cmd_mux_001.sink8 Info: Inserting clock-crossing logic between cmd_demux_009.src0 and cmd_mux.sink9 -Info: Inserting clock-crossing logic between cmd_demux_009.src1 and cmd_mux_013.sink0 +Info: Inserting clock-crossing logic between cmd_demux_009.src1 and cmd_mux_001.sink9 Info: Inserting clock-crossing logic between cmd_demux_010.src0 and cmd_mux.sink10 -Info: Inserting clock-crossing logic between cmd_demux_010.src1 and cmd_mux_012.sink0 +Info: Inserting clock-crossing logic between cmd_demux_010.src1 and cmd_mux_001.sink10 Info: Inserting clock-crossing logic between cmd_demux_011.src0 and cmd_mux.sink11 -Info: Inserting clock-crossing logic between cmd_demux_011.src1 and cmd_mux_011.sink0 +Info: Inserting clock-crossing logic between cmd_demux_011.src1 and cmd_mux_001.sink11 Info: Inserting clock-crossing logic between cmd_demux_012.src0 and cmd_mux.sink12 -Info: Inserting clock-crossing logic between cmd_demux_012.src1 and cmd_mux_010.sink0 +Info: Inserting clock-crossing logic between cmd_demux_012.src1 and cmd_mux_001.sink12 Info: Inserting clock-crossing logic between cmd_demux_013.src0 and cmd_mux.sink13 -Info: Inserting clock-crossing logic between cmd_demux_013.src1 and cmd_mux_009.sink0 +Info: Inserting clock-crossing logic between cmd_demux_013.src1 and cmd_mux_001.sink13 Info: Inserting clock-crossing logic between cmd_demux_014.src0 and cmd_mux.sink14 -Info: Inserting clock-crossing logic between cmd_demux_014.src1 and cmd_mux_008.sink0 +Info: Inserting clock-crossing logic between cmd_demux_014.src1 and cmd_mux_001.sink14 Info: Inserting clock-crossing logic between cmd_demux_015.src0 and cmd_mux.sink15 -Info: Inserting clock-crossing logic between cmd_demux_015.src1 and cmd_mux_007.sink0 +Info: Inserting clock-crossing logic between cmd_demux_015.src1 and cmd_mux_001.sink15 Info: Inserting clock-crossing logic between cmd_demux_016.src0 and cmd_mux.sink16 -Info: Inserting clock-crossing logic between cmd_demux_016.src1 and cmd_mux_006.sink0 +Info: Inserting clock-crossing logic between cmd_demux_016.src1 and cmd_mux_001.sink16 Info: Inserting clock-crossing logic between cmd_demux_017.src0 and cmd_mux.sink17 -Info: Inserting clock-crossing logic between cmd_demux_017.src1 and cmd_mux_005.sink0 +Info: Inserting clock-crossing logic between cmd_demux_017.src1 and cmd_mux_001.sink17 Info: Inserting clock-crossing logic between cmd_demux_018.src0 and cmd_mux.sink18 -Info: Inserting clock-crossing logic between cmd_demux_018.src1 and cmd_mux_004.sink0 +Info: Inserting clock-crossing logic between cmd_demux_018.src1 and cmd_mux_001.sink18 Info: Inserting clock-crossing logic between cmd_demux_019.src0 and cmd_mux.sink19 -Info: Inserting clock-crossing logic between cmd_demux_019.src1 and cmd_mux_003.sink0 +Info: Inserting clock-crossing logic between cmd_demux_019.src1 and cmd_mux_001.sink19 Info: Inserting clock-crossing logic between cmd_demux_020.src0 and cmd_mux.sink20 -Info: Inserting clock-crossing logic between cmd_demux_020.src1 and cmd_mux_002.sink1 +Info: Inserting clock-crossing logic between cmd_demux_020.src1 and cmd_mux_001.sink20 Info: Inserting clock-crossing logic between rsp_demux.src0 and rsp_mux.sink0 Info: Inserting clock-crossing logic between rsp_demux.src1 and rsp_mux_001.sink0 Info: Inserting clock-crossing logic between rsp_demux.src2 and rsp_mux_002.sink0 @@ -480,66 +484,32 @@ Info: Inserting clock-crossing logic between rsp_demux.src18 and rsp_mux_018.sin Info: Inserting clock-crossing logic between rsp_demux.src19 and rsp_mux_019.sink0 Info: Inserting clock-crossing logic between rsp_demux.src20 and rsp_mux_020.sink0 Info: Inserting clock-crossing logic between rsp_demux_001.src0 and rsp_mux.sink1 -Info: Inserting clock-crossing logic between rsp_demux_002.src0 and rsp_mux_001.sink1 -Info: Inserting clock-crossing logic between rsp_demux_002.src1 and rsp_mux_020.sink1 -Info: Inserting clock-crossing logic between rsp_demux_003.src0 and rsp_mux_019.sink1 -Info: Inserting clock-crossing logic between rsp_demux_004.src0 and rsp_mux_018.sink1 -Info: Inserting clock-crossing logic between rsp_demux_005.src0 and rsp_mux_017.sink1 -Info: Inserting clock-crossing logic between rsp_demux_006.src0 and rsp_mux_016.sink1 -Info: Inserting clock-crossing logic between rsp_demux_007.src0 and rsp_mux_015.sink1 -Info: Inserting clock-crossing logic between rsp_demux_008.src0 and rsp_mux_014.sink1 -Info: Inserting clock-crossing logic between rsp_demux_009.src0 and rsp_mux_013.sink1 -Info: Inserting clock-crossing logic between rsp_demux_010.src0 and rsp_mux_012.sink1 -Info: Inserting clock-crossing logic between rsp_demux_011.src0 and rsp_mux_011.sink1 -Info: Inserting clock-crossing logic between rsp_demux_012.src0 and rsp_mux_010.sink1 -Info: Inserting clock-crossing logic between rsp_demux_013.src0 and rsp_mux_009.sink1 -Info: Inserting clock-crossing logic between rsp_demux_014.src0 and rsp_mux_008.sink1 -Info: Inserting clock-crossing logic between rsp_demux_015.src0 and rsp_mux_007.sink1 -Info: Inserting clock-crossing logic between rsp_demux_016.src0 and rsp_mux_006.sink1 -Info: Inserting clock-crossing logic between rsp_demux_017.src0 and rsp_mux_005.sink1 -Info: Inserting clock-crossing logic between rsp_demux_018.src0 and rsp_mux_004.sink1 -Info: Inserting clock-crossing logic between rsp_demux_019.src0 and rsp_mux_003.sink1 -Info: Inserting clock-crossing logic between rsp_demux_020.src0 and rsp_mux_002.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src1 and rsp_mux_001.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src2 and rsp_mux_002.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src3 and rsp_mux_003.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src4 and rsp_mux_004.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src5 and rsp_mux_005.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src6 and rsp_mux_006.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src7 and rsp_mux_007.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src8 and rsp_mux_008.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src9 and rsp_mux_009.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src10 and rsp_mux_010.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src11 and rsp_mux_011.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src12 and rsp_mux_012.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src13 and rsp_mux_013.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src14 and rsp_mux_014.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src15 and rsp_mux_015.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src16 and rsp_mux_016.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src17 and rsp_mux_017.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src18 and rsp_mux_018.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src19 and rsp_mux_019.sink1 +Info: Inserting clock-crossing logic between rsp_demux_001.src20 and rsp_mux_020.sink1 +Info: Interconnect is inserted between master m1_clock_bridge.m0 and slave m1_ddr2_memory.avl because the master has burstcount signal 3 bit wide, but the slave is 8 bit wide. +Info: Interconnect is inserted between master m1_clock_bridge.m0 and slave m1_ddr2_memory.avl because the master has address signal 31 bit wide, but the slave is 26 bit wide. +Info: Interconnect is inserted between master m1_clock_bridge.m0 and slave m1_ddr2_memory.avl because the master has debugaccess signal 1 bit wide, but the slave is 0 bit wide. +Info: Interconnect is inserted between master m1_clock_bridge.m0 and slave m1_ddr2_memory.avl because they have different clock source. Info: Inserting clock-crossing logic between cmd_demux.src0 and cmd_mux.sink0 -Info: Inserting clock-crossing logic between cmd_demux_001.src0 and cmd_mux.sink1 -Info: Inserting clock-crossing logic between cmd_demux_002.src0 and cmd_mux.sink2 -Info: Inserting clock-crossing logic between cmd_demux_003.src0 and cmd_mux.sink3 -Info: Inserting clock-crossing logic between cmd_demux_004.src0 and cmd_mux.sink4 -Info: Inserting clock-crossing logic between cmd_demux_005.src0 and cmd_mux.sink5 -Info: Inserting clock-crossing logic between cmd_demux_006.src0 and cmd_mux.sink6 -Info: Inserting clock-crossing logic between cmd_demux_007.src0 and cmd_mux.sink7 -Info: Inserting clock-crossing logic between cmd_demux_008.src0 and cmd_mux.sink8 -Info: Inserting clock-crossing logic between cmd_demux_009.src0 and cmd_mux.sink9 -Info: Inserting clock-crossing logic between cmd_demux_010.src0 and cmd_mux.sink10 -Info: Inserting clock-crossing logic between cmd_demux_011.src0 and cmd_mux.sink11 -Info: Inserting clock-crossing logic between cmd_demux_012.src0 and cmd_mux.sink12 -Info: Inserting clock-crossing logic between cmd_demux_013.src0 and cmd_mux.sink13 -Info: Inserting clock-crossing logic between cmd_demux_014.src0 and cmd_mux.sink14 -Info: Inserting clock-crossing logic between cmd_demux_015.src0 and cmd_mux.sink15 -Info: Inserting clock-crossing logic between cmd_demux_016.src0 and cmd_mux.sink16 -Info: Inserting clock-crossing logic between cmd_demux_017.src0 and cmd_mux.sink17 -Info: Inserting clock-crossing logic between cmd_demux_018.src0 and cmd_mux.sink18 -Info: Inserting clock-crossing logic between cmd_demux_019.src0 and cmd_mux.sink19 Info: Inserting clock-crossing logic between rsp_demux.src0 and rsp_mux.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src1 and rsp_mux_001.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src2 and rsp_mux_002.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src3 and rsp_mux_003.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src4 and rsp_mux_004.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src5 and rsp_mux_005.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src6 and rsp_mux_006.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src7 and rsp_mux_007.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src8 and rsp_mux_008.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src9 and rsp_mux_009.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src10 and rsp_mux_010.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src11 and rsp_mux_011.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src12 and rsp_mux_012.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src13 and rsp_mux_013.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src14 and rsp_mux_014.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src15 and rsp_mux_015.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src16 and rsp_mux_016.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src17 and rsp_mux_017.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src18 and rsp_mux_018.sink0 -Info: Inserting clock-crossing logic between rsp_demux.src19 and rsp_mux_019.sink0 Info: Communication_Module_v2_Ch1: "MebX_Qsys_Project" instantiated Communication_Module_v2 "Communication_Module_v2_Ch1" Info: FTDI_UMFT601A_Module: "MebX_Qsys_Project" instantiated FTDI_UMFT601A_Module "FTDI_UMFT601A_Module" Info: Memory_Filler: "MebX_Qsys_Project" instantiated Memory_Filler "Memory_Filler" @@ -573,97 +543,78 @@ Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02 Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmap_target_top.vhd Info: clock_bridge_afi_50: "MebX_Qsys_Project" instantiated altera_avalon_mm_clock_crossing_bridge "clock_bridge_afi_50" Info: csense_adc_fo: Starting RTL generation for module 'MebX_Qsys_Project_csense_adc_fo' -Info: csense_adc_fo: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_adc_fo --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0014_csense_adc_fo_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0014_csense_adc_fo_gen//MebX_Qsys_Project_csense_adc_fo_component_configuration.pl --do_build_sim=0 ] +Info: csense_adc_fo: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_adc_fo --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0014_csense_adc_fo_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0014_csense_adc_fo_gen//MebX_Qsys_Project_csense_adc_fo_component_configuration.pl --do_build_sim=0 ] Info: csense_adc_fo: Done RTL generation for module 'MebX_Qsys_Project_csense_adc_fo' Info: csense_adc_fo: "MebX_Qsys_Project" instantiated altera_avalon_pio "csense_adc_fo" Info: csense_cs_n: Starting RTL generation for module 'MebX_Qsys_Project_csense_cs_n' -Info: csense_cs_n: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_cs_n --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0015_csense_cs_n_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0015_csense_cs_n_gen//MebX_Qsys_Project_csense_cs_n_component_configuration.pl --do_build_sim=0 ] +Info: csense_cs_n: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_cs_n --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0015_csense_cs_n_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0015_csense_cs_n_gen//MebX_Qsys_Project_csense_cs_n_component_configuration.pl --do_build_sim=0 ] Info: csense_cs_n: Done RTL generation for module 'MebX_Qsys_Project_csense_cs_n' Info: csense_cs_n: "MebX_Qsys_Project" instantiated altera_avalon_pio "csense_cs_n" Info: csense_sdo: Starting RTL generation for module 'MebX_Qsys_Project_csense_sdo' -Info: csense_sdo: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_sdo --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0016_csense_sdo_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0016_csense_sdo_gen//MebX_Qsys_Project_csense_sdo_component_configuration.pl --do_build_sim=0 ] +Info: csense_sdo: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_csense_sdo --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0016_csense_sdo_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0016_csense_sdo_gen//MebX_Qsys_Project_csense_sdo_component_configuration.pl --do_build_sim=0 ] Info: csense_sdo: Done RTL generation for module 'MebX_Qsys_Project_csense_sdo' Info: csense_sdo: "MebX_Qsys_Project" instantiated altera_avalon_pio "csense_sdo" Info: ddr2_address_span_extender: "MebX_Qsys_Project" instantiated altera_address_span_extender "ddr2_address_span_extender" Info: ext_flash: "MebX_Qsys_Project" instantiated altera_generic_tristate_controller "ext_flash" Info: jtag_uart_0: Starting RTL generation for module 'MebX_Qsys_Project_jtag_uart_0' -Info: jtag_uart_0: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=MebX_Qsys_Project_jtag_uart_0 --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0018_jtag_uart_0_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0018_jtag_uart_0_gen//MebX_Qsys_Project_jtag_uart_0_component_configuration.pl --do_build_sim=0 ] +Info: jtag_uart_0: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=MebX_Qsys_Project_jtag_uart_0 --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0018_jtag_uart_0_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0018_jtag_uart_0_gen//MebX_Qsys_Project_jtag_uart_0_component_configuration.pl --do_build_sim=0 ] Info: jtag_uart_0: Done RTL generation for module 'MebX_Qsys_Project_jtag_uart_0' Info: jtag_uart_0: "MebX_Qsys_Project" instantiated altera_avalon_jtag_uart "jtag_uart_0" Info: m1_ddr2_i2c_sda: Starting RTL generation for module 'MebX_Qsys_Project_m1_ddr2_i2c_sda' -Info: m1_ddr2_i2c_sda: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_m1_ddr2_i2c_sda --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0019_m1_ddr2_i2c_sda_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0019_m1_ddr2_i2c_sda_gen//MebX_Qsys_Project_m1_ddr2_i2c_sda_component_configuration.pl --do_build_sim=0 ] +Info: m1_ddr2_i2c_sda: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_m1_ddr2_i2c_sda --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0019_m1_ddr2_i2c_sda_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0019_m1_ddr2_i2c_sda_gen//MebX_Qsys_Project_m1_ddr2_i2c_sda_component_configuration.pl --do_build_sim=0 ] Info: m1_ddr2_i2c_sda: Done RTL generation for module 'MebX_Qsys_Project_m1_ddr2_i2c_sda' Info: m1_ddr2_i2c_sda: "MebX_Qsys_Project" instantiated altera_avalon_pio "m1_ddr2_i2c_sda" Info: m1_ddr2_memory: "MebX_Qsys_Project" instantiated altera_mem_if_ddr2_emif "m1_ddr2_memory" Info: m2_ddr2_memory: "MebX_Qsys_Project" instantiated altera_mem_if_ddr2_emif "m2_ddr2_memory" Info: nios2_gen2_0: "MebX_Qsys_Project" instantiated altera_nios2_gen2 "nios2_gen2_0" Info: onchip_memory: Starting RTL generation for module 'MebX_Qsys_Project_onchip_memory' -Info: onchip_memory: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=MebX_Qsys_Project_onchip_memory --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0020_onchip_memory_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0020_onchip_memory_gen//MebX_Qsys_Project_onchip_memory_component_configuration.pl --do_build_sim=0 ] +Info: onchip_memory: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=MebX_Qsys_Project_onchip_memory --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0020_onchip_memory_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0020_onchip_memory_gen//MebX_Qsys_Project_onchip_memory_component_configuration.pl --do_build_sim=0 ] Info: onchip_memory: Done RTL generation for module 'MebX_Qsys_Project_onchip_memory' Info: onchip_memory: "MebX_Qsys_Project" instantiated altera_avalon_onchip_memory2 "onchip_memory" Info: pio_BUTTON: Starting RTL generation for module 'MebX_Qsys_Project_pio_BUTTON' -Info: pio_BUTTON: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_BUTTON --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0021_pio_BUTTON_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0021_pio_BUTTON_gen//MebX_Qsys_Project_pio_BUTTON_component_configuration.pl --do_build_sim=0 ] +Info: pio_BUTTON: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_BUTTON --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0021_pio_BUTTON_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0021_pio_BUTTON_gen//MebX_Qsys_Project_pio_BUTTON_component_configuration.pl --do_build_sim=0 ] Info: pio_BUTTON: Done RTL generation for module 'MebX_Qsys_Project_pio_BUTTON' Info: pio_BUTTON: "MebX_Qsys_Project" instantiated altera_avalon_pio "pio_BUTTON" Info: pio_DIP: Starting RTL generation for module 'MebX_Qsys_Project_pio_DIP' -Info: pio_DIP: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_DIP --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0022_pio_DIP_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0022_pio_DIP_gen//MebX_Qsys_Project_pio_DIP_component_configuration.pl --do_build_sim=0 ] +Info: pio_DIP: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_DIP --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0022_pio_DIP_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0022_pio_DIP_gen//MebX_Qsys_Project_pio_DIP_component_configuration.pl --do_build_sim=0 ] Info: pio_DIP: Done RTL generation for module 'MebX_Qsys_Project_pio_DIP' Info: pio_DIP: "MebX_Qsys_Project" instantiated altera_avalon_pio "pio_DIP" Info: pio_EXT: Starting RTL generation for module 'MebX_Qsys_Project_pio_EXT' -Info: pio_EXT: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_EXT --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0023_pio_EXT_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0023_pio_EXT_gen//MebX_Qsys_Project_pio_EXT_component_configuration.pl --do_build_sim=0 ] +Info: pio_EXT: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_EXT --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0023_pio_EXT_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0023_pio_EXT_gen//MebX_Qsys_Project_pio_EXT_component_configuration.pl --do_build_sim=0 ] Info: pio_EXT: Done RTL generation for module 'MebX_Qsys_Project_pio_EXT' Info: pio_EXT: "MebX_Qsys_Project" instantiated altera_avalon_pio "pio_EXT" Info: pio_LED: Starting RTL generation for module 'MebX_Qsys_Project_pio_LED' -Info: pio_LED: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_LED --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0024_pio_LED_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0024_pio_LED_gen//MebX_Qsys_Project_pio_LED_component_configuration.pl --do_build_sim=0 ] +Info: pio_LED: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_LED --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0024_pio_LED_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0024_pio_LED_gen//MebX_Qsys_Project_pio_LED_component_configuration.pl --do_build_sim=0 ] Info: pio_LED: Done RTL generation for module 'MebX_Qsys_Project_pio_LED' Info: pio_LED: "MebX_Qsys_Project" instantiated altera_avalon_pio "pio_LED" Info: pio_LED_painel: Starting RTL generation for module 'MebX_Qsys_Project_pio_LED_painel' -Info: pio_LED_painel: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_LED_painel --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0025_pio_LED_painel_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0025_pio_LED_painel_gen//MebX_Qsys_Project_pio_LED_painel_component_configuration.pl --do_build_sim=0 ] +Info: pio_LED_painel: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_LED_painel --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0025_pio_LED_painel_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0025_pio_LED_painel_gen//MebX_Qsys_Project_pio_LED_painel_component_configuration.pl --do_build_sim=0 ] Info: pio_LED_painel: Done RTL generation for module 'MebX_Qsys_Project_pio_LED_painel' Info: pio_LED_painel: "MebX_Qsys_Project" instantiated altera_avalon_pio "pio_LED_painel" Info: pio_ctrl_io_lvds: Starting RTL generation for module 'MebX_Qsys_Project_pio_ctrl_io_lvds' -Info: pio_ctrl_io_lvds: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_ctrl_io_lvds --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0026_pio_ctrl_io_lvds_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0026_pio_ctrl_io_lvds_gen//MebX_Qsys_Project_pio_ctrl_io_lvds_component_configuration.pl --do_build_sim=0 ] +Info: pio_ctrl_io_lvds: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=MebX_Qsys_Project_pio_ctrl_io_lvds --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0026_pio_ctrl_io_lvds_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0026_pio_ctrl_io_lvds_gen//MebX_Qsys_Project_pio_ctrl_io_lvds_component_configuration.pl --do_build_sim=0 ] Info: pio_ctrl_io_lvds: Done RTL generation for module 'MebX_Qsys_Project_pio_ctrl_io_lvds' Info: pio_ctrl_io_lvds: "MebX_Qsys_Project" instantiated altera_avalon_pio "pio_ctrl_io_lvds" Info: rmap_mem_nfee_comm_1: "MebX_Qsys_Project" instantiated RMAP_Memory_NFEE_Area "rmap_mem_nfee_comm_1" Info: rmap_mem_nfee_scom_0: "MebX_Qsys_Project" instantiated RMAP_Memory_SCOM_Area "rmap_mem_nfee_scom_0" Info: rs232_uart: Starting RTL generation for module 'MebX_Qsys_Project_rs232_uart' -Info: rs232_uart: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart/generate_rtl.pl --name=MebX_Qsys_Project_rs232_uart --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0029_rs232_uart_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0029_rs232_uart_gen//MebX_Qsys_Project_rs232_uart_component_configuration.pl --do_build_sim=0 ] +Info: rs232_uart: Generation command is [exec C:/intelfpga/18.1/quartus/bin64/perl/bin/perl.exe -I C:/intelfpga/18.1/quartus/bin64/perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_uart/generate_rtl.pl --name=MebX_Qsys_Project_rs232_uart --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0029_rs232_uart_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0029_rs232_uart_gen//MebX_Qsys_Project_rs232_uart_component_configuration.pl --do_build_sim=0 ] Info: rs232_uart: Done RTL generation for module 'MebX_Qsys_Project_rs232_uart' Info: rs232_uart: "MebX_Qsys_Project" instantiated altera_avalon_uart "rs232_uart" Info: rst_controller: "MebX_Qsys_Project" instantiated rst_controller "rst_controller" Info: sync: "MebX_Qsys_Project" instantiated Sync "sync" Info: sysid_qsys: "MebX_Qsys_Project" instantiated altera_avalon_sysid_qsys "sysid_qsys" Info: timer_1ms: Starting RTL generation for module 'MebX_Qsys_Project_timer_1ms' -Info: timer_1ms: Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//perl/bin/perl.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=MebX_Qsys_Project_timer_1ms --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0033_timer_1ms_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0033_timer_1ms_gen//MebX_Qsys_Project_timer_1ms_component_configuration.pl --do_build_sim=0 ] +Info: timer_1ms: Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//perl/bin/perl.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=MebX_Qsys_Project_timer_1ms --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0033_timer_1ms_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0033_timer_1ms_gen//MebX_Qsys_Project_timer_1ms_component_configuration.pl --do_build_sim=0 ] Info: timer_1ms: Done RTL generation for module 'MebX_Qsys_Project_timer_1ms' Info: timer_1ms: "MebX_Qsys_Project" instantiated altera_avalon_timer "timer_1ms" Info: timer_1us: Starting RTL generation for module 'MebX_Qsys_Project_timer_1us' -Info: timer_1us: Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//perl/bin/perl.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=MebX_Qsys_Project_timer_1us --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0034_timer_1us_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0034_timer_1us_gen//MebX_Qsys_Project_timer_1us_component_configuration.pl --do_build_sim=0 ] +Info: timer_1us: Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//perl/bin/perl.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/common -I C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer -- C:/intelfpga/18.1/quartus/../ip/altera/sopc_builder_ip/altera_avalon_timer/generate_rtl.pl --name=MebX_Qsys_Project_timer_1us --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0034_timer_1us_gen/ --quartus_dir=C:/intelfpga/18.1/quartus --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0034_timer_1us_gen//MebX_Qsys_Project_timer_1us_component_configuration.pl --do_build_sim=0 ] Info: timer_1us: Done RTL generation for module 'MebX_Qsys_Project_timer_1us' Info: timer_1us: "MebX_Qsys_Project" instantiated altera_avalon_timer "timer_1us" Info: tristate_conduit_bridge_0: "MebX_Qsys_Project" instantiated altera_tristate_conduit_bridge "tristate_conduit_bridge_0" Info: avalon_st_adapter: Inserting error_adapter: error_adapter_0 Info: avalon_st_adapter_001: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_002: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_003: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_004: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_005: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_006: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_007: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_008: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_009: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_010: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_011: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_012: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_013: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_014: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_015: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_016: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_017: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_018: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_019: Inserting error_adapter: error_adapter_0 -Info: avalon_st_adapter_020: Inserting error_adapter: error_adapter_0 Info: mm_interconnect_0: "MebX_Qsys_Project" instantiated altera_mm_interconnect "mm_interconnect_0" Info: avalon_st_adapter: Inserting error_adapter: error_adapter_0 Info: avalon_st_adapter_001: Inserting error_adapter: error_adapter_0 @@ -806,25 +757,25 @@ Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02 Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_sv_phase_decode.v Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/sequencer_scc_sv_wrapper.sv Info: cpu: Starting RTL generation for module 'MebX_Qsys_Project_nios2_gen2_0_cpu' -Info: cpu: Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//eperlcmd.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=MebX_Qsys_Project_nios2_gen2_0_cpu --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0051_cpu_gen/ --quartus_bindir=C:/intelFPGA/18.1/quartus/bin64/ --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_7429361768278524715.dir/0051_cpu_gen//MebX_Qsys_Project_nios2_gen2_0_cpu_processor_configuration.pl --do_build_sim=0 ] -Info: cpu: # 2021.10.28 02:56:50 (*) Starting Nios II generation -Info: cpu: # 2021.10.28 02:56:50 (*) Checking for plaintext license. -Info: cpu: # 2021.10.28 02:56:52 (*) Plaintext license not found. -Info: cpu: # 2021.10.28 02:56:52 (*) Checking for encrypted license (non-evaluation). -Info: cpu: # 2021.10.28 02:56:53 (*) Encrypted license found. SOF will not be time-limited. -Info: cpu: # 2021.10.28 02:56:53 (*) Elaborating CPU configuration settings -Info: cpu: # 2021.10.28 02:56:53 (*) Creating all objects for CPU -Info: cpu: # 2021.10.28 02:56:53 (*) Testbench -Info: cpu: # 2021.10.28 02:56:53 (*) Instruction decoding -Info: cpu: # 2021.10.28 02:56:53 (*) Instruction fields -Info: cpu: # 2021.10.28 02:56:54 (*) Instruction decodes -Info: cpu: # 2021.10.28 02:56:54 (*) Signals for RTL simulation waveforms -Info: cpu: # 2021.10.28 02:56:54 (*) Instruction controls -Info: cpu: # 2021.10.28 02:56:55 (*) Pipeline frontend -Info: cpu: # 2021.10.28 02:56:55 (*) Pipeline backend -Info: cpu: # 2021.10.28 02:56:59 (*) Generating RTL from CPU objects -Info: cpu: # 2021.10.28 02:57:02 (*) Creating encrypted RTL -Info: cpu: # 2021.10.28 02:57:03 (*) Done Nios II generation +Info: cpu: Generation command is [exec C:/intelFPGA/18.1/quartus/bin64//eperlcmd.exe -I C:/intelFPGA/18.1/quartus/bin64//perl/lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin/europa -I C:/intelfpga/18.1/quartus/sopc_builder/bin/perl_lib -I C:/intelfpga/18.1/quartus/sopc_builder/bin -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/cpu_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/nios_lib -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -I C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2 -- C:/intelfpga/18.1/quartus/../ip/altera/nios2_ip/altera_nios2_gen2/generate_rtl.epl --name=MebX_Qsys_Project_nios2_gen2_0_cpu --dir=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0051_cpu_gen/ --quartus_bindir=C:/intelFPGA/18.1/quartus/bin64/ --verilog --config=C:/Users/rfranca/AppData/Local/Temp/alt8928_170625590621695766.dir/0051_cpu_gen//MebX_Qsys_Project_nios2_gen2_0_cpu_processor_configuration.pl --do_build_sim=0 ] +Info: cpu: # 2021.10.28 09:28:38 (*) Starting Nios II generation +Info: cpu: # 2021.10.28 09:28:38 (*) Checking for plaintext license. +Info: cpu: # 2021.10.28 09:28:40 (*) Plaintext license not found. +Info: cpu: # 2021.10.28 09:28:40 (*) Checking for encrypted license (non-evaluation). +Info: cpu: # 2021.10.28 09:28:41 (*) Encrypted license found. SOF will not be time-limited. +Info: cpu: # 2021.10.28 09:28:41 (*) Elaborating CPU configuration settings +Info: cpu: # 2021.10.28 09:28:41 (*) Creating all objects for CPU +Info: cpu: # 2021.10.28 09:28:41 (*) Testbench +Info: cpu: # 2021.10.28 09:28:41 (*) Instruction decoding +Info: cpu: # 2021.10.28 09:28:41 (*) Instruction fields +Info: cpu: # 2021.10.28 09:28:41 (*) Instruction decodes +Info: cpu: # 2021.10.28 09:28:42 (*) Signals for RTL simulation waveforms +Info: cpu: # 2021.10.28 09:28:42 (*) Instruction controls +Info: cpu: # 2021.10.28 09:28:42 (*) Pipeline frontend +Info: cpu: # 2021.10.28 09:28:42 (*) Pipeline backend +Info: cpu: # 2021.10.28 09:28:45 (*) Generating RTL from CPU objects +Info: cpu: # 2021.10.28 09:28:47 (*) Creating encrypted RTL +Info: cpu: # 2021.10.28 09:28:48 (*) Done Nios II generation Info: cpu: Done RTL generation for module 'MebX_Qsys_Project_nios2_gen2_0_cpu' Info: cpu: "nios2_gen2_0" instantiated altera_nios2_gen2_unit "cpu" Info: FTDI_UMFT601A_Module_avalon_master_data_translator: "mm_interconnect_0" instantiated altera_merlin_master_translator "FTDI_UMFT601A_Module_avalon_master_data_translator" @@ -837,58 +788,16 @@ Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02 Info: m2_ddr2_memory_avl_agent_rsp_fifo: "mm_interconnect_0" instantiated altera_avalon_sc_fifo "m2_ddr2_memory_avl_agent_rsp_fifo" Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v Info: router: "mm_interconnect_0" instantiated altera_merlin_router "router" -Info: router_001: "mm_interconnect_0" instantiated altera_merlin_router "router_001" -Info: router_002: "mm_interconnect_0" instantiated altera_merlin_router "router_002" -Info: router_003: "mm_interconnect_0" instantiated altera_merlin_router "router_003" -Info: router_004: "mm_interconnect_0" instantiated altera_merlin_router "router_004" -Info: router_005: "mm_interconnect_0" instantiated altera_merlin_router "router_005" -Info: router_006: "mm_interconnect_0" instantiated altera_merlin_router "router_006" -Info: router_007: "mm_interconnect_0" instantiated altera_merlin_router "router_007" -Info: router_008: "mm_interconnect_0" instantiated altera_merlin_router "router_008" -Info: router_009: "mm_interconnect_0" instantiated altera_merlin_router "router_009" -Info: router_010: "mm_interconnect_0" instantiated altera_merlin_router "router_010" -Info: router_011: "mm_interconnect_0" instantiated altera_merlin_router "router_011" -Info: router_012: "mm_interconnect_0" instantiated altera_merlin_router "router_012" -Info: router_013: "mm_interconnect_0" instantiated altera_merlin_router "router_013" Info: router_014: "mm_interconnect_0" instantiated altera_merlin_router "router_014" -Info: router_015: "mm_interconnect_0" instantiated altera_merlin_router "router_015" -Info: router_016: "mm_interconnect_0" instantiated altera_merlin_router "router_016" -Info: router_017: "mm_interconnect_0" instantiated altera_merlin_router "router_017" -Info: router_018: "mm_interconnect_0" instantiated altera_merlin_router "router_018" -Info: router_019: "mm_interconnect_0" instantiated altera_merlin_router "router_019" Info: router_020: "mm_interconnect_0" instantiated altera_merlin_router "router_020" Info: router_021: "mm_interconnect_0" instantiated altera_merlin_router "router_021" -Info: router_022: "mm_interconnect_0" instantiated altera_merlin_router "router_022" -Info: router_023: "mm_interconnect_0" instantiated altera_merlin_router "router_023" -Info: router_024: "mm_interconnect_0" instantiated altera_merlin_router "router_024" -Info: router_025: "mm_interconnect_0" instantiated altera_merlin_router "router_025" -Info: router_026: "mm_interconnect_0" instantiated altera_merlin_router "router_026" -Info: router_027: "mm_interconnect_0" instantiated altera_merlin_router "router_027" -Info: router_028: "mm_interconnect_0" instantiated altera_merlin_router "router_028" -Info: router_029: "mm_interconnect_0" instantiated altera_merlin_router "router_029" -Info: router_030: "mm_interconnect_0" instantiated altera_merlin_router "router_030" -Info: router_031: "mm_interconnect_0" instantiated altera_merlin_router "router_031" -Info: router_032: "mm_interconnect_0" instantiated altera_merlin_router "router_032" -Info: router_033: "mm_interconnect_0" instantiated altera_merlin_router "router_033" -Info: router_034: "mm_interconnect_0" instantiated altera_merlin_router "router_034" -Info: router_035: "mm_interconnect_0" instantiated altera_merlin_router "router_035" -Info: router_036: "mm_interconnect_0" instantiated altera_merlin_router "router_036" -Info: router_037: "mm_interconnect_0" instantiated altera_merlin_router "router_037" -Info: router_038: "mm_interconnect_0" instantiated altera_merlin_router "router_038" -Info: router_039: "mm_interconnect_0" instantiated altera_merlin_router "router_039" -Info: router_040: "mm_interconnect_0" instantiated altera_merlin_router "router_040" -Info: router_041: "mm_interconnect_0" instantiated altera_merlin_router "router_041" Info: ddr2_address_span_extender_expanded_master_limiter: "mm_interconnect_0" instantiated altera_merlin_traffic_limiter "ddr2_address_span_extender_expanded_master_limiter" Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_sc_fifo.v -Info: m1_clock_bridge_general_s0_burst_adapter: "mm_interconnect_0" instantiated altera_merlin_burst_adapter "m1_clock_bridge_general_s0_burst_adapter" +Info: m1_clock_bridge_s0_burst_adapter: "mm_interconnect_0" instantiated altera_merlin_burst_adapter "m1_clock_bridge_s0_burst_adapter" Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_avalon_st_pipeline_base.v Info: cmd_demux: "mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux" Info: cmd_mux: "mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux" Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv -Info: cmd_mux_001: "mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux_001" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv -Info: cmd_mux_002: "mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux_002" -Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv Info: rsp_demux: "mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux" Info: rsp_demux_001: "mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux_001" Info: rsp_mux: "mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux" @@ -930,7 +839,7 @@ Info: rsp_demux: "mm_interconnect_2" instantiated altera_merlin_demultiplexer "r Info: rsp_mux: "mm_interconnect_2" instantiated altera_merlin_multiplexer "rsp_mux" Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv Info: router: "mm_interconnect_3" instantiated altera_merlin_router "router" -Info: router_020: "mm_interconnect_3" instantiated altera_merlin_router "router_020" +Info: router_001: "mm_interconnect_3" instantiated altera_merlin_router "router_001" Info: cmd_demux: "mm_interconnect_3" instantiated altera_merlin_demultiplexer "cmd_demux" Info: cmd_mux: "mm_interconnect_3" instantiated altera_merlin_multiplexer "cmd_mux" Info: Reusing file D:/rfranca/Development/GitHub/SimuCam_Development4/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/altera_merlin_arbitrator.sv @@ -943,6 +852,6 @@ Info: error_adapter_0: "avalon_st_adapter" instantiated error_adapter "error_ada Info: error_adapter_0: "avalon_st_adapter" instantiated error_adapter "error_adapter_0" Info: error_adapter_0: "avalon_st_adapter_017" instantiated error_adapter "error_adapter_0" Info: error_adapter_0: "avalon_st_adapter_022" instantiated error_adapter "error_adapter_0" -Info: MebX_Qsys_Project: Done "MebX_Qsys_Project" with 149 modules, 575 files +Info: MebX_Qsys_Project: Done "MebX_Qsys_Project" with 109 modules, 535 files Info: qsys-generate succeeded. Info: Finished: Create HDL design files for synthesis diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_inst.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_inst.v index 0882b4da..20c9ba28 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_inst.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_inst.v @@ -1,220 +1,222 @@ MebX_Qsys_Project u0 ( - .button_export (), // button.export - .clk50_clk (), // clk50.clk - .comm_1_measurements_measurements_signal (), // comm_1_measurements.measurements_signal - .comm_1_sync_sync_signal (), // comm_1_sync.sync_signal - .comm_2_measurements_measurements_signal (), // comm_2_measurements.measurements_signal - .comm_2_sync_sync_signal (), // comm_2_sync.sync_signal - .comm_3_measurements_measurements_signal (), // comm_3_measurements.measurements_signal - .comm_3_sync_sync_signal (), // comm_3_sync.sync_signal - .comm_4_measurements_measurements_signal (), // comm_4_measurements.measurements_signal - .comm_4_sync_sync_signal (), // comm_4_sync.sync_signal - .comm_5_measurements_measurements_signal (), // comm_5_measurements.measurements_signal - .comm_5_sync_sync_signal (), // comm_5_sync.sync_signal - .comm_6_measurements_measurements_signal (), // comm_6_measurements.measurements_signal - .comm_6_sync_sync_signal (), // comm_6_sync.sync_signal - .csense_adc_fo_export (), // csense_adc_fo.export - .csense_cs_n_export (), // csense_cs_n.export - .csense_sck_export (), // csense_sck.export - .csense_sdi_export (), // csense_sdi.export - .csense_sdo_export (), // csense_sdo.export - .ctrl_io_lvds_export (), // ctrl_io_lvds.export - .dip_export (), // dip.export - .ext_export (), // ext.export - .ftdi_clk_clk (), // ftdi_clk.clk - .led_de4_export (), // led_de4.export - .led_painel_export (), // led_painel.export - .m1_ddr2_i2c_scl_export (), // m1_ddr2_i2c_scl.export - .m1_ddr2_i2c_sda_export (), // m1_ddr2_i2c_sda.export - .m1_ddr2_memory_mem_a (), // m1_ddr2_memory.mem_a - .m1_ddr2_memory_mem_ba (), // .mem_ba - .m1_ddr2_memory_mem_ck (), // .mem_ck - .m1_ddr2_memory_mem_ck_n (), // .mem_ck_n - .m1_ddr2_memory_mem_cke (), // .mem_cke - .m1_ddr2_memory_mem_cs_n (), // .mem_cs_n - .m1_ddr2_memory_mem_dm (), // .mem_dm - .m1_ddr2_memory_mem_ras_n (), // .mem_ras_n - .m1_ddr2_memory_mem_cas_n (), // .mem_cas_n - .m1_ddr2_memory_mem_we_n (), // .mem_we_n - .m1_ddr2_memory_mem_dq (), // .mem_dq - .m1_ddr2_memory_mem_dqs (), // .mem_dqs - .m1_ddr2_memory_mem_dqs_n (), // .mem_dqs_n - .m1_ddr2_memory_mem_odt (), // .mem_odt - .m1_ddr2_memory_pll_ref_clk_clk (), // m1_ddr2_memory_pll_ref_clk.clk - .m1_ddr2_memory_status_local_init_done (), // m1_ddr2_memory_status.local_init_done - .m1_ddr2_memory_status_local_cal_success (), // .local_cal_success - .m1_ddr2_memory_status_local_cal_fail (), // .local_cal_fail - .m1_ddr2_oct_rdn (), // m1_ddr2_oct.rdn - .m1_ddr2_oct_rup (), // .rup - .m2_ddr2_i2c_scl_export (), // m2_ddr2_i2c_scl.export - .m2_ddr2_i2c_sda_export (), // m2_ddr2_i2c_sda.export - .m2_ddr2_memory_mem_a (), // m2_ddr2_memory.mem_a - .m2_ddr2_memory_mem_ba (), // .mem_ba - .m2_ddr2_memory_mem_ck (), // .mem_ck - .m2_ddr2_memory_mem_ck_n (), // .mem_ck_n - .m2_ddr2_memory_mem_cke (), // .mem_cke - .m2_ddr2_memory_mem_cs_n (), // .mem_cs_n - .m2_ddr2_memory_mem_dm (), // .mem_dm - .m2_ddr2_memory_mem_ras_n (), // .mem_ras_n - .m2_ddr2_memory_mem_cas_n (), // .mem_cas_n - .m2_ddr2_memory_mem_we_n (), // .mem_we_n - .m2_ddr2_memory_mem_dq (), // .mem_dq - .m2_ddr2_memory_mem_dqs (), // .mem_dqs - .m2_ddr2_memory_mem_dqs_n (), // .mem_dqs_n - .m2_ddr2_memory_mem_odt (), // .mem_odt - .m2_ddr2_memory_dll_sharing_dll_pll_locked (), // m2_ddr2_memory_dll_sharing.dll_pll_locked - .m2_ddr2_memory_dll_sharing_dll_delayctrl (), // .dll_delayctrl - .m2_ddr2_memory_pll_sharing_pll_mem_clk (), // m2_ddr2_memory_pll_sharing.pll_mem_clk - .m2_ddr2_memory_pll_sharing_pll_write_clk (), // .pll_write_clk - .m2_ddr2_memory_pll_sharing_pll_locked (), // .pll_locked - .m2_ddr2_memory_pll_sharing_pll_write_clk_pre_phy_clk (), // .pll_write_clk_pre_phy_clk - .m2_ddr2_memory_pll_sharing_pll_addr_cmd_clk (), // .pll_addr_cmd_clk - .m2_ddr2_memory_pll_sharing_pll_avl_clk (), // .pll_avl_clk - .m2_ddr2_memory_pll_sharing_pll_config_clk (), // .pll_config_clk - .m2_ddr2_memory_status_local_init_done (), // m2_ddr2_memory_status.local_init_done - .m2_ddr2_memory_status_local_cal_success (), // .local_cal_success - .m2_ddr2_memory_status_local_cal_fail (), // .local_cal_fail - .m2_ddr2_oct_rdn (), // m2_ddr2_oct.rdn - .m2_ddr2_oct_rup (), // .rup - .pio_ftdi_umft601a_module_reset_export (), // pio_ftdi_umft601a_module_reset.export - .pio_iso_logic_signal_enable_export (), // pio_iso_logic_signal_enable.export - .rs232_uart_rxd (), // rs232_uart.rxd - .rs232_uart_txd (), // .txd - .rst_reset_n (), // rst.reset_n - .rst_controller_conduit_reset_input_t_reset_input_signal (), // rst_controller_conduit_reset_input.t_reset_input_signal - .rst_controller_conduit_simucam_reset_t_simucam_reset_signal (), // rst_controller_conduit_simucam_reset.t_simucam_reset_signal - .scom_0_sync_sync_signal (), // scom_0_sync.sync_signal - .sd_card_wp_n_io_export (), // sd_card_wp_n_io.export - .spwc_a_enable_spw_rx_enable_signal (), // spwc_a_enable.spw_rx_enable_signal - .spwc_a_enable_spw_tx_enable_signal (), // .spw_tx_enable_signal - .spwc_a_leds_spw_red_status_led_signal (), // spwc_a_leds.spw_red_status_led_signal - .spwc_a_leds_spw_green_status_led_signal (), // .spw_green_status_led_signal - .spwc_a_lvds_spw_lvds_p_data_in_signal (), // spwc_a_lvds.spw_lvds_p_data_in_signal - .spwc_a_lvds_spw_lvds_n_data_in_signal (), // .spw_lvds_n_data_in_signal - .spwc_a_lvds_spw_lvds_p_data_out_signal (), // .spw_lvds_p_data_out_signal - .spwc_a_lvds_spw_lvds_n_data_out_signal (), // .spw_lvds_n_data_out_signal - .spwc_a_lvds_spw_lvds_p_strobe_out_signal (), // .spw_lvds_p_strobe_out_signal - .spwc_a_lvds_spw_lvds_n_strobe_out_signal (), // .spw_lvds_n_strobe_out_signal - .spwc_a_lvds_spw_lvds_p_strobe_in_signal (), // .spw_lvds_p_strobe_in_signal - .spwc_a_lvds_spw_lvds_n_strobe_in_signal (), // .spw_lvds_n_strobe_in_signal - .spwc_b_enable_spw_rx_enable_signal (), // spwc_b_enable.spw_rx_enable_signal - .spwc_b_enable_spw_tx_enable_signal (), // .spw_tx_enable_signal - .spwc_b_leds_spw_red_status_led_signal (), // spwc_b_leds.spw_red_status_led_signal - .spwc_b_leds_spw_green_status_led_signal (), // .spw_green_status_led_signal - .spwc_b_lvds_spw_lvds_p_data_in_signal (), // spwc_b_lvds.spw_lvds_p_data_in_signal - .spwc_b_lvds_spw_lvds_n_data_in_signal (), // .spw_lvds_n_data_in_signal - .spwc_b_lvds_spw_lvds_p_data_out_signal (), // .spw_lvds_p_data_out_signal - .spwc_b_lvds_spw_lvds_n_data_out_signal (), // .spw_lvds_n_data_out_signal - .spwc_b_lvds_spw_lvds_p_strobe_out_signal (), // .spw_lvds_p_strobe_out_signal - .spwc_b_lvds_spw_lvds_n_strobe_out_signal (), // .spw_lvds_n_strobe_out_signal - .spwc_b_lvds_spw_lvds_p_strobe_in_signal (), // .spw_lvds_p_strobe_in_signal - .spwc_b_lvds_spw_lvds_n_strobe_in_signal (), // .spw_lvds_n_strobe_in_signal - .spwc_c_enable_spw_rx_enable_signal (), // spwc_c_enable.spw_rx_enable_signal - .spwc_c_enable_spw_tx_enable_signal (), // .spw_tx_enable_signal - .spwc_c_leds_spw_red_status_led_signal (), // spwc_c_leds.spw_red_status_led_signal - .spwc_c_leds_spw_green_status_led_signal (), // .spw_green_status_led_signal - .spwc_c_lvds_spw_lvds_p_data_in_signal (), // spwc_c_lvds.spw_lvds_p_data_in_signal - .spwc_c_lvds_spw_lvds_n_data_in_signal (), // .spw_lvds_n_data_in_signal - .spwc_c_lvds_spw_lvds_p_data_out_signal (), // .spw_lvds_p_data_out_signal - .spwc_c_lvds_spw_lvds_n_data_out_signal (), // .spw_lvds_n_data_out_signal - .spwc_c_lvds_spw_lvds_p_strobe_out_signal (), // .spw_lvds_p_strobe_out_signal - .spwc_c_lvds_spw_lvds_n_strobe_out_signal (), // .spw_lvds_n_strobe_out_signal - .spwc_c_lvds_spw_lvds_p_strobe_in_signal (), // .spw_lvds_p_strobe_in_signal - .spwc_c_lvds_spw_lvds_n_strobe_in_signal (), // .spw_lvds_n_strobe_in_signal - .spwc_d_enable_spw_rx_enable_signal (), // spwc_d_enable.spw_rx_enable_signal - .spwc_d_enable_spw_tx_enable_signal (), // .spw_tx_enable_signal - .spwc_d_leds_spw_red_status_led_signal (), // spwc_d_leds.spw_red_status_led_signal - .spwc_d_leds_spw_green_status_led_signal (), // .spw_green_status_led_signal - .spwc_d_lvds_spw_lvds_p_data_in_signal (), // spwc_d_lvds.spw_lvds_p_data_in_signal - .spwc_d_lvds_spw_lvds_n_data_in_signal (), // .spw_lvds_n_data_in_signal - .spwc_d_lvds_spw_lvds_p_data_out_signal (), // .spw_lvds_p_data_out_signal - .spwc_d_lvds_spw_lvds_n_data_out_signal (), // .spw_lvds_n_data_out_signal - .spwc_d_lvds_spw_lvds_p_strobe_out_signal (), // .spw_lvds_p_strobe_out_signal - .spwc_d_lvds_spw_lvds_n_strobe_out_signal (), // .spw_lvds_n_strobe_out_signal - .spwc_d_lvds_spw_lvds_p_strobe_in_signal (), // .spw_lvds_p_strobe_in_signal - .spwc_d_lvds_spw_lvds_n_strobe_in_signal (), // .spw_lvds_n_strobe_in_signal - .spwc_e_enable_spw_rx_enable_signal (), // spwc_e_enable.spw_rx_enable_signal - .spwc_e_enable_spw_tx_enable_signal (), // .spw_tx_enable_signal - .spwc_e_leds_spw_red_status_led_signal (), // spwc_e_leds.spw_red_status_led_signal - .spwc_e_leds_spw_green_status_led_signal (), // .spw_green_status_led_signal - .spwc_e_lvds_spw_lvds_p_data_in_signal (), // spwc_e_lvds.spw_lvds_p_data_in_signal - .spwc_e_lvds_spw_lvds_n_data_in_signal (), // .spw_lvds_n_data_in_signal - .spwc_e_lvds_spw_lvds_p_data_out_signal (), // .spw_lvds_p_data_out_signal - .spwc_e_lvds_spw_lvds_n_data_out_signal (), // .spw_lvds_n_data_out_signal - .spwc_e_lvds_spw_lvds_p_strobe_out_signal (), // .spw_lvds_p_strobe_out_signal - .spwc_e_lvds_spw_lvds_n_strobe_out_signal (), // .spw_lvds_n_strobe_out_signal - .spwc_e_lvds_spw_lvds_p_strobe_in_signal (), // .spw_lvds_p_strobe_in_signal - .spwc_e_lvds_spw_lvds_n_strobe_in_signal (), // .spw_lvds_n_strobe_in_signal - .spwc_f_enable_spw_rx_enable_signal (), // spwc_f_enable.spw_rx_enable_signal - .spwc_f_enable_spw_tx_enable_signal (), // .spw_tx_enable_signal - .spwc_f_leds_spw_red_status_led_signal (), // spwc_f_leds.spw_red_status_led_signal - .spwc_f_leds_spw_green_status_led_signal (), // .spw_green_status_led_signal - .spwc_f_lvds_spw_lvds_p_data_in_signal (), // spwc_f_lvds.spw_lvds_p_data_in_signal - .spwc_f_lvds_spw_lvds_n_data_in_signal (), // .spw_lvds_n_data_in_signal - .spwc_f_lvds_spw_lvds_p_data_out_signal (), // .spw_lvds_p_data_out_signal - .spwc_f_lvds_spw_lvds_n_data_out_signal (), // .spw_lvds_n_data_out_signal - .spwc_f_lvds_spw_lvds_p_strobe_out_signal (), // .spw_lvds_p_strobe_out_signal - .spwc_f_lvds_spw_lvds_n_strobe_out_signal (), // .spw_lvds_n_strobe_out_signal - .spwc_f_lvds_spw_lvds_p_strobe_in_signal (), // .spw_lvds_p_strobe_in_signal - .spwc_f_lvds_spw_lvds_n_strobe_in_signal (), // .spw_lvds_n_strobe_in_signal - .spwc_g_enable_spw_rx_enable_signal (), // spwc_g_enable.spw_rx_enable_signal - .spwc_g_enable_spw_tx_enable_signal (), // .spw_tx_enable_signal - .spwc_g_leds_spw_red_status_led_signal (), // spwc_g_leds.spw_red_status_led_signal - .spwc_g_leds_spw_green_status_led_signal (), // .spw_green_status_led_signal - .spwc_g_lvds_spw_lvds_p_data_in_signal (), // spwc_g_lvds.spw_lvds_p_data_in_signal - .spwc_g_lvds_spw_lvds_n_data_in_signal (), // .spw_lvds_n_data_in_signal - .spwc_g_lvds_spw_lvds_p_data_out_signal (), // .spw_lvds_p_data_out_signal - .spwc_g_lvds_spw_lvds_n_data_out_signal (), // .spw_lvds_n_data_out_signal - .spwc_g_lvds_spw_lvds_p_strobe_out_signal (), // .spw_lvds_p_strobe_out_signal - .spwc_g_lvds_spw_lvds_n_strobe_out_signal (), // .spw_lvds_n_strobe_out_signal - .spwc_g_lvds_spw_lvds_p_strobe_in_signal (), // .spw_lvds_p_strobe_in_signal - .spwc_g_lvds_spw_lvds_n_strobe_in_signal (), // .spw_lvds_n_strobe_in_signal - .spwc_h_enable_spw_rx_enable_signal (), // spwc_h_enable.spw_rx_enable_signal - .spwc_h_enable_spw_tx_enable_signal (), // .spw_tx_enable_signal - .spwc_h_leds_spw_red_status_led_signal (), // spwc_h_leds.spw_red_status_led_signal - .spwc_h_leds_spw_green_status_led_signal (), // .spw_green_status_led_signal - .spwc_h_lvds_spw_lvds_p_data_in_signal (), // spwc_h_lvds.spw_lvds_p_data_in_signal - .spwc_h_lvds_spw_lvds_n_data_in_signal (), // .spw_lvds_n_data_in_signal - .spwc_h_lvds_spw_lvds_p_data_out_signal (), // .spw_lvds_p_data_out_signal - .spwc_h_lvds_spw_lvds_n_data_out_signal (), // .spw_lvds_n_data_out_signal - .spwc_h_lvds_spw_lvds_p_strobe_out_signal (), // .spw_lvds_p_strobe_out_signal - .spwc_h_lvds_spw_lvds_n_strobe_out_signal (), // .spw_lvds_n_strobe_out_signal - .spwc_h_lvds_spw_lvds_p_strobe_in_signal (), // .spw_lvds_p_strobe_in_signal - .spwc_h_lvds_spw_lvds_n_strobe_in_signal (), // .spw_lvds_n_strobe_in_signal - .sync_filtered_sig_filtered_sig_signal (), // sync_filtered_sig.filtered_sig_signal - .sync_in_conduit (), // sync_in.conduit - .sync_in_en_conduit (), // sync_in_en.conduit - .sync_out_conduit (), // sync_out.conduit - .sync_out_en_conduit (), // sync_out_en.conduit - .sync_spw1_conduit (), // sync_spw1.conduit - .sync_spw2_conduit (), // sync_spw2.conduit - .sync_spw3_conduit (), // sync_spw3.conduit - .sync_spw4_conduit (), // sync_spw4.conduit - .sync_spw5_conduit (), // sync_spw5.conduit - .sync_spw6_conduit (), // sync_spw6.conduit - .sync_spw7_conduit (), // sync_spw7.conduit - .sync_spw8_conduit (), // sync_spw8.conduit - .sync_unfiltered_sig_unfiltered_sig_signal (), // sync_unfiltered_sig.unfiltered_sig_signal - .temp_scl_export (), // temp_scl.export - .temp_sda_export (), // temp_sda.export - .timer_1ms_external_port_export (), // timer_1ms_external_port.export - .timer_1us_external_port_export (), // timer_1us_external_port.export - .tristate_conduit_tcm_address_out (), // tristate_conduit.tcm_address_out - .tristate_conduit_tcm_read_n_out (), // .tcm_read_n_out - .tristate_conduit_tcm_write_n_out (), // .tcm_write_n_out - .tristate_conduit_tcm_data_out (), // .tcm_data_out - .tristate_conduit_tcm_chipselect_n_out (), // .tcm_chipselect_n_out - .umft601a_pins_umft_clock_signal (), // umft601a_pins.umft_clock_signal - .umft601a_pins_umft_txe_n_signal (), // .umft_txe_n_signal - .umft601a_pins_umft_rxf_n_signal (), // .umft_rxf_n_signal - .umft601a_pins_umft_data_signal (), // .umft_data_signal - .umft601a_pins_umft_be_signal (), // .umft_be_signal - .umft601a_pins_umft_wakeup_n_signal (), // .umft_wakeup_n_signal - .umft601a_pins_umft_gpio_bus_signal (), // .umft_gpio_bus_signal - .umft601a_pins_umft_reset_n_signal (), // .umft_reset_n_signal - .umft601a_pins_umft_wr_n_signal (), // .umft_wr_n_signal - .umft601a_pins_umft_rd_n_signal (), // .umft_rd_n_signal - .umft601a_pins_umft_oe_n_signal (), // .umft_oe_n_signal - .umft601a_pins_umft_siwu_n_signal () // .umft_siwu_n_signal + .button_export (), // button.export + .clk50_clk (), // clk50.clk + .comm_1_measurements_measurements_signal (), // comm_1_measurements.measurements_signal + .comm_1_sync_sync_signal (), // comm_1_sync.sync_signal + .comm_2_measurements_measurements_signal (), // comm_2_measurements.measurements_signal + .comm_2_sync_sync_signal (), // comm_2_sync.sync_signal + .comm_3_measurements_measurements_signal (), // comm_3_measurements.measurements_signal + .comm_3_sync_sync_signal (), // comm_3_sync.sync_signal + .comm_4_measurements_measurements_signal (), // comm_4_measurements.measurements_signal + .comm_4_sync_sync_signal (), // comm_4_sync.sync_signal + .comm_5_measurements_measurements_signal (), // comm_5_measurements.measurements_signal + .comm_5_sync_sync_signal (), // comm_5_sync.sync_signal + .comm_6_measurements_measurements_signal (), // comm_6_measurements.measurements_signal + .comm_6_sync_sync_signal (), // comm_6_sync.sync_signal + .csense_adc_fo_export (), // csense_adc_fo.export + .csense_cs_n_export (), // csense_cs_n.export + .csense_sck_export (), // csense_sck.export + .csense_sdi_export (), // csense_sdi.export + .csense_sdo_export (), // csense_sdo.export + .ctrl_io_lvds_export (), // ctrl_io_lvds.export + .dip_export (), // dip.export + .ext_export (), // ext.export + .ftdi_clk_clk (), // ftdi_clk.clk + .led_de4_export (), // led_de4.export + .led_painel_export (), // led_painel.export + .m1_ddr2_i2c_scl_export (), // m1_ddr2_i2c_scl.export + .m1_ddr2_i2c_sda_export (), // m1_ddr2_i2c_sda.export + .m1_ddr2_memory_mem_a (), // m1_ddr2_memory.mem_a + .m1_ddr2_memory_mem_ba (), // .mem_ba + .m1_ddr2_memory_mem_ck (), // .mem_ck + .m1_ddr2_memory_mem_ck_n (), // .mem_ck_n + .m1_ddr2_memory_mem_cke (), // .mem_cke + .m1_ddr2_memory_mem_cs_n (), // .mem_cs_n + .m1_ddr2_memory_mem_dm (), // .mem_dm + .m1_ddr2_memory_mem_ras_n (), // .mem_ras_n + .m1_ddr2_memory_mem_cas_n (), // .mem_cas_n + .m1_ddr2_memory_mem_we_n (), // .mem_we_n + .m1_ddr2_memory_mem_dq (), // .mem_dq + .m1_ddr2_memory_mem_dqs (), // .mem_dqs + .m1_ddr2_memory_mem_dqs_n (), // .mem_dqs_n + .m1_ddr2_memory_mem_odt (), // .mem_odt + .m1_ddr2_memory_pll_ref_clk_clk (), // m1_ddr2_memory_pll_ref_clk.clk + .m1_ddr2_memory_status_local_init_done (), // m1_ddr2_memory_status.local_init_done + .m1_ddr2_memory_status_local_cal_success (), // .local_cal_success + .m1_ddr2_memory_status_local_cal_fail (), // .local_cal_fail + .m1_ddr2_oct_rdn (), // m1_ddr2_oct.rdn + .m1_ddr2_oct_rup (), // .rup + .m2_ddr2_i2c_scl_export (), // m2_ddr2_i2c_scl.export + .m2_ddr2_i2c_sda_export (), // m2_ddr2_i2c_sda.export + .m2_ddr2_memory_mem_a (), // m2_ddr2_memory.mem_a + .m2_ddr2_memory_mem_ba (), // .mem_ba + .m2_ddr2_memory_mem_ck (), // .mem_ck + .m2_ddr2_memory_mem_ck_n (), // .mem_ck_n + .m2_ddr2_memory_mem_cke (), // .mem_cke + .m2_ddr2_memory_mem_cs_n (), // .mem_cs_n + .m2_ddr2_memory_mem_dm (), // .mem_dm + .m2_ddr2_memory_mem_ras_n (), // .mem_ras_n + .m2_ddr2_memory_mem_cas_n (), // .mem_cas_n + .m2_ddr2_memory_mem_we_n (), // .mem_we_n + .m2_ddr2_memory_mem_dq (), // .mem_dq + .m2_ddr2_memory_mem_dqs (), // .mem_dqs + .m2_ddr2_memory_mem_dqs_n (), // .mem_dqs_n + .m2_ddr2_memory_mem_odt (), // .mem_odt + .m2_ddr2_memory_dll_sharing_dll_pll_locked (), // m2_ddr2_memory_dll_sharing.dll_pll_locked + .m2_ddr2_memory_dll_sharing_dll_delayctrl (), // .dll_delayctrl + .m2_ddr2_memory_pll_sharing_pll_mem_clk (), // m2_ddr2_memory_pll_sharing.pll_mem_clk + .m2_ddr2_memory_pll_sharing_pll_write_clk (), // .pll_write_clk + .m2_ddr2_memory_pll_sharing_pll_locked (), // .pll_locked + .m2_ddr2_memory_pll_sharing_pll_write_clk_pre_phy_clk (), // .pll_write_clk_pre_phy_clk + .m2_ddr2_memory_pll_sharing_pll_addr_cmd_clk (), // .pll_addr_cmd_clk + .m2_ddr2_memory_pll_sharing_pll_avl_clk (), // .pll_avl_clk + .m2_ddr2_memory_pll_sharing_pll_config_clk (), // .pll_config_clk + .m2_ddr2_memory_status_local_init_done (), // m2_ddr2_memory_status.local_init_done + .m2_ddr2_memory_status_local_cal_success (), // .local_cal_success + .m2_ddr2_memory_status_local_cal_fail (), // .local_cal_fail + .m2_ddr2_oct_rdn (), // m2_ddr2_oct.rdn + .m2_ddr2_oct_rup (), // .rup + .pio_ftdi_umft601a_module_reset_export (), // pio_ftdi_umft601a_module_reset.export + .pio_iso_logic_signal_enable_export (), // pio_iso_logic_signal_enable.export + .rs232_uart_rxd (), // rs232_uart.rxd + .rs232_uart_txd (), // .txd + .rst_reset_n (), // rst.reset_n + .rst_controller_conduit_reset_input_t_reset_input_signal (), // rst_controller_conduit_reset_input.t_reset_input_signal + .rst_controller_conduit_simucam_reset_t_simucam_reset_signal (), // rst_controller_conduit_simucam_reset.t_simucam_reset_signal + .scom_0_sync_sync_signal (), // scom_0_sync.sync_signal + .sd_card_wp_n_io_export (), // sd_card_wp_n_io.export + .spwc_a_enable_spw_rx_enable_signal (), // spwc_a_enable.spw_rx_enable_signal + .spwc_a_enable_spw_tx_enable_signal (), // .spw_tx_enable_signal + .spwc_a_leds_spw_red_status_led_signal (), // spwc_a_leds.spw_red_status_led_signal + .spwc_a_leds_spw_green_status_led_signal (), // .spw_green_status_led_signal + .spwc_a_lvds_spw_lvds_p_data_in_signal (), // spwc_a_lvds.spw_lvds_p_data_in_signal + .spwc_a_lvds_spw_lvds_n_data_in_signal (), // .spw_lvds_n_data_in_signal + .spwc_a_lvds_spw_lvds_p_data_out_signal (), // .spw_lvds_p_data_out_signal + .spwc_a_lvds_spw_lvds_n_data_out_signal (), // .spw_lvds_n_data_out_signal + .spwc_a_lvds_spw_lvds_p_strobe_out_signal (), // .spw_lvds_p_strobe_out_signal + .spwc_a_lvds_spw_lvds_n_strobe_out_signal (), // .spw_lvds_n_strobe_out_signal + .spwc_a_lvds_spw_lvds_p_strobe_in_signal (), // .spw_lvds_p_strobe_in_signal + .spwc_a_lvds_spw_lvds_n_strobe_in_signal (), // .spw_lvds_n_strobe_in_signal + .spwc_b_enable_spw_rx_enable_signal (), // spwc_b_enable.spw_rx_enable_signal + .spwc_b_enable_spw_tx_enable_signal (), // .spw_tx_enable_signal + .spwc_b_leds_spw_red_status_led_signal (), // spwc_b_leds.spw_red_status_led_signal + .spwc_b_leds_spw_green_status_led_signal (), // .spw_green_status_led_signal + .spwc_b_lvds_spw_lvds_p_data_in_signal (), // spwc_b_lvds.spw_lvds_p_data_in_signal + .spwc_b_lvds_spw_lvds_n_data_in_signal (), // .spw_lvds_n_data_in_signal + .spwc_b_lvds_spw_lvds_p_data_out_signal (), // .spw_lvds_p_data_out_signal + .spwc_b_lvds_spw_lvds_n_data_out_signal (), // .spw_lvds_n_data_out_signal + .spwc_b_lvds_spw_lvds_p_strobe_out_signal (), // .spw_lvds_p_strobe_out_signal + .spwc_b_lvds_spw_lvds_n_strobe_out_signal (), // .spw_lvds_n_strobe_out_signal + .spwc_b_lvds_spw_lvds_p_strobe_in_signal (), // .spw_lvds_p_strobe_in_signal + .spwc_b_lvds_spw_lvds_n_strobe_in_signal (), // .spw_lvds_n_strobe_in_signal + .spwc_c_enable_spw_rx_enable_signal (), // spwc_c_enable.spw_rx_enable_signal + .spwc_c_enable_spw_tx_enable_signal (), // .spw_tx_enable_signal + .spwc_c_leds_spw_red_status_led_signal (), // spwc_c_leds.spw_red_status_led_signal + .spwc_c_leds_spw_green_status_led_signal (), // .spw_green_status_led_signal + .spwc_c_lvds_spw_lvds_p_data_in_signal (), // spwc_c_lvds.spw_lvds_p_data_in_signal + .spwc_c_lvds_spw_lvds_n_data_in_signal (), // .spw_lvds_n_data_in_signal + .spwc_c_lvds_spw_lvds_p_data_out_signal (), // .spw_lvds_p_data_out_signal + .spwc_c_lvds_spw_lvds_n_data_out_signal (), // .spw_lvds_n_data_out_signal + .spwc_c_lvds_spw_lvds_p_strobe_out_signal (), // .spw_lvds_p_strobe_out_signal + .spwc_c_lvds_spw_lvds_n_strobe_out_signal (), // .spw_lvds_n_strobe_out_signal + .spwc_c_lvds_spw_lvds_p_strobe_in_signal (), // .spw_lvds_p_strobe_in_signal + .spwc_c_lvds_spw_lvds_n_strobe_in_signal (), // .spw_lvds_n_strobe_in_signal + .spwc_d_enable_spw_rx_enable_signal (), // spwc_d_enable.spw_rx_enable_signal + .spwc_d_enable_spw_tx_enable_signal (), // .spw_tx_enable_signal + .spwc_d_leds_spw_red_status_led_signal (), // spwc_d_leds.spw_red_status_led_signal + .spwc_d_leds_spw_green_status_led_signal (), // .spw_green_status_led_signal + .spwc_d_lvds_spw_lvds_p_data_in_signal (), // spwc_d_lvds.spw_lvds_p_data_in_signal + .spwc_d_lvds_spw_lvds_n_data_in_signal (), // .spw_lvds_n_data_in_signal + .spwc_d_lvds_spw_lvds_p_data_out_signal (), // .spw_lvds_p_data_out_signal + .spwc_d_lvds_spw_lvds_n_data_out_signal (), // .spw_lvds_n_data_out_signal + .spwc_d_lvds_spw_lvds_p_strobe_out_signal (), // .spw_lvds_p_strobe_out_signal + .spwc_d_lvds_spw_lvds_n_strobe_out_signal (), // .spw_lvds_n_strobe_out_signal + .spwc_d_lvds_spw_lvds_p_strobe_in_signal (), // .spw_lvds_p_strobe_in_signal + .spwc_d_lvds_spw_lvds_n_strobe_in_signal (), // .spw_lvds_n_strobe_in_signal + .spwc_e_enable_spw_rx_enable_signal (), // spwc_e_enable.spw_rx_enable_signal + .spwc_e_enable_spw_tx_enable_signal (), // .spw_tx_enable_signal + .spwc_e_leds_spw_red_status_led_signal (), // spwc_e_leds.spw_red_status_led_signal + .spwc_e_leds_spw_green_status_led_signal (), // .spw_green_status_led_signal + .spwc_e_lvds_spw_lvds_p_data_in_signal (), // spwc_e_lvds.spw_lvds_p_data_in_signal + .spwc_e_lvds_spw_lvds_n_data_in_signal (), // .spw_lvds_n_data_in_signal + .spwc_e_lvds_spw_lvds_p_data_out_signal (), // .spw_lvds_p_data_out_signal + .spwc_e_lvds_spw_lvds_n_data_out_signal (), // .spw_lvds_n_data_out_signal + .spwc_e_lvds_spw_lvds_p_strobe_out_signal (), // .spw_lvds_p_strobe_out_signal + .spwc_e_lvds_spw_lvds_n_strobe_out_signal (), // .spw_lvds_n_strobe_out_signal + .spwc_e_lvds_spw_lvds_p_strobe_in_signal (), // .spw_lvds_p_strobe_in_signal + .spwc_e_lvds_spw_lvds_n_strobe_in_signal (), // .spw_lvds_n_strobe_in_signal + .spwc_f_enable_spw_rx_enable_signal (), // spwc_f_enable.spw_rx_enable_signal + .spwc_f_enable_spw_tx_enable_signal (), // .spw_tx_enable_signal + .spwc_f_leds_spw_red_status_led_signal (), // spwc_f_leds.spw_red_status_led_signal + .spwc_f_leds_spw_green_status_led_signal (), // .spw_green_status_led_signal + .spwc_f_lvds_spw_lvds_p_data_in_signal (), // spwc_f_lvds.spw_lvds_p_data_in_signal + .spwc_f_lvds_spw_lvds_n_data_in_signal (), // .spw_lvds_n_data_in_signal + .spwc_f_lvds_spw_lvds_p_data_out_signal (), // .spw_lvds_p_data_out_signal + .spwc_f_lvds_spw_lvds_n_data_out_signal (), // .spw_lvds_n_data_out_signal + .spwc_f_lvds_spw_lvds_p_strobe_out_signal (), // .spw_lvds_p_strobe_out_signal + .spwc_f_lvds_spw_lvds_n_strobe_out_signal (), // .spw_lvds_n_strobe_out_signal + .spwc_f_lvds_spw_lvds_p_strobe_in_signal (), // .spw_lvds_p_strobe_in_signal + .spwc_f_lvds_spw_lvds_n_strobe_in_signal (), // .spw_lvds_n_strobe_in_signal + .spwc_g_enable_spw_rx_enable_signal (), // spwc_g_enable.spw_rx_enable_signal + .spwc_g_enable_spw_tx_enable_signal (), // .spw_tx_enable_signal + .spwc_g_leds_spw_red_status_led_signal (), // spwc_g_leds.spw_red_status_led_signal + .spwc_g_leds_spw_green_status_led_signal (), // .spw_green_status_led_signal + .spwc_g_lvds_spw_lvds_p_data_in_signal (), // spwc_g_lvds.spw_lvds_p_data_in_signal + .spwc_g_lvds_spw_lvds_n_data_in_signal (), // .spw_lvds_n_data_in_signal + .spwc_g_lvds_spw_lvds_p_data_out_signal (), // .spw_lvds_p_data_out_signal + .spwc_g_lvds_spw_lvds_n_data_out_signal (), // .spw_lvds_n_data_out_signal + .spwc_g_lvds_spw_lvds_p_strobe_out_signal (), // .spw_lvds_p_strobe_out_signal + .spwc_g_lvds_spw_lvds_n_strobe_out_signal (), // .spw_lvds_n_strobe_out_signal + .spwc_g_lvds_spw_lvds_p_strobe_in_signal (), // .spw_lvds_p_strobe_in_signal + .spwc_g_lvds_spw_lvds_n_strobe_in_signal (), // .spw_lvds_n_strobe_in_signal + .spwc_h_enable_spw_rx_enable_signal (), // spwc_h_enable.spw_rx_enable_signal + .spwc_h_enable_spw_tx_enable_signal (), // .spw_tx_enable_signal + .spwc_h_leds_spw_red_status_led_signal (), // spwc_h_leds.spw_red_status_led_signal + .spwc_h_leds_spw_green_status_led_signal (), // .spw_green_status_led_signal + .spwc_h_lvds_spw_lvds_p_data_in_signal (), // spwc_h_lvds.spw_lvds_p_data_in_signal + .spwc_h_lvds_spw_lvds_n_data_in_signal (), // .spw_lvds_n_data_in_signal + .spwc_h_lvds_spw_lvds_p_data_out_signal (), // .spw_lvds_p_data_out_signal + .spwc_h_lvds_spw_lvds_n_data_out_signal (), // .spw_lvds_n_data_out_signal + .spwc_h_lvds_spw_lvds_p_strobe_out_signal (), // .spw_lvds_p_strobe_out_signal + .spwc_h_lvds_spw_lvds_n_strobe_out_signal (), // .spw_lvds_n_strobe_out_signal + .spwc_h_lvds_spw_lvds_p_strobe_in_signal (), // .spw_lvds_p_strobe_in_signal + .spwc_h_lvds_spw_lvds_n_strobe_in_signal (), // .spw_lvds_n_strobe_in_signal + .sync_filtered_sig_filtered_sig_signal (), // sync_filtered_sig.filtered_sig_signal + .sync_in_conduit (), // sync_in.conduit + .sync_in_en_conduit (), // sync_in_en.conduit + .sync_out_conduit (), // sync_out.conduit + .sync_out_en_conduit (), // sync_out_en.conduit + .sync_spw1_conduit (), // sync_spw1.conduit + .sync_spw2_conduit (), // sync_spw2.conduit + .sync_spw3_conduit (), // sync_spw3.conduit + .sync_spw4_conduit (), // sync_spw4.conduit + .sync_spw5_conduit (), // sync_spw5.conduit + .sync_spw6_conduit (), // sync_spw6.conduit + .sync_spw7_conduit (), // sync_spw7.conduit + .sync_spw8_conduit (), // sync_spw8.conduit + .sync_unfiltered_sig_unfiltered_sig_signal (), // sync_unfiltered_sig.unfiltered_sig_signal + .temp_scl_export (), // temp_scl.export + .temp_sda_export (), // temp_sda.export + .timer_1ms_external_port_export (), // timer_1ms_external_port.export + .timer_1us_external_port_export (), // timer_1us_external_port.export + .tristate_conduit_tcm_address_out (), // tristate_conduit.tcm_address_out + .tristate_conduit_tcm_read_n_out (), // .tcm_read_n_out + .tristate_conduit_tcm_write_n_out (), // .tcm_write_n_out + .tristate_conduit_tcm_data_out (), // .tcm_data_out + .tristate_conduit_tcm_chipselect_n_out (), // .tcm_chipselect_n_out + .umft601a_pins_umft_clock_signal (), // umft601a_pins.umft_clock_signal + .umft601a_pins_umft_txe_n_signal (), // .umft_txe_n_signal + .umft601a_pins_umft_rxf_n_signal (), // .umft_rxf_n_signal + .umft601a_pins_umft_data_signal (), // .umft_data_signal + .umft601a_pins_umft_be_signal (), // .umft_be_signal + .umft601a_pins_umft_wakeup_n_signal (), // .umft_wakeup_n_signal + .umft601a_pins_umft_gpio_bus_signal (), // .umft_gpio_bus_signal + .umft601a_pins_umft_reset_n_signal (), // .umft_reset_n_signal + .umft601a_pins_umft_wr_n_signal (), // .umft_wr_n_signal + .umft601a_pins_umft_rd_n_signal (), // .umft_rd_n_signal + .umft601a_pins_umft_oe_n_signal (), // .umft_oe_n_signal + .umft601a_pins_umft_siwu_n_signal (), // .umft_siwu_n_signal + .rmap_echoing_echo_rst_sink_reset (), // rmap_echoing_echo_rst_sink.reset + .pio_rmap_echoing_module_reset_external_connection_export () // pio_rmap_echoing_module_reset_external_connection.export ); diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_inst.vhd b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_inst.vhd index fa51dd22..2ad62662 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_inst.vhd +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/MebX_Qsys_Project_inst.vhd @@ -216,228 +216,232 @@ umft601a_pins_umft_wr_n_signal : out std_logic; -- umft_wr_n_signal umft601a_pins_umft_rd_n_signal : out std_logic; -- umft_rd_n_signal umft601a_pins_umft_oe_n_signal : out std_logic; -- umft_oe_n_signal - umft601a_pins_umft_siwu_n_signal : out std_logic -- umft_siwu_n_signal + umft601a_pins_umft_siwu_n_signal : out std_logic; -- umft_siwu_n_signal + rmap_echoing_echo_rst_sink_reset : in std_logic := 'X'; -- reset + pio_rmap_echoing_module_reset_external_connection_export : out std_logic -- export ); end component MebX_Qsys_Project; u0 : component MebX_Qsys_Project port map ( - button_export => CONNECTED_TO_button_export, -- button.export - clk50_clk => CONNECTED_TO_clk50_clk, -- clk50.clk - comm_1_measurements_measurements_signal => CONNECTED_TO_comm_1_measurements_measurements_signal, -- comm_1_measurements.measurements_signal - comm_1_sync_sync_signal => CONNECTED_TO_comm_1_sync_sync_signal, -- comm_1_sync.sync_signal - comm_2_measurements_measurements_signal => CONNECTED_TO_comm_2_measurements_measurements_signal, -- comm_2_measurements.measurements_signal - comm_2_sync_sync_signal => CONNECTED_TO_comm_2_sync_sync_signal, -- comm_2_sync.sync_signal - comm_3_measurements_measurements_signal => CONNECTED_TO_comm_3_measurements_measurements_signal, -- comm_3_measurements.measurements_signal - comm_3_sync_sync_signal => CONNECTED_TO_comm_3_sync_sync_signal, -- comm_3_sync.sync_signal - comm_4_measurements_measurements_signal => CONNECTED_TO_comm_4_measurements_measurements_signal, -- comm_4_measurements.measurements_signal - comm_4_sync_sync_signal => CONNECTED_TO_comm_4_sync_sync_signal, -- comm_4_sync.sync_signal - comm_5_measurements_measurements_signal => CONNECTED_TO_comm_5_measurements_measurements_signal, -- comm_5_measurements.measurements_signal - comm_5_sync_sync_signal => CONNECTED_TO_comm_5_sync_sync_signal, -- comm_5_sync.sync_signal - comm_6_measurements_measurements_signal => CONNECTED_TO_comm_6_measurements_measurements_signal, -- comm_6_measurements.measurements_signal - comm_6_sync_sync_signal => CONNECTED_TO_comm_6_sync_sync_signal, -- comm_6_sync.sync_signal - csense_adc_fo_export => CONNECTED_TO_csense_adc_fo_export, -- csense_adc_fo.export - csense_cs_n_export => CONNECTED_TO_csense_cs_n_export, -- csense_cs_n.export - csense_sck_export => CONNECTED_TO_csense_sck_export, -- csense_sck.export - csense_sdi_export => CONNECTED_TO_csense_sdi_export, -- csense_sdi.export - csense_sdo_export => CONNECTED_TO_csense_sdo_export, -- csense_sdo.export - ctrl_io_lvds_export => CONNECTED_TO_ctrl_io_lvds_export, -- ctrl_io_lvds.export - dip_export => CONNECTED_TO_dip_export, -- dip.export - ext_export => CONNECTED_TO_ext_export, -- ext.export - ftdi_clk_clk => CONNECTED_TO_ftdi_clk_clk, -- ftdi_clk.clk - led_de4_export => CONNECTED_TO_led_de4_export, -- led_de4.export - led_painel_export => CONNECTED_TO_led_painel_export, -- led_painel.export - m1_ddr2_i2c_scl_export => CONNECTED_TO_m1_ddr2_i2c_scl_export, -- m1_ddr2_i2c_scl.export - m1_ddr2_i2c_sda_export => CONNECTED_TO_m1_ddr2_i2c_sda_export, -- m1_ddr2_i2c_sda.export - m1_ddr2_memory_mem_a => CONNECTED_TO_m1_ddr2_memory_mem_a, -- m1_ddr2_memory.mem_a - m1_ddr2_memory_mem_ba => CONNECTED_TO_m1_ddr2_memory_mem_ba, -- .mem_ba - m1_ddr2_memory_mem_ck => CONNECTED_TO_m1_ddr2_memory_mem_ck, -- .mem_ck - m1_ddr2_memory_mem_ck_n => CONNECTED_TO_m1_ddr2_memory_mem_ck_n, -- .mem_ck_n - m1_ddr2_memory_mem_cke => CONNECTED_TO_m1_ddr2_memory_mem_cke, -- .mem_cke - m1_ddr2_memory_mem_cs_n => CONNECTED_TO_m1_ddr2_memory_mem_cs_n, -- .mem_cs_n - m1_ddr2_memory_mem_dm => CONNECTED_TO_m1_ddr2_memory_mem_dm, -- .mem_dm - m1_ddr2_memory_mem_ras_n => CONNECTED_TO_m1_ddr2_memory_mem_ras_n, -- .mem_ras_n - m1_ddr2_memory_mem_cas_n => CONNECTED_TO_m1_ddr2_memory_mem_cas_n, -- .mem_cas_n - m1_ddr2_memory_mem_we_n => CONNECTED_TO_m1_ddr2_memory_mem_we_n, -- .mem_we_n - m1_ddr2_memory_mem_dq => CONNECTED_TO_m1_ddr2_memory_mem_dq, -- .mem_dq - m1_ddr2_memory_mem_dqs => CONNECTED_TO_m1_ddr2_memory_mem_dqs, -- .mem_dqs - m1_ddr2_memory_mem_dqs_n => CONNECTED_TO_m1_ddr2_memory_mem_dqs_n, -- .mem_dqs_n - m1_ddr2_memory_mem_odt => CONNECTED_TO_m1_ddr2_memory_mem_odt, -- .mem_odt - m1_ddr2_memory_pll_ref_clk_clk => CONNECTED_TO_m1_ddr2_memory_pll_ref_clk_clk, -- m1_ddr2_memory_pll_ref_clk.clk - m1_ddr2_memory_status_local_init_done => CONNECTED_TO_m1_ddr2_memory_status_local_init_done, -- m1_ddr2_memory_status.local_init_done - m1_ddr2_memory_status_local_cal_success => CONNECTED_TO_m1_ddr2_memory_status_local_cal_success, -- .local_cal_success - m1_ddr2_memory_status_local_cal_fail => CONNECTED_TO_m1_ddr2_memory_status_local_cal_fail, -- .local_cal_fail - m1_ddr2_oct_rdn => CONNECTED_TO_m1_ddr2_oct_rdn, -- m1_ddr2_oct.rdn - m1_ddr2_oct_rup => CONNECTED_TO_m1_ddr2_oct_rup, -- .rup - m2_ddr2_i2c_scl_export => CONNECTED_TO_m2_ddr2_i2c_scl_export, -- m2_ddr2_i2c_scl.export - m2_ddr2_i2c_sda_export => CONNECTED_TO_m2_ddr2_i2c_sda_export, -- m2_ddr2_i2c_sda.export - m2_ddr2_memory_mem_a => CONNECTED_TO_m2_ddr2_memory_mem_a, -- m2_ddr2_memory.mem_a - m2_ddr2_memory_mem_ba => CONNECTED_TO_m2_ddr2_memory_mem_ba, -- .mem_ba - m2_ddr2_memory_mem_ck => CONNECTED_TO_m2_ddr2_memory_mem_ck, -- .mem_ck - m2_ddr2_memory_mem_ck_n => CONNECTED_TO_m2_ddr2_memory_mem_ck_n, -- .mem_ck_n - m2_ddr2_memory_mem_cke => CONNECTED_TO_m2_ddr2_memory_mem_cke, -- .mem_cke - m2_ddr2_memory_mem_cs_n => CONNECTED_TO_m2_ddr2_memory_mem_cs_n, -- .mem_cs_n - m2_ddr2_memory_mem_dm => CONNECTED_TO_m2_ddr2_memory_mem_dm, -- .mem_dm - m2_ddr2_memory_mem_ras_n => CONNECTED_TO_m2_ddr2_memory_mem_ras_n, -- .mem_ras_n - m2_ddr2_memory_mem_cas_n => CONNECTED_TO_m2_ddr2_memory_mem_cas_n, -- .mem_cas_n - m2_ddr2_memory_mem_we_n => CONNECTED_TO_m2_ddr2_memory_mem_we_n, -- .mem_we_n - m2_ddr2_memory_mem_dq => CONNECTED_TO_m2_ddr2_memory_mem_dq, -- .mem_dq - m2_ddr2_memory_mem_dqs => CONNECTED_TO_m2_ddr2_memory_mem_dqs, -- .mem_dqs - m2_ddr2_memory_mem_dqs_n => CONNECTED_TO_m2_ddr2_memory_mem_dqs_n, -- .mem_dqs_n - m2_ddr2_memory_mem_odt => CONNECTED_TO_m2_ddr2_memory_mem_odt, -- .mem_odt - m2_ddr2_memory_dll_sharing_dll_pll_locked => CONNECTED_TO_m2_ddr2_memory_dll_sharing_dll_pll_locked, -- m2_ddr2_memory_dll_sharing.dll_pll_locked - m2_ddr2_memory_dll_sharing_dll_delayctrl => CONNECTED_TO_m2_ddr2_memory_dll_sharing_dll_delayctrl, -- .dll_delayctrl - m2_ddr2_memory_pll_sharing_pll_mem_clk => CONNECTED_TO_m2_ddr2_memory_pll_sharing_pll_mem_clk, -- m2_ddr2_memory_pll_sharing.pll_mem_clk - m2_ddr2_memory_pll_sharing_pll_write_clk => CONNECTED_TO_m2_ddr2_memory_pll_sharing_pll_write_clk, -- .pll_write_clk - m2_ddr2_memory_pll_sharing_pll_locked => CONNECTED_TO_m2_ddr2_memory_pll_sharing_pll_locked, -- .pll_locked - m2_ddr2_memory_pll_sharing_pll_write_clk_pre_phy_clk => CONNECTED_TO_m2_ddr2_memory_pll_sharing_pll_write_clk_pre_phy_clk, -- .pll_write_clk_pre_phy_clk - m2_ddr2_memory_pll_sharing_pll_addr_cmd_clk => CONNECTED_TO_m2_ddr2_memory_pll_sharing_pll_addr_cmd_clk, -- .pll_addr_cmd_clk - m2_ddr2_memory_pll_sharing_pll_avl_clk => CONNECTED_TO_m2_ddr2_memory_pll_sharing_pll_avl_clk, -- .pll_avl_clk - m2_ddr2_memory_pll_sharing_pll_config_clk => CONNECTED_TO_m2_ddr2_memory_pll_sharing_pll_config_clk, -- .pll_config_clk - m2_ddr2_memory_status_local_init_done => CONNECTED_TO_m2_ddr2_memory_status_local_init_done, -- m2_ddr2_memory_status.local_init_done - m2_ddr2_memory_status_local_cal_success => CONNECTED_TO_m2_ddr2_memory_status_local_cal_success, -- .local_cal_success - m2_ddr2_memory_status_local_cal_fail => CONNECTED_TO_m2_ddr2_memory_status_local_cal_fail, -- .local_cal_fail - m2_ddr2_oct_rdn => CONNECTED_TO_m2_ddr2_oct_rdn, -- m2_ddr2_oct.rdn - m2_ddr2_oct_rup => CONNECTED_TO_m2_ddr2_oct_rup, -- .rup - pio_ftdi_umft601a_module_reset_export => CONNECTED_TO_pio_ftdi_umft601a_module_reset_export, -- pio_ftdi_umft601a_module_reset.export - pio_iso_logic_signal_enable_export => CONNECTED_TO_pio_iso_logic_signal_enable_export, -- pio_iso_logic_signal_enable.export - rs232_uart_rxd => CONNECTED_TO_rs232_uart_rxd, -- rs232_uart.rxd - rs232_uart_txd => CONNECTED_TO_rs232_uart_txd, -- .txd - rst_reset_n => CONNECTED_TO_rst_reset_n, -- rst.reset_n - rst_controller_conduit_reset_input_t_reset_input_signal => CONNECTED_TO_rst_controller_conduit_reset_input_t_reset_input_signal, -- rst_controller_conduit_reset_input.t_reset_input_signal - rst_controller_conduit_simucam_reset_t_simucam_reset_signal => CONNECTED_TO_rst_controller_conduit_simucam_reset_t_simucam_reset_signal, -- rst_controller_conduit_simucam_reset.t_simucam_reset_signal - scom_0_sync_sync_signal => CONNECTED_TO_scom_0_sync_sync_signal, -- scom_0_sync.sync_signal - sd_card_wp_n_io_export => CONNECTED_TO_sd_card_wp_n_io_export, -- sd_card_wp_n_io.export - spwc_a_enable_spw_rx_enable_signal => CONNECTED_TO_spwc_a_enable_spw_rx_enable_signal, -- spwc_a_enable.spw_rx_enable_signal - spwc_a_enable_spw_tx_enable_signal => CONNECTED_TO_spwc_a_enable_spw_tx_enable_signal, -- .spw_tx_enable_signal - spwc_a_leds_spw_red_status_led_signal => CONNECTED_TO_spwc_a_leds_spw_red_status_led_signal, -- spwc_a_leds.spw_red_status_led_signal - spwc_a_leds_spw_green_status_led_signal => CONNECTED_TO_spwc_a_leds_spw_green_status_led_signal, -- .spw_green_status_led_signal - spwc_a_lvds_spw_lvds_p_data_in_signal => CONNECTED_TO_spwc_a_lvds_spw_lvds_p_data_in_signal, -- spwc_a_lvds.spw_lvds_p_data_in_signal - spwc_a_lvds_spw_lvds_n_data_in_signal => CONNECTED_TO_spwc_a_lvds_spw_lvds_n_data_in_signal, -- .spw_lvds_n_data_in_signal - spwc_a_lvds_spw_lvds_p_data_out_signal => CONNECTED_TO_spwc_a_lvds_spw_lvds_p_data_out_signal, -- .spw_lvds_p_data_out_signal - spwc_a_lvds_spw_lvds_n_data_out_signal => CONNECTED_TO_spwc_a_lvds_spw_lvds_n_data_out_signal, -- .spw_lvds_n_data_out_signal - spwc_a_lvds_spw_lvds_p_strobe_out_signal => CONNECTED_TO_spwc_a_lvds_spw_lvds_p_strobe_out_signal, -- .spw_lvds_p_strobe_out_signal - spwc_a_lvds_spw_lvds_n_strobe_out_signal => CONNECTED_TO_spwc_a_lvds_spw_lvds_n_strobe_out_signal, -- .spw_lvds_n_strobe_out_signal - spwc_a_lvds_spw_lvds_p_strobe_in_signal => CONNECTED_TO_spwc_a_lvds_spw_lvds_p_strobe_in_signal, -- .spw_lvds_p_strobe_in_signal - spwc_a_lvds_spw_lvds_n_strobe_in_signal => CONNECTED_TO_spwc_a_lvds_spw_lvds_n_strobe_in_signal, -- .spw_lvds_n_strobe_in_signal - spwc_b_enable_spw_rx_enable_signal => CONNECTED_TO_spwc_b_enable_spw_rx_enable_signal, -- spwc_b_enable.spw_rx_enable_signal - spwc_b_enable_spw_tx_enable_signal => CONNECTED_TO_spwc_b_enable_spw_tx_enable_signal, -- .spw_tx_enable_signal - spwc_b_leds_spw_red_status_led_signal => CONNECTED_TO_spwc_b_leds_spw_red_status_led_signal, -- spwc_b_leds.spw_red_status_led_signal - spwc_b_leds_spw_green_status_led_signal => CONNECTED_TO_spwc_b_leds_spw_green_status_led_signal, -- .spw_green_status_led_signal - spwc_b_lvds_spw_lvds_p_data_in_signal => CONNECTED_TO_spwc_b_lvds_spw_lvds_p_data_in_signal, -- spwc_b_lvds.spw_lvds_p_data_in_signal - spwc_b_lvds_spw_lvds_n_data_in_signal => CONNECTED_TO_spwc_b_lvds_spw_lvds_n_data_in_signal, -- .spw_lvds_n_data_in_signal - spwc_b_lvds_spw_lvds_p_data_out_signal => CONNECTED_TO_spwc_b_lvds_spw_lvds_p_data_out_signal, -- .spw_lvds_p_data_out_signal - spwc_b_lvds_spw_lvds_n_data_out_signal => CONNECTED_TO_spwc_b_lvds_spw_lvds_n_data_out_signal, -- .spw_lvds_n_data_out_signal - spwc_b_lvds_spw_lvds_p_strobe_out_signal => CONNECTED_TO_spwc_b_lvds_spw_lvds_p_strobe_out_signal, -- .spw_lvds_p_strobe_out_signal - spwc_b_lvds_spw_lvds_n_strobe_out_signal => CONNECTED_TO_spwc_b_lvds_spw_lvds_n_strobe_out_signal, -- .spw_lvds_n_strobe_out_signal - spwc_b_lvds_spw_lvds_p_strobe_in_signal => CONNECTED_TO_spwc_b_lvds_spw_lvds_p_strobe_in_signal, -- .spw_lvds_p_strobe_in_signal - spwc_b_lvds_spw_lvds_n_strobe_in_signal => CONNECTED_TO_spwc_b_lvds_spw_lvds_n_strobe_in_signal, -- .spw_lvds_n_strobe_in_signal - spwc_c_enable_spw_rx_enable_signal => CONNECTED_TO_spwc_c_enable_spw_rx_enable_signal, -- spwc_c_enable.spw_rx_enable_signal - spwc_c_enable_spw_tx_enable_signal => CONNECTED_TO_spwc_c_enable_spw_tx_enable_signal, -- .spw_tx_enable_signal - spwc_c_leds_spw_red_status_led_signal => CONNECTED_TO_spwc_c_leds_spw_red_status_led_signal, -- spwc_c_leds.spw_red_status_led_signal - spwc_c_leds_spw_green_status_led_signal => CONNECTED_TO_spwc_c_leds_spw_green_status_led_signal, -- .spw_green_status_led_signal - spwc_c_lvds_spw_lvds_p_data_in_signal => CONNECTED_TO_spwc_c_lvds_spw_lvds_p_data_in_signal, -- spwc_c_lvds.spw_lvds_p_data_in_signal - spwc_c_lvds_spw_lvds_n_data_in_signal => CONNECTED_TO_spwc_c_lvds_spw_lvds_n_data_in_signal, -- .spw_lvds_n_data_in_signal - spwc_c_lvds_spw_lvds_p_data_out_signal => CONNECTED_TO_spwc_c_lvds_spw_lvds_p_data_out_signal, -- .spw_lvds_p_data_out_signal - spwc_c_lvds_spw_lvds_n_data_out_signal => CONNECTED_TO_spwc_c_lvds_spw_lvds_n_data_out_signal, -- .spw_lvds_n_data_out_signal - spwc_c_lvds_spw_lvds_p_strobe_out_signal => CONNECTED_TO_spwc_c_lvds_spw_lvds_p_strobe_out_signal, -- .spw_lvds_p_strobe_out_signal - spwc_c_lvds_spw_lvds_n_strobe_out_signal => CONNECTED_TO_spwc_c_lvds_spw_lvds_n_strobe_out_signal, -- .spw_lvds_n_strobe_out_signal - spwc_c_lvds_spw_lvds_p_strobe_in_signal => CONNECTED_TO_spwc_c_lvds_spw_lvds_p_strobe_in_signal, -- .spw_lvds_p_strobe_in_signal - spwc_c_lvds_spw_lvds_n_strobe_in_signal => CONNECTED_TO_spwc_c_lvds_spw_lvds_n_strobe_in_signal, -- .spw_lvds_n_strobe_in_signal - spwc_d_enable_spw_rx_enable_signal => CONNECTED_TO_spwc_d_enable_spw_rx_enable_signal, -- spwc_d_enable.spw_rx_enable_signal - spwc_d_enable_spw_tx_enable_signal => CONNECTED_TO_spwc_d_enable_spw_tx_enable_signal, -- .spw_tx_enable_signal - spwc_d_leds_spw_red_status_led_signal => CONNECTED_TO_spwc_d_leds_spw_red_status_led_signal, -- spwc_d_leds.spw_red_status_led_signal - spwc_d_leds_spw_green_status_led_signal => CONNECTED_TO_spwc_d_leds_spw_green_status_led_signal, -- .spw_green_status_led_signal - spwc_d_lvds_spw_lvds_p_data_in_signal => CONNECTED_TO_spwc_d_lvds_spw_lvds_p_data_in_signal, -- spwc_d_lvds.spw_lvds_p_data_in_signal - spwc_d_lvds_spw_lvds_n_data_in_signal => CONNECTED_TO_spwc_d_lvds_spw_lvds_n_data_in_signal, -- .spw_lvds_n_data_in_signal - spwc_d_lvds_spw_lvds_p_data_out_signal => CONNECTED_TO_spwc_d_lvds_spw_lvds_p_data_out_signal, -- .spw_lvds_p_data_out_signal - spwc_d_lvds_spw_lvds_n_data_out_signal => CONNECTED_TO_spwc_d_lvds_spw_lvds_n_data_out_signal, -- .spw_lvds_n_data_out_signal - spwc_d_lvds_spw_lvds_p_strobe_out_signal => CONNECTED_TO_spwc_d_lvds_spw_lvds_p_strobe_out_signal, -- .spw_lvds_p_strobe_out_signal - spwc_d_lvds_spw_lvds_n_strobe_out_signal => CONNECTED_TO_spwc_d_lvds_spw_lvds_n_strobe_out_signal, -- .spw_lvds_n_strobe_out_signal - spwc_d_lvds_spw_lvds_p_strobe_in_signal => CONNECTED_TO_spwc_d_lvds_spw_lvds_p_strobe_in_signal, -- .spw_lvds_p_strobe_in_signal - spwc_d_lvds_spw_lvds_n_strobe_in_signal => CONNECTED_TO_spwc_d_lvds_spw_lvds_n_strobe_in_signal, -- .spw_lvds_n_strobe_in_signal - spwc_e_enable_spw_rx_enable_signal => CONNECTED_TO_spwc_e_enable_spw_rx_enable_signal, -- spwc_e_enable.spw_rx_enable_signal - spwc_e_enable_spw_tx_enable_signal => CONNECTED_TO_spwc_e_enable_spw_tx_enable_signal, -- .spw_tx_enable_signal - spwc_e_leds_spw_red_status_led_signal => CONNECTED_TO_spwc_e_leds_spw_red_status_led_signal, -- spwc_e_leds.spw_red_status_led_signal - spwc_e_leds_spw_green_status_led_signal => CONNECTED_TO_spwc_e_leds_spw_green_status_led_signal, -- .spw_green_status_led_signal - spwc_e_lvds_spw_lvds_p_data_in_signal => CONNECTED_TO_spwc_e_lvds_spw_lvds_p_data_in_signal, -- spwc_e_lvds.spw_lvds_p_data_in_signal - spwc_e_lvds_spw_lvds_n_data_in_signal => CONNECTED_TO_spwc_e_lvds_spw_lvds_n_data_in_signal, -- .spw_lvds_n_data_in_signal - spwc_e_lvds_spw_lvds_p_data_out_signal => CONNECTED_TO_spwc_e_lvds_spw_lvds_p_data_out_signal, -- .spw_lvds_p_data_out_signal - spwc_e_lvds_spw_lvds_n_data_out_signal => CONNECTED_TO_spwc_e_lvds_spw_lvds_n_data_out_signal, -- .spw_lvds_n_data_out_signal - spwc_e_lvds_spw_lvds_p_strobe_out_signal => CONNECTED_TO_spwc_e_lvds_spw_lvds_p_strobe_out_signal, -- .spw_lvds_p_strobe_out_signal - spwc_e_lvds_spw_lvds_n_strobe_out_signal => CONNECTED_TO_spwc_e_lvds_spw_lvds_n_strobe_out_signal, -- .spw_lvds_n_strobe_out_signal - spwc_e_lvds_spw_lvds_p_strobe_in_signal => CONNECTED_TO_spwc_e_lvds_spw_lvds_p_strobe_in_signal, -- .spw_lvds_p_strobe_in_signal - spwc_e_lvds_spw_lvds_n_strobe_in_signal => CONNECTED_TO_spwc_e_lvds_spw_lvds_n_strobe_in_signal, -- .spw_lvds_n_strobe_in_signal - spwc_f_enable_spw_rx_enable_signal => CONNECTED_TO_spwc_f_enable_spw_rx_enable_signal, -- spwc_f_enable.spw_rx_enable_signal - spwc_f_enable_spw_tx_enable_signal => CONNECTED_TO_spwc_f_enable_spw_tx_enable_signal, -- .spw_tx_enable_signal - spwc_f_leds_spw_red_status_led_signal => CONNECTED_TO_spwc_f_leds_spw_red_status_led_signal, -- spwc_f_leds.spw_red_status_led_signal - spwc_f_leds_spw_green_status_led_signal => CONNECTED_TO_spwc_f_leds_spw_green_status_led_signal, -- .spw_green_status_led_signal - spwc_f_lvds_spw_lvds_p_data_in_signal => CONNECTED_TO_spwc_f_lvds_spw_lvds_p_data_in_signal, -- spwc_f_lvds.spw_lvds_p_data_in_signal - spwc_f_lvds_spw_lvds_n_data_in_signal => CONNECTED_TO_spwc_f_lvds_spw_lvds_n_data_in_signal, -- .spw_lvds_n_data_in_signal - spwc_f_lvds_spw_lvds_p_data_out_signal => CONNECTED_TO_spwc_f_lvds_spw_lvds_p_data_out_signal, -- .spw_lvds_p_data_out_signal - spwc_f_lvds_spw_lvds_n_data_out_signal => CONNECTED_TO_spwc_f_lvds_spw_lvds_n_data_out_signal, -- .spw_lvds_n_data_out_signal - spwc_f_lvds_spw_lvds_p_strobe_out_signal => CONNECTED_TO_spwc_f_lvds_spw_lvds_p_strobe_out_signal, -- .spw_lvds_p_strobe_out_signal - spwc_f_lvds_spw_lvds_n_strobe_out_signal => CONNECTED_TO_spwc_f_lvds_spw_lvds_n_strobe_out_signal, -- .spw_lvds_n_strobe_out_signal - spwc_f_lvds_spw_lvds_p_strobe_in_signal => CONNECTED_TO_spwc_f_lvds_spw_lvds_p_strobe_in_signal, -- .spw_lvds_p_strobe_in_signal - spwc_f_lvds_spw_lvds_n_strobe_in_signal => CONNECTED_TO_spwc_f_lvds_spw_lvds_n_strobe_in_signal, -- .spw_lvds_n_strobe_in_signal - spwc_g_enable_spw_rx_enable_signal => CONNECTED_TO_spwc_g_enable_spw_rx_enable_signal, -- spwc_g_enable.spw_rx_enable_signal - spwc_g_enable_spw_tx_enable_signal => CONNECTED_TO_spwc_g_enable_spw_tx_enable_signal, -- .spw_tx_enable_signal - spwc_g_leds_spw_red_status_led_signal => CONNECTED_TO_spwc_g_leds_spw_red_status_led_signal, -- spwc_g_leds.spw_red_status_led_signal - spwc_g_leds_spw_green_status_led_signal => CONNECTED_TO_spwc_g_leds_spw_green_status_led_signal, -- .spw_green_status_led_signal - spwc_g_lvds_spw_lvds_p_data_in_signal => CONNECTED_TO_spwc_g_lvds_spw_lvds_p_data_in_signal, -- spwc_g_lvds.spw_lvds_p_data_in_signal - spwc_g_lvds_spw_lvds_n_data_in_signal => CONNECTED_TO_spwc_g_lvds_spw_lvds_n_data_in_signal, -- .spw_lvds_n_data_in_signal - spwc_g_lvds_spw_lvds_p_data_out_signal => CONNECTED_TO_spwc_g_lvds_spw_lvds_p_data_out_signal, -- .spw_lvds_p_data_out_signal - spwc_g_lvds_spw_lvds_n_data_out_signal => CONNECTED_TO_spwc_g_lvds_spw_lvds_n_data_out_signal, -- .spw_lvds_n_data_out_signal - spwc_g_lvds_spw_lvds_p_strobe_out_signal => CONNECTED_TO_spwc_g_lvds_spw_lvds_p_strobe_out_signal, -- .spw_lvds_p_strobe_out_signal - spwc_g_lvds_spw_lvds_n_strobe_out_signal => CONNECTED_TO_spwc_g_lvds_spw_lvds_n_strobe_out_signal, -- .spw_lvds_n_strobe_out_signal - spwc_g_lvds_spw_lvds_p_strobe_in_signal => CONNECTED_TO_spwc_g_lvds_spw_lvds_p_strobe_in_signal, -- .spw_lvds_p_strobe_in_signal - spwc_g_lvds_spw_lvds_n_strobe_in_signal => CONNECTED_TO_spwc_g_lvds_spw_lvds_n_strobe_in_signal, -- .spw_lvds_n_strobe_in_signal - spwc_h_enable_spw_rx_enable_signal => CONNECTED_TO_spwc_h_enable_spw_rx_enable_signal, -- spwc_h_enable.spw_rx_enable_signal - spwc_h_enable_spw_tx_enable_signal => CONNECTED_TO_spwc_h_enable_spw_tx_enable_signal, -- .spw_tx_enable_signal - spwc_h_leds_spw_red_status_led_signal => CONNECTED_TO_spwc_h_leds_spw_red_status_led_signal, -- spwc_h_leds.spw_red_status_led_signal - spwc_h_leds_spw_green_status_led_signal => CONNECTED_TO_spwc_h_leds_spw_green_status_led_signal, -- .spw_green_status_led_signal - spwc_h_lvds_spw_lvds_p_data_in_signal => CONNECTED_TO_spwc_h_lvds_spw_lvds_p_data_in_signal, -- spwc_h_lvds.spw_lvds_p_data_in_signal - spwc_h_lvds_spw_lvds_n_data_in_signal => CONNECTED_TO_spwc_h_lvds_spw_lvds_n_data_in_signal, -- .spw_lvds_n_data_in_signal - spwc_h_lvds_spw_lvds_p_data_out_signal => CONNECTED_TO_spwc_h_lvds_spw_lvds_p_data_out_signal, -- .spw_lvds_p_data_out_signal - spwc_h_lvds_spw_lvds_n_data_out_signal => CONNECTED_TO_spwc_h_lvds_spw_lvds_n_data_out_signal, -- .spw_lvds_n_data_out_signal - spwc_h_lvds_spw_lvds_p_strobe_out_signal => CONNECTED_TO_spwc_h_lvds_spw_lvds_p_strobe_out_signal, -- .spw_lvds_p_strobe_out_signal - spwc_h_lvds_spw_lvds_n_strobe_out_signal => CONNECTED_TO_spwc_h_lvds_spw_lvds_n_strobe_out_signal, -- .spw_lvds_n_strobe_out_signal - spwc_h_lvds_spw_lvds_p_strobe_in_signal => CONNECTED_TO_spwc_h_lvds_spw_lvds_p_strobe_in_signal, -- .spw_lvds_p_strobe_in_signal - spwc_h_lvds_spw_lvds_n_strobe_in_signal => CONNECTED_TO_spwc_h_lvds_spw_lvds_n_strobe_in_signal, -- .spw_lvds_n_strobe_in_signal - sync_filtered_sig_filtered_sig_signal => CONNECTED_TO_sync_filtered_sig_filtered_sig_signal, -- sync_filtered_sig.filtered_sig_signal - sync_in_conduit => CONNECTED_TO_sync_in_conduit, -- sync_in.conduit - sync_in_en_conduit => CONNECTED_TO_sync_in_en_conduit, -- sync_in_en.conduit - sync_out_conduit => CONNECTED_TO_sync_out_conduit, -- sync_out.conduit - sync_out_en_conduit => CONNECTED_TO_sync_out_en_conduit, -- sync_out_en.conduit - sync_spw1_conduit => CONNECTED_TO_sync_spw1_conduit, -- sync_spw1.conduit - sync_spw2_conduit => CONNECTED_TO_sync_spw2_conduit, -- sync_spw2.conduit - sync_spw3_conduit => CONNECTED_TO_sync_spw3_conduit, -- sync_spw3.conduit - sync_spw4_conduit => CONNECTED_TO_sync_spw4_conduit, -- sync_spw4.conduit - sync_spw5_conduit => CONNECTED_TO_sync_spw5_conduit, -- sync_spw5.conduit - sync_spw6_conduit => CONNECTED_TO_sync_spw6_conduit, -- sync_spw6.conduit - sync_spw7_conduit => CONNECTED_TO_sync_spw7_conduit, -- sync_spw7.conduit - sync_spw8_conduit => CONNECTED_TO_sync_spw8_conduit, -- sync_spw8.conduit - sync_unfiltered_sig_unfiltered_sig_signal => CONNECTED_TO_sync_unfiltered_sig_unfiltered_sig_signal, -- sync_unfiltered_sig.unfiltered_sig_signal - temp_scl_export => CONNECTED_TO_temp_scl_export, -- temp_scl.export - temp_sda_export => CONNECTED_TO_temp_sda_export, -- temp_sda.export - timer_1ms_external_port_export => CONNECTED_TO_timer_1ms_external_port_export, -- timer_1ms_external_port.export - timer_1us_external_port_export => CONNECTED_TO_timer_1us_external_port_export, -- timer_1us_external_port.export - tristate_conduit_tcm_address_out => CONNECTED_TO_tristate_conduit_tcm_address_out, -- tristate_conduit.tcm_address_out - tristate_conduit_tcm_read_n_out => CONNECTED_TO_tristate_conduit_tcm_read_n_out, -- .tcm_read_n_out - tristate_conduit_tcm_write_n_out => CONNECTED_TO_tristate_conduit_tcm_write_n_out, -- .tcm_write_n_out - tristate_conduit_tcm_data_out => CONNECTED_TO_tristate_conduit_tcm_data_out, -- .tcm_data_out - tristate_conduit_tcm_chipselect_n_out => CONNECTED_TO_tristate_conduit_tcm_chipselect_n_out, -- .tcm_chipselect_n_out - umft601a_pins_umft_clock_signal => CONNECTED_TO_umft601a_pins_umft_clock_signal, -- umft601a_pins.umft_clock_signal - umft601a_pins_umft_txe_n_signal => CONNECTED_TO_umft601a_pins_umft_txe_n_signal, -- .umft_txe_n_signal - umft601a_pins_umft_rxf_n_signal => CONNECTED_TO_umft601a_pins_umft_rxf_n_signal, -- .umft_rxf_n_signal - umft601a_pins_umft_data_signal => CONNECTED_TO_umft601a_pins_umft_data_signal, -- .umft_data_signal - umft601a_pins_umft_be_signal => CONNECTED_TO_umft601a_pins_umft_be_signal, -- .umft_be_signal - umft601a_pins_umft_wakeup_n_signal => CONNECTED_TO_umft601a_pins_umft_wakeup_n_signal, -- .umft_wakeup_n_signal - umft601a_pins_umft_gpio_bus_signal => CONNECTED_TO_umft601a_pins_umft_gpio_bus_signal, -- .umft_gpio_bus_signal - umft601a_pins_umft_reset_n_signal => CONNECTED_TO_umft601a_pins_umft_reset_n_signal, -- .umft_reset_n_signal - umft601a_pins_umft_wr_n_signal => CONNECTED_TO_umft601a_pins_umft_wr_n_signal, -- .umft_wr_n_signal - umft601a_pins_umft_rd_n_signal => CONNECTED_TO_umft601a_pins_umft_rd_n_signal, -- .umft_rd_n_signal - umft601a_pins_umft_oe_n_signal => CONNECTED_TO_umft601a_pins_umft_oe_n_signal, -- .umft_oe_n_signal - umft601a_pins_umft_siwu_n_signal => CONNECTED_TO_umft601a_pins_umft_siwu_n_signal -- .umft_siwu_n_signal + button_export => CONNECTED_TO_button_export, -- button.export + clk50_clk => CONNECTED_TO_clk50_clk, -- clk50.clk + comm_1_measurements_measurements_signal => CONNECTED_TO_comm_1_measurements_measurements_signal, -- comm_1_measurements.measurements_signal + comm_1_sync_sync_signal => CONNECTED_TO_comm_1_sync_sync_signal, -- comm_1_sync.sync_signal + comm_2_measurements_measurements_signal => CONNECTED_TO_comm_2_measurements_measurements_signal, -- comm_2_measurements.measurements_signal + comm_2_sync_sync_signal => CONNECTED_TO_comm_2_sync_sync_signal, -- comm_2_sync.sync_signal + comm_3_measurements_measurements_signal => CONNECTED_TO_comm_3_measurements_measurements_signal, -- comm_3_measurements.measurements_signal + comm_3_sync_sync_signal => CONNECTED_TO_comm_3_sync_sync_signal, -- comm_3_sync.sync_signal + comm_4_measurements_measurements_signal => CONNECTED_TO_comm_4_measurements_measurements_signal, -- comm_4_measurements.measurements_signal + comm_4_sync_sync_signal => CONNECTED_TO_comm_4_sync_sync_signal, -- comm_4_sync.sync_signal + comm_5_measurements_measurements_signal => CONNECTED_TO_comm_5_measurements_measurements_signal, -- comm_5_measurements.measurements_signal + comm_5_sync_sync_signal => CONNECTED_TO_comm_5_sync_sync_signal, -- comm_5_sync.sync_signal + comm_6_measurements_measurements_signal => CONNECTED_TO_comm_6_measurements_measurements_signal, -- comm_6_measurements.measurements_signal + comm_6_sync_sync_signal => CONNECTED_TO_comm_6_sync_sync_signal, -- comm_6_sync.sync_signal + csense_adc_fo_export => CONNECTED_TO_csense_adc_fo_export, -- csense_adc_fo.export + csense_cs_n_export => CONNECTED_TO_csense_cs_n_export, -- csense_cs_n.export + csense_sck_export => CONNECTED_TO_csense_sck_export, -- csense_sck.export + csense_sdi_export => CONNECTED_TO_csense_sdi_export, -- csense_sdi.export + csense_sdo_export => CONNECTED_TO_csense_sdo_export, -- csense_sdo.export + ctrl_io_lvds_export => CONNECTED_TO_ctrl_io_lvds_export, -- ctrl_io_lvds.export + dip_export => CONNECTED_TO_dip_export, -- dip.export + ext_export => CONNECTED_TO_ext_export, -- ext.export + ftdi_clk_clk => CONNECTED_TO_ftdi_clk_clk, -- ftdi_clk.clk + led_de4_export => CONNECTED_TO_led_de4_export, -- led_de4.export + led_painel_export => CONNECTED_TO_led_painel_export, -- led_painel.export + m1_ddr2_i2c_scl_export => CONNECTED_TO_m1_ddr2_i2c_scl_export, -- m1_ddr2_i2c_scl.export + m1_ddr2_i2c_sda_export => CONNECTED_TO_m1_ddr2_i2c_sda_export, -- m1_ddr2_i2c_sda.export + m1_ddr2_memory_mem_a => CONNECTED_TO_m1_ddr2_memory_mem_a, -- m1_ddr2_memory.mem_a + m1_ddr2_memory_mem_ba => CONNECTED_TO_m1_ddr2_memory_mem_ba, -- .mem_ba + m1_ddr2_memory_mem_ck => CONNECTED_TO_m1_ddr2_memory_mem_ck, -- .mem_ck + m1_ddr2_memory_mem_ck_n => CONNECTED_TO_m1_ddr2_memory_mem_ck_n, -- .mem_ck_n + m1_ddr2_memory_mem_cke => CONNECTED_TO_m1_ddr2_memory_mem_cke, -- .mem_cke + m1_ddr2_memory_mem_cs_n => CONNECTED_TO_m1_ddr2_memory_mem_cs_n, -- .mem_cs_n + m1_ddr2_memory_mem_dm => CONNECTED_TO_m1_ddr2_memory_mem_dm, -- .mem_dm + m1_ddr2_memory_mem_ras_n => CONNECTED_TO_m1_ddr2_memory_mem_ras_n, -- .mem_ras_n + m1_ddr2_memory_mem_cas_n => CONNECTED_TO_m1_ddr2_memory_mem_cas_n, -- .mem_cas_n + m1_ddr2_memory_mem_we_n => CONNECTED_TO_m1_ddr2_memory_mem_we_n, -- .mem_we_n + m1_ddr2_memory_mem_dq => CONNECTED_TO_m1_ddr2_memory_mem_dq, -- .mem_dq + m1_ddr2_memory_mem_dqs => CONNECTED_TO_m1_ddr2_memory_mem_dqs, -- .mem_dqs + m1_ddr2_memory_mem_dqs_n => CONNECTED_TO_m1_ddr2_memory_mem_dqs_n, -- .mem_dqs_n + m1_ddr2_memory_mem_odt => CONNECTED_TO_m1_ddr2_memory_mem_odt, -- .mem_odt + m1_ddr2_memory_pll_ref_clk_clk => CONNECTED_TO_m1_ddr2_memory_pll_ref_clk_clk, -- m1_ddr2_memory_pll_ref_clk.clk + m1_ddr2_memory_status_local_init_done => CONNECTED_TO_m1_ddr2_memory_status_local_init_done, -- m1_ddr2_memory_status.local_init_done + m1_ddr2_memory_status_local_cal_success => CONNECTED_TO_m1_ddr2_memory_status_local_cal_success, -- .local_cal_success + m1_ddr2_memory_status_local_cal_fail => CONNECTED_TO_m1_ddr2_memory_status_local_cal_fail, -- .local_cal_fail + m1_ddr2_oct_rdn => CONNECTED_TO_m1_ddr2_oct_rdn, -- m1_ddr2_oct.rdn + m1_ddr2_oct_rup => CONNECTED_TO_m1_ddr2_oct_rup, -- .rup + m2_ddr2_i2c_scl_export => CONNECTED_TO_m2_ddr2_i2c_scl_export, -- m2_ddr2_i2c_scl.export + m2_ddr2_i2c_sda_export => CONNECTED_TO_m2_ddr2_i2c_sda_export, -- m2_ddr2_i2c_sda.export + m2_ddr2_memory_mem_a => CONNECTED_TO_m2_ddr2_memory_mem_a, -- m2_ddr2_memory.mem_a + m2_ddr2_memory_mem_ba => CONNECTED_TO_m2_ddr2_memory_mem_ba, -- .mem_ba + m2_ddr2_memory_mem_ck => CONNECTED_TO_m2_ddr2_memory_mem_ck, -- .mem_ck + m2_ddr2_memory_mem_ck_n => CONNECTED_TO_m2_ddr2_memory_mem_ck_n, -- .mem_ck_n + m2_ddr2_memory_mem_cke => CONNECTED_TO_m2_ddr2_memory_mem_cke, -- .mem_cke + m2_ddr2_memory_mem_cs_n => CONNECTED_TO_m2_ddr2_memory_mem_cs_n, -- .mem_cs_n + m2_ddr2_memory_mem_dm => CONNECTED_TO_m2_ddr2_memory_mem_dm, -- .mem_dm + m2_ddr2_memory_mem_ras_n => CONNECTED_TO_m2_ddr2_memory_mem_ras_n, -- .mem_ras_n + m2_ddr2_memory_mem_cas_n => CONNECTED_TO_m2_ddr2_memory_mem_cas_n, -- .mem_cas_n + m2_ddr2_memory_mem_we_n => CONNECTED_TO_m2_ddr2_memory_mem_we_n, -- .mem_we_n + m2_ddr2_memory_mem_dq => CONNECTED_TO_m2_ddr2_memory_mem_dq, -- .mem_dq + m2_ddr2_memory_mem_dqs => CONNECTED_TO_m2_ddr2_memory_mem_dqs, -- .mem_dqs + m2_ddr2_memory_mem_dqs_n => CONNECTED_TO_m2_ddr2_memory_mem_dqs_n, -- .mem_dqs_n + m2_ddr2_memory_mem_odt => CONNECTED_TO_m2_ddr2_memory_mem_odt, -- .mem_odt + m2_ddr2_memory_dll_sharing_dll_pll_locked => CONNECTED_TO_m2_ddr2_memory_dll_sharing_dll_pll_locked, -- m2_ddr2_memory_dll_sharing.dll_pll_locked + m2_ddr2_memory_dll_sharing_dll_delayctrl => CONNECTED_TO_m2_ddr2_memory_dll_sharing_dll_delayctrl, -- .dll_delayctrl + m2_ddr2_memory_pll_sharing_pll_mem_clk => CONNECTED_TO_m2_ddr2_memory_pll_sharing_pll_mem_clk, -- m2_ddr2_memory_pll_sharing.pll_mem_clk + m2_ddr2_memory_pll_sharing_pll_write_clk => CONNECTED_TO_m2_ddr2_memory_pll_sharing_pll_write_clk, -- .pll_write_clk + m2_ddr2_memory_pll_sharing_pll_locked => CONNECTED_TO_m2_ddr2_memory_pll_sharing_pll_locked, -- .pll_locked + m2_ddr2_memory_pll_sharing_pll_write_clk_pre_phy_clk => CONNECTED_TO_m2_ddr2_memory_pll_sharing_pll_write_clk_pre_phy_clk, -- .pll_write_clk_pre_phy_clk + m2_ddr2_memory_pll_sharing_pll_addr_cmd_clk => CONNECTED_TO_m2_ddr2_memory_pll_sharing_pll_addr_cmd_clk, -- .pll_addr_cmd_clk + m2_ddr2_memory_pll_sharing_pll_avl_clk => CONNECTED_TO_m2_ddr2_memory_pll_sharing_pll_avl_clk, -- .pll_avl_clk + m2_ddr2_memory_pll_sharing_pll_config_clk => CONNECTED_TO_m2_ddr2_memory_pll_sharing_pll_config_clk, -- .pll_config_clk + m2_ddr2_memory_status_local_init_done => CONNECTED_TO_m2_ddr2_memory_status_local_init_done, -- m2_ddr2_memory_status.local_init_done + m2_ddr2_memory_status_local_cal_success => CONNECTED_TO_m2_ddr2_memory_status_local_cal_success, -- .local_cal_success + m2_ddr2_memory_status_local_cal_fail => CONNECTED_TO_m2_ddr2_memory_status_local_cal_fail, -- .local_cal_fail + m2_ddr2_oct_rdn => CONNECTED_TO_m2_ddr2_oct_rdn, -- m2_ddr2_oct.rdn + m2_ddr2_oct_rup => CONNECTED_TO_m2_ddr2_oct_rup, -- .rup + pio_ftdi_umft601a_module_reset_export => CONNECTED_TO_pio_ftdi_umft601a_module_reset_export, -- pio_ftdi_umft601a_module_reset.export + pio_iso_logic_signal_enable_export => CONNECTED_TO_pio_iso_logic_signal_enable_export, -- pio_iso_logic_signal_enable.export + rs232_uart_rxd => CONNECTED_TO_rs232_uart_rxd, -- rs232_uart.rxd + rs232_uart_txd => CONNECTED_TO_rs232_uart_txd, -- .txd + rst_reset_n => CONNECTED_TO_rst_reset_n, -- rst.reset_n + rst_controller_conduit_reset_input_t_reset_input_signal => CONNECTED_TO_rst_controller_conduit_reset_input_t_reset_input_signal, -- rst_controller_conduit_reset_input.t_reset_input_signal + rst_controller_conduit_simucam_reset_t_simucam_reset_signal => CONNECTED_TO_rst_controller_conduit_simucam_reset_t_simucam_reset_signal, -- rst_controller_conduit_simucam_reset.t_simucam_reset_signal + scom_0_sync_sync_signal => CONNECTED_TO_scom_0_sync_sync_signal, -- scom_0_sync.sync_signal + sd_card_wp_n_io_export => CONNECTED_TO_sd_card_wp_n_io_export, -- sd_card_wp_n_io.export + spwc_a_enable_spw_rx_enable_signal => CONNECTED_TO_spwc_a_enable_spw_rx_enable_signal, -- spwc_a_enable.spw_rx_enable_signal + spwc_a_enable_spw_tx_enable_signal => CONNECTED_TO_spwc_a_enable_spw_tx_enable_signal, -- .spw_tx_enable_signal + spwc_a_leds_spw_red_status_led_signal => CONNECTED_TO_spwc_a_leds_spw_red_status_led_signal, -- spwc_a_leds.spw_red_status_led_signal + spwc_a_leds_spw_green_status_led_signal => CONNECTED_TO_spwc_a_leds_spw_green_status_led_signal, -- .spw_green_status_led_signal + spwc_a_lvds_spw_lvds_p_data_in_signal => CONNECTED_TO_spwc_a_lvds_spw_lvds_p_data_in_signal, -- spwc_a_lvds.spw_lvds_p_data_in_signal + spwc_a_lvds_spw_lvds_n_data_in_signal => CONNECTED_TO_spwc_a_lvds_spw_lvds_n_data_in_signal, -- .spw_lvds_n_data_in_signal + spwc_a_lvds_spw_lvds_p_data_out_signal => CONNECTED_TO_spwc_a_lvds_spw_lvds_p_data_out_signal, -- .spw_lvds_p_data_out_signal + spwc_a_lvds_spw_lvds_n_data_out_signal => CONNECTED_TO_spwc_a_lvds_spw_lvds_n_data_out_signal, -- .spw_lvds_n_data_out_signal + spwc_a_lvds_spw_lvds_p_strobe_out_signal => CONNECTED_TO_spwc_a_lvds_spw_lvds_p_strobe_out_signal, -- .spw_lvds_p_strobe_out_signal + spwc_a_lvds_spw_lvds_n_strobe_out_signal => CONNECTED_TO_spwc_a_lvds_spw_lvds_n_strobe_out_signal, -- .spw_lvds_n_strobe_out_signal + spwc_a_lvds_spw_lvds_p_strobe_in_signal => CONNECTED_TO_spwc_a_lvds_spw_lvds_p_strobe_in_signal, -- .spw_lvds_p_strobe_in_signal + spwc_a_lvds_spw_lvds_n_strobe_in_signal => CONNECTED_TO_spwc_a_lvds_spw_lvds_n_strobe_in_signal, -- .spw_lvds_n_strobe_in_signal + spwc_b_enable_spw_rx_enable_signal => CONNECTED_TO_spwc_b_enable_spw_rx_enable_signal, -- spwc_b_enable.spw_rx_enable_signal + spwc_b_enable_spw_tx_enable_signal => CONNECTED_TO_spwc_b_enable_spw_tx_enable_signal, -- .spw_tx_enable_signal + spwc_b_leds_spw_red_status_led_signal => CONNECTED_TO_spwc_b_leds_spw_red_status_led_signal, -- spwc_b_leds.spw_red_status_led_signal + spwc_b_leds_spw_green_status_led_signal => CONNECTED_TO_spwc_b_leds_spw_green_status_led_signal, -- .spw_green_status_led_signal + spwc_b_lvds_spw_lvds_p_data_in_signal => CONNECTED_TO_spwc_b_lvds_spw_lvds_p_data_in_signal, -- spwc_b_lvds.spw_lvds_p_data_in_signal + spwc_b_lvds_spw_lvds_n_data_in_signal => CONNECTED_TO_spwc_b_lvds_spw_lvds_n_data_in_signal, -- .spw_lvds_n_data_in_signal + spwc_b_lvds_spw_lvds_p_data_out_signal => CONNECTED_TO_spwc_b_lvds_spw_lvds_p_data_out_signal, -- .spw_lvds_p_data_out_signal + spwc_b_lvds_spw_lvds_n_data_out_signal => CONNECTED_TO_spwc_b_lvds_spw_lvds_n_data_out_signal, -- .spw_lvds_n_data_out_signal + spwc_b_lvds_spw_lvds_p_strobe_out_signal => CONNECTED_TO_spwc_b_lvds_spw_lvds_p_strobe_out_signal, -- .spw_lvds_p_strobe_out_signal + spwc_b_lvds_spw_lvds_n_strobe_out_signal => CONNECTED_TO_spwc_b_lvds_spw_lvds_n_strobe_out_signal, -- .spw_lvds_n_strobe_out_signal + spwc_b_lvds_spw_lvds_p_strobe_in_signal => CONNECTED_TO_spwc_b_lvds_spw_lvds_p_strobe_in_signal, -- .spw_lvds_p_strobe_in_signal + spwc_b_lvds_spw_lvds_n_strobe_in_signal => CONNECTED_TO_spwc_b_lvds_spw_lvds_n_strobe_in_signal, -- .spw_lvds_n_strobe_in_signal + spwc_c_enable_spw_rx_enable_signal => CONNECTED_TO_spwc_c_enable_spw_rx_enable_signal, -- spwc_c_enable.spw_rx_enable_signal + spwc_c_enable_spw_tx_enable_signal => CONNECTED_TO_spwc_c_enable_spw_tx_enable_signal, -- .spw_tx_enable_signal + spwc_c_leds_spw_red_status_led_signal => CONNECTED_TO_spwc_c_leds_spw_red_status_led_signal, -- spwc_c_leds.spw_red_status_led_signal + spwc_c_leds_spw_green_status_led_signal => CONNECTED_TO_spwc_c_leds_spw_green_status_led_signal, -- .spw_green_status_led_signal + spwc_c_lvds_spw_lvds_p_data_in_signal => CONNECTED_TO_spwc_c_lvds_spw_lvds_p_data_in_signal, -- spwc_c_lvds.spw_lvds_p_data_in_signal + spwc_c_lvds_spw_lvds_n_data_in_signal => CONNECTED_TO_spwc_c_lvds_spw_lvds_n_data_in_signal, -- .spw_lvds_n_data_in_signal + spwc_c_lvds_spw_lvds_p_data_out_signal => CONNECTED_TO_spwc_c_lvds_spw_lvds_p_data_out_signal, -- .spw_lvds_p_data_out_signal + spwc_c_lvds_spw_lvds_n_data_out_signal => CONNECTED_TO_spwc_c_lvds_spw_lvds_n_data_out_signal, -- .spw_lvds_n_data_out_signal + spwc_c_lvds_spw_lvds_p_strobe_out_signal => CONNECTED_TO_spwc_c_lvds_spw_lvds_p_strobe_out_signal, -- .spw_lvds_p_strobe_out_signal + spwc_c_lvds_spw_lvds_n_strobe_out_signal => CONNECTED_TO_spwc_c_lvds_spw_lvds_n_strobe_out_signal, -- .spw_lvds_n_strobe_out_signal + spwc_c_lvds_spw_lvds_p_strobe_in_signal => CONNECTED_TO_spwc_c_lvds_spw_lvds_p_strobe_in_signal, -- .spw_lvds_p_strobe_in_signal + spwc_c_lvds_spw_lvds_n_strobe_in_signal => CONNECTED_TO_spwc_c_lvds_spw_lvds_n_strobe_in_signal, -- .spw_lvds_n_strobe_in_signal + spwc_d_enable_spw_rx_enable_signal => CONNECTED_TO_spwc_d_enable_spw_rx_enable_signal, -- spwc_d_enable.spw_rx_enable_signal + spwc_d_enable_spw_tx_enable_signal => CONNECTED_TO_spwc_d_enable_spw_tx_enable_signal, -- .spw_tx_enable_signal + spwc_d_leds_spw_red_status_led_signal => CONNECTED_TO_spwc_d_leds_spw_red_status_led_signal, -- spwc_d_leds.spw_red_status_led_signal + spwc_d_leds_spw_green_status_led_signal => CONNECTED_TO_spwc_d_leds_spw_green_status_led_signal, -- .spw_green_status_led_signal + spwc_d_lvds_spw_lvds_p_data_in_signal => CONNECTED_TO_spwc_d_lvds_spw_lvds_p_data_in_signal, -- spwc_d_lvds.spw_lvds_p_data_in_signal + spwc_d_lvds_spw_lvds_n_data_in_signal => CONNECTED_TO_spwc_d_lvds_spw_lvds_n_data_in_signal, -- .spw_lvds_n_data_in_signal + spwc_d_lvds_spw_lvds_p_data_out_signal => CONNECTED_TO_spwc_d_lvds_spw_lvds_p_data_out_signal, -- .spw_lvds_p_data_out_signal + spwc_d_lvds_spw_lvds_n_data_out_signal => CONNECTED_TO_spwc_d_lvds_spw_lvds_n_data_out_signal, -- .spw_lvds_n_data_out_signal + spwc_d_lvds_spw_lvds_p_strobe_out_signal => CONNECTED_TO_spwc_d_lvds_spw_lvds_p_strobe_out_signal, -- .spw_lvds_p_strobe_out_signal + spwc_d_lvds_spw_lvds_n_strobe_out_signal => CONNECTED_TO_spwc_d_lvds_spw_lvds_n_strobe_out_signal, -- .spw_lvds_n_strobe_out_signal + spwc_d_lvds_spw_lvds_p_strobe_in_signal => CONNECTED_TO_spwc_d_lvds_spw_lvds_p_strobe_in_signal, -- .spw_lvds_p_strobe_in_signal + spwc_d_lvds_spw_lvds_n_strobe_in_signal => CONNECTED_TO_spwc_d_lvds_spw_lvds_n_strobe_in_signal, -- .spw_lvds_n_strobe_in_signal + spwc_e_enable_spw_rx_enable_signal => CONNECTED_TO_spwc_e_enable_spw_rx_enable_signal, -- spwc_e_enable.spw_rx_enable_signal + spwc_e_enable_spw_tx_enable_signal => CONNECTED_TO_spwc_e_enable_spw_tx_enable_signal, -- .spw_tx_enable_signal + spwc_e_leds_spw_red_status_led_signal => CONNECTED_TO_spwc_e_leds_spw_red_status_led_signal, -- spwc_e_leds.spw_red_status_led_signal + spwc_e_leds_spw_green_status_led_signal => CONNECTED_TO_spwc_e_leds_spw_green_status_led_signal, -- .spw_green_status_led_signal + spwc_e_lvds_spw_lvds_p_data_in_signal => CONNECTED_TO_spwc_e_lvds_spw_lvds_p_data_in_signal, -- spwc_e_lvds.spw_lvds_p_data_in_signal + spwc_e_lvds_spw_lvds_n_data_in_signal => CONNECTED_TO_spwc_e_lvds_spw_lvds_n_data_in_signal, -- .spw_lvds_n_data_in_signal + spwc_e_lvds_spw_lvds_p_data_out_signal => CONNECTED_TO_spwc_e_lvds_spw_lvds_p_data_out_signal, -- .spw_lvds_p_data_out_signal + spwc_e_lvds_spw_lvds_n_data_out_signal => CONNECTED_TO_spwc_e_lvds_spw_lvds_n_data_out_signal, -- .spw_lvds_n_data_out_signal + spwc_e_lvds_spw_lvds_p_strobe_out_signal => CONNECTED_TO_spwc_e_lvds_spw_lvds_p_strobe_out_signal, -- .spw_lvds_p_strobe_out_signal + spwc_e_lvds_spw_lvds_n_strobe_out_signal => CONNECTED_TO_spwc_e_lvds_spw_lvds_n_strobe_out_signal, -- .spw_lvds_n_strobe_out_signal + spwc_e_lvds_spw_lvds_p_strobe_in_signal => CONNECTED_TO_spwc_e_lvds_spw_lvds_p_strobe_in_signal, -- .spw_lvds_p_strobe_in_signal + spwc_e_lvds_spw_lvds_n_strobe_in_signal => CONNECTED_TO_spwc_e_lvds_spw_lvds_n_strobe_in_signal, -- .spw_lvds_n_strobe_in_signal + spwc_f_enable_spw_rx_enable_signal => CONNECTED_TO_spwc_f_enable_spw_rx_enable_signal, -- spwc_f_enable.spw_rx_enable_signal + spwc_f_enable_spw_tx_enable_signal => CONNECTED_TO_spwc_f_enable_spw_tx_enable_signal, -- .spw_tx_enable_signal + spwc_f_leds_spw_red_status_led_signal => CONNECTED_TO_spwc_f_leds_spw_red_status_led_signal, -- spwc_f_leds.spw_red_status_led_signal + spwc_f_leds_spw_green_status_led_signal => CONNECTED_TO_spwc_f_leds_spw_green_status_led_signal, -- .spw_green_status_led_signal + spwc_f_lvds_spw_lvds_p_data_in_signal => CONNECTED_TO_spwc_f_lvds_spw_lvds_p_data_in_signal, -- spwc_f_lvds.spw_lvds_p_data_in_signal + spwc_f_lvds_spw_lvds_n_data_in_signal => CONNECTED_TO_spwc_f_lvds_spw_lvds_n_data_in_signal, -- .spw_lvds_n_data_in_signal + spwc_f_lvds_spw_lvds_p_data_out_signal => CONNECTED_TO_spwc_f_lvds_spw_lvds_p_data_out_signal, -- .spw_lvds_p_data_out_signal + spwc_f_lvds_spw_lvds_n_data_out_signal => CONNECTED_TO_spwc_f_lvds_spw_lvds_n_data_out_signal, -- .spw_lvds_n_data_out_signal + spwc_f_lvds_spw_lvds_p_strobe_out_signal => CONNECTED_TO_spwc_f_lvds_spw_lvds_p_strobe_out_signal, -- .spw_lvds_p_strobe_out_signal + spwc_f_lvds_spw_lvds_n_strobe_out_signal => CONNECTED_TO_spwc_f_lvds_spw_lvds_n_strobe_out_signal, -- .spw_lvds_n_strobe_out_signal + spwc_f_lvds_spw_lvds_p_strobe_in_signal => CONNECTED_TO_spwc_f_lvds_spw_lvds_p_strobe_in_signal, -- .spw_lvds_p_strobe_in_signal + spwc_f_lvds_spw_lvds_n_strobe_in_signal => CONNECTED_TO_spwc_f_lvds_spw_lvds_n_strobe_in_signal, -- .spw_lvds_n_strobe_in_signal + spwc_g_enable_spw_rx_enable_signal => CONNECTED_TO_spwc_g_enable_spw_rx_enable_signal, -- spwc_g_enable.spw_rx_enable_signal + spwc_g_enable_spw_tx_enable_signal => CONNECTED_TO_spwc_g_enable_spw_tx_enable_signal, -- .spw_tx_enable_signal + spwc_g_leds_spw_red_status_led_signal => CONNECTED_TO_spwc_g_leds_spw_red_status_led_signal, -- spwc_g_leds.spw_red_status_led_signal + spwc_g_leds_spw_green_status_led_signal => CONNECTED_TO_spwc_g_leds_spw_green_status_led_signal, -- .spw_green_status_led_signal + spwc_g_lvds_spw_lvds_p_data_in_signal => CONNECTED_TO_spwc_g_lvds_spw_lvds_p_data_in_signal, -- spwc_g_lvds.spw_lvds_p_data_in_signal + spwc_g_lvds_spw_lvds_n_data_in_signal => CONNECTED_TO_spwc_g_lvds_spw_lvds_n_data_in_signal, -- .spw_lvds_n_data_in_signal + spwc_g_lvds_spw_lvds_p_data_out_signal => CONNECTED_TO_spwc_g_lvds_spw_lvds_p_data_out_signal, -- .spw_lvds_p_data_out_signal + spwc_g_lvds_spw_lvds_n_data_out_signal => CONNECTED_TO_spwc_g_lvds_spw_lvds_n_data_out_signal, -- .spw_lvds_n_data_out_signal + spwc_g_lvds_spw_lvds_p_strobe_out_signal => CONNECTED_TO_spwc_g_lvds_spw_lvds_p_strobe_out_signal, -- .spw_lvds_p_strobe_out_signal + spwc_g_lvds_spw_lvds_n_strobe_out_signal => CONNECTED_TO_spwc_g_lvds_spw_lvds_n_strobe_out_signal, -- .spw_lvds_n_strobe_out_signal + spwc_g_lvds_spw_lvds_p_strobe_in_signal => CONNECTED_TO_spwc_g_lvds_spw_lvds_p_strobe_in_signal, -- .spw_lvds_p_strobe_in_signal + spwc_g_lvds_spw_lvds_n_strobe_in_signal => CONNECTED_TO_spwc_g_lvds_spw_lvds_n_strobe_in_signal, -- .spw_lvds_n_strobe_in_signal + spwc_h_enable_spw_rx_enable_signal => CONNECTED_TO_spwc_h_enable_spw_rx_enable_signal, -- spwc_h_enable.spw_rx_enable_signal + spwc_h_enable_spw_tx_enable_signal => CONNECTED_TO_spwc_h_enable_spw_tx_enable_signal, -- .spw_tx_enable_signal + spwc_h_leds_spw_red_status_led_signal => CONNECTED_TO_spwc_h_leds_spw_red_status_led_signal, -- spwc_h_leds.spw_red_status_led_signal + spwc_h_leds_spw_green_status_led_signal => CONNECTED_TO_spwc_h_leds_spw_green_status_led_signal, -- .spw_green_status_led_signal + spwc_h_lvds_spw_lvds_p_data_in_signal => CONNECTED_TO_spwc_h_lvds_spw_lvds_p_data_in_signal, -- spwc_h_lvds.spw_lvds_p_data_in_signal + spwc_h_lvds_spw_lvds_n_data_in_signal => CONNECTED_TO_spwc_h_lvds_spw_lvds_n_data_in_signal, -- .spw_lvds_n_data_in_signal + spwc_h_lvds_spw_lvds_p_data_out_signal => CONNECTED_TO_spwc_h_lvds_spw_lvds_p_data_out_signal, -- .spw_lvds_p_data_out_signal + spwc_h_lvds_spw_lvds_n_data_out_signal => CONNECTED_TO_spwc_h_lvds_spw_lvds_n_data_out_signal, -- .spw_lvds_n_data_out_signal + spwc_h_lvds_spw_lvds_p_strobe_out_signal => CONNECTED_TO_spwc_h_lvds_spw_lvds_p_strobe_out_signal, -- .spw_lvds_p_strobe_out_signal + spwc_h_lvds_spw_lvds_n_strobe_out_signal => CONNECTED_TO_spwc_h_lvds_spw_lvds_n_strobe_out_signal, -- .spw_lvds_n_strobe_out_signal + spwc_h_lvds_spw_lvds_p_strobe_in_signal => CONNECTED_TO_spwc_h_lvds_spw_lvds_p_strobe_in_signal, -- .spw_lvds_p_strobe_in_signal + spwc_h_lvds_spw_lvds_n_strobe_in_signal => CONNECTED_TO_spwc_h_lvds_spw_lvds_n_strobe_in_signal, -- .spw_lvds_n_strobe_in_signal + sync_filtered_sig_filtered_sig_signal => CONNECTED_TO_sync_filtered_sig_filtered_sig_signal, -- sync_filtered_sig.filtered_sig_signal + sync_in_conduit => CONNECTED_TO_sync_in_conduit, -- sync_in.conduit + sync_in_en_conduit => CONNECTED_TO_sync_in_en_conduit, -- sync_in_en.conduit + sync_out_conduit => CONNECTED_TO_sync_out_conduit, -- sync_out.conduit + sync_out_en_conduit => CONNECTED_TO_sync_out_en_conduit, -- sync_out_en.conduit + sync_spw1_conduit => CONNECTED_TO_sync_spw1_conduit, -- sync_spw1.conduit + sync_spw2_conduit => CONNECTED_TO_sync_spw2_conduit, -- sync_spw2.conduit + sync_spw3_conduit => CONNECTED_TO_sync_spw3_conduit, -- sync_spw3.conduit + sync_spw4_conduit => CONNECTED_TO_sync_spw4_conduit, -- sync_spw4.conduit + sync_spw5_conduit => CONNECTED_TO_sync_spw5_conduit, -- sync_spw5.conduit + sync_spw6_conduit => CONNECTED_TO_sync_spw6_conduit, -- sync_spw6.conduit + sync_spw7_conduit => CONNECTED_TO_sync_spw7_conduit, -- sync_spw7.conduit + sync_spw8_conduit => CONNECTED_TO_sync_spw8_conduit, -- sync_spw8.conduit + sync_unfiltered_sig_unfiltered_sig_signal => CONNECTED_TO_sync_unfiltered_sig_unfiltered_sig_signal, -- sync_unfiltered_sig.unfiltered_sig_signal + temp_scl_export => CONNECTED_TO_temp_scl_export, -- temp_scl.export + temp_sda_export => CONNECTED_TO_temp_sda_export, -- temp_sda.export + timer_1ms_external_port_export => CONNECTED_TO_timer_1ms_external_port_export, -- timer_1ms_external_port.export + timer_1us_external_port_export => CONNECTED_TO_timer_1us_external_port_export, -- timer_1us_external_port.export + tristate_conduit_tcm_address_out => CONNECTED_TO_tristate_conduit_tcm_address_out, -- tristate_conduit.tcm_address_out + tristate_conduit_tcm_read_n_out => CONNECTED_TO_tristate_conduit_tcm_read_n_out, -- .tcm_read_n_out + tristate_conduit_tcm_write_n_out => CONNECTED_TO_tristate_conduit_tcm_write_n_out, -- .tcm_write_n_out + tristate_conduit_tcm_data_out => CONNECTED_TO_tristate_conduit_tcm_data_out, -- .tcm_data_out + tristate_conduit_tcm_chipselect_n_out => CONNECTED_TO_tristate_conduit_tcm_chipselect_n_out, -- .tcm_chipselect_n_out + umft601a_pins_umft_clock_signal => CONNECTED_TO_umft601a_pins_umft_clock_signal, -- umft601a_pins.umft_clock_signal + umft601a_pins_umft_txe_n_signal => CONNECTED_TO_umft601a_pins_umft_txe_n_signal, -- .umft_txe_n_signal + umft601a_pins_umft_rxf_n_signal => CONNECTED_TO_umft601a_pins_umft_rxf_n_signal, -- .umft_rxf_n_signal + umft601a_pins_umft_data_signal => CONNECTED_TO_umft601a_pins_umft_data_signal, -- .umft_data_signal + umft601a_pins_umft_be_signal => CONNECTED_TO_umft601a_pins_umft_be_signal, -- .umft_be_signal + umft601a_pins_umft_wakeup_n_signal => CONNECTED_TO_umft601a_pins_umft_wakeup_n_signal, -- .umft_wakeup_n_signal + umft601a_pins_umft_gpio_bus_signal => CONNECTED_TO_umft601a_pins_umft_gpio_bus_signal, -- .umft_gpio_bus_signal + umft601a_pins_umft_reset_n_signal => CONNECTED_TO_umft601a_pins_umft_reset_n_signal, -- .umft_reset_n_signal + umft601a_pins_umft_wr_n_signal => CONNECTED_TO_umft601a_pins_umft_wr_n_signal, -- .umft_wr_n_signal + umft601a_pins_umft_rd_n_signal => CONNECTED_TO_umft601a_pins_umft_rd_n_signal, -- .umft_rd_n_signal + umft601a_pins_umft_oe_n_signal => CONNECTED_TO_umft601a_pins_umft_oe_n_signal, -- .umft_oe_n_signal + umft601a_pins_umft_siwu_n_signal => CONNECTED_TO_umft601a_pins_umft_siwu_n_signal, -- .umft_siwu_n_signal + rmap_echoing_echo_rst_sink_reset => CONNECTED_TO_rmap_echoing_echo_rst_sink_reset, -- rmap_echoing_echo_rst_sink.reset + pio_rmap_echoing_module_reset_external_connection_export => CONNECTED_TO_pio_rmap_echoing_module_reset_external_connection_export -- pio_rmap_echoing_module_reset_external_connection.export ); diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/MebX_Qsys_Project.debuginfo b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/MebX_Qsys_Project.debuginfo index 97871607..69d21c14 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/MebX_Qsys_Project.debuginfo +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/MebX_Qsys_Project.debuginfo @@ -1,7 +1,7 @@ - + com.altera.sopcmodel.ensemble.EClockAdapter @@ -53,7 +53,7 @@ int - 1635423393 + 1681054324 false true true @@ -14290,7 +14290,7 @@ parameters are a RESULT of the module parameters. --> @@ -14355,6 +14355,51 @@ parameters are a RESULT of the module parameters. --> reset + + + + java.lang.String + clock_sink_100mhz + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + echo_rst_i + Input + 1 + reset + + clk pio_iso_logic_signal_enable.clk + + false + pio_rmap_echoing_module_reset + clk + pio_rmap_echoing_module_reset.clk + false sync @@ -30122,7 +30173,7 @@ the requested settings for a module instance. --> java.lang.Integer - 5 + 6 false true false @@ -30132,7 +30183,7 @@ the requested settings for a module instance. --> java.lang.Integer - 5 + 6 false true false @@ -64738,7 +64789,7 @@ the requested settings for a module instance. --> java.lang.String - ]]> + ]]> false true false @@ -64944,7 +64995,7 @@ the requested settings for a module instance. --> java.lang.Integer - 5 + 6 false true false @@ -64954,7 +65005,7 @@ the requested settings for a module instance. --> java.lang.Integer - 5 + 6 false true false @@ -67092,108 +67143,864 @@ parameters are a RESULT of the module parameters. --> true true - avalon + avalon + false + + address + Input + 18 + address + + + clken + Input + 1 + clken + + + chipselect + Input + 1 + chipselect + + + write + Input + 1 + write + + + readdata + Output + 32 + readdata + + + writedata + Input + 32 + writedata + + + byteenable + Input + 4 + byteenable + + + + + + java.lang.String + clk1 + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset + Input + 1 + reset + + + reset_req + Input + 1 + reset_req + + +
+ + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 4 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 1 + + + embeddedsw.CMacro.HAS_OUT + 0 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + embeddedsw.dts.group + gpio + + + embeddedsw.dts.name + pio + + + embeddedsw.dts.params.altr,gpio-bank-width + 4 + + + embeddedsw.dts.params.resetvalue + 0 + + + embeddedsw.dts.vendor + altr + + + boolean + false + false + false + true + true + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + Input + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + false + true + true + + + boolean + false + false + true + true + true + + + long + 0 + false + false + true + true + + + int + 4 + false + true + true + true + + + long + 50000000 + false + true + false + true + CLOCK_RATE + clk + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + true + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + false + true + + + int + 8 + false + true + false + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + false + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit false - address - Input - 18 - address - - - clken - Input - 1 - clken - - - chipselect - Input - 1 - chipselect - - - write - Input - 1 - write - - - readdata - Output - 32 - readdata - - - writedata - Input - 32 - writedata - - - byteenable + in_port Input 4 - byteenable - - - - - - java.lang.String - clk1 - false - true - true - true - - - com.altera.sopcmodel.reset.Reset$Edges - DEASSERT - false - true - true - true - - - java.lang.String - UNKNOWN - false - true - true - true - - - boolean - false - false - true - true - true - - reset - false - - reset - Input - 1 - reset - - - reset_req - Input - 1 - reset_req + export - + @@ -67210,7 +68017,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.DATA_WIDTH - 4 + 8 embeddedsw.CMacro.DO_TEST_BENCH_WIRING @@ -67262,7 +68069,7 @@ the requested settings for a module instance. --> embeddedsw.dts.params.altr,gpio-bank-width - 4 + 8 embeddedsw.dts.params.resetvalue @@ -67354,7 +68161,7 @@ the requested settings for a module instance. --> int - 4 + 8 false true true @@ -67944,17 +68751,17 @@ parameters are a RESULT of the module parameters. --> in_port Input - 4 + 8 export - + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER - 0 + 1 embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER @@ -67966,7 +68773,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.DATA_WIDTH - 8 + 1 embeddedsw.CMacro.DO_TEST_BENCH_WIRING @@ -68018,7 +68825,7 @@ the requested settings for a module instance. --> embeddedsw.dts.params.altr,gpio-bank-width - 8 + 1 embeddedsw.dts.params.resetvalue @@ -68030,7 +68837,7 @@ the requested settings for a module instance. --> boolean - false + true false false true @@ -68110,7 +68917,7 @@ the requested settings for a module instance. --> int - 8 + 1 false true true @@ -68700,17 +69507,17 @@ parameters are a RESULT of the module parameters. --> in_port Input - 8 + 1 export - + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER - 1 + 0 embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER @@ -68722,7 +69529,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.DATA_WIDTH - 1 + 8 embeddedsw.CMacro.DO_TEST_BENCH_WIRING @@ -68742,11 +69549,11 @@ the requested settings for a module instance. --> embeddedsw.CMacro.HAS_IN - 1 + 0 embeddedsw.CMacro.HAS_OUT - 0 + 1 embeddedsw.CMacro.HAS_TRI @@ -68774,7 +69581,7 @@ the requested settings for a module instance. --> embeddedsw.dts.params.altr,gpio-bank-width - 1 + 8 embeddedsw.dts.params.resetvalue @@ -68786,7 +69593,7 @@ the requested settings for a module instance. --> boolean - true + false false false true @@ -68796,7 +69603,7 @@ the requested settings for a module instance. --> boolean false false - false + true true true @@ -68804,13 +69611,13 @@ the requested settings for a module instance. --> boolean false false - true + false true true java.lang.String - Input + Output false true true @@ -68828,7 +69635,7 @@ the requested settings for a module instance. --> boolean false false - true + false true true @@ -68844,7 +69651,7 @@ the requested settings for a module instance. --> long 0 false - false + true true true @@ -68852,7 +69659,7 @@ the requested settings for a module instance. --> boolean false false - true + false true true @@ -68866,7 +69673,7 @@ the requested settings for a module instance. --> int - 1 + 8 false true true @@ -68892,7 +69699,7 @@ the requested settings for a module instance. --> boolean - false + true true true false @@ -68900,7 +69707,7 @@ the requested settings for a module instance. --> boolean - true + false true true false @@ -69408,6 +70215,24 @@ parameters are a RESULT of the module parameters. --> 2 address + + write_n + Input + 1 + write_n + + + writedata + Input + 32 + writedata + + + chipselect + Input + 1 + chipselect + readdata Output @@ -69454,14 +70279,18 @@ parameters are a RESULT of the module parameters. --> conduit false - in_port - Input - 1 + out_port + Output + 8 export - + @@ -69478,7 +70307,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.DATA_WIDTH - 8 + 21 embeddedsw.CMacro.DO_TEST_BENCH_WIRING @@ -69514,7 +70343,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.RESET_VALUE - 0 + 65536 embeddedsw.dts.compatible @@ -69530,11 +70359,11 @@ the requested settings for a module instance. --> embeddedsw.dts.params.altr,gpio-bank-width - 8 + 21 embeddedsw.dts.params.resetvalue - 0 + 65536 embeddedsw.dts.vendor @@ -69598,7 +70427,7 @@ the requested settings for a module instance. --> long - 0 + 65536 false true true @@ -69622,7 +70451,7 @@ the requested settings for a module instance. --> int - 8 + 21 false true true @@ -70230,16 +71059,16 @@ parameters are a RESULT of the module parameters. --> out_port Output - 8 + 21 export + path="pio_ctrl_io_lvds"> @@ -70256,7 +71085,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.DATA_WIDTH - 21 + 4 embeddedsw.CMacro.DO_TEST_BENCH_WIRING @@ -70292,7 +71121,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.RESET_VALUE - 65536 + 4 embeddedsw.dts.compatible @@ -70308,11 +71137,11 @@ the requested settings for a module instance. --> embeddedsw.dts.params.altr,gpio-bank-width - 21 + 4 embeddedsw.dts.params.resetvalue - 65536 + 4 embeddedsw.dts.vendor @@ -70376,7 +71205,7 @@ the requested settings for a module instance. --> long - 65536 + 4 false true true @@ -70400,7 +71229,7 @@ the requested settings for a module instance. --> int - 21 + 4 false true true @@ -71008,16 +71837,16 @@ parameters are a RESULT of the module parameters. --> out_port Output - 21 + 4 export + path="pio_ftdi_umft601a_module_reset"> @@ -71034,7 +71863,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.DATA_WIDTH - 4 + 1 embeddedsw.CMacro.DO_TEST_BENCH_WIRING @@ -71070,7 +71899,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.RESET_VALUE - 4 + 0 embeddedsw.dts.compatible @@ -71086,11 +71915,11 @@ the requested settings for a module instance. --> embeddedsw.dts.params.altr,gpio-bank-width - 4 + 1 embeddedsw.dts.params.resetvalue - 4 + 0 embeddedsw.dts.vendor @@ -71154,7 +71983,7 @@ the requested settings for a module instance. --> long - 4 + 0 false true true @@ -71178,7 +72007,7 @@ the requested settings for a module instance. --> int - 4 + 1 false true true @@ -71786,16 +72615,16 @@ parameters are a RESULT of the module parameters. --> out_port Output - 4 + 1 export + path="pio_iso_logic_signal_enable"> @@ -72570,10 +73399,10 @@ parameters are a RESULT of the module parameters. --> + path="pio_rmap_echoing_module_reset"> @@ -84621,7 +85450,7 @@ the requested settings for a module instance. --> embeddedsw.CMacro.TIMESTAMP - 1635423393 + 1681054324 embeddedsw.dts.compatible @@ -84641,7 +85470,7 @@ the requested settings for a module instance. --> embeddedsw.dts.params.timestamp - 1635423393 + 1681054324 embeddedsw.dts.vendor @@ -84657,7 +85486,7 @@ the requested settings for a module instance. --> int - 1635423393 + 1681054324 true false false @@ -106843,50 +107672,54 @@ the requested settings for a module instance. --> 16 - interconnect_id.rs232_uart.s1 + interconnect_id.pio_rmap_echoing_module_reset.s1 17 - interconnect_id.rst_controller.avalon_rst_controller_slave + interconnect_id.rs232_uart.s1 18 - interconnect_id.sd_card_wp_n.s1 + interconnect_id.rst_controller.avalon_rst_controller_slave 19 - interconnect_id.sync.avalon_mm_slave + interconnect_id.sd_card_wp_n.s1 20 - interconnect_id.temp_scl.s1 + interconnect_id.sync.avalon_mm_slave 21 - interconnect_id.temp_sda.s1 + interconnect_id.temp_scl.s1 22 - interconnect_id.timer_1ms.s1 + interconnect_id.temp_sda.s1 23 - interconnect_id.timer_1us.s1 + interconnect_id.timer_1ms.s1 24 + + interconnect_id.timer_1us.s1 + 25 + java.lang.String - + responds="1" user_default="0" /> responds="1" user_default="0" /> responds="1" user_default="0" /> responds="1" user_default="0" /> end="0x00000000000000b20" responds="1" user_default="0" /> + -};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {ID} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {BURSTWRAP_VALUE} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {CACHE_VALUE} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {USE_WRITERESPONSE} {0};add_instance {sync_avalon_mm_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sync_avalon_mm_slave_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {sync_avalon_mm_slave_agent} {ST_DATA_W} {90};set_instance_parameter_value {sync_avalon_mm_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sync_avalon_mm_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sync_avalon_mm_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sync_avalon_mm_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sync_avalon_mm_slave_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {sync_avalon_mm_slave_agent} {ID} {20};set_instance_parameter_value {sync_avalon_mm_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent} {ECC_ENABLE} {0};add_instance {sync_avalon_mm_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {rst_controller_avalon_rst_controller_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {ST_DATA_W} {90};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {ID} {18};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {ECC_ENABLE} {0};add_instance {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {m1_ddr2_i2c_sda_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {ID} {6};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {ECC_ENABLE} {0};add_instance {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {m1_ddr2_i2c_scl_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {ID} {5};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {ECC_ENABLE} {0};add_instance {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_BUTTON_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_BUTTON_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {pio_BUTTON_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_BUTTON_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_BUTTON_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_BUTTON_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_BUTTON_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_BUTTON_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_BUTTON_s1_agent} {ID} {9};set_instance_parameter_value {pio_BUTTON_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {ECC_ENABLE} {0};add_instance {pio_BUTTON_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_LED_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_LED_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_LED_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_LED_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_LED_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_LED_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {pio_LED_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_LED_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_LED_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_LED_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_LED_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_LED_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_LED_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_LED_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_LED_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_LED_s1_agent} {ID} {13};set_instance_parameter_value {pio_LED_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_LED_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_LED_s1_agent} {ECC_ENABLE} {0};add_instance {pio_LED_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {timer_1ms_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {timer_1ms_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {timer_1ms_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {timer_1ms_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {timer_1ms_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {timer_1ms_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {timer_1ms_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {timer_1ms_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {timer_1ms_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {timer_1ms_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {timer_1ms_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {timer_1ms_s1_agent} {ID} {23};set_instance_parameter_value {timer_1ms_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {timer_1ms_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {timer_1ms_s1_agent} {ECC_ENABLE} {0};add_instance {timer_1ms_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_DIP_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_DIP_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {pio_DIP_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_DIP_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_DIP_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_DIP_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_DIP_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_DIP_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_DIP_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_DIP_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_DIP_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_DIP_s1_agent} {ID} {10};set_instance_parameter_value {pio_DIP_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_DIP_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_DIP_s1_agent} {ECC_ENABLE} {0};add_instance {pio_DIP_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {timer_1us_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {timer_1us_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {timer_1us_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {timer_1us_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {timer_1us_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {timer_1us_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {timer_1us_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {timer_1us_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {timer_1us_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {timer_1us_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {timer_1us_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {timer_1us_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {timer_1us_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {timer_1us_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {timer_1us_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {timer_1us_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {timer_1us_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {timer_1us_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {timer_1us_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {timer_1us_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {timer_1us_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {timer_1us_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {timer_1us_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {timer_1us_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {timer_1us_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {timer_1us_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {timer_1us_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {timer_1us_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {timer_1us_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {timer_1us_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {timer_1us_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {timer_1us_s1_agent} {ID} {24};set_instance_parameter_value {timer_1us_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {timer_1us_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {timer_1us_s1_agent} {ECC_ENABLE} {0};add_instance {timer_1us_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_EXT_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_EXT_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {pio_EXT_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_EXT_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_EXT_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_EXT_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_EXT_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_EXT_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_EXT_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_EXT_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_EXT_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_EXT_s1_agent} {ID} {11};set_instance_parameter_value {pio_EXT_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_EXT_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_EXT_s1_agent} {ECC_ENABLE} {0};add_instance {pio_EXT_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sd_card_wp_n_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sd_card_wp_n_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {sd_card_wp_n_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {sd_card_wp_n_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sd_card_wp_n_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sd_card_wp_n_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sd_card_wp_n_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sd_card_wp_n_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {sd_card_wp_n_s1_agent} {ID} {19};set_instance_parameter_value {sd_card_wp_n_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent} {ECC_ENABLE} {0};add_instance {sd_card_wp_n_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {temp_scl_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {temp_scl_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {temp_scl_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {temp_scl_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {temp_scl_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {temp_scl_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {temp_scl_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {temp_scl_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {temp_scl_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {temp_scl_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {temp_scl_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {temp_scl_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {temp_scl_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {temp_scl_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {temp_scl_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {temp_scl_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {temp_scl_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {temp_scl_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {temp_scl_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {temp_scl_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {temp_scl_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {temp_scl_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {temp_scl_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {temp_scl_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {temp_scl_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {temp_scl_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {temp_scl_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {temp_scl_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {temp_scl_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {temp_scl_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {temp_scl_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {temp_scl_s1_agent} {ID} {21};set_instance_parameter_value {temp_scl_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {temp_scl_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {temp_scl_s1_agent} {ECC_ENABLE} {0};add_instance {temp_scl_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {temp_sda_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {temp_sda_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {temp_sda_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {temp_sda_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {temp_sda_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {temp_sda_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {temp_sda_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {temp_sda_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {temp_sda_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {temp_sda_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {temp_sda_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {temp_sda_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {temp_sda_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {temp_sda_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {temp_sda_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {temp_sda_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {temp_sda_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {temp_sda_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {temp_sda_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {temp_sda_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {temp_sda_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {temp_sda_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {temp_sda_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {temp_sda_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {temp_sda_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {temp_sda_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {temp_sda_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {temp_sda_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {temp_sda_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {temp_sda_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {temp_sda_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {temp_sda_s1_agent} {ID} {22};set_instance_parameter_value {temp_sda_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {temp_sda_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {temp_sda_s1_agent} {ECC_ENABLE} {0};add_instance {temp_sda_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {m2_ddr2_i2c_sda_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {ID} {8};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {ECC_ENABLE} {0};add_instance {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {m2_ddr2_i2c_scl_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {ID} {7};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {ECC_ENABLE} {0};add_instance {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {csense_sdo_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {csense_sdo_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {csense_sdo_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {csense_sdo_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {csense_sdo_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {csense_sdo_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {csense_sdo_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {csense_sdo_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {csense_sdo_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {csense_sdo_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {csense_sdo_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {csense_sdo_s1_agent} {ID} {4};set_instance_parameter_value {csense_sdo_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {csense_sdo_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {csense_sdo_s1_agent} {ECC_ENABLE} {0};add_instance {csense_sdo_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {csense_sdi_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {csense_sdi_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {csense_sdi_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {csense_sdi_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {csense_sdi_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {csense_sdi_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {csense_sdi_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {csense_sdi_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {csense_sdi_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {csense_sdi_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {csense_sdi_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {csense_sdi_s1_agent} {ID} {3};set_instance_parameter_value {csense_sdi_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {csense_sdi_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {csense_sdi_s1_agent} {ECC_ENABLE} {0};add_instance {csense_sdi_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {csense_sck_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {csense_sck_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {csense_sck_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {csense_sck_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {csense_sck_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {csense_sck_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {csense_sck_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {csense_sck_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {csense_sck_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {csense_sck_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {csense_sck_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {csense_sck_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {csense_sck_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {csense_sck_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {csense_sck_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {csense_sck_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {csense_sck_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {csense_sck_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {csense_sck_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {csense_sck_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {csense_sck_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {csense_sck_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {csense_sck_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {csense_sck_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {csense_sck_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {csense_sck_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {csense_sck_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {csense_sck_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {csense_sck_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {csense_sck_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {csense_sck_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {csense_sck_s1_agent} {ID} {2};set_instance_parameter_value {csense_sck_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {csense_sck_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {csense_sck_s1_agent} {ECC_ENABLE} {0};add_instance {csense_sck_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {csense_cs_n_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {csense_cs_n_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {csense_cs_n_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {csense_cs_n_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {csense_cs_n_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {csense_cs_n_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {csense_cs_n_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {csense_cs_n_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {csense_cs_n_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {csense_cs_n_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {csense_cs_n_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {csense_cs_n_s1_agent} {ID} {1};set_instance_parameter_value {csense_cs_n_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {csense_cs_n_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {csense_cs_n_s1_agent} {ECC_ENABLE} {0};add_instance {csense_cs_n_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {csense_adc_fo_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {csense_adc_fo_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {csense_adc_fo_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {csense_adc_fo_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {csense_adc_fo_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {csense_adc_fo_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {csense_adc_fo_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {csense_adc_fo_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {csense_adc_fo_s1_agent} {ID} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {ECC_ENABLE} {0};add_instance {csense_adc_fo_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_LED_painel_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_LED_painel_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {pio_LED_painel_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_LED_painel_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_LED_painel_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_LED_painel_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_LED_painel_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_LED_painel_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_LED_painel_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_LED_painel_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_LED_painel_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_LED_painel_s1_agent} {ID} {12};set_instance_parameter_value {pio_LED_painel_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_LED_painel_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_LED_painel_s1_agent} {ECC_ENABLE} {0};add_instance {pio_LED_painel_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {rs232_uart_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {rs232_uart_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {rs232_uart_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {rs232_uart_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {rs232_uart_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {rs232_uart_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {rs232_uart_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {rs232_uart_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {rs232_uart_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {rs232_uart_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {rs232_uart_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {rs232_uart_s1_agent} {ID} {17};set_instance_parameter_value {rs232_uart_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {rs232_uart_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {rs232_uart_s1_agent} {ECC_ENABLE} {0};add_instance {rs232_uart_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_ctrl_io_lvds_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {ID} {14};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {ECC_ENABLE} {0};add_instance {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_ftdi_umft601a_module_reset_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {ID} {15};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {ECC_ENABLE} {0};add_instance {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_iso_logic_signal_enable_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {ST_CHANNEL_W} {25};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {ID} {16};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {ECC_ENABLE} {0};add_instance {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {20 18 17 24 23 14 12 0 1 2 3 4 7 8 22 21 19 11 10 13 9 5 6 15 16 };set_instance_parameter_value {router} {CHANNEL_ID} {0000000000000000000000001 0000000000000000000000010 0001000000000000000000000 0000000000000000100000000 0000000000000000001000000 0010000000000000000000000 0000100000000000000000000 0000010000000000000000000 0000001000000000000000000 0000000100000000000000000 0000000010000000000000000 0000000001000000000000000 0000000000100000000000000 0000000000010000000000000 0000000000001000000000000 0000000000000100000000000 0000000000000010000000000 0000000000000001000000000 0000000000000000010000000 0000000000000000000100000 0000000000000000000010000 0000000000000000000001000 0000000000000000000000100 0100000000000000000000000 1000000000000000000000000 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both both both both both both both both both both read both both both both read read read both read both both both both };set_instance_parameter_value {router} {START_ADDRESS} {0x400 0x800 0x840 0x860 0x880 0x8a0 0x900 0x910 0x920 0x930 0x940 0x950 0x960 0x970 0x980 0x990 0x9a0 0x9b0 0x9c0 0x9d0 0x9e0 0x9f0 0xa00 0xb00 0xb10 };set_instance_parameter_value {router} {END_ADDRESS} {0x800 0x840 0x860 0x880 0x8a0 0x8b0 0x910 0x920 0x930 0x940 0x950 0x960 0x970 0x980 0x990 0x9a0 0x9b0 0x9c0 0x9d0 0x9e0 0x9f0 0xa00 0xa10 0xb10 0xb20 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {47};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router} {PKT_TRANS_READ} {51};set_instance_parameter_value {router} {ST_DATA_W} {90};set_instance_parameter_value {router} {ST_CHANNEL_W} {25};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {20};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {1 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {47};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_001} {ST_DATA_W} {90};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_001} {DECODER_TYPE} {1};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {1 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {47};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_002} {ST_DATA_W} {90};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {1 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {47};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_003} {ST_DATA_W} {90};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 };set_instance_parameter_value {router_004} {CHANNEL_ID} {1 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {47};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_004} {ST_DATA_W} {90};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {47};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_005} {ST_DATA_W} {90};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {47};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_006} {ST_DATA_W} {90};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {47};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_007} {ST_DATA_W} {90};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {router_008} {altera_merlin_router};set_instance_parameter_value {router_008} {DESTINATION_ID} {0 };set_instance_parameter_value {router_008} {CHANNEL_ID} {1 };set_instance_parameter_value {router_008} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_008} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_008} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_008} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_008} {SPAN_OFFSET} {};set_instance_parameter_value {router_008} {PKT_ADDR_H} {47};set_instance_parameter_value {router_008} {PKT_ADDR_L} {36};set_instance_parameter_value {router_008} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_008} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_008} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_008} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_008} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_008} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_008} {ST_DATA_W} {90};set_instance_parameter_value {router_008} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_008} {DECODER_TYPE} {1};set_instance_parameter_value {router_008} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_008} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_008} {MEMORY_ALIASING_DECODE} {0};add_instance {router_009} {altera_merlin_router};set_instance_parameter_value {router_009} {DESTINATION_ID} {0 };set_instance_parameter_value {router_009} {CHANNEL_ID} {1 };set_instance_parameter_value {router_009} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_009} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_009} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_009} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_009} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_009} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_009} {SPAN_OFFSET} {};set_instance_parameter_value {router_009} {PKT_ADDR_H} {47};set_instance_parameter_value {router_009} {PKT_ADDR_L} {36};set_instance_parameter_value {router_009} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_009} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_009} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_009} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_009} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_009} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_009} {ST_DATA_W} {90};set_instance_parameter_value {router_009} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_009} {DECODER_TYPE} {1};set_instance_parameter_value {router_009} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_009} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_009} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_009} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_009} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_009} {MEMORY_ALIASING_DECODE} {0};add_instance {router_010} {altera_merlin_router};set_instance_parameter_value {router_010} {DESTINATION_ID} {0 };set_instance_parameter_value {router_010} {CHANNEL_ID} {1 };set_instance_parameter_value {router_010} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_010} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_010} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_010} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_010} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_010} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_010} {SPAN_OFFSET} {};set_instance_parameter_value {router_010} {PKT_ADDR_H} {47};set_instance_parameter_value {router_010} {PKT_ADDR_L} {36};set_instance_parameter_value {router_010} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_010} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_010} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_010} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_010} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_010} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_010} {ST_DATA_W} {90};set_instance_parameter_value {router_010} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_010} {DECODER_TYPE} {1};set_instance_parameter_value {router_010} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_010} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_010} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_010} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_010} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_010} {MEMORY_ALIASING_DECODE} {0};add_instance {router_011} {altera_merlin_router};set_instance_parameter_value {router_011} {DESTINATION_ID} {0 };set_instance_parameter_value {router_011} {CHANNEL_ID} {1 };set_instance_parameter_value {router_011} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_011} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_011} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_011} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_011} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_011} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_011} {SPAN_OFFSET} {};set_instance_parameter_value {router_011} {PKT_ADDR_H} {47};set_instance_parameter_value {router_011} {PKT_ADDR_L} {36};set_instance_parameter_value {router_011} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_011} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_011} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_011} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_011} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_011} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_011} {ST_DATA_W} {90};set_instance_parameter_value {router_011} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_011} {DECODER_TYPE} {1};set_instance_parameter_value {router_011} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_011} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_011} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_011} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_011} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_011} {MEMORY_ALIASING_DECODE} {0};add_instance {router_012} {altera_merlin_router};set_instance_parameter_value {router_012} {DESTINATION_ID} {0 };set_instance_parameter_value {router_012} {CHANNEL_ID} {1 };set_instance_parameter_value {router_012} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_012} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_012} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_012} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_012} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_012} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_012} {SPAN_OFFSET} {};set_instance_parameter_value {router_012} {PKT_ADDR_H} {47};set_instance_parameter_value {router_012} {PKT_ADDR_L} {36};set_instance_parameter_value {router_012} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_012} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_012} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_012} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_012} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_012} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_012} {ST_DATA_W} {90};set_instance_parameter_value {router_012} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_012} {DECODER_TYPE} {1};set_instance_parameter_value {router_012} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_012} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_012} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_012} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_012} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_012} {MEMORY_ALIASING_DECODE} {0};add_instance {router_013} {altera_merlin_router};set_instance_parameter_value {router_013} {DESTINATION_ID} {0 };set_instance_parameter_value {router_013} {CHANNEL_ID} {1 };set_instance_parameter_value {router_013} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_013} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_013} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_013} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_013} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_013} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_013} {SPAN_OFFSET} {};set_instance_parameter_value {router_013} {PKT_ADDR_H} {47};set_instance_parameter_value {router_013} {PKT_ADDR_L} {36};set_instance_parameter_value {router_013} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_013} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_013} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_013} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_013} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_013} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_013} {ST_DATA_W} {90};set_instance_parameter_value {router_013} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_013} {DECODER_TYPE} {1};set_instance_parameter_value {router_013} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_013} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_013} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_013} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_013} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_013} {MEMORY_ALIASING_DECODE} {0};add_instance {router_014} {altera_merlin_router};set_instance_parameter_value {router_014} {DESTINATION_ID} {0 };set_instance_parameter_value {router_014} {CHANNEL_ID} {1 };set_instance_parameter_value {router_014} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_014} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_014} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_014} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_014} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_014} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_014} {SPAN_OFFSET} {};set_instance_parameter_value {router_014} {PKT_ADDR_H} {47};set_instance_parameter_value {router_014} {PKT_ADDR_L} {36};set_instance_parameter_value {router_014} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_014} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_014} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_014} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_014} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_014} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_014} {ST_DATA_W} {90};set_instance_parameter_value {router_014} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_014} {DECODER_TYPE} {1};set_instance_parameter_value {router_014} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_014} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_014} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_014} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_014} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_014} {MEMORY_ALIASING_DECODE} {0};add_instance {router_015} {altera_merlin_router};set_instance_parameter_value {router_015} {DESTINATION_ID} {0 };set_instance_parameter_value {router_015} {CHANNEL_ID} {1 };set_instance_parameter_value {router_015} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_015} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_015} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_015} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_015} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_015} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_015} {SPAN_OFFSET} {};set_instance_parameter_value {router_015} {PKT_ADDR_H} {47};set_instance_parameter_value {router_015} {PKT_ADDR_L} {36};set_instance_parameter_value {router_015} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_015} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_015} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_015} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_015} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_015} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_015} {ST_DATA_W} {90};set_instance_parameter_value {router_015} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_015} {DECODER_TYPE} {1};set_instance_parameter_value {router_015} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_015} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_015} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_015} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_015} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_015} {MEMORY_ALIASING_DECODE} {0};add_instance {router_016} {altera_merlin_router};set_instance_parameter_value {router_016} {DESTINATION_ID} {0 };set_instance_parameter_value {router_016} {CHANNEL_ID} {1 };set_instance_parameter_value {router_016} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_016} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_016} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_016} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_016} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_016} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_016} {SPAN_OFFSET} {};set_instance_parameter_value {router_016} {PKT_ADDR_H} {47};set_instance_parameter_value {router_016} {PKT_ADDR_L} {36};set_instance_parameter_value {router_016} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_016} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_016} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_016} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_016} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_016} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_016} {ST_DATA_W} {90};set_instance_parameter_value {router_016} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_016} {DECODER_TYPE} {1};set_instance_parameter_value {router_016} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_016} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_016} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_016} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_016} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_016} {MEMORY_ALIASING_DECODE} {0};add_instance {router_017} {altera_merlin_router};set_instance_parameter_value {router_017} {DESTINATION_ID} {0 };set_instance_parameter_value {router_017} {CHANNEL_ID} {1 };set_instance_parameter_value {router_017} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_017} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_017} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_017} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_017} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_017} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_017} {SPAN_OFFSET} {};set_instance_parameter_value {router_017} {PKT_ADDR_H} {47};set_instance_parameter_value {router_017} {PKT_ADDR_L} {36};set_instance_parameter_value {router_017} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_017} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_017} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_017} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_017} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_017} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_017} {ST_DATA_W} {90};set_instance_parameter_value {router_017} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_017} {DECODER_TYPE} {1};set_instance_parameter_value {router_017} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_017} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_017} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_017} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_017} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_017} {MEMORY_ALIASING_DECODE} {0};add_instance {router_018} {altera_merlin_router};set_instance_parameter_value {router_018} {DESTINATION_ID} {0 };set_instance_parameter_value {router_018} {CHANNEL_ID} {1 };set_instance_parameter_value {router_018} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_018} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_018} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_018} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_018} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_018} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_018} {SPAN_OFFSET} {};set_instance_parameter_value {router_018} {PKT_ADDR_H} {47};set_instance_parameter_value {router_018} {PKT_ADDR_L} {36};set_instance_parameter_value {router_018} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_018} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_018} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_018} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_018} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_018} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_018} {ST_DATA_W} {90};set_instance_parameter_value {router_018} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_018} {DECODER_TYPE} {1};set_instance_parameter_value {router_018} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_018} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_018} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_018} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_018} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_018} {MEMORY_ALIASING_DECODE} {0};add_instance {router_019} {altera_merlin_router};set_instance_parameter_value {router_019} {DESTINATION_ID} {0 };set_instance_parameter_value {router_019} {CHANNEL_ID} {1 };set_instance_parameter_value {router_019} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_019} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_019} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_019} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_019} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_019} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_019} {SPAN_OFFSET} {};set_instance_parameter_value {router_019} {PKT_ADDR_H} {47};set_instance_parameter_value {router_019} {PKT_ADDR_L} {36};set_instance_parameter_value {router_019} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_019} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_019} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_019} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_019} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_019} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_019} {ST_DATA_W} {90};set_instance_parameter_value {router_019} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_019} {DECODER_TYPE} {1};set_instance_parameter_value {router_019} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_019} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_019} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_019} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_019} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_019} {MEMORY_ALIASING_DECODE} {0};add_instance {router_020} {altera_merlin_router};set_instance_parameter_value {router_020} {DESTINATION_ID} {0 };set_instance_parameter_value {router_020} {CHANNEL_ID} {1 };set_instance_parameter_value {router_020} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_020} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_020} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_020} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_020} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_020} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_020} {SPAN_OFFSET} {};set_instance_parameter_value {router_020} {PKT_ADDR_H} {47};set_instance_parameter_value {router_020} {PKT_ADDR_L} {36};set_instance_parameter_value {router_020} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_020} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_020} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_020} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_020} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_020} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_020} {ST_DATA_W} {90};set_instance_parameter_value {router_020} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_020} {DECODER_TYPE} {1};set_instance_parameter_value {router_020} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_020} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_020} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_020} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_020} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_020} {MEMORY_ALIASING_DECODE} {0};add_instance {router_021} {altera_merlin_router};set_instance_parameter_value {router_021} {DESTINATION_ID} {0 };set_instance_parameter_value {router_021} {CHANNEL_ID} {1 };set_instance_parameter_value {router_021} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_021} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_021} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_021} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_021} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_021} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_021} {SPAN_OFFSET} {};set_instance_parameter_value {router_021} {PKT_ADDR_H} {47};set_instance_parameter_value {router_021} {PKT_ADDR_L} {36};set_instance_parameter_value {router_021} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_021} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_021} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_021} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_021} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_021} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_021} {ST_DATA_W} {90};set_instance_parameter_value {router_021} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_021} {DECODER_TYPE} {1};set_instance_parameter_value {router_021} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_021} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_021} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_021} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_021} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_021} {MEMORY_ALIASING_DECODE} {0};add_instance {router_022} {altera_merlin_router};set_instance_parameter_value {router_022} {DESTINATION_ID} {0 };set_instance_parameter_value {router_022} {CHANNEL_ID} {1 };set_instance_parameter_value {router_022} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_022} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_022} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_022} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_022} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_022} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_022} {SPAN_OFFSET} {};set_instance_parameter_value {router_022} {PKT_ADDR_H} {47};set_instance_parameter_value {router_022} {PKT_ADDR_L} {36};set_instance_parameter_value {router_022} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_022} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_022} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_022} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_022} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_022} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_022} {ST_DATA_W} {90};set_instance_parameter_value {router_022} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_022} {DECODER_TYPE} {1};set_instance_parameter_value {router_022} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_022} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_022} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_022} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_022} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_022} {MEMORY_ALIASING_DECODE} {0};add_instance {router_023} {altera_merlin_router};set_instance_parameter_value {router_023} {DESTINATION_ID} {0 };set_instance_parameter_value {router_023} {CHANNEL_ID} {1 };set_instance_parameter_value {router_023} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_023} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_023} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_023} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_023} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_023} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_023} {SPAN_OFFSET} {};set_instance_parameter_value {router_023} {PKT_ADDR_H} {47};set_instance_parameter_value {router_023} {PKT_ADDR_L} {36};set_instance_parameter_value {router_023} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_023} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_023} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_023} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_023} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_023} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_023} {ST_DATA_W} {90};set_instance_parameter_value {router_023} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_023} {DECODER_TYPE} {1};set_instance_parameter_value {router_023} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_023} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_023} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_023} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_023} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_023} {MEMORY_ALIASING_DECODE} {0};add_instance {router_024} {altera_merlin_router};set_instance_parameter_value {router_024} {DESTINATION_ID} {0 };set_instance_parameter_value {router_024} {CHANNEL_ID} {1 };set_instance_parameter_value {router_024} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_024} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_024} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_024} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_024} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_024} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_024} {SPAN_OFFSET} {};set_instance_parameter_value {router_024} {PKT_ADDR_H} {47};set_instance_parameter_value {router_024} {PKT_ADDR_L} {36};set_instance_parameter_value {router_024} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_024} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_024} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_024} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_024} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_024} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_024} {ST_DATA_W} {90};set_instance_parameter_value {router_024} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_024} {DECODER_TYPE} {1};set_instance_parameter_value {router_024} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_024} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_024} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_024} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_024} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_024} {MEMORY_ALIASING_DECODE} {0};add_instance {router_025} {altera_merlin_router};set_instance_parameter_value {router_025} {DESTINATION_ID} {0 };set_instance_parameter_value {router_025} {CHANNEL_ID} {1 };set_instance_parameter_value {router_025} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_025} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_025} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_025} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_025} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_025} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_025} {SPAN_OFFSET} {};set_instance_parameter_value {router_025} {PKT_ADDR_H} {47};set_instance_parameter_value {router_025} {PKT_ADDR_L} {36};set_instance_parameter_value {router_025} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_025} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_025} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_025} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_025} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_025} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_025} {ST_DATA_W} {90};set_instance_parameter_value {router_025} {ST_CHANNEL_W} {25};set_instance_parameter_value {router_025} {DECODER_TYPE} {1};set_instance_parameter_value {router_025} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_025} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_025} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_025} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_025} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_025} {MEMORY_ALIASING_DECODE} {0};add_instance {clock_bridge_afi_50_m0_limiter} {altera_merlin_traffic_limiter};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_DEST_ID_H} {76};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_DEST_ID_L} {72};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_SRC_ID_H} {71};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_SRC_ID_L} {67};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_THREAD_ID_H} {77};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_THREAD_ID_L} {77};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {MAX_BURST_LENGTH} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {MAX_OUTSTANDING_RESPONSES} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PIPELINED} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {ST_DATA_W} {90};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {ST_CHANNEL_W} {25};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {VALID_WIDTH} {25};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {ENFORCE_ORDER} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PREVENT_HAZARDS} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {SUPPORTS_POSTED_WRITES} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {SUPPORTS_NONPOSTED_WRITES} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {REORDER} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {90};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {25};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {25};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_006} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_006} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_006} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_006} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_006} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_006} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_006} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_007} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_007} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_007} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_007} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_007} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_007} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_007} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_007} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_007} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_008} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_008} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_008} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_008} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_008} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_008} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_008} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_008} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_008} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_009} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_009} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_009} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_009} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_009} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_009} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_009} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_009} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_009} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_009} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_010} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_010} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_010} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_010} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_010} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_010} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_010} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_010} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_010} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_010} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_011} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_011} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_011} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_011} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_011} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_011} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_011} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_011} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_011} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_011} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_012} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_012} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_012} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_012} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_012} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_012} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_012} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_012} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_012} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_012} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_013} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_013} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_013} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_013} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_013} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_013} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_013} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_013} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_013} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_013} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_014} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_014} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_014} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_014} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_014} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_014} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_014} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_014} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_014} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_014} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_015} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_015} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_015} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_015} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_015} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_015} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_015} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_015} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_015} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_015} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_016} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_016} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_016} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_016} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_016} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_016} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_016} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_016} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_016} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_016} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_017} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_017} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_017} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_017} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_017} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_017} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_017} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_017} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_017} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_017} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_018} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_018} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_018} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_018} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_018} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_018} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_018} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_018} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_018} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_018} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_019} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_019} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_019} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_019} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_019} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_019} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_019} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_019} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_019} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_019} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_020} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_020} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_020} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_020} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_020} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_020} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_020} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_020} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_020} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_020} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_021} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_021} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_021} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_021} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_021} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_021} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_021} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_021} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_021} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_021} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_022} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_022} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_022} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_022} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_022} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_022} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_022} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_022} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_022} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_022} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_023} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_023} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_023} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_023} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_023} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_023} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_023} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_023} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_023} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_023} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_024} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_024} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_024} {ST_CHANNEL_W} {25};set_instance_parameter_value {cmd_mux_024} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_024} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_024} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_024} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_024} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_024} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_024} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_006} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_006} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_006} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_006} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_006} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_007} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_007} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_007} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_007} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_007} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_008} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_008} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_008} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_008} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_008} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_009} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_009} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_009} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_009} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_009} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_009} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_010} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_010} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_010} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_010} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_010} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_010} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_011} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_011} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_011} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_011} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_011} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_011} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_012} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_012} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_012} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_012} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_012} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_012} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_013} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_013} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_013} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_013} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_013} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_013} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_014} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_014} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_014} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_014} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_014} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_014} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_015} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_015} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_015} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_015} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_015} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_015} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_016} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_016} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_016} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_016} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_016} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_016} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_017} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_017} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_017} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_017} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_017} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_017} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_018} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_018} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_018} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_018} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_018} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_018} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_019} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_019} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_019} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_019} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_019} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_019} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_020} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_020} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_020} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_020} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_020} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_020} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_021} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_021} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_021} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_021} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_021} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_021} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_022} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_022} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_022} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_022} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_022} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_022} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_023} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_023} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_023} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_023} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_023} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_023} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_024} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_024} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_024} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_demux_024} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_024} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_024} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {90};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {25};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {25};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {clock_bridge_afi_50_m0_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {clock_bridge_afi_50_m0_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {clock_bridge_afi_50_m0_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {rs232_uart_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {rs232_uart_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {rs232_uart_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {rs232_uart_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {rs232_uart_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_50_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_50_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_50_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {clock_bridge_afi_50_m0_translator.avalon_universal_master_0} {clock_bridge_afi_50_m0_agent.av} {avalon};set_connection_parameter_value {clock_bridge_afi_50_m0_translator.avalon_universal_master_0/clock_bridge_afi_50_m0_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {clock_bridge_afi_50_m0_translator.avalon_universal_master_0/clock_bridge_afi_50_m0_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {clock_bridge_afi_50_m0_translator.avalon_universal_master_0/clock_bridge_afi_50_m0_agent.av} {defaultConnection} {false};add_connection {sync_avalon_mm_slave_agent.m0} {sync_avalon_mm_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sync_avalon_mm_slave_agent.m0/sync_avalon_mm_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sync_avalon_mm_slave_agent.m0/sync_avalon_mm_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sync_avalon_mm_slave_agent.m0/sync_avalon_mm_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sync_avalon_mm_slave_agent.rf_source} {sync_avalon_mm_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sync_avalon_mm_slave_agent_rsp_fifo.out} {sync_avalon_mm_slave_agent.rf_sink} {avalon_streaming};add_connection {sync_avalon_mm_slave_agent.rdata_fifo_src} {sync_avalon_mm_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {sync_avalon_mm_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/sync_avalon_mm_slave_agent.cp} {qsys_mm.command};add_connection {rst_controller_avalon_rst_controller_slave_agent.m0} {rst_controller_avalon_rst_controller_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {rst_controller_avalon_rst_controller_slave_agent.m0/rst_controller_avalon_rst_controller_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {rst_controller_avalon_rst_controller_slave_agent.m0/rst_controller_avalon_rst_controller_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {rst_controller_avalon_rst_controller_slave_agent.m0/rst_controller_avalon_rst_controller_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {rst_controller_avalon_rst_controller_slave_agent.rf_source} {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo.out} {rst_controller_avalon_rst_controller_slave_agent.rf_sink} {avalon_streaming};add_connection {rst_controller_avalon_rst_controller_slave_agent.rdata_fifo_src} {rst_controller_avalon_rst_controller_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {rst_controller_avalon_rst_controller_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/rst_controller_avalon_rst_controller_slave_agent.cp} {qsys_mm.command};add_connection {m1_ddr2_i2c_sda_s1_agent.m0} {m1_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {m1_ddr2_i2c_sda_s1_agent.m0/m1_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {m1_ddr2_i2c_sda_s1_agent.m0/m1_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {m1_ddr2_i2c_sda_s1_agent.m0/m1_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {m1_ddr2_i2c_sda_s1_agent.rf_source} {m1_ddr2_i2c_sda_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {m1_ddr2_i2c_sda_s1_agent_rsp_fifo.out} {m1_ddr2_i2c_sda_s1_agent.rf_sink} {avalon_streaming};add_connection {m1_ddr2_i2c_sda_s1_agent.rdata_fifo_src} {m1_ddr2_i2c_sda_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {m1_ddr2_i2c_sda_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/m1_ddr2_i2c_sda_s1_agent.cp} {qsys_mm.command};add_connection {m1_ddr2_i2c_scl_s1_agent.m0} {m1_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {m1_ddr2_i2c_scl_s1_agent.m0/m1_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {m1_ddr2_i2c_scl_s1_agent.m0/m1_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {m1_ddr2_i2c_scl_s1_agent.m0/m1_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {m1_ddr2_i2c_scl_s1_agent.rf_source} {m1_ddr2_i2c_scl_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {m1_ddr2_i2c_scl_s1_agent_rsp_fifo.out} {m1_ddr2_i2c_scl_s1_agent.rf_sink} {avalon_streaming};add_connection {m1_ddr2_i2c_scl_s1_agent.rdata_fifo_src} {m1_ddr2_i2c_scl_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {m1_ddr2_i2c_scl_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/m1_ddr2_i2c_scl_s1_agent.cp} {qsys_mm.command};add_connection {pio_BUTTON_s1_agent.m0} {pio_BUTTON_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_BUTTON_s1_agent.m0/pio_BUTTON_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_BUTTON_s1_agent.m0/pio_BUTTON_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_BUTTON_s1_agent.m0/pio_BUTTON_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_BUTTON_s1_agent.rf_source} {pio_BUTTON_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_BUTTON_s1_agent_rsp_fifo.out} {pio_BUTTON_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_BUTTON_s1_agent.rdata_fifo_src} {pio_BUTTON_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {pio_BUTTON_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/pio_BUTTON_s1_agent.cp} {qsys_mm.command};add_connection {pio_LED_s1_agent.m0} {pio_LED_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_LED_s1_agent.rf_source} {pio_LED_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_LED_s1_agent_rsp_fifo.out} {pio_LED_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_LED_s1_agent.rdata_fifo_src} {pio_LED_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {pio_LED_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/pio_LED_s1_agent.cp} {qsys_mm.command};add_connection {timer_1ms_s1_agent.m0} {timer_1ms_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {timer_1ms_s1_agent.m0/timer_1ms_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {timer_1ms_s1_agent.m0/timer_1ms_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {timer_1ms_s1_agent.m0/timer_1ms_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {timer_1ms_s1_agent.rf_source} {timer_1ms_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {timer_1ms_s1_agent_rsp_fifo.out} {timer_1ms_s1_agent.rf_sink} {avalon_streaming};add_connection {timer_1ms_s1_agent.rdata_fifo_src} {timer_1ms_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_006.src} {timer_1ms_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_006.src/timer_1ms_s1_agent.cp} {qsys_mm.command};add_connection {pio_DIP_s1_agent.m0} {pio_DIP_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_DIP_s1_agent.m0/pio_DIP_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_DIP_s1_agent.m0/pio_DIP_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_DIP_s1_agent.m0/pio_DIP_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_DIP_s1_agent.rf_source} {pio_DIP_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_DIP_s1_agent_rsp_fifo.out} {pio_DIP_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_DIP_s1_agent.rdata_fifo_src} {pio_DIP_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_007.src} {pio_DIP_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_007.src/pio_DIP_s1_agent.cp} {qsys_mm.command};add_connection {timer_1us_s1_agent.m0} {timer_1us_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {timer_1us_s1_agent.m0/timer_1us_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {timer_1us_s1_agent.m0/timer_1us_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {timer_1us_s1_agent.m0/timer_1us_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {timer_1us_s1_agent.rf_source} {timer_1us_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {timer_1us_s1_agent_rsp_fifo.out} {timer_1us_s1_agent.rf_sink} {avalon_streaming};add_connection {timer_1us_s1_agent.rdata_fifo_src} {timer_1us_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_008.src} {timer_1us_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_008.src/timer_1us_s1_agent.cp} {qsys_mm.command};add_connection {pio_EXT_s1_agent.m0} {pio_EXT_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_EXT_s1_agent.m0/pio_EXT_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_EXT_s1_agent.m0/pio_EXT_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_EXT_s1_agent.m0/pio_EXT_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_EXT_s1_agent.rf_source} {pio_EXT_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_EXT_s1_agent_rsp_fifo.out} {pio_EXT_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_EXT_s1_agent.rdata_fifo_src} {pio_EXT_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_009.src} {pio_EXT_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_009.src/pio_EXT_s1_agent.cp} {qsys_mm.command};add_connection {sd_card_wp_n_s1_agent.m0} {sd_card_wp_n_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sd_card_wp_n_s1_agent.m0/sd_card_wp_n_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sd_card_wp_n_s1_agent.m0/sd_card_wp_n_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sd_card_wp_n_s1_agent.m0/sd_card_wp_n_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sd_card_wp_n_s1_agent.rf_source} {sd_card_wp_n_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sd_card_wp_n_s1_agent_rsp_fifo.out} {sd_card_wp_n_s1_agent.rf_sink} {avalon_streaming};add_connection {sd_card_wp_n_s1_agent.rdata_fifo_src} {sd_card_wp_n_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_010.src} {sd_card_wp_n_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_010.src/sd_card_wp_n_s1_agent.cp} {qsys_mm.command};add_connection {temp_scl_s1_agent.m0} {temp_scl_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {temp_scl_s1_agent.m0/temp_scl_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {temp_scl_s1_agent.m0/temp_scl_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {temp_scl_s1_agent.m0/temp_scl_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {temp_scl_s1_agent.rf_source} {temp_scl_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {temp_scl_s1_agent_rsp_fifo.out} {temp_scl_s1_agent.rf_sink} {avalon_streaming};add_connection {temp_scl_s1_agent.rdata_fifo_src} {temp_scl_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_011.src} {temp_scl_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_011.src/temp_scl_s1_agent.cp} {qsys_mm.command};add_connection {temp_sda_s1_agent.m0} {temp_sda_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {temp_sda_s1_agent.m0/temp_sda_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {temp_sda_s1_agent.m0/temp_sda_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {temp_sda_s1_agent.m0/temp_sda_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {temp_sda_s1_agent.rf_source} {temp_sda_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {temp_sda_s1_agent_rsp_fifo.out} {temp_sda_s1_agent.rf_sink} {avalon_streaming};add_connection {temp_sda_s1_agent.rdata_fifo_src} {temp_sda_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_012.src} {temp_sda_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_012.src/temp_sda_s1_agent.cp} {qsys_mm.command};add_connection {m2_ddr2_i2c_sda_s1_agent.m0} {m2_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {m2_ddr2_i2c_sda_s1_agent.m0/m2_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {m2_ddr2_i2c_sda_s1_agent.m0/m2_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {m2_ddr2_i2c_sda_s1_agent.m0/m2_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {m2_ddr2_i2c_sda_s1_agent.rf_source} {m2_ddr2_i2c_sda_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {m2_ddr2_i2c_sda_s1_agent_rsp_fifo.out} {m2_ddr2_i2c_sda_s1_agent.rf_sink} {avalon_streaming};add_connection {m2_ddr2_i2c_sda_s1_agent.rdata_fifo_src} {m2_ddr2_i2c_sda_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_013.src} {m2_ddr2_i2c_sda_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_013.src/m2_ddr2_i2c_sda_s1_agent.cp} {qsys_mm.command};add_connection {m2_ddr2_i2c_scl_s1_agent.m0} {m2_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {m2_ddr2_i2c_scl_s1_agent.m0/m2_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {m2_ddr2_i2c_scl_s1_agent.m0/m2_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {m2_ddr2_i2c_scl_s1_agent.m0/m2_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {m2_ddr2_i2c_scl_s1_agent.rf_source} {m2_ddr2_i2c_scl_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {m2_ddr2_i2c_scl_s1_agent_rsp_fifo.out} {m2_ddr2_i2c_scl_s1_agent.rf_sink} {avalon_streaming};add_connection {m2_ddr2_i2c_scl_s1_agent.rdata_fifo_src} {m2_ddr2_i2c_scl_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_014.src} {m2_ddr2_i2c_scl_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_014.src/m2_ddr2_i2c_scl_s1_agent.cp} {qsys_mm.command};add_connection {csense_sdo_s1_agent.m0} {csense_sdo_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {csense_sdo_s1_agent.m0/csense_sdo_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {csense_sdo_s1_agent.m0/csense_sdo_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {csense_sdo_s1_agent.m0/csense_sdo_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {csense_sdo_s1_agent.rf_source} {csense_sdo_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {csense_sdo_s1_agent_rsp_fifo.out} {csense_sdo_s1_agent.rf_sink} {avalon_streaming};add_connection {csense_sdo_s1_agent.rdata_fifo_src} {csense_sdo_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_015.src} {csense_sdo_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_015.src/csense_sdo_s1_agent.cp} {qsys_mm.command};add_connection {csense_sdi_s1_agent.m0} {csense_sdi_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {csense_sdi_s1_agent.m0/csense_sdi_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {csense_sdi_s1_agent.m0/csense_sdi_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {csense_sdi_s1_agent.m0/csense_sdi_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {csense_sdi_s1_agent.rf_source} {csense_sdi_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {csense_sdi_s1_agent_rsp_fifo.out} {csense_sdi_s1_agent.rf_sink} {avalon_streaming};add_connection {csense_sdi_s1_agent.rdata_fifo_src} {csense_sdi_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_016.src} {csense_sdi_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_016.src/csense_sdi_s1_agent.cp} {qsys_mm.command};add_connection {csense_sck_s1_agent.m0} {csense_sck_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {csense_sck_s1_agent.m0/csense_sck_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {csense_sck_s1_agent.m0/csense_sck_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {csense_sck_s1_agent.m0/csense_sck_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {csense_sck_s1_agent.rf_source} {csense_sck_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {csense_sck_s1_agent_rsp_fifo.out} {csense_sck_s1_agent.rf_sink} {avalon_streaming};add_connection {csense_sck_s1_agent.rdata_fifo_src} {csense_sck_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_017.src} {csense_sck_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_017.src/csense_sck_s1_agent.cp} {qsys_mm.command};add_connection {csense_cs_n_s1_agent.m0} {csense_cs_n_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {csense_cs_n_s1_agent.m0/csense_cs_n_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {csense_cs_n_s1_agent.m0/csense_cs_n_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {csense_cs_n_s1_agent.m0/csense_cs_n_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {csense_cs_n_s1_agent.rf_source} {csense_cs_n_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {csense_cs_n_s1_agent_rsp_fifo.out} {csense_cs_n_s1_agent.rf_sink} {avalon_streaming};add_connection {csense_cs_n_s1_agent.rdata_fifo_src} {csense_cs_n_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_018.src} {csense_cs_n_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_018.src/csense_cs_n_s1_agent.cp} {qsys_mm.command};add_connection {csense_adc_fo_s1_agent.m0} {csense_adc_fo_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {csense_adc_fo_s1_agent.m0/csense_adc_fo_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {csense_adc_fo_s1_agent.m0/csense_adc_fo_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {csense_adc_fo_s1_agent.m0/csense_adc_fo_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {csense_adc_fo_s1_agent.rf_source} {csense_adc_fo_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {csense_adc_fo_s1_agent_rsp_fifo.out} {csense_adc_fo_s1_agent.rf_sink} {avalon_streaming};add_connection {csense_adc_fo_s1_agent.rdata_fifo_src} {csense_adc_fo_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_019.src} {csense_adc_fo_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_019.src/csense_adc_fo_s1_agent.cp} {qsys_mm.command};add_connection {pio_LED_painel_s1_agent.m0} {pio_LED_painel_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_LED_painel_s1_agent.m0/pio_LED_painel_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_LED_painel_s1_agent.m0/pio_LED_painel_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_LED_painel_s1_agent.m0/pio_LED_painel_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_LED_painel_s1_agent.rf_source} {pio_LED_painel_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_LED_painel_s1_agent_rsp_fifo.out} {pio_LED_painel_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_LED_painel_s1_agent.rdata_fifo_src} {pio_LED_painel_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_020.src} {pio_LED_painel_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_020.src/pio_LED_painel_s1_agent.cp} {qsys_mm.command};add_connection {rs232_uart_s1_agent.m0} {rs232_uart_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {rs232_uart_s1_agent.m0/rs232_uart_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {rs232_uart_s1_agent.m0/rs232_uart_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {rs232_uart_s1_agent.m0/rs232_uart_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {rs232_uart_s1_agent.rf_source} {rs232_uart_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {rs232_uart_s1_agent_rsp_fifo.out} {rs232_uart_s1_agent.rf_sink} {avalon_streaming};add_connection {rs232_uart_s1_agent.rdata_fifo_src} {rs232_uart_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_021.src} {rs232_uart_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_021.src/rs232_uart_s1_agent.cp} {qsys_mm.command};add_connection {pio_ctrl_io_lvds_s1_agent.m0} {pio_ctrl_io_lvds_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_ctrl_io_lvds_s1_agent.m0/pio_ctrl_io_lvds_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_ctrl_io_lvds_s1_agent.m0/pio_ctrl_io_lvds_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_ctrl_io_lvds_s1_agent.m0/pio_ctrl_io_lvds_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_ctrl_io_lvds_s1_agent.rf_source} {pio_ctrl_io_lvds_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_ctrl_io_lvds_s1_agent_rsp_fifo.out} {pio_ctrl_io_lvds_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_ctrl_io_lvds_s1_agent.rdata_fifo_src} {pio_ctrl_io_lvds_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_022.src} {pio_ctrl_io_lvds_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_022.src/pio_ctrl_io_lvds_s1_agent.cp} {qsys_mm.command};add_connection {pio_ftdi_umft601a_module_reset_s1_agent.m0} {pio_ftdi_umft601a_module_reset_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent.m0/pio_ftdi_umft601a_module_reset_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent.m0/pio_ftdi_umft601a_module_reset_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent.m0/pio_ftdi_umft601a_module_reset_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_ftdi_umft601a_module_reset_s1_agent.rf_source} {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo.out} {pio_ftdi_umft601a_module_reset_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_ftdi_umft601a_module_reset_s1_agent.rdata_fifo_src} {pio_ftdi_umft601a_module_reset_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_023.src} {pio_ftdi_umft601a_module_reset_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_023.src/pio_ftdi_umft601a_module_reset_s1_agent.cp} {qsys_mm.command};add_connection {pio_iso_logic_signal_enable_s1_agent.m0} {pio_iso_logic_signal_enable_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_iso_logic_signal_enable_s1_agent.m0/pio_iso_logic_signal_enable_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_iso_logic_signal_enable_s1_agent.m0/pio_iso_logic_signal_enable_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_iso_logic_signal_enable_s1_agent.m0/pio_iso_logic_signal_enable_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_iso_logic_signal_enable_s1_agent.rf_source} {pio_iso_logic_signal_enable_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_iso_logic_signal_enable_s1_agent_rsp_fifo.out} {pio_iso_logic_signal_enable_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_iso_logic_signal_enable_s1_agent.rdata_fifo_src} {pio_iso_logic_signal_enable_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_024.src} {pio_iso_logic_signal_enable_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_024.src/pio_iso_logic_signal_enable_s1_agent.cp} {qsys_mm.command};add_connection {clock_bridge_afi_50_m0_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {clock_bridge_afi_50_m0_agent.cp/router.sink} {qsys_mm.command};add_connection {sync_avalon_mm_slave_agent.rp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {sync_avalon_mm_slave_agent.rp/router_001.sink} {qsys_mm.response};add_connection {router_001.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/rsp_demux.sink} {qsys_mm.response};add_connection {rst_controller_avalon_rst_controller_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {rst_controller_avalon_rst_controller_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {m1_ddr2_i2c_sda_s1_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {m1_ddr2_i2c_sda_s1_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {m1_ddr2_i2c_scl_s1_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {m1_ddr2_i2c_scl_s1_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {pio_BUTTON_s1_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {pio_BUTTON_s1_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {pio_LED_s1_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {pio_LED_s1_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {timer_1ms_s1_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {timer_1ms_s1_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_006.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_006.sink} {qsys_mm.response};add_connection {pio_DIP_s1_agent.rp} {router_008.sink} {avalon_streaming};preview_set_connection_tag {pio_DIP_s1_agent.rp/router_008.sink} {qsys_mm.response};add_connection {router_008.src} {rsp_demux_007.sink} {avalon_streaming};preview_set_connection_tag {router_008.src/rsp_demux_007.sink} {qsys_mm.response};add_connection {timer_1us_s1_agent.rp} {router_009.sink} {avalon_streaming};preview_set_connection_tag {timer_1us_s1_agent.rp/router_009.sink} {qsys_mm.response};add_connection {router_009.src} {rsp_demux_008.sink} {avalon_streaming};preview_set_connection_tag {router_009.src/rsp_demux_008.sink} {qsys_mm.response};add_connection {pio_EXT_s1_agent.rp} {router_010.sink} {avalon_streaming};preview_set_connection_tag {pio_EXT_s1_agent.rp/router_010.sink} {qsys_mm.response};add_connection {router_010.src} {rsp_demux_009.sink} {avalon_streaming};preview_set_connection_tag {router_010.src/rsp_demux_009.sink} {qsys_mm.response};add_connection {sd_card_wp_n_s1_agent.rp} {router_011.sink} {avalon_streaming};preview_set_connection_tag {sd_card_wp_n_s1_agent.rp/router_011.sink} {qsys_mm.response};add_connection {router_011.src} {rsp_demux_010.sink} {avalon_streaming};preview_set_connection_tag {router_011.src/rsp_demux_010.sink} {qsys_mm.response};add_connection {temp_scl_s1_agent.rp} {router_012.sink} {avalon_streaming};preview_set_connection_tag {temp_scl_s1_agent.rp/router_012.sink} {qsys_mm.response};add_connection {router_012.src} {rsp_demux_011.sink} {avalon_streaming};preview_set_connection_tag {router_012.src/rsp_demux_011.sink} {qsys_mm.response};add_connection {temp_sda_s1_agent.rp} {router_013.sink} {avalon_streaming};preview_set_connection_tag {temp_sda_s1_agent.rp/router_013.sink} {qsys_mm.response};add_connection {router_013.src} {rsp_demux_012.sink} {avalon_streaming};preview_set_connection_tag {router_013.src/rsp_demux_012.sink} {qsys_mm.response};add_connection {m2_ddr2_i2c_sda_s1_agent.rp} {router_014.sink} {avalon_streaming};preview_set_connection_tag {m2_ddr2_i2c_sda_s1_agent.rp/router_014.sink} {qsys_mm.response};add_connection {router_014.src} {rsp_demux_013.sink} {avalon_streaming};preview_set_connection_tag {router_014.src/rsp_demux_013.sink} {qsys_mm.response};add_connection {m2_ddr2_i2c_scl_s1_agent.rp} {router_015.sink} {avalon_streaming};preview_set_connection_tag {m2_ddr2_i2c_scl_s1_agent.rp/router_015.sink} {qsys_mm.response};add_connection {router_015.src} {rsp_demux_014.sink} {avalon_streaming};preview_set_connection_tag {router_015.src/rsp_demux_014.sink} {qsys_mm.response};add_connection {csense_sdo_s1_agent.rp} {router_016.sink} {avalon_streaming};preview_set_connection_tag {csense_sdo_s1_agent.rp/router_016.sink} {qsys_mm.response};add_connection {router_016.src} {rsp_demux_015.sink} {avalon_streaming};preview_set_connection_tag {router_016.src/rsp_demux_015.sink} {qsys_mm.response};add_connection {csense_sdi_s1_agent.rp} {router_017.sink} {avalon_streaming};preview_set_connection_tag {csense_sdi_s1_agent.rp/router_017.sink} {qsys_mm.response};add_connection {router_017.src} {rsp_demux_016.sink} {avalon_streaming};preview_set_connection_tag {router_017.src/rsp_demux_016.sink} {qsys_mm.response};add_connection {csense_sck_s1_agent.rp} {router_018.sink} {avalon_streaming};preview_set_connection_tag {csense_sck_s1_agent.rp/router_018.sink} {qsys_mm.response};add_connection {router_018.src} {rsp_demux_017.sink} {avalon_streaming};preview_set_connection_tag {router_018.src/rsp_demux_017.sink} {qsys_mm.response};add_connection {csense_cs_n_s1_agent.rp} {router_019.sink} {avalon_streaming};preview_set_connection_tag {csense_cs_n_s1_agent.rp/router_019.sink} {qsys_mm.response};add_connection {router_019.src} {rsp_demux_018.sink} {avalon_streaming};preview_set_connection_tag {router_019.src/rsp_demux_018.sink} {qsys_mm.response};add_connection {csense_adc_fo_s1_agent.rp} {router_020.sink} {avalon_streaming};preview_set_connection_tag {csense_adc_fo_s1_agent.rp/router_020.sink} {qsys_mm.response};add_connection {router_020.src} {rsp_demux_019.sink} {avalon_streaming};preview_set_connection_tag {router_020.src/rsp_demux_019.sink} {qsys_mm.response};add_connection {pio_LED_painel_s1_agent.rp} {router_021.sink} {avalon_streaming};preview_set_connection_tag {pio_LED_painel_s1_agent.rp/router_021.sink} {qsys_mm.response};add_connection {router_021.src} {rsp_demux_020.sink} {avalon_streaming};preview_set_connection_tag {router_021.src/rsp_demux_020.sink} {qsys_mm.response};add_connection {rs232_uart_s1_agent.rp} {router_022.sink} {avalon_streaming};preview_set_connection_tag {rs232_uart_s1_agent.rp/router_022.sink} {qsys_mm.response};add_connection {router_022.src} {rsp_demux_021.sink} {avalon_streaming};preview_set_connection_tag {router_022.src/rsp_demux_021.sink} {qsys_mm.response};add_connection {pio_ctrl_io_lvds_s1_agent.rp} {router_023.sink} {avalon_streaming};preview_set_connection_tag {pio_ctrl_io_lvds_s1_agent.rp/router_023.sink} {qsys_mm.response};add_connection {router_023.src} {rsp_demux_022.sink} {avalon_streaming};preview_set_connection_tag {router_023.src/rsp_demux_022.sink} {qsys_mm.response};add_connection {pio_ftdi_umft601a_module_reset_s1_agent.rp} {router_024.sink} {avalon_streaming};preview_set_connection_tag {pio_ftdi_umft601a_module_reset_s1_agent.rp/router_024.sink} {qsys_mm.response};add_connection {router_024.src} {rsp_demux_023.sink} {avalon_streaming};preview_set_connection_tag {router_024.src/rsp_demux_023.sink} {qsys_mm.response};add_connection {pio_iso_logic_signal_enable_s1_agent.rp} {router_025.sink} {avalon_streaming};preview_set_connection_tag {pio_iso_logic_signal_enable_s1_agent.rp/router_025.sink} {qsys_mm.response};add_connection {router_025.src} {rsp_demux_024.sink} {avalon_streaming};preview_set_connection_tag {router_025.src/rsp_demux_024.sink} {qsys_mm.response};add_connection {router.src} {clock_bridge_afi_50_m0_limiter.cmd_sink} {avalon_streaming};preview_set_connection_tag {router.src/clock_bridge_afi_50_m0_limiter.cmd_sink} {qsys_mm.command};add_connection {clock_bridge_afi_50_m0_limiter.cmd_src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {clock_bridge_afi_50_m0_limiter.cmd_src/cmd_demux.sink} {qsys_mm.command};add_connection {rsp_mux.src} {clock_bridge_afi_50_m0_limiter.rsp_sink} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/clock_bridge_afi_50_m0_limiter.rsp_sink} {qsys_mm.response};add_connection {clock_bridge_afi_50_m0_limiter.rsp_src} {clock_bridge_afi_50_m0_agent.rp} {avalon_streaming};preview_set_connection_tag {clock_bridge_afi_50_m0_limiter.rsp_src/clock_bridge_afi_50_m0_agent.rp} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux.src5} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src5/cmd_mux_005.sink0} {qsys_mm.command};add_connection {cmd_demux.src6} {cmd_mux_006.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src6/cmd_mux_006.sink0} {qsys_mm.command};add_connection {cmd_demux.src7} {cmd_mux_007.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src7/cmd_mux_007.sink0} {qsys_mm.command};add_connection {cmd_demux.src8} {cmd_mux_008.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src8/cmd_mux_008.sink0} {qsys_mm.command};add_connection {cmd_demux.src9} {cmd_mux_009.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src9/cmd_mux_009.sink0} {qsys_mm.command};add_connection {cmd_demux.src10} {cmd_mux_010.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src10/cmd_mux_010.sink0} {qsys_mm.command};add_connection {cmd_demux.src11} {cmd_mux_011.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src11/cmd_mux_011.sink0} {qsys_mm.command};add_connection {cmd_demux.src12} {cmd_mux_012.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src12/cmd_mux_012.sink0} {qsys_mm.command};add_connection {cmd_demux.src13} {cmd_mux_013.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src13/cmd_mux_013.sink0} {qsys_mm.command};add_connection {cmd_demux.src14} {cmd_mux_014.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src14/cmd_mux_014.sink0} {qsys_mm.command};add_connection {cmd_demux.src15} {cmd_mux_015.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src15/cmd_mux_015.sink0} {qsys_mm.command};add_connection {cmd_demux.src16} {cmd_mux_016.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src16/cmd_mux_016.sink0} {qsys_mm.command};add_connection {cmd_demux.src17} {cmd_mux_017.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src17/cmd_mux_017.sink0} {qsys_mm.command};add_connection {cmd_demux.src18} {cmd_mux_018.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src18/cmd_mux_018.sink0} {qsys_mm.command};add_connection {cmd_demux.src19} {cmd_mux_019.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src19/cmd_mux_019.sink0} {qsys_mm.command};add_connection {cmd_demux.src20} {cmd_mux_020.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src20/cmd_mux_020.sink0} {qsys_mm.command};add_connection {cmd_demux.src21} {cmd_mux_021.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src21/cmd_mux_021.sink0} {qsys_mm.command};add_connection {cmd_demux.src22} {cmd_mux_022.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src22/cmd_mux_022.sink0} {qsys_mm.command};add_connection {cmd_demux.src23} {cmd_mux_023.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src23/cmd_mux_023.sink0} {qsys_mm.command};add_connection {cmd_demux.src24} {cmd_mux_024.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src24/cmd_mux_024.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux.sink5} {qsys_mm.response};add_connection {rsp_demux_006.src0} {rsp_mux.sink6} {avalon_streaming};preview_set_connection_tag {rsp_demux_006.src0/rsp_mux.sink6} {qsys_mm.response};add_connection {rsp_demux_007.src0} {rsp_mux.sink7} {avalon_streaming};preview_set_connection_tag {rsp_demux_007.src0/rsp_mux.sink7} {qsys_mm.response};add_connection {rsp_demux_008.src0} {rsp_mux.sink8} {avalon_streaming};preview_set_connection_tag {rsp_demux_008.src0/rsp_mux.sink8} {qsys_mm.response};add_connection {rsp_demux_009.src0} {rsp_mux.sink9} {avalon_streaming};preview_set_connection_tag {rsp_demux_009.src0/rsp_mux.sink9} {qsys_mm.response};add_connection {rsp_demux_010.src0} {rsp_mux.sink10} {avalon_streaming};preview_set_connection_tag {rsp_demux_010.src0/rsp_mux.sink10} {qsys_mm.response};add_connection {rsp_demux_011.src0} {rsp_mux.sink11} {avalon_streaming};preview_set_connection_tag {rsp_demux_011.src0/rsp_mux.sink11} {qsys_mm.response};add_connection {rsp_demux_012.src0} {rsp_mux.sink12} {avalon_streaming};preview_set_connection_tag {rsp_demux_012.src0/rsp_mux.sink12} {qsys_mm.response};add_connection {rsp_demux_013.src0} {rsp_mux.sink13} {avalon_streaming};preview_set_connection_tag {rsp_demux_013.src0/rsp_mux.sink13} {qsys_mm.response};add_connection {rsp_demux_014.src0} {rsp_mux.sink14} {avalon_streaming};preview_set_connection_tag {rsp_demux_014.src0/rsp_mux.sink14} {qsys_mm.response};add_connection {rsp_demux_015.src0} {rsp_mux.sink15} {avalon_streaming};preview_set_connection_tag {rsp_demux_015.src0/rsp_mux.sink15} {qsys_mm.response};add_connection {rsp_demux_016.src0} {rsp_mux.sink16} {avalon_streaming};preview_set_connection_tag {rsp_demux_016.src0/rsp_mux.sink16} {qsys_mm.response};add_connection {rsp_demux_017.src0} {rsp_mux.sink17} {avalon_streaming};preview_set_connection_tag {rsp_demux_017.src0/rsp_mux.sink17} {qsys_mm.response};add_connection {rsp_demux_018.src0} {rsp_mux.sink18} {avalon_streaming};preview_set_connection_tag {rsp_demux_018.src0/rsp_mux.sink18} {qsys_mm.response};add_connection {rsp_demux_019.src0} {rsp_mux.sink19} {avalon_streaming};preview_set_connection_tag {rsp_demux_019.src0/rsp_mux.sink19} {qsys_mm.response};add_connection {rsp_demux_020.src0} {rsp_mux.sink20} {avalon_streaming};preview_set_connection_tag {rsp_demux_020.src0/rsp_mux.sink20} {qsys_mm.response};add_connection {rsp_demux_021.src0} {rsp_mux.sink21} {avalon_streaming};preview_set_connection_tag {rsp_demux_021.src0/rsp_mux.sink21} {qsys_mm.response};add_connection {rsp_demux_022.src0} {rsp_mux.sink22} {avalon_streaming};preview_set_connection_tag {rsp_demux_022.src0/rsp_mux.sink22} {qsys_mm.response};add_connection {rsp_demux_023.src0} {rsp_mux.sink23} {avalon_streaming};preview_set_connection_tag {rsp_demux_023.src0/rsp_mux.sink23} {qsys_mm.response};add_connection {rsp_demux_024.src0} {rsp_mux.sink24} {avalon_streaming};preview_set_connection_tag {rsp_demux_024.src0/rsp_mux.sink24} {qsys_mm.response};add_connection {clock_bridge_afi_50_m0_limiter.cmd_valid} {cmd_demux.sink_valid} {avalon_streaming};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {clock_bridge_afi_50_m0_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {sync_avalon_mm_slave_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rst_controller_avalon_rst_controller_slave_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m1_ddr2_i2c_sda_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m1_ddr2_i2c_scl_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_BUTTON_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_LED_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {timer_1ms_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_DIP_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {timer_1us_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_EXT_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {sd_card_wp_n_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {temp_scl_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {temp_sda_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m2_ddr2_i2c_sda_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m2_ddr2_i2c_scl_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sdo_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sdi_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sck_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_cs_n_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_adc_fo_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_LED_painel_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_ctrl_io_lvds_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_ftdi_umft601a_module_reset_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_iso_logic_signal_enable_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {clock_bridge_afi_50_m0_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {sync_avalon_mm_slave_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {sync_avalon_mm_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rst_controller_avalon_rst_controller_slave_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m1_ddr2_i2c_sda_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m1_ddr2_i2c_sda_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m1_ddr2_i2c_scl_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m1_ddr2_i2c_scl_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_BUTTON_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_BUTTON_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_LED_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_LED_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {timer_1ms_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {timer_1ms_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_DIP_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_DIP_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {timer_1us_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {timer_1us_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_EXT_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_EXT_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {sd_card_wp_n_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {sd_card_wp_n_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {temp_scl_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {temp_scl_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {temp_sda_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {temp_sda_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m2_ddr2_i2c_sda_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m2_ddr2_i2c_sda_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m2_ddr2_i2c_scl_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m2_ddr2_i2c_scl_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sdo_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sdo_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sdi_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sdi_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sck_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sck_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_cs_n_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_cs_n_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_adc_fo_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_adc_fo_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_LED_painel_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_LED_painel_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_ctrl_io_lvds_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_ctrl_io_lvds_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_ftdi_umft601a_module_reset_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_iso_logic_signal_enable_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_iso_logic_signal_enable_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_008.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_009.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_010.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_011.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_012.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_013.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_014.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_015.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_016.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_017.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_018.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_019.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_020.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_021.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_023.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_024.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_025.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {clock_bridge_afi_50_m0_limiter.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_006.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_007.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_008.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_009.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_010.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_011.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_012.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_013.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_014.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_015.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_016.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_017.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_018.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_019.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_020.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_022.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_023.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_024.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_006.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_007.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_008.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_009.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_010.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_011.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_012.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_013.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_014.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_015.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_016.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_017.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_018.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_019.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_020.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_022.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_023.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_024.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {rs232_uart_reset_reset_bridge.out_reset} {rs232_uart_s1_translator.reset} {reset};add_connection {rs232_uart_reset_reset_bridge.out_reset} {rs232_uart_s1_agent.clk_reset} {reset};add_connection {rs232_uart_reset_reset_bridge.out_reset} {rs232_uart_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {rs232_uart_reset_reset_bridge.out_reset} {router_022.clk_reset} {reset};add_connection {rs232_uart_reset_reset_bridge.out_reset} {cmd_mux_021.clk_reset} {reset};add_connection {rs232_uart_reset_reset_bridge.out_reset} {rsp_demux_021.clk_reset} {reset};add_connection {clk_50_clk_clock_bridge.out_clk} {clock_bridge_afi_50_m0_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sync_avalon_mm_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rst_controller_avalon_rst_controller_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m1_ddr2_i2c_sda_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m1_ddr2_i2c_scl_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_BUTTON_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_1ms_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_DIP_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_1us_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_EXT_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sd_card_wp_n_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {temp_scl_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {temp_sda_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m2_ddr2_i2c_sda_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m2_ddr2_i2c_scl_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sdo_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sdi_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sck_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_cs_n_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_adc_fo_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_painel_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rs232_uart_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_ctrl_io_lvds_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_ftdi_umft601a_module_reset_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_iso_logic_signal_enable_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {clock_bridge_afi_50_m0_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sync_avalon_mm_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sync_avalon_mm_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rst_controller_avalon_rst_controller_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m1_ddr2_i2c_sda_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m1_ddr2_i2c_sda_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m1_ddr2_i2c_scl_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m1_ddr2_i2c_scl_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_BUTTON_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_BUTTON_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_1ms_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_1ms_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_DIP_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_DIP_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_1us_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_1us_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_EXT_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_EXT_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sd_card_wp_n_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sd_card_wp_n_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {temp_scl_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {temp_scl_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {temp_sda_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {temp_sda_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m2_ddr2_i2c_sda_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m2_ddr2_i2c_sda_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m2_ddr2_i2c_scl_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m2_ddr2_i2c_scl_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sdo_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sdo_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sdi_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sdi_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sck_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sck_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_cs_n_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_cs_n_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_adc_fo_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_adc_fo_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_painel_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_painel_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rs232_uart_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rs232_uart_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_ctrl_io_lvds_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_ctrl_io_lvds_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_ftdi_umft601a_module_reset_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_iso_logic_signal_enable_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_iso_logic_signal_enable_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_008.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_009.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_010.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_011.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_012.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_013.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_014.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_015.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_016.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_017.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_018.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_019.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_020.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_021.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_022.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_023.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_024.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_025.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {clock_bridge_afi_50_m0_limiter.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_007.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_007.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_008.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_008.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_009.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_009.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_010.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_010.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_011.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_011.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_012.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_012.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_013.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_013.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_014.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_014.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_015.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_015.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_016.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_016.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_017.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_017.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_018.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_018.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_019.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_019.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_020.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_020.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_021.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_021.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_022.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_022.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_023.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_023.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_024.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_024.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {clock_bridge_afi_50_m0_reset_reset_bridge.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rs232_uart_reset_reset_bridge.clk} {clock};add_interface {clk_50_clk} {clock} {slave};set_interface_property {clk_50_clk} {EXPORT_OF} {clk_50_clk_clock_bridge.in_clk};add_interface {clock_bridge_afi_50_m0_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {clock_bridge_afi_50_m0_reset_reset_bridge_in_reset} {EXPORT_OF} {clock_bridge_afi_50_m0_reset_reset_bridge.in_reset};add_interface {rs232_uart_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {rs232_uart_reset_reset_bridge_in_reset} {EXPORT_OF} {rs232_uart_reset_reset_bridge.in_reset};add_interface {clock_bridge_afi_50_m0} {avalon} {slave};set_interface_property {clock_bridge_afi_50_m0} {EXPORT_OF} {clock_bridge_afi_50_m0_translator.avalon_anti_master_0};add_interface {csense_adc_fo_s1} {avalon} {master};set_interface_property {csense_adc_fo_s1} {EXPORT_OF} {csense_adc_fo_s1_translator.avalon_anti_slave_0};add_interface {csense_cs_n_s1} {avalon} {master};set_interface_property {csense_cs_n_s1} {EXPORT_OF} {csense_cs_n_s1_translator.avalon_anti_slave_0};add_interface {csense_sck_s1} {avalon} {master};set_interface_property {csense_sck_s1} {EXPORT_OF} {csense_sck_s1_translator.avalon_anti_slave_0};add_interface {csense_sdi_s1} {avalon} {master};set_interface_property {csense_sdi_s1} {EXPORT_OF} {csense_sdi_s1_translator.avalon_anti_slave_0};add_interface {csense_sdo_s1} {avalon} {master};set_interface_property {csense_sdo_s1} {EXPORT_OF} {csense_sdo_s1_translator.avalon_anti_slave_0};add_interface {m1_ddr2_i2c_scl_s1} {avalon} {master};set_interface_property {m1_ddr2_i2c_scl_s1} {EXPORT_OF} {m1_ddr2_i2c_scl_s1_translator.avalon_anti_slave_0};add_interface {m1_ddr2_i2c_sda_s1} {avalon} {master};set_interface_property {m1_ddr2_i2c_sda_s1} {EXPORT_OF} {m1_ddr2_i2c_sda_s1_translator.avalon_anti_slave_0};add_interface {m2_ddr2_i2c_scl_s1} {avalon} {master};set_interface_property {m2_ddr2_i2c_scl_s1} {EXPORT_OF} {m2_ddr2_i2c_scl_s1_translator.avalon_anti_slave_0};add_interface {m2_ddr2_i2c_sda_s1} {avalon} {master};set_interface_property {m2_ddr2_i2c_sda_s1} {EXPORT_OF} {m2_ddr2_i2c_sda_s1_translator.avalon_anti_slave_0};add_interface {pio_BUTTON_s1} {avalon} {master};set_interface_property {pio_BUTTON_s1} {EXPORT_OF} {pio_BUTTON_s1_translator.avalon_anti_slave_0};add_interface {pio_ctrl_io_lvds_s1} {avalon} {master};set_interface_property {pio_ctrl_io_lvds_s1} {EXPORT_OF} {pio_ctrl_io_lvds_s1_translator.avalon_anti_slave_0};add_interface {pio_DIP_s1} {avalon} {master};set_interface_property {pio_DIP_s1} {EXPORT_OF} {pio_DIP_s1_translator.avalon_anti_slave_0};add_interface {pio_EXT_s1} {avalon} {master};set_interface_property {pio_EXT_s1} {EXPORT_OF} {pio_EXT_s1_translator.avalon_anti_slave_0};add_interface {pio_ftdi_umft601a_module_reset_s1} {avalon} {master};set_interface_property {pio_ftdi_umft601a_module_reset_s1} {EXPORT_OF} {pio_ftdi_umft601a_module_reset_s1_translator.avalon_anti_slave_0};add_interface {pio_iso_logic_signal_enable_s1} {avalon} {master};set_interface_property {pio_iso_logic_signal_enable_s1} {EXPORT_OF} {pio_iso_logic_signal_enable_s1_translator.avalon_anti_slave_0};add_interface {pio_LED_s1} {avalon} {master};set_interface_property {pio_LED_s1} {EXPORT_OF} {pio_LED_s1_translator.avalon_anti_slave_0};add_interface {pio_LED_painel_s1} {avalon} {master};set_interface_property {pio_LED_painel_s1} {EXPORT_OF} {pio_LED_painel_s1_translator.avalon_anti_slave_0};add_interface {rs232_uart_s1} {avalon} {master};set_interface_property {rs232_uart_s1} {EXPORT_OF} {rs232_uart_s1_translator.avalon_anti_slave_0};add_interface {rst_controller_avalon_rst_controller_slave} {avalon} {master};set_interface_property {rst_controller_avalon_rst_controller_slave} {EXPORT_OF} {rst_controller_avalon_rst_controller_slave_translator.avalon_anti_slave_0};add_interface {sd_card_wp_n_s1} {avalon} {master};set_interface_property {sd_card_wp_n_s1} {EXPORT_OF} {sd_card_wp_n_s1_translator.avalon_anti_slave_0};add_interface {sync_avalon_mm_slave} {avalon} {master};set_interface_property {sync_avalon_mm_slave} {EXPORT_OF} {sync_avalon_mm_slave_translator.avalon_anti_slave_0};add_interface {temp_scl_s1} {avalon} {master};set_interface_property {temp_scl_s1} {EXPORT_OF} {temp_scl_s1_translator.avalon_anti_slave_0};add_interface {temp_sda_s1} {avalon} {master};set_interface_property {temp_sda_s1} {EXPORT_OF} {temp_sda_s1_translator.avalon_anti_slave_0};add_interface {timer_1ms_s1} {avalon} {master};set_interface_property {timer_1ms_s1} {EXPORT_OF} {timer_1ms_s1_translator.avalon_anti_slave_0};add_interface {timer_1us_s1} {avalon} {master};set_interface_property {timer_1us_s1} {EXPORT_OF} {timer_1us_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.clock_bridge_afi_50.m0} {0};set_module_assignment {interconnect_id.csense_adc_fo.s1} {0};set_module_assignment {interconnect_id.csense_cs_n.s1} {1};set_module_assignment {interconnect_id.csense_sck.s1} {2};set_module_assignment {interconnect_id.csense_sdi.s1} {3};set_module_assignment {interconnect_id.csense_sdo.s1} {4};set_module_assignment {interconnect_id.m1_ddr2_i2c_scl.s1} {5};set_module_assignment {interconnect_id.m1_ddr2_i2c_sda.s1} {6};set_module_assignment {interconnect_id.m2_ddr2_i2c_scl.s1} {7};set_module_assignment {interconnect_id.m2_ddr2_i2c_sda.s1} {8};set_module_assignment {interconnect_id.pio_BUTTON.s1} {9};set_module_assignment {interconnect_id.pio_DIP.s1} {10};set_module_assignment {interconnect_id.pio_EXT.s1} {11};set_module_assignment {interconnect_id.pio_LED.s1} {12};set_module_assignment {interconnect_id.pio_LED_painel.s1} {13};set_module_assignment {interconnect_id.pio_ctrl_io_lvds.s1} {14};set_module_assignment {interconnect_id.pio_ftdi_umft601a_module_reset.s1} {15};set_module_assignment {interconnect_id.pio_iso_logic_signal_enable.s1} {16};set_module_assignment {interconnect_id.rs232_uart.s1} {17};set_module_assignment {interconnect_id.rst_controller.avalon_rst_controller_slave} {18};set_module_assignment {interconnect_id.sd_card_wp_n.s1} {19};set_module_assignment {interconnect_id.sync.avalon_mm_slave} {20};set_module_assignment {interconnect_id.temp_scl.s1} {21};set_module_assignment {interconnect_id.temp_sda.s1} {22};set_module_assignment {interconnect_id.timer_1ms.s1} {23};set_module_assignment {interconnect_id.timer_1us.s1} {24};]]> +};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {ID} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {BURSTWRAP_VALUE} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {CACHE_VALUE} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_agent} {USE_WRITERESPONSE} {0};add_instance {sync_avalon_mm_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sync_avalon_mm_slave_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {sync_avalon_mm_slave_agent} {ST_DATA_W} {90};set_instance_parameter_value {sync_avalon_mm_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sync_avalon_mm_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sync_avalon_mm_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sync_avalon_mm_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sync_avalon_mm_slave_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {sync_avalon_mm_slave_agent} {ID} {21};set_instance_parameter_value {sync_avalon_mm_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent} {ECC_ENABLE} {0};add_instance {sync_avalon_mm_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sync_avalon_mm_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {rst_controller_avalon_rst_controller_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {ST_DATA_W} {90};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {ID} {19};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent} {ECC_ENABLE} {0};add_instance {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {m1_ddr2_i2c_sda_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {ID} {6};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent} {ECC_ENABLE} {0};add_instance {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {m1_ddr2_i2c_sda_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {m1_ddr2_i2c_scl_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {ID} {5};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent} {ECC_ENABLE} {0};add_instance {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {m1_ddr2_i2c_scl_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_BUTTON_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_BUTTON_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_BUTTON_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {pio_BUTTON_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_BUTTON_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_BUTTON_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_BUTTON_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_BUTTON_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_BUTTON_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_BUTTON_s1_agent} {ID} {9};set_instance_parameter_value {pio_BUTTON_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_BUTTON_s1_agent} {ECC_ENABLE} {0};add_instance {pio_BUTTON_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_BUTTON_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_LED_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_LED_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_LED_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_LED_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_LED_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_LED_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_LED_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_LED_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_LED_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_LED_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_LED_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {pio_LED_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_LED_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_LED_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_LED_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_LED_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_LED_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_LED_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_LED_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_LED_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_LED_s1_agent} {ID} {13};set_instance_parameter_value {pio_LED_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_LED_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_LED_s1_agent} {ECC_ENABLE} {0};add_instance {pio_LED_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_LED_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {timer_1ms_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {timer_1ms_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {timer_1ms_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {timer_1ms_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {timer_1ms_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {timer_1ms_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {timer_1ms_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {timer_1ms_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {timer_1ms_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {timer_1ms_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {timer_1ms_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {timer_1ms_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {timer_1ms_s1_agent} {ID} {24};set_instance_parameter_value {timer_1ms_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {timer_1ms_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {timer_1ms_s1_agent} {ECC_ENABLE} {0};add_instance {timer_1ms_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {timer_1ms_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_DIP_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_DIP_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_DIP_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {pio_DIP_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_DIP_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_DIP_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_DIP_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_DIP_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_DIP_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_DIP_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_DIP_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_DIP_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_DIP_s1_agent} {ID} {10};set_instance_parameter_value {pio_DIP_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_DIP_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_DIP_s1_agent} {ECC_ENABLE} {0};add_instance {pio_DIP_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_DIP_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {timer_1us_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {timer_1us_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {timer_1us_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {timer_1us_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {timer_1us_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {timer_1us_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {timer_1us_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {timer_1us_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {timer_1us_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {timer_1us_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {timer_1us_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {timer_1us_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {timer_1us_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {timer_1us_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {timer_1us_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {timer_1us_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {timer_1us_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {timer_1us_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {timer_1us_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {timer_1us_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {timer_1us_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {timer_1us_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {timer_1us_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {timer_1us_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {timer_1us_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {timer_1us_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {timer_1us_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {timer_1us_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {timer_1us_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {timer_1us_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {timer_1us_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {timer_1us_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {timer_1us_s1_agent} {ID} {25};set_instance_parameter_value {timer_1us_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {timer_1us_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {timer_1us_s1_agent} {ECC_ENABLE} {0};add_instance {timer_1us_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {timer_1us_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_EXT_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_EXT_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_EXT_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {pio_EXT_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_EXT_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_EXT_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_EXT_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_EXT_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_EXT_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_EXT_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_EXT_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_EXT_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_EXT_s1_agent} {ID} {11};set_instance_parameter_value {pio_EXT_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_EXT_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_EXT_s1_agent} {ECC_ENABLE} {0};add_instance {pio_EXT_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_EXT_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sd_card_wp_n_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sd_card_wp_n_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {sd_card_wp_n_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {sd_card_wp_n_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sd_card_wp_n_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sd_card_wp_n_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sd_card_wp_n_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sd_card_wp_n_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {sd_card_wp_n_s1_agent} {ID} {20};set_instance_parameter_value {sd_card_wp_n_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent} {ECC_ENABLE} {0};add_instance {sd_card_wp_n_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sd_card_wp_n_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {temp_scl_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {temp_scl_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {temp_scl_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {temp_scl_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {temp_scl_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {temp_scl_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {temp_scl_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {temp_scl_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {temp_scl_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {temp_scl_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {temp_scl_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {temp_scl_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {temp_scl_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {temp_scl_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {temp_scl_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {temp_scl_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {temp_scl_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {temp_scl_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {temp_scl_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {temp_scl_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {temp_scl_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {temp_scl_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {temp_scl_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {temp_scl_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {temp_scl_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {temp_scl_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {temp_scl_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {temp_scl_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {temp_scl_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {temp_scl_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {temp_scl_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {temp_scl_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {temp_scl_s1_agent} {ID} {22};set_instance_parameter_value {temp_scl_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {temp_scl_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {temp_scl_s1_agent} {ECC_ENABLE} {0};add_instance {temp_scl_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {temp_scl_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {temp_sda_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {temp_sda_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {temp_sda_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {temp_sda_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {temp_sda_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {temp_sda_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {temp_sda_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {temp_sda_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {temp_sda_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {temp_sda_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {temp_sda_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {temp_sda_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {temp_sda_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {temp_sda_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {temp_sda_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {temp_sda_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {temp_sda_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {temp_sda_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {temp_sda_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {temp_sda_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {temp_sda_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {temp_sda_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {temp_sda_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {temp_sda_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {temp_sda_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {temp_sda_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {temp_sda_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {temp_sda_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {temp_sda_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {temp_sda_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {temp_sda_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {temp_sda_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {temp_sda_s1_agent} {ID} {23};set_instance_parameter_value {temp_sda_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {temp_sda_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {temp_sda_s1_agent} {ECC_ENABLE} {0};add_instance {temp_sda_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {temp_sda_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {m2_ddr2_i2c_sda_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {ID} {8};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent} {ECC_ENABLE} {0};add_instance {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {m2_ddr2_i2c_sda_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {m2_ddr2_i2c_scl_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {ID} {7};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent} {ECC_ENABLE} {0};add_instance {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {m2_ddr2_i2c_scl_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {csense_sdo_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {csense_sdo_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {csense_sdo_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {csense_sdo_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {csense_sdo_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {csense_sdo_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {csense_sdo_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {csense_sdo_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {csense_sdo_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {csense_sdo_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {csense_sdo_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {csense_sdo_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {csense_sdo_s1_agent} {ID} {4};set_instance_parameter_value {csense_sdo_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {csense_sdo_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {csense_sdo_s1_agent} {ECC_ENABLE} {0};add_instance {csense_sdo_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {csense_sdo_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {csense_sdi_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {csense_sdi_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {csense_sdi_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {csense_sdi_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {csense_sdi_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {csense_sdi_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {csense_sdi_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {csense_sdi_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {csense_sdi_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {csense_sdi_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {csense_sdi_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {csense_sdi_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {csense_sdi_s1_agent} {ID} {3};set_instance_parameter_value {csense_sdi_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {csense_sdi_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {csense_sdi_s1_agent} {ECC_ENABLE} {0};add_instance {csense_sdi_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {csense_sdi_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {csense_sck_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {csense_sck_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {csense_sck_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {csense_sck_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {csense_sck_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {csense_sck_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {csense_sck_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {csense_sck_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {csense_sck_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {csense_sck_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {csense_sck_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {csense_sck_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {csense_sck_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {csense_sck_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {csense_sck_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {csense_sck_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {csense_sck_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {csense_sck_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {csense_sck_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {csense_sck_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {csense_sck_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {csense_sck_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {csense_sck_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {csense_sck_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {csense_sck_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {csense_sck_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {csense_sck_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {csense_sck_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {csense_sck_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {csense_sck_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {csense_sck_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {csense_sck_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {csense_sck_s1_agent} {ID} {2};set_instance_parameter_value {csense_sck_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {csense_sck_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {csense_sck_s1_agent} {ECC_ENABLE} {0};add_instance {csense_sck_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {csense_sck_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {csense_cs_n_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {csense_cs_n_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {csense_cs_n_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {csense_cs_n_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {csense_cs_n_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {csense_cs_n_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {csense_cs_n_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {csense_cs_n_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {csense_cs_n_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {csense_cs_n_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {csense_cs_n_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {csense_cs_n_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {csense_cs_n_s1_agent} {ID} {1};set_instance_parameter_value {csense_cs_n_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {csense_cs_n_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {csense_cs_n_s1_agent} {ECC_ENABLE} {0};add_instance {csense_cs_n_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {csense_cs_n_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {csense_adc_fo_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {csense_adc_fo_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {csense_adc_fo_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {csense_adc_fo_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {csense_adc_fo_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {csense_adc_fo_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {csense_adc_fo_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {csense_adc_fo_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {csense_adc_fo_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {csense_adc_fo_s1_agent} {ID} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {csense_adc_fo_s1_agent} {ECC_ENABLE} {0};add_instance {csense_adc_fo_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {csense_adc_fo_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_LED_painel_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_LED_painel_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_LED_painel_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {pio_LED_painel_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_LED_painel_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_LED_painel_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_LED_painel_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_LED_painel_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_LED_painel_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_LED_painel_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_LED_painel_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_LED_painel_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_LED_painel_s1_agent} {ID} {12};set_instance_parameter_value {pio_LED_painel_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_LED_painel_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_LED_painel_s1_agent} {ECC_ENABLE} {0};add_instance {pio_LED_painel_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_LED_painel_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {rs232_uart_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {rs232_uart_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {rs232_uart_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {rs232_uart_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {rs232_uart_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {rs232_uart_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {rs232_uart_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {rs232_uart_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {rs232_uart_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {rs232_uart_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {rs232_uart_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {rs232_uart_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {rs232_uart_s1_agent} {ID} {18};set_instance_parameter_value {rs232_uart_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {rs232_uart_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {rs232_uart_s1_agent} {ECC_ENABLE} {0};add_instance {rs232_uart_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {rs232_uart_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_ctrl_io_lvds_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {ID} {14};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent} {ECC_ENABLE} {0};add_instance {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_ctrl_io_lvds_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_ftdi_umft601a_module_reset_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {ID} {15};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent} {ECC_ENABLE} {0};add_instance {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_iso_logic_signal_enable_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {ID} {16};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent} {ECC_ENABLE} {0};add_instance {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_iso_logic_signal_enable_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {pio_rmap_echoing_module_reset_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_ORI_BURST_SIZE_H} {89};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_ORI_BURST_SIZE_L} {87};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_RESPONSE_STATUS_H} {86};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_RESPONSE_STATUS_L} {85};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_BURST_SIZE_H} {60};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_BURST_SIZE_L} {58};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_BEGIN_BURST} {65};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_PROTECTION_H} {80};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_PROTECTION_L} {78};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_BURSTWRAP_H} {57};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_BURSTWRAP_L} {57};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_ADDR_H} {47};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_TRANS_COMPRESSED_READ} {48};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_TRANS_READ} {51};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_SRC_ID_H} {71};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_SRC_ID_L} {67};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_DEST_ID_H} {76};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_DEST_ID_L} {72};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {ST_CHANNEL_W} {26};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {ST_DATA_W} {90};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {MAX_BURSTWRAP} {1};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {ID} {17};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {USE_WRITERESPONSE} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent} {ECC_ENABLE} {0};add_instance {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {91};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {21 19 18 25 24 14 12 0 1 2 3 4 7 8 23 22 20 11 10 13 9 5 6 15 16 17 };set_instance_parameter_value {router} {CHANNEL_ID} {00000000000000000000000001 00000000000000000000000010 00001000000000000000000000 00000000000000000100000000 00000000000000000001000000 00010000000000000000000000 00000100000000000000000000 00000010000000000000000000 00000001000000000000000000 00000000100000000000000000 00000000010000000000000000 00000000001000000000000000 00000000000100000000000000 00000000000010000000000000 00000000000001000000000000 00000000000000100000000000 00000000000000010000000000 00000000000000001000000000 00000000000000000010000000 00000000000000000000100000 00000000000000000000010000 00000000000000000000001000 00000000000000000000000100 00100000000000000000000000 01000000000000000000000000 10000000000000000000000000 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both both both both both both both both both both read both both both both read read read both read both both both both both };set_instance_parameter_value {router} {START_ADDRESS} {0x400 0x800 0x840 0x860 0x880 0x8a0 0x900 0x910 0x920 0x930 0x940 0x950 0x960 0x970 0x980 0x990 0x9a0 0x9b0 0x9c0 0x9d0 0x9e0 0x9f0 0xa00 0xb00 0xb10 0xc00 };set_instance_parameter_value {router} {END_ADDRESS} {0x800 0x840 0x860 0x880 0x8a0 0x8b0 0x910 0x920 0x930 0x940 0x950 0x960 0x970 0x980 0x990 0x9a0 0x9b0 0x9c0 0x9d0 0x9e0 0x9f0 0xa00 0xa10 0xb10 0xb20 0xc10 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {47};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router} {PKT_TRANS_READ} {51};set_instance_parameter_value {router} {ST_DATA_W} {90};set_instance_parameter_value {router} {ST_CHANNEL_W} {26};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {21};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {1 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {47};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_001} {ST_DATA_W} {90};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_001} {DECODER_TYPE} {1};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {1 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {47};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_002} {ST_DATA_W} {90};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {1 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {47};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_003} {ST_DATA_W} {90};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 };set_instance_parameter_value {router_004} {CHANNEL_ID} {1 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {47};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_004} {ST_DATA_W} {90};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {47};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_005} {ST_DATA_W} {90};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {47};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_006} {ST_DATA_W} {90};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {47};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_007} {ST_DATA_W} {90};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {router_008} {altera_merlin_router};set_instance_parameter_value {router_008} {DESTINATION_ID} {0 };set_instance_parameter_value {router_008} {CHANNEL_ID} {1 };set_instance_parameter_value {router_008} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_008} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_008} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_008} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_008} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_008} {SPAN_OFFSET} {};set_instance_parameter_value {router_008} {PKT_ADDR_H} {47};set_instance_parameter_value {router_008} {PKT_ADDR_L} {36};set_instance_parameter_value {router_008} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_008} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_008} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_008} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_008} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_008} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_008} {ST_DATA_W} {90};set_instance_parameter_value {router_008} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_008} {DECODER_TYPE} {1};set_instance_parameter_value {router_008} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_008} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_008} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_008} {MEMORY_ALIASING_DECODE} {0};add_instance {router_009} {altera_merlin_router};set_instance_parameter_value {router_009} {DESTINATION_ID} {0 };set_instance_parameter_value {router_009} {CHANNEL_ID} {1 };set_instance_parameter_value {router_009} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_009} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_009} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_009} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_009} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_009} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_009} {SPAN_OFFSET} {};set_instance_parameter_value {router_009} {PKT_ADDR_H} {47};set_instance_parameter_value {router_009} {PKT_ADDR_L} {36};set_instance_parameter_value {router_009} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_009} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_009} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_009} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_009} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_009} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_009} {ST_DATA_W} {90};set_instance_parameter_value {router_009} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_009} {DECODER_TYPE} {1};set_instance_parameter_value {router_009} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_009} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_009} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_009} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_009} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_009} {MEMORY_ALIASING_DECODE} {0};add_instance {router_010} {altera_merlin_router};set_instance_parameter_value {router_010} {DESTINATION_ID} {0 };set_instance_parameter_value {router_010} {CHANNEL_ID} {1 };set_instance_parameter_value {router_010} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_010} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_010} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_010} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_010} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_010} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_010} {SPAN_OFFSET} {};set_instance_parameter_value {router_010} {PKT_ADDR_H} {47};set_instance_parameter_value {router_010} {PKT_ADDR_L} {36};set_instance_parameter_value {router_010} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_010} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_010} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_010} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_010} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_010} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_010} {ST_DATA_W} {90};set_instance_parameter_value {router_010} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_010} {DECODER_TYPE} {1};set_instance_parameter_value {router_010} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_010} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_010} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_010} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_010} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_010} {MEMORY_ALIASING_DECODE} {0};add_instance {router_011} {altera_merlin_router};set_instance_parameter_value {router_011} {DESTINATION_ID} {0 };set_instance_parameter_value {router_011} {CHANNEL_ID} {1 };set_instance_parameter_value {router_011} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_011} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_011} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_011} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_011} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_011} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_011} {SPAN_OFFSET} {};set_instance_parameter_value {router_011} {PKT_ADDR_H} {47};set_instance_parameter_value {router_011} {PKT_ADDR_L} {36};set_instance_parameter_value {router_011} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_011} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_011} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_011} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_011} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_011} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_011} {ST_DATA_W} {90};set_instance_parameter_value {router_011} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_011} {DECODER_TYPE} {1};set_instance_parameter_value {router_011} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_011} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_011} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_011} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_011} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_011} {MEMORY_ALIASING_DECODE} {0};add_instance {router_012} {altera_merlin_router};set_instance_parameter_value {router_012} {DESTINATION_ID} {0 };set_instance_parameter_value {router_012} {CHANNEL_ID} {1 };set_instance_parameter_value {router_012} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_012} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_012} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_012} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_012} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_012} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_012} {SPAN_OFFSET} {};set_instance_parameter_value {router_012} {PKT_ADDR_H} {47};set_instance_parameter_value {router_012} {PKT_ADDR_L} {36};set_instance_parameter_value {router_012} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_012} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_012} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_012} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_012} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_012} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_012} {ST_DATA_W} {90};set_instance_parameter_value {router_012} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_012} {DECODER_TYPE} {1};set_instance_parameter_value {router_012} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_012} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_012} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_012} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_012} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_012} {MEMORY_ALIASING_DECODE} {0};add_instance {router_013} {altera_merlin_router};set_instance_parameter_value {router_013} {DESTINATION_ID} {0 };set_instance_parameter_value {router_013} {CHANNEL_ID} {1 };set_instance_parameter_value {router_013} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_013} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_013} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_013} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_013} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_013} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_013} {SPAN_OFFSET} {};set_instance_parameter_value {router_013} {PKT_ADDR_H} {47};set_instance_parameter_value {router_013} {PKT_ADDR_L} {36};set_instance_parameter_value {router_013} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_013} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_013} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_013} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_013} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_013} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_013} {ST_DATA_W} {90};set_instance_parameter_value {router_013} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_013} {DECODER_TYPE} {1};set_instance_parameter_value {router_013} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_013} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_013} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_013} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_013} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_013} {MEMORY_ALIASING_DECODE} {0};add_instance {router_014} {altera_merlin_router};set_instance_parameter_value {router_014} {DESTINATION_ID} {0 };set_instance_parameter_value {router_014} {CHANNEL_ID} {1 };set_instance_parameter_value {router_014} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_014} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_014} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_014} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_014} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_014} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_014} {SPAN_OFFSET} {};set_instance_parameter_value {router_014} {PKT_ADDR_H} {47};set_instance_parameter_value {router_014} {PKT_ADDR_L} {36};set_instance_parameter_value {router_014} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_014} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_014} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_014} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_014} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_014} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_014} {ST_DATA_W} {90};set_instance_parameter_value {router_014} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_014} {DECODER_TYPE} {1};set_instance_parameter_value {router_014} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_014} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_014} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_014} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_014} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_014} {MEMORY_ALIASING_DECODE} {0};add_instance {router_015} {altera_merlin_router};set_instance_parameter_value {router_015} {DESTINATION_ID} {0 };set_instance_parameter_value {router_015} {CHANNEL_ID} {1 };set_instance_parameter_value {router_015} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_015} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_015} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_015} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_015} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_015} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_015} {SPAN_OFFSET} {};set_instance_parameter_value {router_015} {PKT_ADDR_H} {47};set_instance_parameter_value {router_015} {PKT_ADDR_L} {36};set_instance_parameter_value {router_015} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_015} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_015} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_015} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_015} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_015} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_015} {ST_DATA_W} {90};set_instance_parameter_value {router_015} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_015} {DECODER_TYPE} {1};set_instance_parameter_value {router_015} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_015} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_015} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_015} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_015} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_015} {MEMORY_ALIASING_DECODE} {0};add_instance {router_016} {altera_merlin_router};set_instance_parameter_value {router_016} {DESTINATION_ID} {0 };set_instance_parameter_value {router_016} {CHANNEL_ID} {1 };set_instance_parameter_value {router_016} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_016} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_016} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_016} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_016} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_016} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_016} {SPAN_OFFSET} {};set_instance_parameter_value {router_016} {PKT_ADDR_H} {47};set_instance_parameter_value {router_016} {PKT_ADDR_L} {36};set_instance_parameter_value {router_016} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_016} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_016} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_016} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_016} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_016} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_016} {ST_DATA_W} {90};set_instance_parameter_value {router_016} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_016} {DECODER_TYPE} {1};set_instance_parameter_value {router_016} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_016} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_016} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_016} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_016} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_016} {MEMORY_ALIASING_DECODE} {0};add_instance {router_017} {altera_merlin_router};set_instance_parameter_value {router_017} {DESTINATION_ID} {0 };set_instance_parameter_value {router_017} {CHANNEL_ID} {1 };set_instance_parameter_value {router_017} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_017} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_017} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_017} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_017} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_017} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_017} {SPAN_OFFSET} {};set_instance_parameter_value {router_017} {PKT_ADDR_H} {47};set_instance_parameter_value {router_017} {PKT_ADDR_L} {36};set_instance_parameter_value {router_017} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_017} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_017} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_017} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_017} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_017} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_017} {ST_DATA_W} {90};set_instance_parameter_value {router_017} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_017} {DECODER_TYPE} {1};set_instance_parameter_value {router_017} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_017} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_017} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_017} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_017} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_017} {MEMORY_ALIASING_DECODE} {0};add_instance {router_018} {altera_merlin_router};set_instance_parameter_value {router_018} {DESTINATION_ID} {0 };set_instance_parameter_value {router_018} {CHANNEL_ID} {1 };set_instance_parameter_value {router_018} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_018} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_018} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_018} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_018} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_018} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_018} {SPAN_OFFSET} {};set_instance_parameter_value {router_018} {PKT_ADDR_H} {47};set_instance_parameter_value {router_018} {PKT_ADDR_L} {36};set_instance_parameter_value {router_018} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_018} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_018} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_018} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_018} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_018} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_018} {ST_DATA_W} {90};set_instance_parameter_value {router_018} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_018} {DECODER_TYPE} {1};set_instance_parameter_value {router_018} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_018} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_018} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_018} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_018} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_018} {MEMORY_ALIASING_DECODE} {0};add_instance {router_019} {altera_merlin_router};set_instance_parameter_value {router_019} {DESTINATION_ID} {0 };set_instance_parameter_value {router_019} {CHANNEL_ID} {1 };set_instance_parameter_value {router_019} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_019} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_019} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_019} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_019} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_019} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_019} {SPAN_OFFSET} {};set_instance_parameter_value {router_019} {PKT_ADDR_H} {47};set_instance_parameter_value {router_019} {PKT_ADDR_L} {36};set_instance_parameter_value {router_019} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_019} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_019} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_019} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_019} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_019} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_019} {ST_DATA_W} {90};set_instance_parameter_value {router_019} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_019} {DECODER_TYPE} {1};set_instance_parameter_value {router_019} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_019} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_019} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_019} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_019} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_019} {MEMORY_ALIASING_DECODE} {0};add_instance {router_020} {altera_merlin_router};set_instance_parameter_value {router_020} {DESTINATION_ID} {0 };set_instance_parameter_value {router_020} {CHANNEL_ID} {1 };set_instance_parameter_value {router_020} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_020} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_020} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_020} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_020} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_020} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_020} {SPAN_OFFSET} {};set_instance_parameter_value {router_020} {PKT_ADDR_H} {47};set_instance_parameter_value {router_020} {PKT_ADDR_L} {36};set_instance_parameter_value {router_020} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_020} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_020} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_020} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_020} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_020} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_020} {ST_DATA_W} {90};set_instance_parameter_value {router_020} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_020} {DECODER_TYPE} {1};set_instance_parameter_value {router_020} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_020} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_020} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_020} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_020} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_020} {MEMORY_ALIASING_DECODE} {0};add_instance {router_021} {altera_merlin_router};set_instance_parameter_value {router_021} {DESTINATION_ID} {0 };set_instance_parameter_value {router_021} {CHANNEL_ID} {1 };set_instance_parameter_value {router_021} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_021} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_021} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_021} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_021} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_021} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_021} {SPAN_OFFSET} {};set_instance_parameter_value {router_021} {PKT_ADDR_H} {47};set_instance_parameter_value {router_021} {PKT_ADDR_L} {36};set_instance_parameter_value {router_021} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_021} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_021} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_021} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_021} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_021} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_021} {ST_DATA_W} {90};set_instance_parameter_value {router_021} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_021} {DECODER_TYPE} {1};set_instance_parameter_value {router_021} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_021} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_021} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_021} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_021} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_021} {MEMORY_ALIASING_DECODE} {0};add_instance {router_022} {altera_merlin_router};set_instance_parameter_value {router_022} {DESTINATION_ID} {0 };set_instance_parameter_value {router_022} {CHANNEL_ID} {1 };set_instance_parameter_value {router_022} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_022} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_022} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_022} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_022} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_022} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_022} {SPAN_OFFSET} {};set_instance_parameter_value {router_022} {PKT_ADDR_H} {47};set_instance_parameter_value {router_022} {PKT_ADDR_L} {36};set_instance_parameter_value {router_022} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_022} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_022} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_022} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_022} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_022} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_022} {ST_DATA_W} {90};set_instance_parameter_value {router_022} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_022} {DECODER_TYPE} {1};set_instance_parameter_value {router_022} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_022} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_022} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_022} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_022} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_022} {MEMORY_ALIASING_DECODE} {0};add_instance {router_023} {altera_merlin_router};set_instance_parameter_value {router_023} {DESTINATION_ID} {0 };set_instance_parameter_value {router_023} {CHANNEL_ID} {1 };set_instance_parameter_value {router_023} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_023} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_023} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_023} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_023} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_023} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_023} {SPAN_OFFSET} {};set_instance_parameter_value {router_023} {PKT_ADDR_H} {47};set_instance_parameter_value {router_023} {PKT_ADDR_L} {36};set_instance_parameter_value {router_023} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_023} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_023} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_023} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_023} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_023} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_023} {ST_DATA_W} {90};set_instance_parameter_value {router_023} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_023} {DECODER_TYPE} {1};set_instance_parameter_value {router_023} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_023} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_023} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_023} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_023} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_023} {MEMORY_ALIASING_DECODE} {0};add_instance {router_024} {altera_merlin_router};set_instance_parameter_value {router_024} {DESTINATION_ID} {0 };set_instance_parameter_value {router_024} {CHANNEL_ID} {1 };set_instance_parameter_value {router_024} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_024} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_024} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_024} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_024} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_024} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_024} {SPAN_OFFSET} {};set_instance_parameter_value {router_024} {PKT_ADDR_H} {47};set_instance_parameter_value {router_024} {PKT_ADDR_L} {36};set_instance_parameter_value {router_024} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_024} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_024} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_024} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_024} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_024} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_024} {ST_DATA_W} {90};set_instance_parameter_value {router_024} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_024} {DECODER_TYPE} {1};set_instance_parameter_value {router_024} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_024} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_024} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_024} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_024} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_024} {MEMORY_ALIASING_DECODE} {0};add_instance {router_025} {altera_merlin_router};set_instance_parameter_value {router_025} {DESTINATION_ID} {0 };set_instance_parameter_value {router_025} {CHANNEL_ID} {1 };set_instance_parameter_value {router_025} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_025} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_025} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_025} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_025} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_025} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_025} {SPAN_OFFSET} {};set_instance_parameter_value {router_025} {PKT_ADDR_H} {47};set_instance_parameter_value {router_025} {PKT_ADDR_L} {36};set_instance_parameter_value {router_025} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_025} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_025} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_025} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_025} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_025} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_025} {ST_DATA_W} {90};set_instance_parameter_value {router_025} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_025} {DECODER_TYPE} {1};set_instance_parameter_value {router_025} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_025} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_025} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_025} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_025} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_025} {MEMORY_ALIASING_DECODE} {0};add_instance {router_026} {altera_merlin_router};set_instance_parameter_value {router_026} {DESTINATION_ID} {0 };set_instance_parameter_value {router_026} {CHANNEL_ID} {1 };set_instance_parameter_value {router_026} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_026} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_026} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_026} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_026} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_026} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_026} {SPAN_OFFSET} {};set_instance_parameter_value {router_026} {PKT_ADDR_H} {47};set_instance_parameter_value {router_026} {PKT_ADDR_L} {36};set_instance_parameter_value {router_026} {PKT_PROTECTION_H} {80};set_instance_parameter_value {router_026} {PKT_PROTECTION_L} {78};set_instance_parameter_value {router_026} {PKT_DEST_ID_H} {76};set_instance_parameter_value {router_026} {PKT_DEST_ID_L} {72};set_instance_parameter_value {router_026} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {router_026} {PKT_TRANS_READ} {51};set_instance_parameter_value {router_026} {ST_DATA_W} {90};set_instance_parameter_value {router_026} {ST_CHANNEL_W} {26};set_instance_parameter_value {router_026} {DECODER_TYPE} {1};set_instance_parameter_value {router_026} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_026} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_026} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_026} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_026} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_026} {MEMORY_ALIASING_DECODE} {0};add_instance {clock_bridge_afi_50_m0_limiter} {altera_merlin_traffic_limiter};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_DEST_ID_H} {76};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_DEST_ID_L} {72};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_SRC_ID_H} {71};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_SRC_ID_L} {67};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_BYTE_CNT_H} {56};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_BYTE_CNT_L} {54};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_TRANS_POSTED} {49};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_TRANS_WRITE} {50};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_THREAD_ID_H} {77};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PKT_THREAD_ID_L} {77};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {MAX_BURST_LENGTH} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {MAX_OUTSTANDING_RESPONSES} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PIPELINED} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {ST_DATA_W} {90};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {ST_CHANNEL_W} {26};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {VALID_WIDTH} {26};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {ENFORCE_ORDER} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {PREVENT_HAZARDS} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {SUPPORTS_POSTED_WRITES} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {SUPPORTS_NONPOSTED_WRITES} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};set_instance_parameter_value {clock_bridge_afi_50_m0_limiter} {REORDER} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {90};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {26};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {26};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_006} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_006} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_006} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_006} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_006} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_006} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_006} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_006} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_007} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_007} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_007} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_007} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_007} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_007} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_007} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_007} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_007} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_008} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_008} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_008} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_008} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_008} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_008} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_008} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_008} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_008} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_009} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_009} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_009} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_009} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_009} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_009} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_009} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_009} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_009} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_009} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_010} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_010} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_010} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_010} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_010} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_010} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_010} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_010} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_010} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_010} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_011} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_011} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_011} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_011} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_011} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_011} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_011} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_011} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_011} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_011} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_012} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_012} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_012} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_012} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_012} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_012} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_012} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_012} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_012} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_012} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_013} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_013} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_013} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_013} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_013} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_013} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_013} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_013} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_013} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_013} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_014} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_014} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_014} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_014} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_014} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_014} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_014} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_014} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_014} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_014} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_015} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_015} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_015} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_015} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_015} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_015} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_015} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_015} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_015} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_015} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_016} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_016} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_016} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_016} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_016} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_016} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_016} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_016} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_016} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_016} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_017} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_017} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_017} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_017} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_017} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_017} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_017} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_017} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_017} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_017} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_018} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_018} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_018} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_018} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_018} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_018} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_018} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_018} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_018} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_018} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_019} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_019} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_019} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_019} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_019} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_019} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_019} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_019} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_019} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_019} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_020} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_020} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_020} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_020} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_020} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_020} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_020} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_020} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_020} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_020} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_021} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_021} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_021} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_021} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_021} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_021} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_021} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_021} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_021} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_021} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_022} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_022} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_022} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_022} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_022} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_022} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_022} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_022} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_022} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_022} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_023} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_023} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_023} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_023} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_023} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_023} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_023} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_023} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_023} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_023} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_024} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_024} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_024} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_024} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_024} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_024} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_024} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_024} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_024} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_024} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_025} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_025} {ST_DATA_W} {90};set_instance_parameter_value {cmd_mux_025} {ST_CHANNEL_W} {26};set_instance_parameter_value {cmd_mux_025} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_025} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_025} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_025} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {cmd_mux_025} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_025} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_025} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_006} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_006} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_006} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_006} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_006} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_007} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_007} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_007} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_007} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_007} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_008} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_008} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_008} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_008} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_008} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_008} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_009} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_009} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_009} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_009} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_009} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_009} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_010} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_010} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_010} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_010} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_010} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_010} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_011} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_011} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_011} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_011} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_011} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_011} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_012} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_012} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_012} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_012} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_012} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_012} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_013} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_013} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_013} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_013} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_013} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_013} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_014} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_014} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_014} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_014} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_014} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_014} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_015} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_015} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_015} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_015} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_015} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_015} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_016} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_016} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_016} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_016} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_016} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_016} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_017} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_017} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_017} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_017} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_017} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_017} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_018} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_018} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_018} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_018} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_018} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_018} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_019} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_019} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_019} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_019} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_019} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_019} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_020} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_020} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_020} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_020} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_020} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_020} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_021} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_021} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_021} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_021} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_021} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_021} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_022} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_022} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_022} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_022} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_022} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_022} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_023} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_023} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_023} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_023} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_023} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_023} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_024} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_024} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_024} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_024} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_024} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_024} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_025} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_025} {ST_DATA_W} {90};set_instance_parameter_value {rsp_demux_025} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_demux_025} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_025} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_025} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {90};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {26};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {26};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {52};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(89:87) response_status(86:85) cache(84:81) protection(80:78) thread_id(77) dest_id(76:72) src_id(71:67) qos(66) begin_burst(65) data_sideband(64) addr_sideband(63) burst_type(62:61) burst_size(60:58) burstwrap(57) byte_cnt(56:54) trans_exclusive(53) trans_lock(52) trans_read(51) trans_write(50) trans_posted(49) trans_compressed_read(48) addr(47:36) byteen(35:32) data(31:0)};add_instance {clock_bridge_afi_50_m0_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {clock_bridge_afi_50_m0_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {clock_bridge_afi_50_m0_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {clock_bridge_afi_50_m0_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {clock_bridge_afi_50_m0_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {rs232_uart_reset_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {rs232_uart_reset_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {rs232_uart_reset_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {rs232_uart_reset_reset_bridge} {NUM_RESET_OUTPUTS} {1};set_instance_parameter_value {rs232_uart_reset_reset_bridge} {USE_RESET_REQUEST} {0};add_instance {clk_50_clk_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {clk_50_clk_clock_bridge} {EXPLICIT_CLOCK_RATE} {50000000};set_instance_parameter_value {clk_50_clk_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {clock_bridge_afi_50_m0_translator.avalon_universal_master_0} {clock_bridge_afi_50_m0_agent.av} {avalon};set_connection_parameter_value {clock_bridge_afi_50_m0_translator.avalon_universal_master_0/clock_bridge_afi_50_m0_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {clock_bridge_afi_50_m0_translator.avalon_universal_master_0/clock_bridge_afi_50_m0_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {clock_bridge_afi_50_m0_translator.avalon_universal_master_0/clock_bridge_afi_50_m0_agent.av} {defaultConnection} {false};add_connection {sync_avalon_mm_slave_agent.m0} {sync_avalon_mm_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sync_avalon_mm_slave_agent.m0/sync_avalon_mm_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sync_avalon_mm_slave_agent.m0/sync_avalon_mm_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sync_avalon_mm_slave_agent.m0/sync_avalon_mm_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sync_avalon_mm_slave_agent.rf_source} {sync_avalon_mm_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sync_avalon_mm_slave_agent_rsp_fifo.out} {sync_avalon_mm_slave_agent.rf_sink} {avalon_streaming};add_connection {sync_avalon_mm_slave_agent.rdata_fifo_src} {sync_avalon_mm_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {sync_avalon_mm_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/sync_avalon_mm_slave_agent.cp} {qsys_mm.command};add_connection {rst_controller_avalon_rst_controller_slave_agent.m0} {rst_controller_avalon_rst_controller_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {rst_controller_avalon_rst_controller_slave_agent.m0/rst_controller_avalon_rst_controller_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {rst_controller_avalon_rst_controller_slave_agent.m0/rst_controller_avalon_rst_controller_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {rst_controller_avalon_rst_controller_slave_agent.m0/rst_controller_avalon_rst_controller_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {rst_controller_avalon_rst_controller_slave_agent.rf_source} {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo.out} {rst_controller_avalon_rst_controller_slave_agent.rf_sink} {avalon_streaming};add_connection {rst_controller_avalon_rst_controller_slave_agent.rdata_fifo_src} {rst_controller_avalon_rst_controller_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {rst_controller_avalon_rst_controller_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/rst_controller_avalon_rst_controller_slave_agent.cp} {qsys_mm.command};add_connection {m1_ddr2_i2c_sda_s1_agent.m0} {m1_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {m1_ddr2_i2c_sda_s1_agent.m0/m1_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {m1_ddr2_i2c_sda_s1_agent.m0/m1_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {m1_ddr2_i2c_sda_s1_agent.m0/m1_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {m1_ddr2_i2c_sda_s1_agent.rf_source} {m1_ddr2_i2c_sda_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {m1_ddr2_i2c_sda_s1_agent_rsp_fifo.out} {m1_ddr2_i2c_sda_s1_agent.rf_sink} {avalon_streaming};add_connection {m1_ddr2_i2c_sda_s1_agent.rdata_fifo_src} {m1_ddr2_i2c_sda_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {m1_ddr2_i2c_sda_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/m1_ddr2_i2c_sda_s1_agent.cp} {qsys_mm.command};add_connection {m1_ddr2_i2c_scl_s1_agent.m0} {m1_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {m1_ddr2_i2c_scl_s1_agent.m0/m1_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {m1_ddr2_i2c_scl_s1_agent.m0/m1_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {m1_ddr2_i2c_scl_s1_agent.m0/m1_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {m1_ddr2_i2c_scl_s1_agent.rf_source} {m1_ddr2_i2c_scl_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {m1_ddr2_i2c_scl_s1_agent_rsp_fifo.out} {m1_ddr2_i2c_scl_s1_agent.rf_sink} {avalon_streaming};add_connection {m1_ddr2_i2c_scl_s1_agent.rdata_fifo_src} {m1_ddr2_i2c_scl_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {m1_ddr2_i2c_scl_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/m1_ddr2_i2c_scl_s1_agent.cp} {qsys_mm.command};add_connection {pio_BUTTON_s1_agent.m0} {pio_BUTTON_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_BUTTON_s1_agent.m0/pio_BUTTON_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_BUTTON_s1_agent.m0/pio_BUTTON_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_BUTTON_s1_agent.m0/pio_BUTTON_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_BUTTON_s1_agent.rf_source} {pio_BUTTON_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_BUTTON_s1_agent_rsp_fifo.out} {pio_BUTTON_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_BUTTON_s1_agent.rdata_fifo_src} {pio_BUTTON_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {pio_BUTTON_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/pio_BUTTON_s1_agent.cp} {qsys_mm.command};add_connection {pio_LED_s1_agent.m0} {pio_LED_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_LED_s1_agent.m0/pio_LED_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_LED_s1_agent.rf_source} {pio_LED_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_LED_s1_agent_rsp_fifo.out} {pio_LED_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_LED_s1_agent.rdata_fifo_src} {pio_LED_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {pio_LED_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/pio_LED_s1_agent.cp} {qsys_mm.command};add_connection {timer_1ms_s1_agent.m0} {timer_1ms_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {timer_1ms_s1_agent.m0/timer_1ms_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {timer_1ms_s1_agent.m0/timer_1ms_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {timer_1ms_s1_agent.m0/timer_1ms_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {timer_1ms_s1_agent.rf_source} {timer_1ms_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {timer_1ms_s1_agent_rsp_fifo.out} {timer_1ms_s1_agent.rf_sink} {avalon_streaming};add_connection {timer_1ms_s1_agent.rdata_fifo_src} {timer_1ms_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_006.src} {timer_1ms_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_006.src/timer_1ms_s1_agent.cp} {qsys_mm.command};add_connection {pio_DIP_s1_agent.m0} {pio_DIP_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_DIP_s1_agent.m0/pio_DIP_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_DIP_s1_agent.m0/pio_DIP_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_DIP_s1_agent.m0/pio_DIP_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_DIP_s1_agent.rf_source} {pio_DIP_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_DIP_s1_agent_rsp_fifo.out} {pio_DIP_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_DIP_s1_agent.rdata_fifo_src} {pio_DIP_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_007.src} {pio_DIP_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_007.src/pio_DIP_s1_agent.cp} {qsys_mm.command};add_connection {timer_1us_s1_agent.m0} {timer_1us_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {timer_1us_s1_agent.m0/timer_1us_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {timer_1us_s1_agent.m0/timer_1us_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {timer_1us_s1_agent.m0/timer_1us_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {timer_1us_s1_agent.rf_source} {timer_1us_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {timer_1us_s1_agent_rsp_fifo.out} {timer_1us_s1_agent.rf_sink} {avalon_streaming};add_connection {timer_1us_s1_agent.rdata_fifo_src} {timer_1us_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_008.src} {timer_1us_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_008.src/timer_1us_s1_agent.cp} {qsys_mm.command};add_connection {pio_EXT_s1_agent.m0} {pio_EXT_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_EXT_s1_agent.m0/pio_EXT_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_EXT_s1_agent.m0/pio_EXT_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_EXT_s1_agent.m0/pio_EXT_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_EXT_s1_agent.rf_source} {pio_EXT_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_EXT_s1_agent_rsp_fifo.out} {pio_EXT_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_EXT_s1_agent.rdata_fifo_src} {pio_EXT_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_009.src} {pio_EXT_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_009.src/pio_EXT_s1_agent.cp} {qsys_mm.command};add_connection {sd_card_wp_n_s1_agent.m0} {sd_card_wp_n_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sd_card_wp_n_s1_agent.m0/sd_card_wp_n_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sd_card_wp_n_s1_agent.m0/sd_card_wp_n_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sd_card_wp_n_s1_agent.m0/sd_card_wp_n_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sd_card_wp_n_s1_agent.rf_source} {sd_card_wp_n_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sd_card_wp_n_s1_agent_rsp_fifo.out} {sd_card_wp_n_s1_agent.rf_sink} {avalon_streaming};add_connection {sd_card_wp_n_s1_agent.rdata_fifo_src} {sd_card_wp_n_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_010.src} {sd_card_wp_n_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_010.src/sd_card_wp_n_s1_agent.cp} {qsys_mm.command};add_connection {temp_scl_s1_agent.m0} {temp_scl_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {temp_scl_s1_agent.m0/temp_scl_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {temp_scl_s1_agent.m0/temp_scl_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {temp_scl_s1_agent.m0/temp_scl_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {temp_scl_s1_agent.rf_source} {temp_scl_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {temp_scl_s1_agent_rsp_fifo.out} {temp_scl_s1_agent.rf_sink} {avalon_streaming};add_connection {temp_scl_s1_agent.rdata_fifo_src} {temp_scl_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_011.src} {temp_scl_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_011.src/temp_scl_s1_agent.cp} {qsys_mm.command};add_connection {temp_sda_s1_agent.m0} {temp_sda_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {temp_sda_s1_agent.m0/temp_sda_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {temp_sda_s1_agent.m0/temp_sda_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {temp_sda_s1_agent.m0/temp_sda_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {temp_sda_s1_agent.rf_source} {temp_sda_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {temp_sda_s1_agent_rsp_fifo.out} {temp_sda_s1_agent.rf_sink} {avalon_streaming};add_connection {temp_sda_s1_agent.rdata_fifo_src} {temp_sda_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_012.src} {temp_sda_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_012.src/temp_sda_s1_agent.cp} {qsys_mm.command};add_connection {m2_ddr2_i2c_sda_s1_agent.m0} {m2_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {m2_ddr2_i2c_sda_s1_agent.m0/m2_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {m2_ddr2_i2c_sda_s1_agent.m0/m2_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {m2_ddr2_i2c_sda_s1_agent.m0/m2_ddr2_i2c_sda_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {m2_ddr2_i2c_sda_s1_agent.rf_source} {m2_ddr2_i2c_sda_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {m2_ddr2_i2c_sda_s1_agent_rsp_fifo.out} {m2_ddr2_i2c_sda_s1_agent.rf_sink} {avalon_streaming};add_connection {m2_ddr2_i2c_sda_s1_agent.rdata_fifo_src} {m2_ddr2_i2c_sda_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_013.src} {m2_ddr2_i2c_sda_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_013.src/m2_ddr2_i2c_sda_s1_agent.cp} {qsys_mm.command};add_connection {m2_ddr2_i2c_scl_s1_agent.m0} {m2_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {m2_ddr2_i2c_scl_s1_agent.m0/m2_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {m2_ddr2_i2c_scl_s1_agent.m0/m2_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {m2_ddr2_i2c_scl_s1_agent.m0/m2_ddr2_i2c_scl_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {m2_ddr2_i2c_scl_s1_agent.rf_source} {m2_ddr2_i2c_scl_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {m2_ddr2_i2c_scl_s1_agent_rsp_fifo.out} {m2_ddr2_i2c_scl_s1_agent.rf_sink} {avalon_streaming};add_connection {m2_ddr2_i2c_scl_s1_agent.rdata_fifo_src} {m2_ddr2_i2c_scl_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_014.src} {m2_ddr2_i2c_scl_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_014.src/m2_ddr2_i2c_scl_s1_agent.cp} {qsys_mm.command};add_connection {csense_sdo_s1_agent.m0} {csense_sdo_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {csense_sdo_s1_agent.m0/csense_sdo_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {csense_sdo_s1_agent.m0/csense_sdo_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {csense_sdo_s1_agent.m0/csense_sdo_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {csense_sdo_s1_agent.rf_source} {csense_sdo_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {csense_sdo_s1_agent_rsp_fifo.out} {csense_sdo_s1_agent.rf_sink} {avalon_streaming};add_connection {csense_sdo_s1_agent.rdata_fifo_src} {csense_sdo_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_015.src} {csense_sdo_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_015.src/csense_sdo_s1_agent.cp} {qsys_mm.command};add_connection {csense_sdi_s1_agent.m0} {csense_sdi_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {csense_sdi_s1_agent.m0/csense_sdi_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {csense_sdi_s1_agent.m0/csense_sdi_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {csense_sdi_s1_agent.m0/csense_sdi_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {csense_sdi_s1_agent.rf_source} {csense_sdi_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {csense_sdi_s1_agent_rsp_fifo.out} {csense_sdi_s1_agent.rf_sink} {avalon_streaming};add_connection {csense_sdi_s1_agent.rdata_fifo_src} {csense_sdi_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_016.src} {csense_sdi_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_016.src/csense_sdi_s1_agent.cp} {qsys_mm.command};add_connection {csense_sck_s1_agent.m0} {csense_sck_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {csense_sck_s1_agent.m0/csense_sck_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {csense_sck_s1_agent.m0/csense_sck_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {csense_sck_s1_agent.m0/csense_sck_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {csense_sck_s1_agent.rf_source} {csense_sck_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {csense_sck_s1_agent_rsp_fifo.out} {csense_sck_s1_agent.rf_sink} {avalon_streaming};add_connection {csense_sck_s1_agent.rdata_fifo_src} {csense_sck_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_017.src} {csense_sck_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_017.src/csense_sck_s1_agent.cp} {qsys_mm.command};add_connection {csense_cs_n_s1_agent.m0} {csense_cs_n_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {csense_cs_n_s1_agent.m0/csense_cs_n_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {csense_cs_n_s1_agent.m0/csense_cs_n_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {csense_cs_n_s1_agent.m0/csense_cs_n_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {csense_cs_n_s1_agent.rf_source} {csense_cs_n_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {csense_cs_n_s1_agent_rsp_fifo.out} {csense_cs_n_s1_agent.rf_sink} {avalon_streaming};add_connection {csense_cs_n_s1_agent.rdata_fifo_src} {csense_cs_n_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_018.src} {csense_cs_n_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_018.src/csense_cs_n_s1_agent.cp} {qsys_mm.command};add_connection {csense_adc_fo_s1_agent.m0} {csense_adc_fo_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {csense_adc_fo_s1_agent.m0/csense_adc_fo_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {csense_adc_fo_s1_agent.m0/csense_adc_fo_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {csense_adc_fo_s1_agent.m0/csense_adc_fo_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {csense_adc_fo_s1_agent.rf_source} {csense_adc_fo_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {csense_adc_fo_s1_agent_rsp_fifo.out} {csense_adc_fo_s1_agent.rf_sink} {avalon_streaming};add_connection {csense_adc_fo_s1_agent.rdata_fifo_src} {csense_adc_fo_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_019.src} {csense_adc_fo_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_019.src/csense_adc_fo_s1_agent.cp} {qsys_mm.command};add_connection {pio_LED_painel_s1_agent.m0} {pio_LED_painel_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_LED_painel_s1_agent.m0/pio_LED_painel_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_LED_painel_s1_agent.m0/pio_LED_painel_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_LED_painel_s1_agent.m0/pio_LED_painel_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_LED_painel_s1_agent.rf_source} {pio_LED_painel_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_LED_painel_s1_agent_rsp_fifo.out} {pio_LED_painel_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_LED_painel_s1_agent.rdata_fifo_src} {pio_LED_painel_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_020.src} {pio_LED_painel_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_020.src/pio_LED_painel_s1_agent.cp} {qsys_mm.command};add_connection {rs232_uart_s1_agent.m0} {rs232_uart_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {rs232_uart_s1_agent.m0/rs232_uart_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {rs232_uart_s1_agent.m0/rs232_uart_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {rs232_uart_s1_agent.m0/rs232_uart_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {rs232_uart_s1_agent.rf_source} {rs232_uart_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {rs232_uart_s1_agent_rsp_fifo.out} {rs232_uart_s1_agent.rf_sink} {avalon_streaming};add_connection {rs232_uart_s1_agent.rdata_fifo_src} {rs232_uart_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_021.src} {rs232_uart_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_021.src/rs232_uart_s1_agent.cp} {qsys_mm.command};add_connection {pio_ctrl_io_lvds_s1_agent.m0} {pio_ctrl_io_lvds_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_ctrl_io_lvds_s1_agent.m0/pio_ctrl_io_lvds_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_ctrl_io_lvds_s1_agent.m0/pio_ctrl_io_lvds_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_ctrl_io_lvds_s1_agent.m0/pio_ctrl_io_lvds_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_ctrl_io_lvds_s1_agent.rf_source} {pio_ctrl_io_lvds_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_ctrl_io_lvds_s1_agent_rsp_fifo.out} {pio_ctrl_io_lvds_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_ctrl_io_lvds_s1_agent.rdata_fifo_src} {pio_ctrl_io_lvds_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_022.src} {pio_ctrl_io_lvds_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_022.src/pio_ctrl_io_lvds_s1_agent.cp} {qsys_mm.command};add_connection {pio_ftdi_umft601a_module_reset_s1_agent.m0} {pio_ftdi_umft601a_module_reset_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent.m0/pio_ftdi_umft601a_module_reset_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent.m0/pio_ftdi_umft601a_module_reset_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_ftdi_umft601a_module_reset_s1_agent.m0/pio_ftdi_umft601a_module_reset_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_ftdi_umft601a_module_reset_s1_agent.rf_source} {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo.out} {pio_ftdi_umft601a_module_reset_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_ftdi_umft601a_module_reset_s1_agent.rdata_fifo_src} {pio_ftdi_umft601a_module_reset_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_023.src} {pio_ftdi_umft601a_module_reset_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_023.src/pio_ftdi_umft601a_module_reset_s1_agent.cp} {qsys_mm.command};add_connection {pio_iso_logic_signal_enable_s1_agent.m0} {pio_iso_logic_signal_enable_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_iso_logic_signal_enable_s1_agent.m0/pio_iso_logic_signal_enable_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_iso_logic_signal_enable_s1_agent.m0/pio_iso_logic_signal_enable_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_iso_logic_signal_enable_s1_agent.m0/pio_iso_logic_signal_enable_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_iso_logic_signal_enable_s1_agent.rf_source} {pio_iso_logic_signal_enable_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_iso_logic_signal_enable_s1_agent_rsp_fifo.out} {pio_iso_logic_signal_enable_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_iso_logic_signal_enable_s1_agent.rdata_fifo_src} {pio_iso_logic_signal_enable_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_024.src} {pio_iso_logic_signal_enable_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_024.src/pio_iso_logic_signal_enable_s1_agent.cp} {qsys_mm.command};add_connection {pio_rmap_echoing_module_reset_s1_agent.m0} {pio_rmap_echoing_module_reset_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {pio_rmap_echoing_module_reset_s1_agent.m0/pio_rmap_echoing_module_reset_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {pio_rmap_echoing_module_reset_s1_agent.m0/pio_rmap_echoing_module_reset_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {pio_rmap_echoing_module_reset_s1_agent.m0/pio_rmap_echoing_module_reset_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {pio_rmap_echoing_module_reset_s1_agent.rf_source} {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo.out} {pio_rmap_echoing_module_reset_s1_agent.rf_sink} {avalon_streaming};add_connection {pio_rmap_echoing_module_reset_s1_agent.rdata_fifo_src} {pio_rmap_echoing_module_reset_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_025.src} {pio_rmap_echoing_module_reset_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_025.src/pio_rmap_echoing_module_reset_s1_agent.cp} {qsys_mm.command};add_connection {clock_bridge_afi_50_m0_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {clock_bridge_afi_50_m0_agent.cp/router.sink} {qsys_mm.command};add_connection {sync_avalon_mm_slave_agent.rp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {sync_avalon_mm_slave_agent.rp/router_001.sink} {qsys_mm.response};add_connection {router_001.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_001.src/rsp_demux.sink} {qsys_mm.response};add_connection {rst_controller_avalon_rst_controller_slave_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {rst_controller_avalon_rst_controller_slave_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {m1_ddr2_i2c_sda_s1_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {m1_ddr2_i2c_sda_s1_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {m1_ddr2_i2c_scl_s1_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {m1_ddr2_i2c_scl_s1_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {pio_BUTTON_s1_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {pio_BUTTON_s1_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {pio_LED_s1_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {pio_LED_s1_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {timer_1ms_s1_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {timer_1ms_s1_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_006.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_006.sink} {qsys_mm.response};add_connection {pio_DIP_s1_agent.rp} {router_008.sink} {avalon_streaming};preview_set_connection_tag {pio_DIP_s1_agent.rp/router_008.sink} {qsys_mm.response};add_connection {router_008.src} {rsp_demux_007.sink} {avalon_streaming};preview_set_connection_tag {router_008.src/rsp_demux_007.sink} {qsys_mm.response};add_connection {timer_1us_s1_agent.rp} {router_009.sink} {avalon_streaming};preview_set_connection_tag {timer_1us_s1_agent.rp/router_009.sink} {qsys_mm.response};add_connection {router_009.src} {rsp_demux_008.sink} {avalon_streaming};preview_set_connection_tag {router_009.src/rsp_demux_008.sink} {qsys_mm.response};add_connection {pio_EXT_s1_agent.rp} {router_010.sink} {avalon_streaming};preview_set_connection_tag {pio_EXT_s1_agent.rp/router_010.sink} {qsys_mm.response};add_connection {router_010.src} {rsp_demux_009.sink} {avalon_streaming};preview_set_connection_tag {router_010.src/rsp_demux_009.sink} {qsys_mm.response};add_connection {sd_card_wp_n_s1_agent.rp} {router_011.sink} {avalon_streaming};preview_set_connection_tag {sd_card_wp_n_s1_agent.rp/router_011.sink} {qsys_mm.response};add_connection {router_011.src} {rsp_demux_010.sink} {avalon_streaming};preview_set_connection_tag {router_011.src/rsp_demux_010.sink} {qsys_mm.response};add_connection {temp_scl_s1_agent.rp} {router_012.sink} {avalon_streaming};preview_set_connection_tag {temp_scl_s1_agent.rp/router_012.sink} {qsys_mm.response};add_connection {router_012.src} {rsp_demux_011.sink} {avalon_streaming};preview_set_connection_tag {router_012.src/rsp_demux_011.sink} {qsys_mm.response};add_connection {temp_sda_s1_agent.rp} {router_013.sink} {avalon_streaming};preview_set_connection_tag {temp_sda_s1_agent.rp/router_013.sink} {qsys_mm.response};add_connection {router_013.src} {rsp_demux_012.sink} {avalon_streaming};preview_set_connection_tag {router_013.src/rsp_demux_012.sink} {qsys_mm.response};add_connection {m2_ddr2_i2c_sda_s1_agent.rp} {router_014.sink} {avalon_streaming};preview_set_connection_tag {m2_ddr2_i2c_sda_s1_agent.rp/router_014.sink} {qsys_mm.response};add_connection {router_014.src} {rsp_demux_013.sink} {avalon_streaming};preview_set_connection_tag {router_014.src/rsp_demux_013.sink} {qsys_mm.response};add_connection {m2_ddr2_i2c_scl_s1_agent.rp} {router_015.sink} {avalon_streaming};preview_set_connection_tag {m2_ddr2_i2c_scl_s1_agent.rp/router_015.sink} {qsys_mm.response};add_connection {router_015.src} {rsp_demux_014.sink} {avalon_streaming};preview_set_connection_tag {router_015.src/rsp_demux_014.sink} {qsys_mm.response};add_connection {csense_sdo_s1_agent.rp} {router_016.sink} {avalon_streaming};preview_set_connection_tag {csense_sdo_s1_agent.rp/router_016.sink} {qsys_mm.response};add_connection {router_016.src} {rsp_demux_015.sink} {avalon_streaming};preview_set_connection_tag {router_016.src/rsp_demux_015.sink} {qsys_mm.response};add_connection {csense_sdi_s1_agent.rp} {router_017.sink} {avalon_streaming};preview_set_connection_tag {csense_sdi_s1_agent.rp/router_017.sink} {qsys_mm.response};add_connection {router_017.src} {rsp_demux_016.sink} {avalon_streaming};preview_set_connection_tag {router_017.src/rsp_demux_016.sink} {qsys_mm.response};add_connection {csense_sck_s1_agent.rp} {router_018.sink} {avalon_streaming};preview_set_connection_tag {csense_sck_s1_agent.rp/router_018.sink} {qsys_mm.response};add_connection {router_018.src} {rsp_demux_017.sink} {avalon_streaming};preview_set_connection_tag {router_018.src/rsp_demux_017.sink} {qsys_mm.response};add_connection {csense_cs_n_s1_agent.rp} {router_019.sink} {avalon_streaming};preview_set_connection_tag {csense_cs_n_s1_agent.rp/router_019.sink} {qsys_mm.response};add_connection {router_019.src} {rsp_demux_018.sink} {avalon_streaming};preview_set_connection_tag {router_019.src/rsp_demux_018.sink} {qsys_mm.response};add_connection {csense_adc_fo_s1_agent.rp} {router_020.sink} {avalon_streaming};preview_set_connection_tag {csense_adc_fo_s1_agent.rp/router_020.sink} {qsys_mm.response};add_connection {router_020.src} {rsp_demux_019.sink} {avalon_streaming};preview_set_connection_tag {router_020.src/rsp_demux_019.sink} {qsys_mm.response};add_connection {pio_LED_painel_s1_agent.rp} {router_021.sink} {avalon_streaming};preview_set_connection_tag {pio_LED_painel_s1_agent.rp/router_021.sink} {qsys_mm.response};add_connection {router_021.src} {rsp_demux_020.sink} {avalon_streaming};preview_set_connection_tag {router_021.src/rsp_demux_020.sink} {qsys_mm.response};add_connection {rs232_uart_s1_agent.rp} {router_022.sink} {avalon_streaming};preview_set_connection_tag {rs232_uart_s1_agent.rp/router_022.sink} {qsys_mm.response};add_connection {router_022.src} {rsp_demux_021.sink} {avalon_streaming};preview_set_connection_tag {router_022.src/rsp_demux_021.sink} {qsys_mm.response};add_connection {pio_ctrl_io_lvds_s1_agent.rp} {router_023.sink} {avalon_streaming};preview_set_connection_tag {pio_ctrl_io_lvds_s1_agent.rp/router_023.sink} {qsys_mm.response};add_connection {router_023.src} {rsp_demux_022.sink} {avalon_streaming};preview_set_connection_tag {router_023.src/rsp_demux_022.sink} {qsys_mm.response};add_connection {pio_ftdi_umft601a_module_reset_s1_agent.rp} {router_024.sink} {avalon_streaming};preview_set_connection_tag {pio_ftdi_umft601a_module_reset_s1_agent.rp/router_024.sink} {qsys_mm.response};add_connection {router_024.src} {rsp_demux_023.sink} {avalon_streaming};preview_set_connection_tag {router_024.src/rsp_demux_023.sink} {qsys_mm.response};add_connection {pio_iso_logic_signal_enable_s1_agent.rp} {router_025.sink} {avalon_streaming};preview_set_connection_tag {pio_iso_logic_signal_enable_s1_agent.rp/router_025.sink} {qsys_mm.response};add_connection {router_025.src} {rsp_demux_024.sink} {avalon_streaming};preview_set_connection_tag {router_025.src/rsp_demux_024.sink} {qsys_mm.response};add_connection {pio_rmap_echoing_module_reset_s1_agent.rp} {router_026.sink} {avalon_streaming};preview_set_connection_tag {pio_rmap_echoing_module_reset_s1_agent.rp/router_026.sink} {qsys_mm.response};add_connection {router_026.src} {rsp_demux_025.sink} {avalon_streaming};preview_set_connection_tag {router_026.src/rsp_demux_025.sink} {qsys_mm.response};add_connection {router.src} {clock_bridge_afi_50_m0_limiter.cmd_sink} {avalon_streaming};preview_set_connection_tag {router.src/clock_bridge_afi_50_m0_limiter.cmd_sink} {qsys_mm.command};add_connection {clock_bridge_afi_50_m0_limiter.cmd_src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {clock_bridge_afi_50_m0_limiter.cmd_src/cmd_demux.sink} {qsys_mm.command};add_connection {rsp_mux.src} {clock_bridge_afi_50_m0_limiter.rsp_sink} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/clock_bridge_afi_50_m0_limiter.rsp_sink} {qsys_mm.response};add_connection {clock_bridge_afi_50_m0_limiter.rsp_src} {clock_bridge_afi_50_m0_agent.rp} {avalon_streaming};preview_set_connection_tag {clock_bridge_afi_50_m0_limiter.rsp_src/clock_bridge_afi_50_m0_agent.rp} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux.src5} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src5/cmd_mux_005.sink0} {qsys_mm.command};add_connection {cmd_demux.src6} {cmd_mux_006.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src6/cmd_mux_006.sink0} {qsys_mm.command};add_connection {cmd_demux.src7} {cmd_mux_007.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src7/cmd_mux_007.sink0} {qsys_mm.command};add_connection {cmd_demux.src8} {cmd_mux_008.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src8/cmd_mux_008.sink0} {qsys_mm.command};add_connection {cmd_demux.src9} {cmd_mux_009.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src9/cmd_mux_009.sink0} {qsys_mm.command};add_connection {cmd_demux.src10} {cmd_mux_010.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src10/cmd_mux_010.sink0} {qsys_mm.command};add_connection {cmd_demux.src11} {cmd_mux_011.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src11/cmd_mux_011.sink0} {qsys_mm.command};add_connection {cmd_demux.src12} {cmd_mux_012.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src12/cmd_mux_012.sink0} {qsys_mm.command};add_connection {cmd_demux.src13} {cmd_mux_013.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src13/cmd_mux_013.sink0} {qsys_mm.command};add_connection {cmd_demux.src14} {cmd_mux_014.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src14/cmd_mux_014.sink0} {qsys_mm.command};add_connection {cmd_demux.src15} {cmd_mux_015.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src15/cmd_mux_015.sink0} {qsys_mm.command};add_connection {cmd_demux.src16} {cmd_mux_016.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src16/cmd_mux_016.sink0} {qsys_mm.command};add_connection {cmd_demux.src17} {cmd_mux_017.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src17/cmd_mux_017.sink0} {qsys_mm.command};add_connection {cmd_demux.src18} {cmd_mux_018.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src18/cmd_mux_018.sink0} {qsys_mm.command};add_connection {cmd_demux.src19} {cmd_mux_019.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src19/cmd_mux_019.sink0} {qsys_mm.command};add_connection {cmd_demux.src20} {cmd_mux_020.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src20/cmd_mux_020.sink0} {qsys_mm.command};add_connection {cmd_demux.src21} {cmd_mux_021.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src21/cmd_mux_021.sink0} {qsys_mm.command};add_connection {cmd_demux.src22} {cmd_mux_022.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src22/cmd_mux_022.sink0} {qsys_mm.command};add_connection {cmd_demux.src23} {cmd_mux_023.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src23/cmd_mux_023.sink0} {qsys_mm.command};add_connection {cmd_demux.src24} {cmd_mux_024.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src24/cmd_mux_024.sink0} {qsys_mm.command};add_connection {cmd_demux.src25} {cmd_mux_025.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src25/cmd_mux_025.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux.sink2} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux.sink5} {qsys_mm.response};add_connection {rsp_demux_006.src0} {rsp_mux.sink6} {avalon_streaming};preview_set_connection_tag {rsp_demux_006.src0/rsp_mux.sink6} {qsys_mm.response};add_connection {rsp_demux_007.src0} {rsp_mux.sink7} {avalon_streaming};preview_set_connection_tag {rsp_demux_007.src0/rsp_mux.sink7} {qsys_mm.response};add_connection {rsp_demux_008.src0} {rsp_mux.sink8} {avalon_streaming};preview_set_connection_tag {rsp_demux_008.src0/rsp_mux.sink8} {qsys_mm.response};add_connection {rsp_demux_009.src0} {rsp_mux.sink9} {avalon_streaming};preview_set_connection_tag {rsp_demux_009.src0/rsp_mux.sink9} {qsys_mm.response};add_connection {rsp_demux_010.src0} {rsp_mux.sink10} {avalon_streaming};preview_set_connection_tag {rsp_demux_010.src0/rsp_mux.sink10} {qsys_mm.response};add_connection {rsp_demux_011.src0} {rsp_mux.sink11} {avalon_streaming};preview_set_connection_tag {rsp_demux_011.src0/rsp_mux.sink11} {qsys_mm.response};add_connection {rsp_demux_012.src0} {rsp_mux.sink12} {avalon_streaming};preview_set_connection_tag {rsp_demux_012.src0/rsp_mux.sink12} {qsys_mm.response};add_connection {rsp_demux_013.src0} {rsp_mux.sink13} {avalon_streaming};preview_set_connection_tag {rsp_demux_013.src0/rsp_mux.sink13} {qsys_mm.response};add_connection {rsp_demux_014.src0} {rsp_mux.sink14} {avalon_streaming};preview_set_connection_tag {rsp_demux_014.src0/rsp_mux.sink14} {qsys_mm.response};add_connection {rsp_demux_015.src0} {rsp_mux.sink15} {avalon_streaming};preview_set_connection_tag {rsp_demux_015.src0/rsp_mux.sink15} {qsys_mm.response};add_connection {rsp_demux_016.src0} {rsp_mux.sink16} {avalon_streaming};preview_set_connection_tag {rsp_demux_016.src0/rsp_mux.sink16} {qsys_mm.response};add_connection {rsp_demux_017.src0} {rsp_mux.sink17} {avalon_streaming};preview_set_connection_tag {rsp_demux_017.src0/rsp_mux.sink17} {qsys_mm.response};add_connection {rsp_demux_018.src0} {rsp_mux.sink18} {avalon_streaming};preview_set_connection_tag {rsp_demux_018.src0/rsp_mux.sink18} {qsys_mm.response};add_connection {rsp_demux_019.src0} {rsp_mux.sink19} {avalon_streaming};preview_set_connection_tag {rsp_demux_019.src0/rsp_mux.sink19} {qsys_mm.response};add_connection {rsp_demux_020.src0} {rsp_mux.sink20} {avalon_streaming};preview_set_connection_tag {rsp_demux_020.src0/rsp_mux.sink20} {qsys_mm.response};add_connection {rsp_demux_021.src0} {rsp_mux.sink21} {avalon_streaming};preview_set_connection_tag {rsp_demux_021.src0/rsp_mux.sink21} {qsys_mm.response};add_connection {rsp_demux_022.src0} {rsp_mux.sink22} {avalon_streaming};preview_set_connection_tag {rsp_demux_022.src0/rsp_mux.sink22} {qsys_mm.response};add_connection {rsp_demux_023.src0} {rsp_mux.sink23} {avalon_streaming};preview_set_connection_tag {rsp_demux_023.src0/rsp_mux.sink23} {qsys_mm.response};add_connection {rsp_demux_024.src0} {rsp_mux.sink24} {avalon_streaming};preview_set_connection_tag {rsp_demux_024.src0/rsp_mux.sink24} {qsys_mm.response};add_connection {rsp_demux_025.src0} {rsp_mux.sink25} {avalon_streaming};preview_set_connection_tag {rsp_demux_025.src0/rsp_mux.sink25} {qsys_mm.response};add_connection {clock_bridge_afi_50_m0_limiter.cmd_valid} {cmd_demux.sink_valid} {avalon_streaming};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {clock_bridge_afi_50_m0_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {sync_avalon_mm_slave_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rst_controller_avalon_rst_controller_slave_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m1_ddr2_i2c_sda_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m1_ddr2_i2c_scl_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_BUTTON_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_LED_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {timer_1ms_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_DIP_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {timer_1us_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_EXT_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {sd_card_wp_n_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {temp_scl_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {temp_sda_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m2_ddr2_i2c_sda_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m2_ddr2_i2c_scl_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sdo_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sdi_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sck_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_cs_n_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_adc_fo_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_LED_painel_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_ctrl_io_lvds_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_ftdi_umft601a_module_reset_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_iso_logic_signal_enable_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_rmap_echoing_module_reset_s1_translator.reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {clock_bridge_afi_50_m0_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {sync_avalon_mm_slave_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {sync_avalon_mm_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rst_controller_avalon_rst_controller_slave_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m1_ddr2_i2c_sda_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m1_ddr2_i2c_sda_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m1_ddr2_i2c_scl_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m1_ddr2_i2c_scl_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_BUTTON_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_BUTTON_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_LED_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_LED_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {timer_1ms_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {timer_1ms_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_DIP_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_DIP_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {timer_1us_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {timer_1us_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_EXT_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_EXT_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {sd_card_wp_n_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {sd_card_wp_n_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {temp_scl_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {temp_scl_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {temp_sda_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {temp_sda_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m2_ddr2_i2c_sda_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m2_ddr2_i2c_sda_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m2_ddr2_i2c_scl_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {m2_ddr2_i2c_scl_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sdo_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sdo_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sdi_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sdi_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sck_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_sck_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_cs_n_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_cs_n_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_adc_fo_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {csense_adc_fo_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_LED_painel_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_LED_painel_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_ctrl_io_lvds_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_ctrl_io_lvds_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_ftdi_umft601a_module_reset_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_iso_logic_signal_enable_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_iso_logic_signal_enable_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_rmap_echoing_module_reset_s1_agent.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_008.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_009.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_010.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_011.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_012.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_013.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_014.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_015.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_016.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_017.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_018.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_019.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_020.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_021.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_023.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_024.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_025.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {router_026.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {clock_bridge_afi_50_m0_limiter.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_006.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_007.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_008.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_009.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_010.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_011.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_012.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_013.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_014.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_015.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_016.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_017.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_018.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_019.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_020.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_022.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_023.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_024.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {cmd_mux_025.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_006.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_007.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_008.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_009.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_010.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_011.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_012.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_013.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_014.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_015.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_016.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_017.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_018.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_019.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_020.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_022.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_023.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_024.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_demux_025.clk_reset} {reset};add_connection {clock_bridge_afi_50_m0_reset_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {rs232_uart_reset_reset_bridge.out_reset} {rs232_uart_s1_translator.reset} {reset};add_connection {rs232_uart_reset_reset_bridge.out_reset} {rs232_uart_s1_agent.clk_reset} {reset};add_connection {rs232_uart_reset_reset_bridge.out_reset} {rs232_uart_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {rs232_uart_reset_reset_bridge.out_reset} {router_022.clk_reset} {reset};add_connection {rs232_uart_reset_reset_bridge.out_reset} {cmd_mux_021.clk_reset} {reset};add_connection {rs232_uart_reset_reset_bridge.out_reset} {rsp_demux_021.clk_reset} {reset};add_connection {clk_50_clk_clock_bridge.out_clk} {clock_bridge_afi_50_m0_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sync_avalon_mm_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rst_controller_avalon_rst_controller_slave_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m1_ddr2_i2c_sda_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m1_ddr2_i2c_scl_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_BUTTON_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_1ms_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_DIP_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_1us_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_EXT_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sd_card_wp_n_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {temp_scl_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {temp_sda_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m2_ddr2_i2c_sda_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m2_ddr2_i2c_scl_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sdo_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sdi_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sck_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_cs_n_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_adc_fo_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_painel_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rs232_uart_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_ctrl_io_lvds_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_ftdi_umft601a_module_reset_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_iso_logic_signal_enable_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_rmap_echoing_module_reset_s1_translator.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {clock_bridge_afi_50_m0_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sync_avalon_mm_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sync_avalon_mm_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rst_controller_avalon_rst_controller_slave_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rst_controller_avalon_rst_controller_slave_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m1_ddr2_i2c_sda_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m1_ddr2_i2c_sda_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m1_ddr2_i2c_scl_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m1_ddr2_i2c_scl_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_BUTTON_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_BUTTON_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_1ms_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_1ms_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_DIP_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_DIP_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_1us_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {timer_1us_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_EXT_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_EXT_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sd_card_wp_n_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {sd_card_wp_n_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {temp_scl_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {temp_scl_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {temp_sda_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {temp_sda_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m2_ddr2_i2c_sda_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m2_ddr2_i2c_sda_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m2_ddr2_i2c_scl_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {m2_ddr2_i2c_scl_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sdo_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sdo_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sdi_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sdi_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sck_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_sck_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_cs_n_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_cs_n_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_adc_fo_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {csense_adc_fo_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_painel_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_LED_painel_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rs232_uart_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rs232_uart_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_ctrl_io_lvds_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_ctrl_io_lvds_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_ftdi_umft601a_module_reset_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_ftdi_umft601a_module_reset_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_iso_logic_signal_enable_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_iso_logic_signal_enable_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_rmap_echoing_module_reset_s1_agent.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {pio_rmap_echoing_module_reset_s1_agent_rsp_fifo.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_008.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_009.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_010.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_011.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_012.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_013.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_014.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_015.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_016.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_017.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_018.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_019.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_020.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_021.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_022.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_023.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_024.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_025.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {router_026.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {clock_bridge_afi_50_m0_limiter.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_006.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_007.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_007.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_008.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_008.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_009.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_009.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_010.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_010.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_011.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_011.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_012.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_012.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_013.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_013.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_014.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_014.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_015.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_015.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_016.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_016.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_017.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_017.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_018.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_018.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_019.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_019.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_020.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_020.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_021.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_021.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_022.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_022.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_023.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_023.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_024.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_024.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {cmd_mux_025.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rsp_demux_025.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {clock_bridge_afi_50_m0_reset_reset_bridge.clk} {clock};add_connection {clk_50_clk_clock_bridge.out_clk} {rs232_uart_reset_reset_bridge.clk} {clock};add_interface {clk_50_clk} {clock} {slave};set_interface_property {clk_50_clk} {EXPORT_OF} {clk_50_clk_clock_bridge.in_clk};add_interface {clock_bridge_afi_50_m0_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {clock_bridge_afi_50_m0_reset_reset_bridge_in_reset} {EXPORT_OF} {clock_bridge_afi_50_m0_reset_reset_bridge.in_reset};add_interface {rs232_uart_reset_reset_bridge_in_reset} {reset} {slave};set_interface_property {rs232_uart_reset_reset_bridge_in_reset} {EXPORT_OF} {rs232_uart_reset_reset_bridge.in_reset};add_interface {clock_bridge_afi_50_m0} {avalon} {slave};set_interface_property {clock_bridge_afi_50_m0} {EXPORT_OF} {clock_bridge_afi_50_m0_translator.avalon_anti_master_0};add_interface {csense_adc_fo_s1} {avalon} {master};set_interface_property {csense_adc_fo_s1} {EXPORT_OF} {csense_adc_fo_s1_translator.avalon_anti_slave_0};add_interface {csense_cs_n_s1} {avalon} {master};set_interface_property {csense_cs_n_s1} {EXPORT_OF} {csense_cs_n_s1_translator.avalon_anti_slave_0};add_interface {csense_sck_s1} {avalon} {master};set_interface_property {csense_sck_s1} {EXPORT_OF} {csense_sck_s1_translator.avalon_anti_slave_0};add_interface {csense_sdi_s1} {avalon} {master};set_interface_property {csense_sdi_s1} {EXPORT_OF} {csense_sdi_s1_translator.avalon_anti_slave_0};add_interface {csense_sdo_s1} {avalon} {master};set_interface_property {csense_sdo_s1} {EXPORT_OF} {csense_sdo_s1_translator.avalon_anti_slave_0};add_interface {m1_ddr2_i2c_scl_s1} {avalon} {master};set_interface_property {m1_ddr2_i2c_scl_s1} {EXPORT_OF} {m1_ddr2_i2c_scl_s1_translator.avalon_anti_slave_0};add_interface {m1_ddr2_i2c_sda_s1} {avalon} {master};set_interface_property {m1_ddr2_i2c_sda_s1} {EXPORT_OF} {m1_ddr2_i2c_sda_s1_translator.avalon_anti_slave_0};add_interface {m2_ddr2_i2c_scl_s1} {avalon} {master};set_interface_property {m2_ddr2_i2c_scl_s1} {EXPORT_OF} {m2_ddr2_i2c_scl_s1_translator.avalon_anti_slave_0};add_interface {m2_ddr2_i2c_sda_s1} {avalon} {master};set_interface_property {m2_ddr2_i2c_sda_s1} {EXPORT_OF} {m2_ddr2_i2c_sda_s1_translator.avalon_anti_slave_0};add_interface {pio_BUTTON_s1} {avalon} {master};set_interface_property {pio_BUTTON_s1} {EXPORT_OF} {pio_BUTTON_s1_translator.avalon_anti_slave_0};add_interface {pio_ctrl_io_lvds_s1} {avalon} {master};set_interface_property {pio_ctrl_io_lvds_s1} {EXPORT_OF} {pio_ctrl_io_lvds_s1_translator.avalon_anti_slave_0};add_interface {pio_DIP_s1} {avalon} {master};set_interface_property {pio_DIP_s1} {EXPORT_OF} {pio_DIP_s1_translator.avalon_anti_slave_0};add_interface {pio_EXT_s1} {avalon} {master};set_interface_property {pio_EXT_s1} {EXPORT_OF} {pio_EXT_s1_translator.avalon_anti_slave_0};add_interface {pio_ftdi_umft601a_module_reset_s1} {avalon} {master};set_interface_property {pio_ftdi_umft601a_module_reset_s1} {EXPORT_OF} {pio_ftdi_umft601a_module_reset_s1_translator.avalon_anti_slave_0};add_interface {pio_iso_logic_signal_enable_s1} {avalon} {master};set_interface_property {pio_iso_logic_signal_enable_s1} {EXPORT_OF} {pio_iso_logic_signal_enable_s1_translator.avalon_anti_slave_0};add_interface {pio_LED_s1} {avalon} {master};set_interface_property {pio_LED_s1} {EXPORT_OF} {pio_LED_s1_translator.avalon_anti_slave_0};add_interface {pio_LED_painel_s1} {avalon} {master};set_interface_property {pio_LED_painel_s1} {EXPORT_OF} {pio_LED_painel_s1_translator.avalon_anti_slave_0};add_interface {pio_rmap_echoing_module_reset_s1} {avalon} {master};set_interface_property {pio_rmap_echoing_module_reset_s1} {EXPORT_OF} {pio_rmap_echoing_module_reset_s1_translator.avalon_anti_slave_0};add_interface {rs232_uart_s1} {avalon} {master};set_interface_property {rs232_uart_s1} {EXPORT_OF} {rs232_uart_s1_translator.avalon_anti_slave_0};add_interface {rst_controller_avalon_rst_controller_slave} {avalon} {master};set_interface_property {rst_controller_avalon_rst_controller_slave} {EXPORT_OF} {rst_controller_avalon_rst_controller_slave_translator.avalon_anti_slave_0};add_interface {sd_card_wp_n_s1} {avalon} {master};set_interface_property {sd_card_wp_n_s1} {EXPORT_OF} {sd_card_wp_n_s1_translator.avalon_anti_slave_0};add_interface {sync_avalon_mm_slave} {avalon} {master};set_interface_property {sync_avalon_mm_slave} {EXPORT_OF} {sync_avalon_mm_slave_translator.avalon_anti_slave_0};add_interface {temp_scl_s1} {avalon} {master};set_interface_property {temp_scl_s1} {EXPORT_OF} {temp_scl_s1_translator.avalon_anti_slave_0};add_interface {temp_sda_s1} {avalon} {master};set_interface_property {temp_sda_s1} {EXPORT_OF} {temp_sda_s1_translator.avalon_anti_slave_0};add_interface {timer_1ms_s1} {avalon} {master};set_interface_property {timer_1ms_s1} {EXPORT_OF} {timer_1ms_s1_translator.avalon_anti_slave_0};add_interface {timer_1us_s1} {avalon} {master};set_interface_property {timer_1us_s1} {EXPORT_OF} {timer_1us_s1_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.clock_bridge_afi_50.m0} {0};set_module_assignment {interconnect_id.csense_adc_fo.s1} {0};set_module_assignment {interconnect_id.csense_cs_n.s1} {1};set_module_assignment {interconnect_id.csense_sck.s1} {2};set_module_assignment {interconnect_id.csense_sdi.s1} {3};set_module_assignment {interconnect_id.csense_sdo.s1} {4};set_module_assignment {interconnect_id.m1_ddr2_i2c_scl.s1} {5};set_module_assignment {interconnect_id.m1_ddr2_i2c_sda.s1} {6};set_module_assignment {interconnect_id.m2_ddr2_i2c_scl.s1} {7};set_module_assignment {interconnect_id.m2_ddr2_i2c_sda.s1} {8};set_module_assignment {interconnect_id.pio_BUTTON.s1} {9};set_module_assignment {interconnect_id.pio_DIP.s1} {10};set_module_assignment {interconnect_id.pio_EXT.s1} {11};set_module_assignment {interconnect_id.pio_LED.s1} {12};set_module_assignment {interconnect_id.pio_LED_painel.s1} {13};set_module_assignment {interconnect_id.pio_ctrl_io_lvds.s1} {14};set_module_assignment {interconnect_id.pio_ftdi_umft601a_module_reset.s1} {15};set_module_assignment {interconnect_id.pio_iso_logic_signal_enable.s1} {16};set_module_assignment {interconnect_id.pio_rmap_echoing_module_reset.s1} {17};set_module_assignment {interconnect_id.rs232_uart.s1} {18};set_module_assignment {interconnect_id.rst_controller.avalon_rst_controller_slave} {19};set_module_assignment {interconnect_id.sd_card_wp_n.s1} {20};set_module_assignment {interconnect_id.sync.avalon_mm_slave} {21};set_module_assignment {interconnect_id.temp_scl.s1} {22};set_module_assignment {interconnect_id.temp_sda.s1} {23};set_module_assignment {interconnect_id.timer_1ms.s1} {24};set_module_assignment {interconnect_id.timer_1us.s1} {25};]]> false true true @@ -110297,45 +111137,328 @@ parameters are a RESULT of the module parameters. --> avalon true - m2_ddr2_i2c_sda_s1_address + m2_ddr2_i2c_sda_s1_address + Output + 2 + address + + + m2_ddr2_i2c_sda_s1_write + Output + 1 + write + + + m2_ddr2_i2c_sda_s1_readdata + Input + 32 + readdata + + + m2_ddr2_i2c_sda_s1_writedata + Output + 32 + writedata + + + m2_ddr2_i2c_sda_s1_chipselect + Output + 1 + chipselect + + + false + m2_ddr2_i2c_sda + s1 + m2_ddr2_i2c_sda.s1 + 0 + 16 + + + + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + int + 0 + false + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk_50_clk + false + true + true + true + + + java.lang.String + clock_bridge_afi_50_m0_reset_reset_bridge_in_reset + false + true + true + true + + + int + 8 + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 0 + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 32 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + false + true + + + int + 0 + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + true + + pio_BUTTON_s1_address Output 2 address - m2_ddr2_i2c_sda_s1_write - Output - 1 - write - - - m2_ddr2_i2c_sda_s1_readdata + pio_BUTTON_s1_readdata Input 32 readdata - - m2_ddr2_i2c_sda_s1_writedata - Output - 32 - writedata - - - m2_ddr2_i2c_sda_s1_chipselect - Output - 1 - chipselect - false - m2_ddr2_i2c_sda + pio_BUTTON s1 - m2_ddr2_i2c_sda.s1 + pio_BUTTON.s1 0 16 - + @@ -110598,27 +111721,45 @@ parameters are a RESULT of the module parameters. --> avalon true - pio_BUTTON_s1_address + pio_ctrl_io_lvds_s1_address Output 2 address - pio_BUTTON_s1_readdata + pio_ctrl_io_lvds_s1_write + Output + 1 + write + + + pio_ctrl_io_lvds_s1_readdata Input 32 readdata + + pio_ctrl_io_lvds_s1_writedata + Output + 32 + writedata + + + pio_ctrl_io_lvds_s1_chipselect + Output + 1 + chipselect + false - pio_BUTTON + pio_ctrl_io_lvds s1 - pio_BUTTON.s1 + pio_ctrl_io_lvds.s1 0 16 - + @@ -110881,45 +112022,27 @@ parameters are a RESULT of the module parameters. --> avalon true - pio_ctrl_io_lvds_s1_address + pio_DIP_s1_address Output 2 address - pio_ctrl_io_lvds_s1_write - Output - 1 - write - - - pio_ctrl_io_lvds_s1_readdata + pio_DIP_s1_readdata Input 32 readdata - - pio_ctrl_io_lvds_s1_writedata - Output - 32 - writedata - - - pio_ctrl_io_lvds_s1_chipselect - Output - 1 - chipselect - false - pio_ctrl_io_lvds + pio_DIP s1 - pio_ctrl_io_lvds.s1 + pio_DIP.s1 0 16 - + @@ -111182,27 +112305,30 @@ parameters are a RESULT of the module parameters. --> avalon true - pio_DIP_s1_address + pio_EXT_s1_address Output 2 address - pio_DIP_s1_readdata + pio_EXT_s1_readdata Input 32 readdata false - pio_DIP + pio_EXT s1 - pio_DIP.s1 + pio_EXT.s1 0 16 - + @@ -111465,28 +112591,46 @@ parameters are a RESULT of the module parameters. --> avalon true - pio_EXT_s1_address + pio_ftdi_umft601a_module_reset_s1_address Output 2 address - pio_EXT_s1_readdata + pio_ftdi_umft601a_module_reset_s1_write + Output + 1 + write + + + pio_ftdi_umft601a_module_reset_s1_readdata Input 32 readdata + + pio_ftdi_umft601a_module_reset_s1_writedata + Output + 32 + writedata + + + pio_ftdi_umft601a_module_reset_s1_chipselect + Output + 1 + chipselect + false - pio_EXT + pio_ftdi_umft601a_module_reset s1 - pio_EXT.s1 + pio_ftdi_umft601a_module_reset.s1 0 16 avalon true - pio_ftdi_umft601a_module_reset_s1_address + pio_iso_logic_signal_enable_s1_address Output 2 address - pio_ftdi_umft601a_module_reset_s1_write + pio_iso_logic_signal_enable_s1_write Output 1 write - pio_ftdi_umft601a_module_reset_s1_readdata + pio_iso_logic_signal_enable_s1_readdata Input 32 readdata - pio_ftdi_umft601a_module_reset_s1_writedata + pio_iso_logic_signal_enable_s1_writedata Output 32 writedata - pio_ftdi_umft601a_module_reset_s1_chipselect + pio_iso_logic_signal_enable_s1_chipselect Output 1 chipselect false - pio_ftdi_umft601a_module_reset + pio_iso_logic_signal_enable s1 - pio_ftdi_umft601a_module_reset.s1 + pio_iso_logic_signal_enable.s1 0 16 - + @@ -112055,45 +113196,45 @@ parameters are a RESULT of the module parameters. --> avalon true - pio_iso_logic_signal_enable_s1_address + pio_LED_s1_address Output 2 address - pio_iso_logic_signal_enable_s1_write + pio_LED_s1_write Output 1 write - pio_iso_logic_signal_enable_s1_readdata + pio_LED_s1_readdata Input 32 readdata - pio_iso_logic_signal_enable_s1_writedata + pio_LED_s1_writedata Output 32 writedata - pio_iso_logic_signal_enable_s1_chipselect + pio_LED_s1_chipselect Output 1 chipselect false - pio_iso_logic_signal_enable + pio_LED s1 - pio_iso_logic_signal_enable.s1 + pio_LED.s1 0 16 - + @@ -112356,45 +113497,48 @@ parameters are a RESULT of the module parameters. --> avalon true - pio_LED_s1_address + pio_LED_painel_s1_address Output 2 address - pio_LED_s1_write + pio_LED_painel_s1_write Output 1 write - pio_LED_s1_readdata + pio_LED_painel_s1_readdata Input 32 readdata - pio_LED_s1_writedata + pio_LED_painel_s1_writedata Output 32 writedata - pio_LED_s1_chipselect + pio_LED_painel_s1_chipselect Output 1 chipselect false - pio_LED + pio_LED_painel s1 - pio_LED.s1 + pio_LED_painel.s1 0 16 - + @@ -112657,40 +113801,40 @@ parameters are a RESULT of the module parameters. --> avalon true - pio_LED_painel_s1_address + pio_rmap_echoing_module_reset_s1_address Output 2 address - pio_LED_painel_s1_write + pio_rmap_echoing_module_reset_s1_write Output 1 write - pio_LED_painel_s1_readdata + pio_rmap_echoing_module_reset_s1_readdata Input 32 readdata - pio_LED_painel_s1_writedata + pio_rmap_echoing_module_reset_s1_writedata Output 32 writedata - pio_LED_painel_s1_chipselect + pio_rmap_echoing_module_reset_s1_chipselect Output 1 chipselect false - pio_LED_painel + pio_rmap_echoing_module_reset s1 - pio_LED_painel.s1 + pio_rmap_echoing_module_reset.s1 0 16 @@ -124616,6 +125760,33 @@ parameters are a RESULT of the module parameters. --> pio_iso_logic_signal_enable clk + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_50 + clk + pio_rmap_echoing_module_reset + clk + pio_iso_logic_signal_enable s1 + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x0000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + mm_interconnect_2 + pio_rmap_echoing_module_reset_s1 + pio_rmap_echoing_module_reset + s1 + pio_iso_logic_signal_enable reset + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + rst_controller_003 + reset_out + pio_rmap_echoing_module_reset + reset + 1.6 - 104 + 106 reset_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -137833,7 +139082,7 @@ parameters are a RESULT of the module parameters. --> 18.1 - 103 + 104 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -137841,7 +139090,7 @@ parameters are a RESULT of the module parameters. --> 18.1 - 168 + 169 conduit_end com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -137849,7 +139098,7 @@ parameters are a RESULT of the module parameters. --> 18.1 - 77 + 78 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -137857,7 +139106,7 @@ parameters are a RESULT of the module parameters. --> 18.1 - 77 + 78 avalon_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint @@ -137894,7 +139143,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule RMAP_Echoing - 1.3 + 1.4 8 @@ -137969,7 +139218,7 @@ parameters are a RESULT of the module parameters. --> 18.1 - 20 + 21 altera_avalon_pio com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule @@ -138177,7 +139426,7 @@ parameters are a RESULT of the module parameters. --> 18.1 - 75 + 76 clock com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -138209,7 +139458,7 @@ parameters are a RESULT of the module parameters. --> 18.1 - 77 + 78 avalon com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -138233,7 +139482,7 @@ parameters are a RESULT of the module parameters. --> 18.1 - 128 + 129 reset com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection @@ -138241,5 +139490,5 @@ parameters are a RESULT of the module parameters. --> 18.1 18.1 625 - 0A00270000030000017CC6D573C6 + 8CAE4CCB91DF0000018766A5A62E diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/MebX_Qsys_Project.qip b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/MebX_Qsys_Project.qip index ecde0193..e23406f6 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/MebX_Qsys_Project.qip +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/MebX_Qsys_Project.qip @@ -2,7 +2,7 @@ set_global_assignment -entity "MebX_Qsys_Project" -library "MebX_Qsys_Project" - set_global_assignment -entity "MebX_Qsys_Project" -library "MebX_Qsys_Project" -name IP_TOOL_VERSION "18.1" set_global_assignment -entity "MebX_Qsys_Project" -library "MebX_Qsys_Project" -name IP_TOOL_ENV "Qsys" set_global_assignment -library "MebX_Qsys_Project" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../MebX_Qsys_Project.sopcinfo"] -set_global_assignment -entity "MebX_Qsys_Project" -library "MebX_Qsys_Project" -name SLD_INFO "QSYS_NAME MebX_Qsys_Project HAS_SOPCINFO 1 GENERATION_ID 1635423393" +set_global_assignment -entity "MebX_Qsys_Project" -library "MebX_Qsys_Project" -name SLD_INFO "QSYS_NAME MebX_Qsys_Project HAS_SOPCINFO 1 GENERATION_ID 1681054324" set_global_assignment -library "MebX_Qsys_Project" -name MISC_FILE [file join $::quartus(qip_path) "../MebX_Qsys_Project.cmp"] set_global_assignment -library "MebX_Qsys_Project" -name SLD_FILE [file join $::quartus(qip_path) "MebX_Qsys_Project.regmap"] set_global_assignment -library "MebX_Qsys_Project" -name SLD_FILE [file join $::quartus(qip_path) "MebX_Qsys_Project.debuginfo"] @@ -16,7 +16,7 @@ set_global_assignment -entity "MebX_Qsys_Project" -library "MebX_Qsys_Project" - set_global_assignment -entity "MebX_Qsys_Project" -library "MebX_Qsys_Project" -name IP_COMPONENT_REPORT_HIERARCHY "On" set_global_assignment -entity "MebX_Qsys_Project" -library "MebX_Qsys_Project" -name IP_COMPONENT_INTERNAL "Off" set_global_assignment -entity "MebX_Qsys_Project" -library "MebX_Qsys_Project" -name IP_COMPONENT_VERSION "MS4w" -set_global_assignment -entity "MebX_Qsys_Project" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTYzNTQyMzM5Mw==::QXV0byBHRU5FUkFUSU9OX0lE" +set_global_assignment -entity "MebX_Qsys_Project" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTY4MTA1NDMyNA==::QXV0byBHRU5FUkFUSU9OX0lE" set_global_assignment -entity "MebX_Qsys_Project" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::U3RyYXRpeCBJVg==::QXV0byBERVZJQ0VfRkFNSUxZ" set_global_assignment -entity "MebX_Qsys_Project" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0U0dYNTMwS0g0MEMy::QXV0byBERVZJQ0U=" set_global_assignment -entity "MebX_Qsys_Project" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Mg==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" @@ -591,13 +591,13 @@ set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_mux" -lib set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4=" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTA=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjU=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::MjU=::TnVtYmVyIG9mIG11eCBpbnB1dHM=" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjY=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::MjY=::TnVtYmVyIG9mIG11eCBpbnB1dHM=" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NTI=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::bm8tYXJi::QXJiaXRyYXRpb24gc2NoZW1l" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMQ==::QXJiaXRyYXRpb24gc2hhcmVz" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwx::QXJiaXRyYXRpb24gc2hhcmVz" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoODk6ODcpIHJlc3BvbnNlX3N0YXR1cyg4Njo4NSkgY2FjaGUoODQ6ODEpIHByb3RlY3Rpb24oODA6NzgpIHRocmVhZF9pZCg3NykgZGVzdF9pZCg3Njo3Mikgc3JjX2lkKDcxOjY3KSBxb3MoNjYpIGJlZ2luX2J1cnN0KDY1KSBkYXRhX3NpZGViYW5kKDY0KSBhZGRyX3NpZGViYW5kKDYzKSBidXJzdF90eXBlKDYyOjYxKSBidXJzdF9zaXplKDYwOjU4KSBidXJzdHdyYXAoNTcpIGJ5dGVfY250KDU2OjU0KSB0cmFuc19leGNsdXNpdmUoNTMpIHRyYW5zX2xvY2soNTIpIHRyYW5zX3JlYWQoNTEpIHRyYW5zX3dyaXRlKDUwKSB0cmFuc19wb3N0ZWQoNDkpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg0OCkgYWRkcig0NzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_NAME "TWViWF9Rc3lzX1Byb2plY3RfbW1faW50ZXJjb25uZWN0XzJfcnNwX2RlbXV4" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy" @@ -607,7 +607,7 @@ set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_demux" -l set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTA=::UGFja2V0IGRhdGEgd2lkdGg=" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjU=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjY=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::MQ==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM=" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg=" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_rsp_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoODk6ODcpIHJlc3BvbnNlX3N0YXR1cyg4Njo4NSkgY2FjaGUoODQ6ODEpIHByb3RlY3Rpb24oODA6NzgpIHRocmVhZF9pZCg3NykgZGVzdF9pZCg3Njo3Mikgc3JjX2lkKDcxOjY3KSBxb3MoNjYpIGJlZ2luX2J1cnN0KDY1KSBkYXRhX3NpZGViYW5kKDY0KSBhZGRyX3NpZGViYW5kKDYzKSBidXJzdF90eXBlKDYyOjYxKSBidXJzdF9zaXplKDYwOjU4KSBidXJzdHdyYXAoNTcpIGJ5dGVfY250KDU2OjU0KSB0cmFuc19leGNsdXNpdmUoNTMpIHRyYW5zX2xvY2soNTIpIHRyYW5zX3JlYWQoNTEpIHRyYW5zX3dyaXRlKDUwKSB0cmFuc19wb3N0ZWQoNDkpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg0OCkgYWRkcig0NzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" @@ -621,7 +621,7 @@ set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_mux" -lib set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4=" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTA=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjU=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjY=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::MQ==::TnVtYmVyIG9mIG11eCBpbnB1dHM=" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MQ==::UGlwZWxpbmVkIGFyYml0cmF0aW9u" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_mux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u" @@ -637,9 +637,9 @@ set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_demux" -l set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTA=::UGFja2V0IGRhdGEgd2lkdGg=" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjU=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::MjU=::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM=" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MjU=::VmFsaWQgd2lkdGg=" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjY=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::MjY=::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM=" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MjY=::VmFsaWQgd2lkdGg=" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoODk6ODcpIHJlc3BvbnNlX3N0YXR1cyg4Njo4NSkgY2FjaGUoODQ6ODEpIHByb3RlY3Rpb24oODA6NzgpIHRocmVhZF9pZCg3NykgZGVzdF9pZCg3Njo3Mikgc3JjX2lkKDcxOjY3KSBxb3MoNjYpIGJlZ2luX2J1cnN0KDY1KSBkYXRhX3NpZGViYW5kKDY0KSBhZGRyX3NpZGViYW5kKDYzKSBidXJzdF90eXBlKDYyOjYxKSBidXJzdF9zaXplKDYwOjU4KSBidXJzdHdyYXAoNTcpIGJ5dGVfY250KDU2OjU0KSB0cmFuc19leGNsdXNpdmUoNTMpIHRyYW5zX2xvY2soNTIpIHRyYW5zX3JlYWQoNTEpIHRyYW5zX3dyaXRlKDUwKSB0cmFuc19wb3N0ZWQoNDkpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg0OCkgYWRkcig0NzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::U3RyYXRpeCBJVg==::QXV0byBERVZJQ0VfRkFNSUxZ" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_cmd_demux" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::NTAwMDAwMDA=::QXV0byBDTE9DS19SQVRF" @@ -698,7 +698,7 @@ set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router_001" - set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router_001" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTA=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router_001" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTE=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router_001" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTA=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router_001" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjU=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router_001" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjY=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router_001" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MDoxOjB4MDoweDA6Ym90aDoxOjA6MDox::U0xBVkVTX0lORk8=" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router_001" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router_001" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs" @@ -714,14 +714,14 @@ set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -libr set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_VERSION "MTguMQ==" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MjAsMTgsMTcsMjQsMjMsMTQsMTIsMCwxLDIsMyw0LDcsOCwyMiwyMSwxOSwxMSwxMCwxMyw5LDUsNiwxNSwxNg==::RGVzdGluYXRpb24gSUQ=" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMSwwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDEwLDAwMDEwMDAwMDAwMDAwMDAwMDAwMDAwMDAsMDAwMDAwMDAwMDAwMDAwMDEwMDAwMDAwMCwwMDAwMDAwMDAwMDAwMDAwMDAxMDAwMDAwLDAwMTAwMDAwMDAwMDAwMDAwMDAwMDAwMDAsMDAwMDEwMDAwMDAwMDAwMDAwMDAwMDAwMCwwMDAwMDEwMDAwMDAwMDAwMDAwMDAwMDAwLDAwMDAwMDEwMDAwMDAwMDAwMDAwMDAwMDAsMDAwMDAwMDEwMDAwMDAwMDAwMDAwMDAwMCwwMDAwMDAwMDEwMDAwMDAwMDAwMDAwMDAwLDAwMDAwMDAwMDEwMDAwMDAwMDAwMDAwMDAsMDAwMDAwMDAwMDEwMDAwMDAwMDAwMDAwMCwwMDAwMDAwMDAwMDEwMDAwMDAwMDAwMDAwLDAwMDAwMDAwMDAwMDEwMDAwMDAwMDAwMDAsMDAwMDAwMDAwMDAwMDEwMDAwMDAwMDAwMCwwMDAwMDAwMDAwMDAwMDEwMDAwMDAwMDAwLDAwMDAwMDAwMDAwMDAwMDEwMDAwMDAwMDAsMDAwMDAwMDAwMDAwMDAwMDAxMDAwMDAwMCwwMDAwMDAwMDAwMDAwMDAwMDAwMTAwMDAwLDAwMDAwMDAwMDAwMDAwMDAwMDAwMTAwMDAsMDAwMDAwMDAwMDAwMDAwMDAwMDAwMTAwMCwwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMTAwLDAxMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAsMTAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMA==::QmluYXJ5IENoYW5uZWwgU3RyaW5n" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aCxib3RoLGJvdGgsYm90aCxib3RoLGJvdGgsYm90aCxib3RoLGJvdGgsYm90aCxib3RoLHJlYWQsYm90aCxib3RoLGJvdGgsYm90aCxyZWFkLHJlYWQscmVhZCxib3RoLHJlYWQsYm90aCxib3RoLGJvdGgsYm90aA==::VHlwZSBvZiBUcmFuc2FjdGlvbg==" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHg0MDAsMHg4MDAsMHg4NDAsMHg4NjAsMHg4ODAsMHg4YTAsMHg5MDAsMHg5MTAsMHg5MjAsMHg5MzAsMHg5NDAsMHg5NTAsMHg5NjAsMHg5NzAsMHg5ODAsMHg5OTAsMHg5YTAsMHg5YjAsMHg5YzAsMHg5ZDAsMHg5ZTAsMHg5ZjAsMHhhMDAsMHhiMDAsMHhiMTA=::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHg4MDAsMHg4NDAsMHg4NjAsMHg4ODAsMHg4YTAsMHg4YjAsMHg5MTAsMHg5MjAsMHg5MzAsMHg5NDAsMHg5NTAsMHg5NjAsMHg5NzAsMHg5ODAsMHg5OTAsMHg5YTAsMHg5YjAsMHg5YzAsMHg5ZDAsMHg5ZTAsMHg5ZjAsMHhhMDAsMHhhMTAsMHhiMTAsMHhiMjA=::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ==" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMQ==::Tm9uLXNlY3VyZWQgdGFncw==" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMA==::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM=" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMA==::U2VjdXJlZCByYW5nZSBwYWlycw==" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MjEsMTksMTgsMjUsMjQsMTQsMTIsMCwxLDIsMyw0LDcsOCwyMywyMiwyMCwxMSwxMCwxMyw5LDUsNiwxNSwxNiwxNw==::RGVzdGluYXRpb24gSUQ=" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDEsMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMTAsMDAwMDEwMDAwMDAwMDAwMDAwMDAwMDAwMDAsMDAwMDAwMDAwMDAwMDAwMDAxMDAwMDAwMDAsMDAwMDAwMDAwMDAwMDAwMDAwMDEwMDAwMDAsMDAwMTAwMDAwMDAwMDAwMDAwMDAwMDAwMDAsMDAwMDAxMDAwMDAwMDAwMDAwMDAwMDAwMDAsMDAwMDAwMTAwMDAwMDAwMDAwMDAwMDAwMDAsMDAwMDAwMDEwMDAwMDAwMDAwMDAwMDAwMDAsMDAwMDAwMDAxMDAwMDAwMDAwMDAwMDAwMDAsMDAwMDAwMDAwMTAwMDAwMDAwMDAwMDAwMDAsMDAwMDAwMDAwMDEwMDAwMDAwMDAwMDAwMDAsMDAwMDAwMDAwMDAxMDAwMDAwMDAwMDAwMDAsMDAwMDAwMDAwMDAwMTAwMDAwMDAwMDAwMDAsMDAwMDAwMDAwMDAwMDEwMDAwMDAwMDAwMDAsMDAwMDAwMDAwMDAwMDAxMDAwMDAwMDAwMDAsMDAwMDAwMDAwMDAwMDAwMTAwMDAwMDAwMDAsMDAwMDAwMDAwMDAwMDAwMDEwMDAwMDAwMDAsMDAwMDAwMDAwMDAwMDAwMDAwMTAwMDAwMDAsMDAwMDAwMDAwMDAwMDAwMDAwMDAxMDAwMDAsMDAwMDAwMDAwMDAwMDAwMDAwMDAwMTAwMDAsMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDEwMDAsMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAxMDAsMDAxMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAsMDEwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAsMTAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDA=::QmluYXJ5IENoYW5uZWwgU3RyaW5n" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aCxib3RoLGJvdGgsYm90aCxib3RoLGJvdGgsYm90aCxib3RoLGJvdGgsYm90aCxib3RoLHJlYWQsYm90aCxib3RoLGJvdGgsYm90aCxyZWFkLHJlYWQscmVhZCxib3RoLHJlYWQsYm90aCxib3RoLGJvdGgsYm90aCxib3Ro::VHlwZSBvZiBUcmFuc2FjdGlvbg==" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHg0MDAsMHg4MDAsMHg4NDAsMHg4NjAsMHg4ODAsMHg4YTAsMHg5MDAsMHg5MTAsMHg5MjAsMHg5MzAsMHg5NDAsMHg5NTAsMHg5NjAsMHg5NzAsMHg5ODAsMHg5OTAsMHg5YTAsMHg5YjAsMHg5YzAsMHg5ZDAsMHg5ZTAsMHg5ZjAsMHhhMDAsMHhiMDAsMHhiMTAsMHhjMDA=::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHg4MDAsMHg4NDAsMHg4NjAsMHg4ODAsMHg4YTAsMHg4YjAsMHg5MTAsMHg5MjAsMHg5MzAsMHg5NDAsMHg5NTAsMHg5NjAsMHg5NzAsMHg5ODAsMHg5OTAsMHg5YTAsMHg5YjAsMHg5YzAsMHg5ZDAsMHg5ZTAsMHg5ZjAsMHhhMDAsMHhhMTAsMHhiMTAsMHhiMjAsMHhjMTA=::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ==" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwxLDEsMSwx::Tm9uLXNlY3VyZWQgdGFncw==" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCww::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM=" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCwwLDAsMCww::U2VjdXJlZCByYW5nZSBwYWlycw==" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NDc=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c=" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODA=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA==" @@ -731,13 +731,13 @@ set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -libr set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTA=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTE=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg=" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTA=::U3RyZWFtaW5nIGRhdGEgd2lkdGg=" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjU=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MjA6MDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMToweDQwMDoweDgwMDpib3RoOjE6MDowOjEsMTg6MDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAxMDoweDgwMDoweDg0MDpib3RoOjE6MDowOjEsMTc6MDAwMTAwMDAwMDAwMDAwMDAwMDAwMDAwMDoweDg0MDoweDg2MDpib3RoOjE6MDowOjEsMjQ6MDAwMDAwMDAwMDAwMDAwMDEwMDAwMDAwMDoweDg2MDoweDg4MDpib3RoOjE6MDowOjEsMjM6MDAwMDAwMDAwMDAwMDAwMDAwMTAwMDAwMDoweDg4MDoweDhhMDpib3RoOjE6MDowOjEsMTQ6MDAxMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDoweDhhMDoweDhiMDpib3RoOjE6MDowOjEsMTI6MDAwMDEwMDAwMDAwMDAwMDAwMDAwMDAwMDoweDkwMDoweDkxMDpib3RoOjE6MDowOjEsMDowMDAwMDEwMDAwMDAwMDAwMDAwMDAwMDAwOjB4OTEwOjB4OTIwOmJvdGg6MTowOjA6MSwxOjAwMDAwMDEwMDAwMDAwMDAwMDAwMDAwMDA6MHg5MjA6MHg5MzA6Ym90aDoxOjA6MDoxLDI6MDAwMDAwMDEwMDAwMDAwMDAwMDAwMDAwMDoweDkzMDoweDk0MDpib3RoOjE6MDowOjEsMzowMDAwMDAwMDEwMDAwMDAwMDAwMDAwMDAwOjB4OTQwOjB4OTUwOmJvdGg6MTowOjA6MSw0OjAwMDAwMDAwMDEwMDAwMDAwMDAwMDAwMDA6MHg5NTA6MHg5NjA6cmVhZDoxOjA6MDoxLDc6MDAwMDAwMDAwMDEwMDAwMDAwMDAwMDAwMDoweDk2MDoweDk3MDpib3RoOjE6MDowOjEsODowMDAwMDAwMDAwMDEwMDAwMDAwMDAwMDAwOjB4OTcwOjB4OTgwOmJvdGg6MTowOjA6MSwyMjowMDAwMDAwMDAwMDAxMDAwMDAwMDAwMDAwOjB4OTgwOjB4OTkwOmJvdGg6MTowOjA6MSwyMTowMDAwMDAwMDAwMDAwMTAwMDAwMDAwMDAwOjB4OTkwOjB4OWEwOmJvdGg6MTowOjA6MSwxOTowMDAwMDAwMDAwMDAwMDEwMDAwMDAwMDAwOjB4OWEwOjB4OWIwOnJlYWQ6MTowOjA6MSwxMTowMDAwMDAwMDAwMDAwMDAxMDAwMDAwMDAwOjB4OWIwOjB4OWMwOnJlYWQ6MTowOjA6MSwxMDowMDAwMDAwMDAwMDAwMDAwMDEwMDAwMDAwOjB4OWMwOjB4OWQwOnJlYWQ6MTowOjA6MSwxMzowMDAwMDAwMDAwMDAwMDAwMDAwMTAwMDAwOjB4OWQwOjB4OWUwOmJvdGg6MTowOjA6MSw5OjAwMDAwMDAwMDAwMDAwMDAwMDAwMTAwMDA6MHg5ZTA6MHg5ZjA6cmVhZDoxOjA6MDoxLDU6MDAwMDAwMDAwMDAwMDAwMDAwMDAwMTAwMDoweDlmMDoweGEwMDpib3RoOjE6MDowOjEsNjowMDAwMDAwMDAwMDAwMDAwMDAwMDAwMTAwOjB4YTAwOjB4YTEwOmJvdGg6MTowOjA6MSwxNTowMTAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwOjB4YjAwOjB4YjEwOmJvdGg6MTowOjA6MSwxNjoxMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwOjB4YjEwOjB4YjIwOmJvdGg6MTowOjA6MQ==::U0xBVkVTX0lORk8=" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::MjY=::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg=" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MjE6MDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDE6MHg0MDA6MHg4MDA6Ym90aDoxOjA6MDoxLDE5OjAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDEwOjB4ODAwOjB4ODQwOmJvdGg6MTowOjA6MSwxODowMDAwMTAwMDAwMDAwMDAwMDAwMDAwMDAwMDoweDg0MDoweDg2MDpib3RoOjE6MDowOjEsMjU6MDAwMDAwMDAwMDAwMDAwMDAxMDAwMDAwMDA6MHg4NjA6MHg4ODA6Ym90aDoxOjA6MDoxLDI0OjAwMDAwMDAwMDAwMDAwMDAwMDAxMDAwMDAwOjB4ODgwOjB4OGEwOmJvdGg6MTowOjA6MSwxNDowMDAxMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDoweDhhMDoweDhiMDpib3RoOjE6MDowOjEsMTI6MDAwMDAxMDAwMDAwMDAwMDAwMDAwMDAwMDA6MHg5MDA6MHg5MTA6Ym90aDoxOjA6MDoxLDA6MDAwMDAwMTAwMDAwMDAwMDAwMDAwMDAwMDA6MHg5MTA6MHg5MjA6Ym90aDoxOjA6MDoxLDE6MDAwMDAwMDEwMDAwMDAwMDAwMDAwMDAwMDA6MHg5MjA6MHg5MzA6Ym90aDoxOjA6MDoxLDI6MDAwMDAwMDAxMDAwMDAwMDAwMDAwMDAwMDA6MHg5MzA6MHg5NDA6Ym90aDoxOjA6MDoxLDM6MDAwMDAwMDAwMTAwMDAwMDAwMDAwMDAwMDA6MHg5NDA6MHg5NTA6Ym90aDoxOjA6MDoxLDQ6MDAwMDAwMDAwMDEwMDAwMDAwMDAwMDAwMDA6MHg5NTA6MHg5NjA6cmVhZDoxOjA6MDoxLDc6MDAwMDAwMDAwMDAxMDAwMDAwMDAwMDAwMDA6MHg5NjA6MHg5NzA6Ym90aDoxOjA6MDoxLDg6MDAwMDAwMDAwMDAwMTAwMDAwMDAwMDAwMDA6MHg5NzA6MHg5ODA6Ym90aDoxOjA6MDoxLDIzOjAwMDAwMDAwMDAwMDAxMDAwMDAwMDAwMDAwOjB4OTgwOjB4OTkwOmJvdGg6MTowOjA6MSwyMjowMDAwMDAwMDAwMDAwMDEwMDAwMDAwMDAwMDoweDk5MDoweDlhMDpib3RoOjE6MDowOjEsMjA6MDAwMDAwMDAwMDAwMDAwMTAwMDAwMDAwMDA6MHg5YTA6MHg5YjA6cmVhZDoxOjA6MDoxLDExOjAwMDAwMDAwMDAwMDAwMDAxMDAwMDAwMDAwOjB4OWIwOjB4OWMwOnJlYWQ6MTowOjA6MSwxMDowMDAwMDAwMDAwMDAwMDAwMDAxMDAwMDAwMDoweDljMDoweDlkMDpyZWFkOjE6MDowOjEsMTM6MDAwMDAwMDAwMDAwMDAwMDAwMDAxMDAwMDA6MHg5ZDA6MHg5ZTA6Ym90aDoxOjA6MDoxLDk6MDAwMDAwMDAwMDAwMDAwMDAwMDAwMTAwMDA6MHg5ZTA6MHg5ZjA6cmVhZDoxOjA6MDoxLDU6MDAwMDAwMDAwMDAwMDAwMDAwMDAwMDEwMDA6MHg5ZjA6MHhhMDA6Ym90aDoxOjA6MDoxLDY6MDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAxMDA6MHhhMDA6MHhhMTA6Ym90aDoxOjA6MDoxLDE1OjAwMTAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwOjB4YjAwOjB4YjEwOmJvdGg6MTowOjA6MSwxNjowMTAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDoweGIxMDoweGIyMDpib3RoOjE6MDowOjEsMTc6MTAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDA6MHhjMDA6MHhjMTA6Ym90aDoxOjA6MDox::U0xBVkVTX0lORk8=" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MA==::RGVjb2RlciB0eXBl" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs" -set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MjA=::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA==" +set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MjE=::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA==" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoODk6ODcpIHJlc3BvbnNlX3N0YXR1cyg4Njo4NSkgY2FjaGUoODQ6ODEpIHByb3RlY3Rpb24oODA6NzgpIHRocmVhZF9pZCg3NykgZGVzdF9pZCg3Njo3Mikgc3JjX2lkKDcxOjY3KSBxb3MoNjYpIGJlZ2luX2J1cnN0KDY1KSBkYXRhX3NpZGViYW5kKDY0KSBhZGRyX3NpZGViYW5kKDYzKSBidXJzdF90eXBlKDYyOjYxKSBidXJzdF9zaXplKDYwOjU4KSBidXJzdHdyYXAoNTcpIGJ5dGVfY250KDU2OjU0KSB0cmFuc19leGNsdXNpdmUoNTMpIHRyYW5zX2xvY2soNTIpIHRyYW5zX3JlYWQoNTEpIHRyYW5zX3dyaXRlKDUwKSB0cmFuc19wb3N0ZWQoNDkpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg0OCkgYWRkcig0NzozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg==" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_2_router" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ==" set_global_assignment -entity "MebX_Qsys_Project_mm_interconnect_1" -library "MebX_Qsys_Project" -name IP_COMPONENT_NAME "TWViWF9Rc3lzX1Byb2plY3RfbW1faW50ZXJjb25uZWN0XzE=" @@ -1880,7 +1880,7 @@ set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0" -library "MebX_Qs set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==::MQ==::ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==" set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=::MQ==::aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=" set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "aW5zdFNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdvbmNoaXBfbWVtb3J5LnMxJyBzdGFydD0nMHg4MTEwMDAwMCcgZW5kPScweDgxMUMwMDAwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX29uY2hpcF9tZW1vcnkyLnMxJyAvPjxzbGF2ZSBuYW1lPSduaW9zMl9nZW4yXzAuZGVidWdfbWVtX3NsYXZlJyBzdGFydD0nMHg4MTIxODgwMCcgZW5kPScweDgxMjE5MDAwJyB0eXBlPSdhbHRlcmFfbmlvczJfZ2VuMi5kZWJ1Z19tZW1fc2xhdmUnIC8+PHNsYXZlIG5hbWU9J2V4dF9mbGFzaC51YXMnIHN0YXJ0PScweDg0MDAwMDAwJyBlbmQ9JzB4ODgwMDAwMDAnIHR5cGU9J2FsdGVyYV9nZW5lcmljX3RyaXN0YXRlX2NvbnRyb2xsZXIudWFzJyAvPjwvYWRkcmVzcy1tYXA+::aW5zdFNsYXZlTWFwUGFyYW0=" -set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::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::ZGF0YVNsYXZlTWFwUGFyYW0=" +set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::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::ZGF0YVNsYXZlTWFwUGFyYW0=" set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "Y2xvY2tGcmVxdWVuY3k=::MTAwMDAwMDAw::Y2xvY2tGcmVxdWVuY3k=" set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5TmFtZQ==::U3RyYXRpeCBJVg==::ZGV2aWNlRmFtaWx5TmFtZQ==" set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==::MjA5NzEzNQ==::aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==" @@ -1891,8 +1891,8 @@ set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0" -library "MebX_Qs set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmVhdHVyZXNTeXN0ZW1JbmZv::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::ZGV2aWNlRmVhdHVyZXNTeXN0ZW1JbmZv" set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0U0dYNTMwS0g0MEMy::QXV0byBERVZJQ0U=" set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Mg==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" -set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfRE9NQUlO::NQ==::QXV0byBDTE9DS19ET01BSU4=" -set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfUkVTRVRfRE9NQUlO::NQ==::QXV0byBSRVNFVF9ET01BSU4=" +set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfRE9NQUlO::Ng==::QXV0byBDTE9DS19ET01BSU4=" +set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfUkVTRVRfRE9NQUlO::Ng==::QXV0byBSRVNFVF9ET01BSU4=" set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0_cpu" -library "MebX_Qsys_Project" -name IP_COMPONENT_NAME "TWViWF9Rc3lzX1Byb2plY3RfbmlvczJfZ2VuMl8wX2NwdQ==" set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0_cpu" -library "MebX_Qsys_Project" -name IP_COMPONENT_DISPLAY_NAME "TmlvcyBJSSBQcm9jZXNzb3IgVW5pdA==" set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0_cpu" -library "MebX_Qsys_Project" -name IP_COMPONENT_REPORT_HIERARCHY "Off" @@ -2063,7 +2063,7 @@ set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0_cpu" -library "Meb set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0_cpu" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==::MQ==::ZGF0YU1hc3RlckhpZ2hQZXJmb3JtYW5jZUFkZHJXaWR0aA==" set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0_cpu" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=::MQ==::aW5zdHJ1Y3Rpb25NYXN0ZXJIaWdoUGVyZm9ybWFuY2VBZGRyV2lkdGg=" set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0_cpu" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "aW5zdFNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdvbmNoaXBfbWVtb3J5LnMxJyBzdGFydD0nMHg4MTEwMDAwMCcgZW5kPScweDgxMUMwMDAwJyB0eXBlPSdhbHRlcmFfYXZhbG9uX29uY2hpcF9tZW1vcnkyLnMxJyAvPjxzbGF2ZSBuYW1lPSduaW9zMl9nZW4yXzAuZGVidWdfbWVtX3NsYXZlJyBzdGFydD0nMHg4MTIxODgwMCcgZW5kPScweDgxMjE5MDAwJyB0eXBlPSdhbHRlcmFfbmlvczJfZ2VuMi5kZWJ1Z19tZW1fc2xhdmUnIC8+PHNsYXZlIG5hbWU9J2V4dF9mbGFzaC51YXMnIHN0YXJ0PScweDg0MDAwMDAwJyBlbmQ9JzB4ODgwMDAwMDAnIHR5cGU9J2FsdGVyYV9nZW5lcmljX3RyaXN0YXRlX2NvbnRyb2xsZXIudWFzJyAvPjwvYWRkcmVzcy1tYXA+::aW5zdFNsYXZlTWFwUGFyYW0=" -set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0_cpu" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::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::ZGF0YVNsYXZlTWFwUGFyYW0=" +set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0_cpu" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::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::ZGF0YVNsYXZlTWFwUGFyYW0=" set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0_cpu" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "Y2xvY2tGcmVxdWVuY3k=::MTAwMDAwMDAw::Y2xvY2tGcmVxdWVuY3k=" set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0_cpu" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5TmFtZQ==::U3RyYXRpeCBJVg==::ZGV2aWNlRmFtaWx5TmFtZQ==" set_global_assignment -entity "MebX_Qsys_Project_nios2_gen2_0_cpu" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==::MjA5NzEzNQ==::aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==" @@ -11101,8 +11101,8 @@ set_global_assignment -entity "MebX_Qsys_Project_ext_flash" -library "MebX_Qsys_ set_global_assignment -entity "MebX_Qsys_Project_ext_flash" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::U3RyYXRpeCBJVg==::QXV0byBERVZJQ0VfRkFNSUxZ" set_global_assignment -entity "MebX_Qsys_Project_ext_flash" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::RVA0U0dYNTMwS0g0MEMy::QXV0byBERVZJQ0U=" set_global_assignment -entity "MebX_Qsys_Project_ext_flash" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfU1BFRURHUkFERQ==::Mg==::QXV0byBERVZJQ0VfU1BFRURHUkFERQ==" -set_global_assignment -entity "MebX_Qsys_Project_ext_flash" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfRE9NQUlO::NQ==::QXV0byBDTE9DS19ET01BSU4=" -set_global_assignment -entity "MebX_Qsys_Project_ext_flash" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfUkVTRVRfRE9NQUlO::NQ==::QXV0byBSRVNFVF9ET01BSU4=" +set_global_assignment -entity "MebX_Qsys_Project_ext_flash" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfRE9NQUlO::Ng==::QXV0byBDTE9DS19ET01BSU4=" +set_global_assignment -entity "MebX_Qsys_Project_ext_flash" -library "MebX_Qsys_Project" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfUkVTRVRfRE9NQUlO::Ng==::QXV0byBSRVNFVF9ET01BSU4=" set_global_assignment -entity "altera_tristate_controller_aggregator" -library "MebX_Qsys_Project" -name IP_COMPONENT_NAME "YWx0ZXJhX3RyaXN0YXRlX2NvbnRyb2xsZXJfYWdncmVnYXRvcg==" set_global_assignment -entity "altera_tristate_controller_aggregator" -library "MebX_Qsys_Project" -name IP_COMPONENT_DISPLAY_NAME "VHJpc3RhdGUgQ29udHJvbGxlciBBZ2dyZWdhdG9y" set_global_assignment -entity "altera_tristate_controller_aggregator" -library "MebX_Qsys_Project" -name IP_COMPONENT_REPORT_HIERARCHY "Off" @@ -11289,7 +11289,7 @@ set_global_assignment -entity "rmpe_rmap_echoing_top" -library "MebX_Qsys_Projec set_global_assignment -entity "rmpe_rmap_echoing_top" -library "MebX_Qsys_Project" -name IP_COMPONENT_REPORT_HIERARCHY "Off" set_global_assignment -entity "rmpe_rmap_echoing_top" -library "MebX_Qsys_Project" -name IP_COMPONENT_INTERNAL "Off" set_global_assignment -entity "rmpe_rmap_echoing_top" -library "MebX_Qsys_Project" -name IP_COMPONENT_AUTHOR "cmZyYW5jYQ==" -set_global_assignment -entity "rmpe_rmap_echoing_top" -library "MebX_Qsys_Project" -name IP_COMPONENT_VERSION "MS4z" +set_global_assignment -entity "rmpe_rmap_echoing_top" -library "MebX_Qsys_Project" -name IP_COMPONENT_VERSION "MS40" set_global_assignment -entity "mfil_memory_filler_top" -library "MebX_Qsys_Project" -name IP_COMPONENT_NAME "bWZpbF9tZW1vcnlfZmlsbGVyX3RvcA==" set_global_assignment -entity "mfil_memory_filler_top" -library "MebX_Qsys_Project" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5X0ZpbGxlcg==" set_global_assignment -entity "mfil_memory_filler_top" -library "MebX_Qsys_Project" -name IP_COMPONENT_REPORT_HIERARCHY "Off" diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/MebX_Qsys_Project.regmap b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/MebX_Qsys_Project.regmap index 88f1fcd4..6178f705 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/MebX_Qsys_Project.regmap +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/MebX_Qsys_Project.regmap @@ -744,6 +744,124 @@ + + MebX_Qsys_Project_pio_rmap_echoing_module_reset_s1_altera_avalon_pio0x00000000 + + 0x0 + 32 + registers + + + + DATA + Data + Reading from data returns the value present at the input ports. If the PIO core hardware is configured in output-only mode, reading from data returns an undefined value. Writing to data stores the value to a register that drives the output ports. If the PIO core hardware is configured in input-only mode, writing to data has no effect. If the PIO core hardware is in bidirectional mode, the registered value appears on an output port only when the corresponding bit in the direction register is set to 1 (output). + 0x0 + 32 + read-write + 0x0 + 0xffffffff + + data + Reads: Data value currently on PIO inputs. Writes: New value to drive on PIO outputs. + 0x0 + 32 + read-write + + + + + DIRECTION + Direction + The direction register controls the data direction for each PIO port, assuming the port is bidirectional. When bit n in direction is set to 1, port n drives out the value in the corresponding bit of the data register The direction register only exists when the PIO core hardware is configured in bidirectional mode. The mode (input, output, or bidirectional) is specified at system generation time, and cannot be changed at runtime. In input-only or output-only mode, the direction register does not exist. In this case, reading direction returns an undefined value, writing direction has no effect. After reset, all bits of direction are 0, so that all bidirectional I/O ports are configured as inputs. If those PIO ports are connected to device pins, the pins are held in a high-impedance state. In bi-directional mode, to change the direction of the PIO port, reprogram the direction register. + 0x4 + 32 + read-write + 0x0 + 0xffffffff + + direction + Individual direction control for each I/O port. A value of 0 sets the direction to input; 1 sets the direction to output. + 0x0 + 32 + read-write + + + + + IRQ_MASK + Interrupt mask + Setting a bit in the interruptmask register to 1 enables interrupts for the corresponding PIO input port. Interrupt behavior depends on the hardware configuration of the PIO core. The interruptmask register only exists when the hardware is configured to generate IRQs. If the core cannot generate IRQs, reading interruptmask returns an undefined value, and writing to interruptmask has no effect. After reset, all bits of interruptmask are zero, so that interrupts are disabled for all PIO ports. + 0x8 + 32 + read-write + 0x0 + 0xffffffff + + interruptmask + IRQ enable/disable for each input port. Setting a bit to 1 enables interrupts for the corresponding port. + 0x0 + 32 + read-write + + + + + EDGE_CAP + Edge capture + Bit n in the edgecapture register is set to 1 whenever an edge is detected on input port n. An Avalon-MM master peripheral can read the edgecapture register to determine if an edge has occurred on any of the PIO input ports. If the option Enable bit-clearing for edge capture register is turned off, writing any value to the edgecapture register clears all bits in the register. Otherwise, writing a 1 to a particular bit in the register clears only that bit. The type of edge(s) to detect is fixed in hardware at system generation time. The edgecapture register only exists when the hardware is configured to capture edges. If the core is not configured to capture edges, reading from edgecapture returns an undefined value, and writing to edgecapture has no effect. + 0xc + 32 + read-write + 0x0 + 0xffffffff + + edgecapture + Edge detection for each input port. + 0x0 + 32 + read-write + + + + + SET_BIT + Outset + You can use the outset register to set individual bits of the output port. For example, to set bit 6 of the output port, write 0x40 to the outset register. This register is only present when the option Enable individual bit set/clear output register is turned on. + 0x10 + 32 + write-only + 0x0 + 0xffffffff + + outset + Specifies which bit of the output port to set. + 0x0 + 32 + write-only + + + + + CLEAR_BITS + Outclear + You can use the outclear register to clear individual bits of the output port. For example, writing 0x08 to the outclear register clears bit 3 of the output port. This register is only present when the option Enable individual bit set/clear output register is turned on. + 0x14 + 32 + write-only + 0x0 + 0xffffffff + + outclear + Specifies which output bit to clear. + 0x0 + 32 + write-only + + + + + MebX_Qsys_Project_pio_iso_logic_signal_enable_s1_altera_avalon_pio0x00000000 diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/MebX_Qsys_Project.vhd b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/MebX_Qsys_Project.vhd index 8ce1cb2c..a6a85504 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/MebX_Qsys_Project.vhd +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/MebX_Qsys_Project.vhd @@ -8,223 +8,225 @@ use IEEE.numeric_std.all; entity MebX_Qsys_Project is port ( - button_export : in std_logic_vector(3 downto 0) := (others => '0'); -- button.export - clk50_clk : in std_logic := '0'; -- clk50.clk - comm_1_measurements_measurements_signal : out std_logic_vector(7 downto 0); -- comm_1_measurements.measurements_signal - comm_1_sync_sync_signal : in std_logic := '0'; -- comm_1_sync.sync_signal - comm_2_measurements_measurements_signal : out std_logic_vector(7 downto 0); -- comm_2_measurements.measurements_signal - comm_2_sync_sync_signal : in std_logic := '0'; -- comm_2_sync.sync_signal - comm_3_measurements_measurements_signal : out std_logic_vector(7 downto 0); -- comm_3_measurements.measurements_signal - comm_3_sync_sync_signal : in std_logic := '0'; -- comm_3_sync.sync_signal - comm_4_measurements_measurements_signal : out std_logic_vector(7 downto 0); -- comm_4_measurements.measurements_signal - comm_4_sync_sync_signal : in std_logic := '0'; -- comm_4_sync.sync_signal - comm_5_measurements_measurements_signal : out std_logic_vector(7 downto 0); -- comm_5_measurements.measurements_signal - comm_5_sync_sync_signal : in std_logic := '0'; -- comm_5_sync.sync_signal - comm_6_measurements_measurements_signal : out std_logic_vector(7 downto 0); -- comm_6_measurements.measurements_signal - comm_6_sync_sync_signal : in std_logic := '0'; -- comm_6_sync.sync_signal - csense_adc_fo_export : out std_logic; -- csense_adc_fo.export - csense_cs_n_export : out std_logic_vector(1 downto 0); -- csense_cs_n.export - csense_sck_export : out std_logic; -- csense_sck.export - csense_sdi_export : out std_logic; -- csense_sdi.export - csense_sdo_export : in std_logic := '0'; -- csense_sdo.export - ctrl_io_lvds_export : out std_logic_vector(3 downto 0); -- ctrl_io_lvds.export - dip_export : in std_logic_vector(7 downto 0) := (others => '0'); -- dip.export - ext_export : in std_logic := '0'; -- ext.export - ftdi_clk_clk : in std_logic := '0'; -- ftdi_clk.clk - led_de4_export : out std_logic_vector(7 downto 0); -- led_de4.export - led_painel_export : out std_logic_vector(20 downto 0); -- led_painel.export - m1_ddr2_i2c_scl_export : out std_logic; -- m1_ddr2_i2c_scl.export - m1_ddr2_i2c_sda_export : inout std_logic := '0'; -- m1_ddr2_i2c_sda.export - m1_ddr2_memory_mem_a : out std_logic_vector(13 downto 0); -- m1_ddr2_memory.mem_a - m1_ddr2_memory_mem_ba : out std_logic_vector(2 downto 0); -- .mem_ba - m1_ddr2_memory_mem_ck : out std_logic_vector(1 downto 0); -- .mem_ck - m1_ddr2_memory_mem_ck_n : out std_logic_vector(1 downto 0); -- .mem_ck_n - m1_ddr2_memory_mem_cke : out std_logic_vector(1 downto 0); -- .mem_cke - m1_ddr2_memory_mem_cs_n : out std_logic_vector(1 downto 0); -- .mem_cs_n - m1_ddr2_memory_mem_dm : out std_logic_vector(7 downto 0); -- .mem_dm - m1_ddr2_memory_mem_ras_n : out std_logic_vector(0 downto 0); -- .mem_ras_n - m1_ddr2_memory_mem_cas_n : out std_logic_vector(0 downto 0); -- .mem_cas_n - m1_ddr2_memory_mem_we_n : out std_logic_vector(0 downto 0); -- .mem_we_n - m1_ddr2_memory_mem_dq : inout std_logic_vector(63 downto 0) := (others => '0'); -- .mem_dq - m1_ddr2_memory_mem_dqs : inout std_logic_vector(7 downto 0) := (others => '0'); -- .mem_dqs - m1_ddr2_memory_mem_dqs_n : inout std_logic_vector(7 downto 0) := (others => '0'); -- .mem_dqs_n - m1_ddr2_memory_mem_odt : out std_logic_vector(1 downto 0); -- .mem_odt - m1_ddr2_memory_pll_ref_clk_clk : in std_logic := '0'; -- m1_ddr2_memory_pll_ref_clk.clk - m1_ddr2_memory_status_local_init_done : out std_logic; -- m1_ddr2_memory_status.local_init_done - m1_ddr2_memory_status_local_cal_success : out std_logic; -- .local_cal_success - m1_ddr2_memory_status_local_cal_fail : out std_logic; -- .local_cal_fail - m1_ddr2_oct_rdn : in std_logic := '0'; -- m1_ddr2_oct.rdn - m1_ddr2_oct_rup : in std_logic := '0'; -- .rup - m2_ddr2_i2c_scl_export : out std_logic; -- m2_ddr2_i2c_scl.export - m2_ddr2_i2c_sda_export : inout std_logic := '0'; -- m2_ddr2_i2c_sda.export - m2_ddr2_memory_mem_a : out std_logic_vector(13 downto 0); -- m2_ddr2_memory.mem_a - m2_ddr2_memory_mem_ba : out std_logic_vector(2 downto 0); -- .mem_ba - m2_ddr2_memory_mem_ck : out std_logic_vector(1 downto 0); -- .mem_ck - m2_ddr2_memory_mem_ck_n : out std_logic_vector(1 downto 0); -- .mem_ck_n - m2_ddr2_memory_mem_cke : out std_logic_vector(1 downto 0); -- .mem_cke - m2_ddr2_memory_mem_cs_n : out std_logic_vector(1 downto 0); -- .mem_cs_n - m2_ddr2_memory_mem_dm : out std_logic_vector(7 downto 0); -- .mem_dm - m2_ddr2_memory_mem_ras_n : out std_logic_vector(0 downto 0); -- .mem_ras_n - m2_ddr2_memory_mem_cas_n : out std_logic_vector(0 downto 0); -- .mem_cas_n - m2_ddr2_memory_mem_we_n : out std_logic_vector(0 downto 0); -- .mem_we_n - m2_ddr2_memory_mem_dq : inout std_logic_vector(63 downto 0) := (others => '0'); -- .mem_dq - m2_ddr2_memory_mem_dqs : inout std_logic_vector(7 downto 0) := (others => '0'); -- .mem_dqs - m2_ddr2_memory_mem_dqs_n : inout std_logic_vector(7 downto 0) := (others => '0'); -- .mem_dqs_n - m2_ddr2_memory_mem_odt : out std_logic_vector(1 downto 0); -- .mem_odt - m2_ddr2_memory_dll_sharing_dll_pll_locked : in std_logic := '0'; -- m2_ddr2_memory_dll_sharing.dll_pll_locked - m2_ddr2_memory_dll_sharing_dll_delayctrl : out std_logic_vector(5 downto 0); -- .dll_delayctrl - m2_ddr2_memory_pll_sharing_pll_mem_clk : out std_logic; -- m2_ddr2_memory_pll_sharing.pll_mem_clk - m2_ddr2_memory_pll_sharing_pll_write_clk : out std_logic; -- .pll_write_clk - m2_ddr2_memory_pll_sharing_pll_locked : out std_logic; -- .pll_locked - m2_ddr2_memory_pll_sharing_pll_write_clk_pre_phy_clk : out std_logic; -- .pll_write_clk_pre_phy_clk - m2_ddr2_memory_pll_sharing_pll_addr_cmd_clk : out std_logic; -- .pll_addr_cmd_clk - m2_ddr2_memory_pll_sharing_pll_avl_clk : out std_logic; -- .pll_avl_clk - m2_ddr2_memory_pll_sharing_pll_config_clk : out std_logic; -- .pll_config_clk - m2_ddr2_memory_status_local_init_done : out std_logic; -- m2_ddr2_memory_status.local_init_done - m2_ddr2_memory_status_local_cal_success : out std_logic; -- .local_cal_success - m2_ddr2_memory_status_local_cal_fail : out std_logic; -- .local_cal_fail - m2_ddr2_oct_rdn : in std_logic := '0'; -- m2_ddr2_oct.rdn - m2_ddr2_oct_rup : in std_logic := '0'; -- .rup - pio_ftdi_umft601a_module_reset_export : out std_logic; -- pio_ftdi_umft601a_module_reset.export - pio_iso_logic_signal_enable_export : out std_logic; -- pio_iso_logic_signal_enable.export - rs232_uart_rxd : in std_logic := '0'; -- rs232_uart.rxd - rs232_uart_txd : out std_logic; -- .txd - rst_reset_n : in std_logic := '0'; -- rst.reset_n - rst_controller_conduit_reset_input_t_reset_input_signal : in std_logic := '0'; -- rst_controller_conduit_reset_input.t_reset_input_signal - rst_controller_conduit_simucam_reset_t_simucam_reset_signal : out std_logic; -- rst_controller_conduit_simucam_reset.t_simucam_reset_signal - scom_0_sync_sync_signal : in std_logic := '0'; -- scom_0_sync.sync_signal - sd_card_wp_n_io_export : in std_logic := '0'; -- sd_card_wp_n_io.export - spwc_a_enable_spw_rx_enable_signal : in std_logic := '0'; -- spwc_a_enable.spw_rx_enable_signal - spwc_a_enable_spw_tx_enable_signal : in std_logic := '0'; -- .spw_tx_enable_signal - spwc_a_leds_spw_red_status_led_signal : out std_logic; -- spwc_a_leds.spw_red_status_led_signal - spwc_a_leds_spw_green_status_led_signal : out std_logic; -- .spw_green_status_led_signal - spwc_a_lvds_spw_lvds_p_data_in_signal : in std_logic := '0'; -- spwc_a_lvds.spw_lvds_p_data_in_signal - spwc_a_lvds_spw_lvds_n_data_in_signal : in std_logic := '0'; -- .spw_lvds_n_data_in_signal - spwc_a_lvds_spw_lvds_p_data_out_signal : out std_logic; -- .spw_lvds_p_data_out_signal - spwc_a_lvds_spw_lvds_n_data_out_signal : out std_logic; -- .spw_lvds_n_data_out_signal - spwc_a_lvds_spw_lvds_p_strobe_out_signal : out std_logic; -- .spw_lvds_p_strobe_out_signal - spwc_a_lvds_spw_lvds_n_strobe_out_signal : out std_logic; -- .spw_lvds_n_strobe_out_signal - spwc_a_lvds_spw_lvds_p_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_p_strobe_in_signal - spwc_a_lvds_spw_lvds_n_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_n_strobe_in_signal - spwc_b_enable_spw_rx_enable_signal : in std_logic := '0'; -- spwc_b_enable.spw_rx_enable_signal - spwc_b_enable_spw_tx_enable_signal : in std_logic := '0'; -- .spw_tx_enable_signal - spwc_b_leds_spw_red_status_led_signal : out std_logic; -- spwc_b_leds.spw_red_status_led_signal - spwc_b_leds_spw_green_status_led_signal : out std_logic; -- .spw_green_status_led_signal - spwc_b_lvds_spw_lvds_p_data_in_signal : in std_logic := '0'; -- spwc_b_lvds.spw_lvds_p_data_in_signal - spwc_b_lvds_spw_lvds_n_data_in_signal : in std_logic := '0'; -- .spw_lvds_n_data_in_signal - spwc_b_lvds_spw_lvds_p_data_out_signal : out std_logic; -- .spw_lvds_p_data_out_signal - spwc_b_lvds_spw_lvds_n_data_out_signal : out std_logic; -- .spw_lvds_n_data_out_signal - spwc_b_lvds_spw_lvds_p_strobe_out_signal : out std_logic; -- .spw_lvds_p_strobe_out_signal - spwc_b_lvds_spw_lvds_n_strobe_out_signal : out std_logic; -- .spw_lvds_n_strobe_out_signal - spwc_b_lvds_spw_lvds_p_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_p_strobe_in_signal - spwc_b_lvds_spw_lvds_n_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_n_strobe_in_signal - spwc_c_enable_spw_rx_enable_signal : in std_logic := '0'; -- spwc_c_enable.spw_rx_enable_signal - spwc_c_enable_spw_tx_enable_signal : in std_logic := '0'; -- .spw_tx_enable_signal - spwc_c_leds_spw_red_status_led_signal : out std_logic; -- spwc_c_leds.spw_red_status_led_signal - spwc_c_leds_spw_green_status_led_signal : out std_logic; -- .spw_green_status_led_signal - spwc_c_lvds_spw_lvds_p_data_in_signal : in std_logic := '0'; -- spwc_c_lvds.spw_lvds_p_data_in_signal - spwc_c_lvds_spw_lvds_n_data_in_signal : in std_logic := '0'; -- .spw_lvds_n_data_in_signal - spwc_c_lvds_spw_lvds_p_data_out_signal : out std_logic; -- .spw_lvds_p_data_out_signal - spwc_c_lvds_spw_lvds_n_data_out_signal : out std_logic; -- .spw_lvds_n_data_out_signal - spwc_c_lvds_spw_lvds_p_strobe_out_signal : out std_logic; -- .spw_lvds_p_strobe_out_signal - spwc_c_lvds_spw_lvds_n_strobe_out_signal : out std_logic; -- .spw_lvds_n_strobe_out_signal - spwc_c_lvds_spw_lvds_p_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_p_strobe_in_signal - spwc_c_lvds_spw_lvds_n_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_n_strobe_in_signal - spwc_d_enable_spw_rx_enable_signal : in std_logic := '0'; -- spwc_d_enable.spw_rx_enable_signal - spwc_d_enable_spw_tx_enable_signal : in std_logic := '0'; -- .spw_tx_enable_signal - spwc_d_leds_spw_red_status_led_signal : out std_logic; -- spwc_d_leds.spw_red_status_led_signal - spwc_d_leds_spw_green_status_led_signal : out std_logic; -- .spw_green_status_led_signal - spwc_d_lvds_spw_lvds_p_data_in_signal : in std_logic := '0'; -- spwc_d_lvds.spw_lvds_p_data_in_signal - spwc_d_lvds_spw_lvds_n_data_in_signal : in std_logic := '0'; -- .spw_lvds_n_data_in_signal - spwc_d_lvds_spw_lvds_p_data_out_signal : out std_logic; -- .spw_lvds_p_data_out_signal - spwc_d_lvds_spw_lvds_n_data_out_signal : out std_logic; -- .spw_lvds_n_data_out_signal - spwc_d_lvds_spw_lvds_p_strobe_out_signal : out std_logic; -- .spw_lvds_p_strobe_out_signal - spwc_d_lvds_spw_lvds_n_strobe_out_signal : out std_logic; -- .spw_lvds_n_strobe_out_signal - spwc_d_lvds_spw_lvds_p_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_p_strobe_in_signal - spwc_d_lvds_spw_lvds_n_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_n_strobe_in_signal - spwc_e_enable_spw_rx_enable_signal : in std_logic := '0'; -- spwc_e_enable.spw_rx_enable_signal - spwc_e_enable_spw_tx_enable_signal : in std_logic := '0'; -- .spw_tx_enable_signal - spwc_e_leds_spw_red_status_led_signal : out std_logic; -- spwc_e_leds.spw_red_status_led_signal - spwc_e_leds_spw_green_status_led_signal : out std_logic; -- .spw_green_status_led_signal - spwc_e_lvds_spw_lvds_p_data_in_signal : in std_logic := '0'; -- spwc_e_lvds.spw_lvds_p_data_in_signal - spwc_e_lvds_spw_lvds_n_data_in_signal : in std_logic := '0'; -- .spw_lvds_n_data_in_signal - spwc_e_lvds_spw_lvds_p_data_out_signal : out std_logic; -- .spw_lvds_p_data_out_signal - spwc_e_lvds_spw_lvds_n_data_out_signal : out std_logic; -- .spw_lvds_n_data_out_signal - spwc_e_lvds_spw_lvds_p_strobe_out_signal : out std_logic; -- .spw_lvds_p_strobe_out_signal - spwc_e_lvds_spw_lvds_n_strobe_out_signal : out std_logic; -- .spw_lvds_n_strobe_out_signal - spwc_e_lvds_spw_lvds_p_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_p_strobe_in_signal - spwc_e_lvds_spw_lvds_n_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_n_strobe_in_signal - spwc_f_enable_spw_rx_enable_signal : in std_logic := '0'; -- spwc_f_enable.spw_rx_enable_signal - spwc_f_enable_spw_tx_enable_signal : in std_logic := '0'; -- .spw_tx_enable_signal - spwc_f_leds_spw_red_status_led_signal : out std_logic; -- spwc_f_leds.spw_red_status_led_signal - spwc_f_leds_spw_green_status_led_signal : out std_logic; -- .spw_green_status_led_signal - spwc_f_lvds_spw_lvds_p_data_in_signal : in std_logic := '0'; -- spwc_f_lvds.spw_lvds_p_data_in_signal - spwc_f_lvds_spw_lvds_n_data_in_signal : in std_logic := '0'; -- .spw_lvds_n_data_in_signal - spwc_f_lvds_spw_lvds_p_data_out_signal : out std_logic; -- .spw_lvds_p_data_out_signal - spwc_f_lvds_spw_lvds_n_data_out_signal : out std_logic; -- .spw_lvds_n_data_out_signal - spwc_f_lvds_spw_lvds_p_strobe_out_signal : out std_logic; -- .spw_lvds_p_strobe_out_signal - spwc_f_lvds_spw_lvds_n_strobe_out_signal : out std_logic; -- .spw_lvds_n_strobe_out_signal - spwc_f_lvds_spw_lvds_p_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_p_strobe_in_signal - spwc_f_lvds_spw_lvds_n_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_n_strobe_in_signal - spwc_g_enable_spw_rx_enable_signal : in std_logic := '0'; -- spwc_g_enable.spw_rx_enable_signal - spwc_g_enable_spw_tx_enable_signal : in std_logic := '0'; -- .spw_tx_enable_signal - spwc_g_leds_spw_red_status_led_signal : out std_logic; -- spwc_g_leds.spw_red_status_led_signal - spwc_g_leds_spw_green_status_led_signal : out std_logic; -- .spw_green_status_led_signal - spwc_g_lvds_spw_lvds_p_data_in_signal : in std_logic := '0'; -- spwc_g_lvds.spw_lvds_p_data_in_signal - spwc_g_lvds_spw_lvds_n_data_in_signal : in std_logic := '0'; -- .spw_lvds_n_data_in_signal - spwc_g_lvds_spw_lvds_p_data_out_signal : out std_logic; -- .spw_lvds_p_data_out_signal - spwc_g_lvds_spw_lvds_n_data_out_signal : out std_logic; -- .spw_lvds_n_data_out_signal - spwc_g_lvds_spw_lvds_p_strobe_out_signal : out std_logic; -- .spw_lvds_p_strobe_out_signal - spwc_g_lvds_spw_lvds_n_strobe_out_signal : out std_logic; -- .spw_lvds_n_strobe_out_signal - spwc_g_lvds_spw_lvds_p_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_p_strobe_in_signal - spwc_g_lvds_spw_lvds_n_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_n_strobe_in_signal - spwc_h_enable_spw_rx_enable_signal : in std_logic := '0'; -- spwc_h_enable.spw_rx_enable_signal - spwc_h_enable_spw_tx_enable_signal : in std_logic := '0'; -- .spw_tx_enable_signal - spwc_h_leds_spw_red_status_led_signal : out std_logic; -- spwc_h_leds.spw_red_status_led_signal - spwc_h_leds_spw_green_status_led_signal : out std_logic; -- .spw_green_status_led_signal - spwc_h_lvds_spw_lvds_p_data_in_signal : in std_logic := '0'; -- spwc_h_lvds.spw_lvds_p_data_in_signal - spwc_h_lvds_spw_lvds_n_data_in_signal : in std_logic := '0'; -- .spw_lvds_n_data_in_signal - spwc_h_lvds_spw_lvds_p_data_out_signal : out std_logic; -- .spw_lvds_p_data_out_signal - spwc_h_lvds_spw_lvds_n_data_out_signal : out std_logic; -- .spw_lvds_n_data_out_signal - spwc_h_lvds_spw_lvds_p_strobe_out_signal : out std_logic; -- .spw_lvds_p_strobe_out_signal - spwc_h_lvds_spw_lvds_n_strobe_out_signal : out std_logic; -- .spw_lvds_n_strobe_out_signal - spwc_h_lvds_spw_lvds_p_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_p_strobe_in_signal - spwc_h_lvds_spw_lvds_n_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_n_strobe_in_signal - sync_filtered_sig_filtered_sig_signal : out std_logic; -- sync_filtered_sig.filtered_sig_signal - sync_in_conduit : in std_logic := '0'; -- sync_in.conduit - sync_in_en_conduit : in std_logic := '0'; -- sync_in_en.conduit - sync_out_conduit : out std_logic; -- sync_out.conduit - sync_out_en_conduit : in std_logic := '0'; -- sync_out_en.conduit - sync_spw1_conduit : out std_logic; -- sync_spw1.conduit - sync_spw2_conduit : out std_logic; -- sync_spw2.conduit - sync_spw3_conduit : out std_logic; -- sync_spw3.conduit - sync_spw4_conduit : out std_logic; -- sync_spw4.conduit - sync_spw5_conduit : out std_logic; -- sync_spw5.conduit - sync_spw6_conduit : out std_logic; -- sync_spw6.conduit - sync_spw7_conduit : out std_logic; -- sync_spw7.conduit - sync_spw8_conduit : out std_logic; -- sync_spw8.conduit - sync_unfiltered_sig_unfiltered_sig_signal : in std_logic := '0'; -- sync_unfiltered_sig.unfiltered_sig_signal - temp_scl_export : out std_logic; -- temp_scl.export - temp_sda_export : inout std_logic := '0'; -- temp_sda.export - timer_1ms_external_port_export : out std_logic; -- timer_1ms_external_port.export - timer_1us_external_port_export : out std_logic; -- timer_1us_external_port.export - tristate_conduit_tcm_address_out : out std_logic_vector(25 downto 0); -- tristate_conduit.tcm_address_out - tristate_conduit_tcm_read_n_out : out std_logic_vector(0 downto 0); -- .tcm_read_n_out - tristate_conduit_tcm_write_n_out : out std_logic_vector(0 downto 0); -- .tcm_write_n_out - tristate_conduit_tcm_data_out : inout std_logic_vector(15 downto 0) := (others => '0'); -- .tcm_data_out - tristate_conduit_tcm_chipselect_n_out : out std_logic_vector(0 downto 0); -- .tcm_chipselect_n_out - umft601a_pins_umft_clock_signal : in std_logic := '0'; -- umft601a_pins.umft_clock_signal - umft601a_pins_umft_txe_n_signal : in std_logic := '0'; -- .umft_txe_n_signal - umft601a_pins_umft_rxf_n_signal : in std_logic := '0'; -- .umft_rxf_n_signal - umft601a_pins_umft_data_signal : inout std_logic_vector(31 downto 0) := (others => '0'); -- .umft_data_signal - umft601a_pins_umft_be_signal : inout std_logic_vector(3 downto 0) := (others => '0'); -- .umft_be_signal - umft601a_pins_umft_wakeup_n_signal : inout std_logic := '0'; -- .umft_wakeup_n_signal - umft601a_pins_umft_gpio_bus_signal : inout std_logic_vector(1 downto 0) := (others => '0'); -- .umft_gpio_bus_signal - umft601a_pins_umft_reset_n_signal : out std_logic; -- .umft_reset_n_signal - umft601a_pins_umft_wr_n_signal : out std_logic; -- .umft_wr_n_signal - umft601a_pins_umft_rd_n_signal : out std_logic; -- .umft_rd_n_signal - umft601a_pins_umft_oe_n_signal : out std_logic; -- .umft_oe_n_signal - umft601a_pins_umft_siwu_n_signal : out std_logic -- .umft_siwu_n_signal + button_export : in std_logic_vector(3 downto 0) := (others => '0'); -- button.export + clk50_clk : in std_logic := '0'; -- clk50.clk + comm_1_measurements_measurements_signal : out std_logic_vector(7 downto 0); -- comm_1_measurements.measurements_signal + comm_1_sync_sync_signal : in std_logic := '0'; -- comm_1_sync.sync_signal + comm_2_measurements_measurements_signal : out std_logic_vector(7 downto 0); -- comm_2_measurements.measurements_signal + comm_2_sync_sync_signal : in std_logic := '0'; -- comm_2_sync.sync_signal + comm_3_measurements_measurements_signal : out std_logic_vector(7 downto 0); -- comm_3_measurements.measurements_signal + comm_3_sync_sync_signal : in std_logic := '0'; -- comm_3_sync.sync_signal + comm_4_measurements_measurements_signal : out std_logic_vector(7 downto 0); -- comm_4_measurements.measurements_signal + comm_4_sync_sync_signal : in std_logic := '0'; -- comm_4_sync.sync_signal + comm_5_measurements_measurements_signal : out std_logic_vector(7 downto 0); -- comm_5_measurements.measurements_signal + comm_5_sync_sync_signal : in std_logic := '0'; -- comm_5_sync.sync_signal + comm_6_measurements_measurements_signal : out std_logic_vector(7 downto 0); -- comm_6_measurements.measurements_signal + comm_6_sync_sync_signal : in std_logic := '0'; -- comm_6_sync.sync_signal + csense_adc_fo_export : out std_logic; -- csense_adc_fo.export + csense_cs_n_export : out std_logic_vector(1 downto 0); -- csense_cs_n.export + csense_sck_export : out std_logic; -- csense_sck.export + csense_sdi_export : out std_logic; -- csense_sdi.export + csense_sdo_export : in std_logic := '0'; -- csense_sdo.export + ctrl_io_lvds_export : out std_logic_vector(3 downto 0); -- ctrl_io_lvds.export + dip_export : in std_logic_vector(7 downto 0) := (others => '0'); -- dip.export + ext_export : in std_logic := '0'; -- ext.export + ftdi_clk_clk : in std_logic := '0'; -- ftdi_clk.clk + led_de4_export : out std_logic_vector(7 downto 0); -- led_de4.export + led_painel_export : out std_logic_vector(20 downto 0); -- led_painel.export + m1_ddr2_i2c_scl_export : out std_logic; -- m1_ddr2_i2c_scl.export + m1_ddr2_i2c_sda_export : inout std_logic := '0'; -- m1_ddr2_i2c_sda.export + m1_ddr2_memory_mem_a : out std_logic_vector(13 downto 0); -- m1_ddr2_memory.mem_a + m1_ddr2_memory_mem_ba : out std_logic_vector(2 downto 0); -- .mem_ba + m1_ddr2_memory_mem_ck : out std_logic_vector(1 downto 0); -- .mem_ck + m1_ddr2_memory_mem_ck_n : out std_logic_vector(1 downto 0); -- .mem_ck_n + m1_ddr2_memory_mem_cke : out std_logic_vector(1 downto 0); -- .mem_cke + m1_ddr2_memory_mem_cs_n : out std_logic_vector(1 downto 0); -- .mem_cs_n + m1_ddr2_memory_mem_dm : out std_logic_vector(7 downto 0); -- .mem_dm + m1_ddr2_memory_mem_ras_n : out std_logic_vector(0 downto 0); -- .mem_ras_n + m1_ddr2_memory_mem_cas_n : out std_logic_vector(0 downto 0); -- .mem_cas_n + m1_ddr2_memory_mem_we_n : out std_logic_vector(0 downto 0); -- .mem_we_n + m1_ddr2_memory_mem_dq : inout std_logic_vector(63 downto 0) := (others => '0'); -- .mem_dq + m1_ddr2_memory_mem_dqs : inout std_logic_vector(7 downto 0) := (others => '0'); -- .mem_dqs + m1_ddr2_memory_mem_dqs_n : inout std_logic_vector(7 downto 0) := (others => '0'); -- .mem_dqs_n + m1_ddr2_memory_mem_odt : out std_logic_vector(1 downto 0); -- .mem_odt + m1_ddr2_memory_pll_ref_clk_clk : in std_logic := '0'; -- m1_ddr2_memory_pll_ref_clk.clk + m1_ddr2_memory_status_local_init_done : out std_logic; -- m1_ddr2_memory_status.local_init_done + m1_ddr2_memory_status_local_cal_success : out std_logic; -- .local_cal_success + m1_ddr2_memory_status_local_cal_fail : out std_logic; -- .local_cal_fail + m1_ddr2_oct_rdn : in std_logic := '0'; -- m1_ddr2_oct.rdn + m1_ddr2_oct_rup : in std_logic := '0'; -- .rup + m2_ddr2_i2c_scl_export : out std_logic; -- m2_ddr2_i2c_scl.export + m2_ddr2_i2c_sda_export : inout std_logic := '0'; -- m2_ddr2_i2c_sda.export + m2_ddr2_memory_mem_a : out std_logic_vector(13 downto 0); -- m2_ddr2_memory.mem_a + m2_ddr2_memory_mem_ba : out std_logic_vector(2 downto 0); -- .mem_ba + m2_ddr2_memory_mem_ck : out std_logic_vector(1 downto 0); -- .mem_ck + m2_ddr2_memory_mem_ck_n : out std_logic_vector(1 downto 0); -- .mem_ck_n + m2_ddr2_memory_mem_cke : out std_logic_vector(1 downto 0); -- .mem_cke + m2_ddr2_memory_mem_cs_n : out std_logic_vector(1 downto 0); -- .mem_cs_n + m2_ddr2_memory_mem_dm : out std_logic_vector(7 downto 0); -- .mem_dm + m2_ddr2_memory_mem_ras_n : out std_logic_vector(0 downto 0); -- .mem_ras_n + m2_ddr2_memory_mem_cas_n : out std_logic_vector(0 downto 0); -- .mem_cas_n + m2_ddr2_memory_mem_we_n : out std_logic_vector(0 downto 0); -- .mem_we_n + m2_ddr2_memory_mem_dq : inout std_logic_vector(63 downto 0) := (others => '0'); -- .mem_dq + m2_ddr2_memory_mem_dqs : inout std_logic_vector(7 downto 0) := (others => '0'); -- .mem_dqs + m2_ddr2_memory_mem_dqs_n : inout std_logic_vector(7 downto 0) := (others => '0'); -- .mem_dqs_n + m2_ddr2_memory_mem_odt : out std_logic_vector(1 downto 0); -- .mem_odt + m2_ddr2_memory_dll_sharing_dll_pll_locked : in std_logic := '0'; -- m2_ddr2_memory_dll_sharing.dll_pll_locked + m2_ddr2_memory_dll_sharing_dll_delayctrl : out std_logic_vector(5 downto 0); -- .dll_delayctrl + m2_ddr2_memory_pll_sharing_pll_mem_clk : out std_logic; -- m2_ddr2_memory_pll_sharing.pll_mem_clk + m2_ddr2_memory_pll_sharing_pll_write_clk : out std_logic; -- .pll_write_clk + m2_ddr2_memory_pll_sharing_pll_locked : out std_logic; -- .pll_locked + m2_ddr2_memory_pll_sharing_pll_write_clk_pre_phy_clk : out std_logic; -- .pll_write_clk_pre_phy_clk + m2_ddr2_memory_pll_sharing_pll_addr_cmd_clk : out std_logic; -- .pll_addr_cmd_clk + m2_ddr2_memory_pll_sharing_pll_avl_clk : out std_logic; -- .pll_avl_clk + m2_ddr2_memory_pll_sharing_pll_config_clk : out std_logic; -- .pll_config_clk + m2_ddr2_memory_status_local_init_done : out std_logic; -- m2_ddr2_memory_status.local_init_done + m2_ddr2_memory_status_local_cal_success : out std_logic; -- .local_cal_success + m2_ddr2_memory_status_local_cal_fail : out std_logic; -- .local_cal_fail + m2_ddr2_oct_rdn : in std_logic := '0'; -- m2_ddr2_oct.rdn + m2_ddr2_oct_rup : in std_logic := '0'; -- .rup + pio_ftdi_umft601a_module_reset_export : out std_logic; -- pio_ftdi_umft601a_module_reset.export + pio_iso_logic_signal_enable_export : out std_logic; -- pio_iso_logic_signal_enable.export + pio_rmap_echoing_module_reset_external_connection_export : out std_logic; -- pio_rmap_echoing_module_reset_external_connection.export + rmap_echoing_echo_rst_sink_reset : in std_logic := '0'; -- rmap_echoing_echo_rst_sink.reset + rs232_uart_rxd : in std_logic := '0'; -- rs232_uart.rxd + rs232_uart_txd : out std_logic; -- .txd + rst_reset_n : in std_logic := '0'; -- rst.reset_n + rst_controller_conduit_reset_input_t_reset_input_signal : in std_logic := '0'; -- rst_controller_conduit_reset_input.t_reset_input_signal + rst_controller_conduit_simucam_reset_t_simucam_reset_signal : out std_logic; -- rst_controller_conduit_simucam_reset.t_simucam_reset_signal + scom_0_sync_sync_signal : in std_logic := '0'; -- scom_0_sync.sync_signal + sd_card_wp_n_io_export : in std_logic := '0'; -- sd_card_wp_n_io.export + spwc_a_enable_spw_rx_enable_signal : in std_logic := '0'; -- spwc_a_enable.spw_rx_enable_signal + spwc_a_enable_spw_tx_enable_signal : in std_logic := '0'; -- .spw_tx_enable_signal + spwc_a_leds_spw_red_status_led_signal : out std_logic; -- spwc_a_leds.spw_red_status_led_signal + spwc_a_leds_spw_green_status_led_signal : out std_logic; -- .spw_green_status_led_signal + spwc_a_lvds_spw_lvds_p_data_in_signal : in std_logic := '0'; -- spwc_a_lvds.spw_lvds_p_data_in_signal + spwc_a_lvds_spw_lvds_n_data_in_signal : in std_logic := '0'; -- .spw_lvds_n_data_in_signal + spwc_a_lvds_spw_lvds_p_data_out_signal : out std_logic; -- .spw_lvds_p_data_out_signal + spwc_a_lvds_spw_lvds_n_data_out_signal : out std_logic; -- .spw_lvds_n_data_out_signal + spwc_a_lvds_spw_lvds_p_strobe_out_signal : out std_logic; -- .spw_lvds_p_strobe_out_signal + spwc_a_lvds_spw_lvds_n_strobe_out_signal : out std_logic; -- .spw_lvds_n_strobe_out_signal + spwc_a_lvds_spw_lvds_p_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_p_strobe_in_signal + spwc_a_lvds_spw_lvds_n_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_n_strobe_in_signal + spwc_b_enable_spw_rx_enable_signal : in std_logic := '0'; -- spwc_b_enable.spw_rx_enable_signal + spwc_b_enable_spw_tx_enable_signal : in std_logic := '0'; -- .spw_tx_enable_signal + spwc_b_leds_spw_red_status_led_signal : out std_logic; -- spwc_b_leds.spw_red_status_led_signal + spwc_b_leds_spw_green_status_led_signal : out std_logic; -- .spw_green_status_led_signal + spwc_b_lvds_spw_lvds_p_data_in_signal : in std_logic := '0'; -- spwc_b_lvds.spw_lvds_p_data_in_signal + spwc_b_lvds_spw_lvds_n_data_in_signal : in std_logic := '0'; -- .spw_lvds_n_data_in_signal + spwc_b_lvds_spw_lvds_p_data_out_signal : out std_logic; -- .spw_lvds_p_data_out_signal + spwc_b_lvds_spw_lvds_n_data_out_signal : out std_logic; -- .spw_lvds_n_data_out_signal + spwc_b_lvds_spw_lvds_p_strobe_out_signal : out std_logic; -- .spw_lvds_p_strobe_out_signal + spwc_b_lvds_spw_lvds_n_strobe_out_signal : out std_logic; -- .spw_lvds_n_strobe_out_signal + spwc_b_lvds_spw_lvds_p_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_p_strobe_in_signal + spwc_b_lvds_spw_lvds_n_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_n_strobe_in_signal + spwc_c_enable_spw_rx_enable_signal : in std_logic := '0'; -- spwc_c_enable.spw_rx_enable_signal + spwc_c_enable_spw_tx_enable_signal : in std_logic := '0'; -- .spw_tx_enable_signal + spwc_c_leds_spw_red_status_led_signal : out std_logic; -- spwc_c_leds.spw_red_status_led_signal + spwc_c_leds_spw_green_status_led_signal : out std_logic; -- .spw_green_status_led_signal + spwc_c_lvds_spw_lvds_p_data_in_signal : in std_logic := '0'; -- spwc_c_lvds.spw_lvds_p_data_in_signal + spwc_c_lvds_spw_lvds_n_data_in_signal : in std_logic := '0'; -- .spw_lvds_n_data_in_signal + spwc_c_lvds_spw_lvds_p_data_out_signal : out std_logic; -- .spw_lvds_p_data_out_signal + spwc_c_lvds_spw_lvds_n_data_out_signal : out std_logic; -- .spw_lvds_n_data_out_signal + spwc_c_lvds_spw_lvds_p_strobe_out_signal : out std_logic; -- .spw_lvds_p_strobe_out_signal + spwc_c_lvds_spw_lvds_n_strobe_out_signal : out std_logic; -- .spw_lvds_n_strobe_out_signal + spwc_c_lvds_spw_lvds_p_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_p_strobe_in_signal + spwc_c_lvds_spw_lvds_n_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_n_strobe_in_signal + spwc_d_enable_spw_rx_enable_signal : in std_logic := '0'; -- spwc_d_enable.spw_rx_enable_signal + spwc_d_enable_spw_tx_enable_signal : in std_logic := '0'; -- .spw_tx_enable_signal + spwc_d_leds_spw_red_status_led_signal : out std_logic; -- spwc_d_leds.spw_red_status_led_signal + spwc_d_leds_spw_green_status_led_signal : out std_logic; -- .spw_green_status_led_signal + spwc_d_lvds_spw_lvds_p_data_in_signal : in std_logic := '0'; -- spwc_d_lvds.spw_lvds_p_data_in_signal + spwc_d_lvds_spw_lvds_n_data_in_signal : in std_logic := '0'; -- .spw_lvds_n_data_in_signal + spwc_d_lvds_spw_lvds_p_data_out_signal : out std_logic; -- .spw_lvds_p_data_out_signal + spwc_d_lvds_spw_lvds_n_data_out_signal : out std_logic; -- .spw_lvds_n_data_out_signal + spwc_d_lvds_spw_lvds_p_strobe_out_signal : out std_logic; -- .spw_lvds_p_strobe_out_signal + spwc_d_lvds_spw_lvds_n_strobe_out_signal : out std_logic; -- .spw_lvds_n_strobe_out_signal + spwc_d_lvds_spw_lvds_p_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_p_strobe_in_signal + spwc_d_lvds_spw_lvds_n_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_n_strobe_in_signal + spwc_e_enable_spw_rx_enable_signal : in std_logic := '0'; -- spwc_e_enable.spw_rx_enable_signal + spwc_e_enable_spw_tx_enable_signal : in std_logic := '0'; -- .spw_tx_enable_signal + spwc_e_leds_spw_red_status_led_signal : out std_logic; -- spwc_e_leds.spw_red_status_led_signal + spwc_e_leds_spw_green_status_led_signal : out std_logic; -- .spw_green_status_led_signal + spwc_e_lvds_spw_lvds_p_data_in_signal : in std_logic := '0'; -- spwc_e_lvds.spw_lvds_p_data_in_signal + spwc_e_lvds_spw_lvds_n_data_in_signal : in std_logic := '0'; -- .spw_lvds_n_data_in_signal + spwc_e_lvds_spw_lvds_p_data_out_signal : out std_logic; -- .spw_lvds_p_data_out_signal + spwc_e_lvds_spw_lvds_n_data_out_signal : out std_logic; -- .spw_lvds_n_data_out_signal + spwc_e_lvds_spw_lvds_p_strobe_out_signal : out std_logic; -- .spw_lvds_p_strobe_out_signal + spwc_e_lvds_spw_lvds_n_strobe_out_signal : out std_logic; -- .spw_lvds_n_strobe_out_signal + spwc_e_lvds_spw_lvds_p_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_p_strobe_in_signal + spwc_e_lvds_spw_lvds_n_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_n_strobe_in_signal + spwc_f_enable_spw_rx_enable_signal : in std_logic := '0'; -- spwc_f_enable.spw_rx_enable_signal + spwc_f_enable_spw_tx_enable_signal : in std_logic := '0'; -- .spw_tx_enable_signal + spwc_f_leds_spw_red_status_led_signal : out std_logic; -- spwc_f_leds.spw_red_status_led_signal + spwc_f_leds_spw_green_status_led_signal : out std_logic; -- .spw_green_status_led_signal + spwc_f_lvds_spw_lvds_p_data_in_signal : in std_logic := '0'; -- spwc_f_lvds.spw_lvds_p_data_in_signal + spwc_f_lvds_spw_lvds_n_data_in_signal : in std_logic := '0'; -- .spw_lvds_n_data_in_signal + spwc_f_lvds_spw_lvds_p_data_out_signal : out std_logic; -- .spw_lvds_p_data_out_signal + spwc_f_lvds_spw_lvds_n_data_out_signal : out std_logic; -- .spw_lvds_n_data_out_signal + spwc_f_lvds_spw_lvds_p_strobe_out_signal : out std_logic; -- .spw_lvds_p_strobe_out_signal + spwc_f_lvds_spw_lvds_n_strobe_out_signal : out std_logic; -- .spw_lvds_n_strobe_out_signal + spwc_f_lvds_spw_lvds_p_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_p_strobe_in_signal + spwc_f_lvds_spw_lvds_n_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_n_strobe_in_signal + spwc_g_enable_spw_rx_enable_signal : in std_logic := '0'; -- spwc_g_enable.spw_rx_enable_signal + spwc_g_enable_spw_tx_enable_signal : in std_logic := '0'; -- .spw_tx_enable_signal + spwc_g_leds_spw_red_status_led_signal : out std_logic; -- spwc_g_leds.spw_red_status_led_signal + spwc_g_leds_spw_green_status_led_signal : out std_logic; -- .spw_green_status_led_signal + spwc_g_lvds_spw_lvds_p_data_in_signal : in std_logic := '0'; -- spwc_g_lvds.spw_lvds_p_data_in_signal + spwc_g_lvds_spw_lvds_n_data_in_signal : in std_logic := '0'; -- .spw_lvds_n_data_in_signal + spwc_g_lvds_spw_lvds_p_data_out_signal : out std_logic; -- .spw_lvds_p_data_out_signal + spwc_g_lvds_spw_lvds_n_data_out_signal : out std_logic; -- .spw_lvds_n_data_out_signal + spwc_g_lvds_spw_lvds_p_strobe_out_signal : out std_logic; -- .spw_lvds_p_strobe_out_signal + spwc_g_lvds_spw_lvds_n_strobe_out_signal : out std_logic; -- .spw_lvds_n_strobe_out_signal + spwc_g_lvds_spw_lvds_p_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_p_strobe_in_signal + spwc_g_lvds_spw_lvds_n_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_n_strobe_in_signal + spwc_h_enable_spw_rx_enable_signal : in std_logic := '0'; -- spwc_h_enable.spw_rx_enable_signal + spwc_h_enable_spw_tx_enable_signal : in std_logic := '0'; -- .spw_tx_enable_signal + spwc_h_leds_spw_red_status_led_signal : out std_logic; -- spwc_h_leds.spw_red_status_led_signal + spwc_h_leds_spw_green_status_led_signal : out std_logic; -- .spw_green_status_led_signal + spwc_h_lvds_spw_lvds_p_data_in_signal : in std_logic := '0'; -- spwc_h_lvds.spw_lvds_p_data_in_signal + spwc_h_lvds_spw_lvds_n_data_in_signal : in std_logic := '0'; -- .spw_lvds_n_data_in_signal + spwc_h_lvds_spw_lvds_p_data_out_signal : out std_logic; -- .spw_lvds_p_data_out_signal + spwc_h_lvds_spw_lvds_n_data_out_signal : out std_logic; -- .spw_lvds_n_data_out_signal + spwc_h_lvds_spw_lvds_p_strobe_out_signal : out std_logic; -- .spw_lvds_p_strobe_out_signal + spwc_h_lvds_spw_lvds_n_strobe_out_signal : out std_logic; -- .spw_lvds_n_strobe_out_signal + spwc_h_lvds_spw_lvds_p_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_p_strobe_in_signal + spwc_h_lvds_spw_lvds_n_strobe_in_signal : in std_logic := '0'; -- .spw_lvds_n_strobe_in_signal + sync_filtered_sig_filtered_sig_signal : out std_logic; -- sync_filtered_sig.filtered_sig_signal + sync_in_conduit : in std_logic := '0'; -- sync_in.conduit + sync_in_en_conduit : in std_logic := '0'; -- sync_in_en.conduit + sync_out_conduit : out std_logic; -- sync_out.conduit + sync_out_en_conduit : in std_logic := '0'; -- sync_out_en.conduit + sync_spw1_conduit : out std_logic; -- sync_spw1.conduit + sync_spw2_conduit : out std_logic; -- sync_spw2.conduit + sync_spw3_conduit : out std_logic; -- sync_spw3.conduit + sync_spw4_conduit : out std_logic; -- sync_spw4.conduit + sync_spw5_conduit : out std_logic; -- sync_spw5.conduit + sync_spw6_conduit : out std_logic; -- sync_spw6.conduit + sync_spw7_conduit : out std_logic; -- sync_spw7.conduit + sync_spw8_conduit : out std_logic; -- sync_spw8.conduit + sync_unfiltered_sig_unfiltered_sig_signal : in std_logic := '0'; -- sync_unfiltered_sig.unfiltered_sig_signal + temp_scl_export : out std_logic; -- temp_scl.export + temp_sda_export : inout std_logic := '0'; -- temp_sda.export + timer_1ms_external_port_export : out std_logic; -- timer_1ms_external_port.export + timer_1us_external_port_export : out std_logic; -- timer_1us_external_port.export + tristate_conduit_tcm_address_out : out std_logic_vector(25 downto 0); -- tristate_conduit.tcm_address_out + tristate_conduit_tcm_read_n_out : out std_logic_vector(0 downto 0); -- .tcm_read_n_out + tristate_conduit_tcm_write_n_out : out std_logic_vector(0 downto 0); -- .tcm_write_n_out + tristate_conduit_tcm_data_out : inout std_logic_vector(15 downto 0) := (others => '0'); -- .tcm_data_out + tristate_conduit_tcm_chipselect_n_out : out std_logic_vector(0 downto 0); -- .tcm_chipselect_n_out + umft601a_pins_umft_clock_signal : in std_logic := '0'; -- umft601a_pins.umft_clock_signal + umft601a_pins_umft_txe_n_signal : in std_logic := '0'; -- .umft_txe_n_signal + umft601a_pins_umft_rxf_n_signal : in std_logic := '0'; -- .umft_rxf_n_signal + umft601a_pins_umft_data_signal : inout std_logic_vector(31 downto 0) := (others => '0'); -- .umft_data_signal + umft601a_pins_umft_be_signal : inout std_logic_vector(3 downto 0) := (others => '0'); -- .umft_be_signal + umft601a_pins_umft_wakeup_n_signal : inout std_logic := '0'; -- .umft_wakeup_n_signal + umft601a_pins_umft_gpio_bus_signal : inout std_logic_vector(1 downto 0) := (others => '0'); -- .umft_gpio_bus_signal + umft601a_pins_umft_reset_n_signal : out std_logic; -- .umft_reset_n_signal + umft601a_pins_umft_wr_n_signal : out std_logic; -- .umft_wr_n_signal + umft601a_pins_umft_rd_n_signal : out std_logic; -- .umft_rd_n_signal + umft601a_pins_umft_oe_n_signal : out std_logic; -- .umft_oe_n_signal + umft601a_pins_umft_siwu_n_signal : out std_logic -- .umft_siwu_n_signal ); end entity MebX_Qsys_Project; @@ -383,6 +385,7 @@ architecture rtl of MebX_Qsys_Project is component rmpe_rmap_echoing_top is port ( reset_i : in std_logic := 'X'; -- reset + echo_rst_i : in std_logic := 'X'; -- reset clk_100_i : in std_logic := 'X'; -- clk rmap_echo_0_echo_en_i : in std_logic := 'X'; -- echo_en_signal rmap_echo_0_echo_id_en_i : in std_logic := 'X'; -- echo_id_en_signal @@ -1609,6 +1612,11 @@ architecture rtl of MebX_Qsys_Project is pio_LED_painel_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata pio_LED_painel_s1_writedata : out std_logic_vector(31 downto 0); -- writedata pio_LED_painel_s1_chipselect : out std_logic; -- chipselect + pio_rmap_echoing_module_reset_s1_address : out std_logic_vector(1 downto 0); -- address + pio_rmap_echoing_module_reset_s1_write : out std_logic; -- write + pio_rmap_echoing_module_reset_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata + pio_rmap_echoing_module_reset_s1_writedata : out std_logic_vector(31 downto 0); -- writedata + pio_rmap_echoing_module_reset_s1_chipselect : out std_logic; -- chipselect rs232_uart_s1_address : out std_logic_vector(2 downto 0); -- address rs232_uart_s1_write : out std_logic; -- write rs232_uart_s1_read : out std_logic; -- read @@ -2974,6 +2982,11 @@ architecture rtl of MebX_Qsys_Project is signal mm_interconnect_2_pio_iso_logic_signal_enable_s1_address : std_logic_vector(1 downto 0); -- mm_interconnect_2:pio_iso_logic_signal_enable_s1_address -> pio_iso_logic_signal_enable:address signal mm_interconnect_2_pio_iso_logic_signal_enable_s1_write : std_logic; -- mm_interconnect_2:pio_iso_logic_signal_enable_s1_write -> mm_interconnect_2_pio_iso_logic_signal_enable_s1_write:in signal mm_interconnect_2_pio_iso_logic_signal_enable_s1_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_2:pio_iso_logic_signal_enable_s1_writedata -> pio_iso_logic_signal_enable:writedata + signal mm_interconnect_2_pio_rmap_echoing_module_reset_s1_chipselect : std_logic; -- mm_interconnect_2:pio_rmap_echoing_module_reset_s1_chipselect -> pio_rmap_echoing_module_reset:chipselect + signal mm_interconnect_2_pio_rmap_echoing_module_reset_s1_readdata : std_logic_vector(31 downto 0); -- pio_rmap_echoing_module_reset:readdata -> mm_interconnect_2:pio_rmap_echoing_module_reset_s1_readdata + signal mm_interconnect_2_pio_rmap_echoing_module_reset_s1_address : std_logic_vector(1 downto 0); -- mm_interconnect_2:pio_rmap_echoing_module_reset_s1_address -> pio_rmap_echoing_module_reset:address + signal mm_interconnect_2_pio_rmap_echoing_module_reset_s1_write : std_logic; -- mm_interconnect_2:pio_rmap_echoing_module_reset_s1_write -> mm_interconnect_2_pio_rmap_echoing_module_reset_s1_write:in + signal mm_interconnect_2_pio_rmap_echoing_module_reset_s1_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_2:pio_rmap_echoing_module_reset_s1_writedata -> pio_rmap_echoing_module_reset:writedata signal m1_clock_bridge_m0_waitrequest : std_logic; -- mm_interconnect_3:m1_clock_bridge_m0_waitrequest -> m1_clock_bridge:m0_waitrequest signal m1_clock_bridge_m0_readdata : std_logic_vector(255 downto 0); -- mm_interconnect_3:m1_clock_bridge_m0_readdata -> m1_clock_bridge:m0_readdata signal m1_clock_bridge_m0_debugaccess : std_logic; -- m1_clock_bridge:m0_debugaccess -> mm_interconnect_3:m1_clock_bridge_m0_debugaccess @@ -3057,9 +3070,10 @@ architecture rtl of MebX_Qsys_Project is signal mm_interconnect_2_pio_ctrl_io_lvds_s1_write_ports_inv : std_logic; -- mm_interconnect_2_pio_ctrl_io_lvds_s1_write:inv -> pio_ctrl_io_lvds:write_n signal mm_interconnect_2_pio_ftdi_umft601a_module_reset_s1_write_ports_inv : std_logic; -- mm_interconnect_2_pio_ftdi_umft601a_module_reset_s1_write:inv -> pio_ftdi_umft601a_module_reset:write_n signal mm_interconnect_2_pio_iso_logic_signal_enable_s1_write_ports_inv : std_logic; -- mm_interconnect_2_pio_iso_logic_signal_enable_s1_write:inv -> pio_iso_logic_signal_enable:write_n + signal mm_interconnect_2_pio_rmap_echoing_module_reset_s1_write_ports_inv : std_logic; -- mm_interconnect_2_pio_rmap_echoing_module_reset_s1_write:inv -> pio_rmap_echoing_module_reset:write_n signal mm_interconnect_3_m1_ddr2_memory_avl_inv : std_logic; -- m1_ddr2_memory_avl_waitrequest:inv -> mm_interconnect_3:m1_ddr2_memory_avl_waitrequest signal rst_controller_001_reset_out_reset_ports_inv : std_logic; -- rst_controller_001_reset_out_reset:inv -> [jtag_uart_0:rst_n, sysid_qsys:reset_n] - signal rst_controller_003_reset_out_reset_ports_inv : std_logic; -- rst_controller_003_reset_out_reset:inv -> [csense_adc_fo:reset_n, csense_cs_n:reset_n, csense_sck:reset_n, csense_sdi:reset_n, csense_sdo:reset_n, m1_ddr2_i2c_scl:reset_n, m1_ddr2_i2c_sda:reset_n, m2_ddr2_i2c_scl:reset_n, m2_ddr2_i2c_sda:reset_n, pio_BUTTON:reset_n, pio_DIP:reset_n, pio_EXT:reset_n, pio_LED:reset_n, pio_LED_painel:reset_n, pio_ctrl_io_lvds:reset_n, pio_ftdi_umft601a_module_reset:reset_n, pio_iso_logic_signal_enable:reset_n, sd_card_wp_n:reset_n, temp_scl:reset_n, temp_sda:reset_n, timer_1ms:reset_n, timer_1us:reset_n] + signal rst_controller_003_reset_out_reset_ports_inv : std_logic; -- rst_controller_003_reset_out_reset:inv -> [csense_adc_fo:reset_n, csense_cs_n:reset_n, csense_sck:reset_n, csense_sdi:reset_n, csense_sdo:reset_n, m1_ddr2_i2c_scl:reset_n, m1_ddr2_i2c_sda:reset_n, m2_ddr2_i2c_scl:reset_n, m2_ddr2_i2c_sda:reset_n, pio_BUTTON:reset_n, pio_DIP:reset_n, pio_EXT:reset_n, pio_LED:reset_n, pio_LED_painel:reset_n, pio_ctrl_io_lvds:reset_n, pio_ftdi_umft601a_module_reset:reset_n, pio_iso_logic_signal_enable:reset_n, pio_rmap_echoing_module_reset:reset_n, sd_card_wp_n:reset_n, temp_scl:reset_n, temp_sda:reset_n, timer_1ms:reset_n, timer_1us:reset_n] signal m2_ddr2_memory_afi_reset_reset_ports_inv : std_logic; -- m2_ddr2_memory_afi_reset_reset:inv -> [rst_controller_005:reset_in0, rst_controller_006:reset_in0] signal rst_controller_007_reset_out_reset_ports_inv : std_logic; -- rst_controller_007_reset_out_reset:inv -> nios2_gen2_0:reset_n signal rst_controller_008_reset_out_reset_ports_inv : std_logic; -- rst_controller_008_reset_out_reset:inv -> rs232_uart:reset_n @@ -3707,6 +3721,7 @@ begin rmap_echoing : component rmpe_rmap_echoing_top port map ( reset_i => rst_controller_001_reset_out_reset, -- reset_sink.reset + echo_rst_i => rmap_echoing_echo_rst_sink_reset, -- echo_rst_sink.reset clk_100_i => m2_ddr2_memory_afi_half_clk_clk, -- clock_sink_100mhz.clk rmap_echo_0_echo_en_i => communication_module_v2_ch1_conduit_end_rmap_echo_out_echo_en_signal, -- conduit_end_rmap_echo_0_in.echo_en_signal rmap_echo_0_echo_id_en_i => communication_module_v2_ch1_conduit_end_rmap_echo_out_echo_id_en_signal, -- .echo_id_en_signal @@ -4812,6 +4827,18 @@ begin out_port => pio_iso_logic_signal_enable_export -- external_connection.export ); + pio_rmap_echoing_module_reset : component MebX_Qsys_Project_csense_adc_fo + port map ( + clk => clk50_clk, -- clk.clk + reset_n => rst_controller_003_reset_out_reset_ports_inv, -- reset.reset_n + address => mm_interconnect_2_pio_rmap_echoing_module_reset_s1_address, -- s1.address + write_n => mm_interconnect_2_pio_rmap_echoing_module_reset_s1_write_ports_inv, -- .write_n + writedata => mm_interconnect_2_pio_rmap_echoing_module_reset_s1_writedata, -- .writedata + chipselect => mm_interconnect_2_pio_rmap_echoing_module_reset_s1_chipselect, -- .chipselect + readdata => mm_interconnect_2_pio_rmap_echoing_module_reset_s1_readdata, -- .readdata + out_port => pio_rmap_echoing_module_reset_external_connection_export -- external_connection.export + ); + rmap_mem_nfee_comm_1 : component nrme_rmap_memory_nfee_area_top port map ( reset_i => rst_controller_001_reset_out_reset, -- reset_sink.reset @@ -5718,6 +5745,11 @@ begin pio_LED_painel_s1_readdata => mm_interconnect_2_pio_led_painel_s1_readdata, -- .readdata pio_LED_painel_s1_writedata => mm_interconnect_2_pio_led_painel_s1_writedata, -- .writedata pio_LED_painel_s1_chipselect => mm_interconnect_2_pio_led_painel_s1_chipselect, -- .chipselect + pio_rmap_echoing_module_reset_s1_address => mm_interconnect_2_pio_rmap_echoing_module_reset_s1_address, -- pio_rmap_echoing_module_reset_s1.address + pio_rmap_echoing_module_reset_s1_write => mm_interconnect_2_pio_rmap_echoing_module_reset_s1_write, -- .write + pio_rmap_echoing_module_reset_s1_readdata => mm_interconnect_2_pio_rmap_echoing_module_reset_s1_readdata, -- .readdata + pio_rmap_echoing_module_reset_s1_writedata => mm_interconnect_2_pio_rmap_echoing_module_reset_s1_writedata, -- .writedata + pio_rmap_echoing_module_reset_s1_chipselect => mm_interconnect_2_pio_rmap_echoing_module_reset_s1_chipselect, -- .chipselect rs232_uart_s1_address => mm_interconnect_2_rs232_uart_s1_address, -- rs232_uart_s1.address rs232_uart_s1_write => mm_interconnect_2_rs232_uart_s1_write, -- .write rs232_uart_s1_read => mm_interconnect_2_rs232_uart_s1_read, -- .read @@ -6513,6 +6545,8 @@ begin mm_interconnect_2_pio_iso_logic_signal_enable_s1_write_ports_inv <= not mm_interconnect_2_pio_iso_logic_signal_enable_s1_write; + mm_interconnect_2_pio_rmap_echoing_module_reset_s1_write_ports_inv <= not mm_interconnect_2_pio_rmap_echoing_module_reset_s1_write; + mm_interconnect_3_m1_ddr2_memory_avl_inv <= not m1_ddr2_memory_avl_waitrequest; rst_controller_001_reset_out_reset_ports_inv <= not rst_controller_001_reset_out_reset; diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_csense_adc_fo.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_csense_adc_fo.v index 3a9a1c4a..ca30c940 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_csense_adc_fo.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_csense_adc_fo.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_csense_cs_n.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_csense_cs_n.v index 00a33558..1800e247 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_csense_cs_n.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_csense_cs_n.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_csense_sdo.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_csense_sdo.v index 35d7cf3e..1a7348b9 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_csense_sdo.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_csense_sdo.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_jtag_uart_0.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_jtag_uart_0.v index 0a847152..968d8ed6 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_jtag_uart_0.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_jtag_uart_0.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_m1_ddr2_i2c_sda.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_m1_ddr2_i2c_sda.v index e2847b00..32ffe882 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_m1_ddr2_i2c_sda.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_m1_ddr2_i2c_sda.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_m1_ddr2_memory_p0_parameters.tcl b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_m1_ddr2_memory_p0_parameters.tcl index 16aa7e6d..7302bf44 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_m1_ddr2_memory_p0_parameters.tcl +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_m1_ddr2_memory_p0_parameters.tcl @@ -11,7 +11,7 @@ set ::GLOBAL_MebX_Qsys_Project_m1_ddr2_memory_p0_dqs_group_size 8 set ::GLOBAL_MebX_Qsys_Project_m1_ddr2_memory_p0_number_of_ck_pins 2 set ::GLOBAL_MebX_Qsys_Project_m1_ddr2_memory_p0_number_of_dm_pins 8 set ::GLOBAL_MebX_Qsys_Project_m1_ddr2_memory_p0_dqs_delay_chain_length 2 -set ::GLOBAL_MebX_Qsys_Project_m1_ddr2_memory_p0_uniphy_temp_ver_code 664928098 +set ::GLOBAL_MebX_Qsys_Project_m1_ddr2_memory_p0_uniphy_temp_ver_code 1036088341 # PLL Parameters #USER W A R N I N G ! diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_m2_ddr2_memory_p0_parameters.tcl b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_m2_ddr2_memory_p0_parameters.tcl index 6b0fe18b..0411364f 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_m2_ddr2_memory_p0_parameters.tcl +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_m2_ddr2_memory_p0_parameters.tcl @@ -11,7 +11,7 @@ set ::GLOBAL_MebX_Qsys_Project_m2_ddr2_memory_p0_dqs_group_size 8 set ::GLOBAL_MebX_Qsys_Project_m2_ddr2_memory_p0_number_of_ck_pins 2 set ::GLOBAL_MebX_Qsys_Project_m2_ddr2_memory_p0_number_of_dm_pins 8 set ::GLOBAL_MebX_Qsys_Project_m2_ddr2_memory_p0_dqs_delay_chain_length 2 -set ::GLOBAL_MebX_Qsys_Project_m2_ddr2_memory_p0_uniphy_temp_ver_code 2089110939 +set ::GLOBAL_MebX_Qsys_Project_m2_ddr2_memory_p0_uniphy_temp_ver_code 1739320505 # PLL Parameters #USER W A R N I N G ! diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2.v index ca6f1c12..65155b2a 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2.v @@ -93,6 +93,11 @@ module MebX_Qsys_Project_mm_interconnect_2 ( input wire [31:0] pio_LED_painel_s1_readdata, // .readdata output wire [31:0] pio_LED_painel_s1_writedata, // .writedata output wire pio_LED_painel_s1_chipselect, // .chipselect + output wire [1:0] pio_rmap_echoing_module_reset_s1_address, // pio_rmap_echoing_module_reset_s1.address + output wire pio_rmap_echoing_module_reset_s1_write, // .write + input wire [31:0] pio_rmap_echoing_module_reset_s1_readdata, // .readdata + output wire [31:0] pio_rmap_echoing_module_reset_s1_writedata, // .writedata + output wire pio_rmap_echoing_module_reset_s1_chipselect, // .chipselect output wire [2:0] rs232_uart_s1_address, // rs232_uart_s1.address output wire rs232_uart_s1_write, // .write output wire rs232_uart_s1_read, // .read @@ -171,7 +176,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_src_valid; // cmd_mux:src_valid -> sync_avalon_mm_slave_agent:cp_valid wire [89:0] cmd_mux_src_data; // cmd_mux:src_data -> sync_avalon_mm_slave_agent:cp_data wire cmd_mux_src_ready; // sync_avalon_mm_slave_agent:cp_ready -> cmd_mux:src_ready - wire [24:0] cmd_mux_src_channel; // cmd_mux:src_channel -> sync_avalon_mm_slave_agent:cp_channel + wire [25:0] cmd_mux_src_channel; // cmd_mux:src_channel -> sync_avalon_mm_slave_agent:cp_channel wire cmd_mux_src_startofpacket; // cmd_mux:src_startofpacket -> sync_avalon_mm_slave_agent:cp_startofpacket wire cmd_mux_src_endofpacket; // cmd_mux:src_endofpacket -> sync_avalon_mm_slave_agent:cp_endofpacket wire [31:0] rst_controller_avalon_rst_controller_slave_agent_m0_readdata; // rst_controller_avalon_rst_controller_slave_translator:uav_readdata -> rst_controller_avalon_rst_controller_slave_agent:m0_readdata @@ -198,7 +203,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_001_src_valid; // cmd_mux_001:src_valid -> rst_controller_avalon_rst_controller_slave_agent:cp_valid wire [89:0] cmd_mux_001_src_data; // cmd_mux_001:src_data -> rst_controller_avalon_rst_controller_slave_agent:cp_data wire cmd_mux_001_src_ready; // rst_controller_avalon_rst_controller_slave_agent:cp_ready -> cmd_mux_001:src_ready - wire [24:0] cmd_mux_001_src_channel; // cmd_mux_001:src_channel -> rst_controller_avalon_rst_controller_slave_agent:cp_channel + wire [25:0] cmd_mux_001_src_channel; // cmd_mux_001:src_channel -> rst_controller_avalon_rst_controller_slave_agent:cp_channel wire cmd_mux_001_src_startofpacket; // cmd_mux_001:src_startofpacket -> rst_controller_avalon_rst_controller_slave_agent:cp_startofpacket wire cmd_mux_001_src_endofpacket; // cmd_mux_001:src_endofpacket -> rst_controller_avalon_rst_controller_slave_agent:cp_endofpacket wire [31:0] m1_ddr2_i2c_sda_s1_agent_m0_readdata; // m1_ddr2_i2c_sda_s1_translator:uav_readdata -> m1_ddr2_i2c_sda_s1_agent:m0_readdata @@ -225,7 +230,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_002_src_valid; // cmd_mux_002:src_valid -> m1_ddr2_i2c_sda_s1_agent:cp_valid wire [89:0] cmd_mux_002_src_data; // cmd_mux_002:src_data -> m1_ddr2_i2c_sda_s1_agent:cp_data wire cmd_mux_002_src_ready; // m1_ddr2_i2c_sda_s1_agent:cp_ready -> cmd_mux_002:src_ready - wire [24:0] cmd_mux_002_src_channel; // cmd_mux_002:src_channel -> m1_ddr2_i2c_sda_s1_agent:cp_channel + wire [25:0] cmd_mux_002_src_channel; // cmd_mux_002:src_channel -> m1_ddr2_i2c_sda_s1_agent:cp_channel wire cmd_mux_002_src_startofpacket; // cmd_mux_002:src_startofpacket -> m1_ddr2_i2c_sda_s1_agent:cp_startofpacket wire cmd_mux_002_src_endofpacket; // cmd_mux_002:src_endofpacket -> m1_ddr2_i2c_sda_s1_agent:cp_endofpacket wire [31:0] m1_ddr2_i2c_scl_s1_agent_m0_readdata; // m1_ddr2_i2c_scl_s1_translator:uav_readdata -> m1_ddr2_i2c_scl_s1_agent:m0_readdata @@ -252,7 +257,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_003_src_valid; // cmd_mux_003:src_valid -> m1_ddr2_i2c_scl_s1_agent:cp_valid wire [89:0] cmd_mux_003_src_data; // cmd_mux_003:src_data -> m1_ddr2_i2c_scl_s1_agent:cp_data wire cmd_mux_003_src_ready; // m1_ddr2_i2c_scl_s1_agent:cp_ready -> cmd_mux_003:src_ready - wire [24:0] cmd_mux_003_src_channel; // cmd_mux_003:src_channel -> m1_ddr2_i2c_scl_s1_agent:cp_channel + wire [25:0] cmd_mux_003_src_channel; // cmd_mux_003:src_channel -> m1_ddr2_i2c_scl_s1_agent:cp_channel wire cmd_mux_003_src_startofpacket; // cmd_mux_003:src_startofpacket -> m1_ddr2_i2c_scl_s1_agent:cp_startofpacket wire cmd_mux_003_src_endofpacket; // cmd_mux_003:src_endofpacket -> m1_ddr2_i2c_scl_s1_agent:cp_endofpacket wire [31:0] pio_button_s1_agent_m0_readdata; // pio_BUTTON_s1_translator:uav_readdata -> pio_BUTTON_s1_agent:m0_readdata @@ -279,7 +284,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_004_src_valid; // cmd_mux_004:src_valid -> pio_BUTTON_s1_agent:cp_valid wire [89:0] cmd_mux_004_src_data; // cmd_mux_004:src_data -> pio_BUTTON_s1_agent:cp_data wire cmd_mux_004_src_ready; // pio_BUTTON_s1_agent:cp_ready -> cmd_mux_004:src_ready - wire [24:0] cmd_mux_004_src_channel; // cmd_mux_004:src_channel -> pio_BUTTON_s1_agent:cp_channel + wire [25:0] cmd_mux_004_src_channel; // cmd_mux_004:src_channel -> pio_BUTTON_s1_agent:cp_channel wire cmd_mux_004_src_startofpacket; // cmd_mux_004:src_startofpacket -> pio_BUTTON_s1_agent:cp_startofpacket wire cmd_mux_004_src_endofpacket; // cmd_mux_004:src_endofpacket -> pio_BUTTON_s1_agent:cp_endofpacket wire [31:0] pio_led_s1_agent_m0_readdata; // pio_LED_s1_translator:uav_readdata -> pio_LED_s1_agent:m0_readdata @@ -306,7 +311,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_005_src_valid; // cmd_mux_005:src_valid -> pio_LED_s1_agent:cp_valid wire [89:0] cmd_mux_005_src_data; // cmd_mux_005:src_data -> pio_LED_s1_agent:cp_data wire cmd_mux_005_src_ready; // pio_LED_s1_agent:cp_ready -> cmd_mux_005:src_ready - wire [24:0] cmd_mux_005_src_channel; // cmd_mux_005:src_channel -> pio_LED_s1_agent:cp_channel + wire [25:0] cmd_mux_005_src_channel; // cmd_mux_005:src_channel -> pio_LED_s1_agent:cp_channel wire cmd_mux_005_src_startofpacket; // cmd_mux_005:src_startofpacket -> pio_LED_s1_agent:cp_startofpacket wire cmd_mux_005_src_endofpacket; // cmd_mux_005:src_endofpacket -> pio_LED_s1_agent:cp_endofpacket wire [31:0] timer_1ms_s1_agent_m0_readdata; // timer_1ms_s1_translator:uav_readdata -> timer_1ms_s1_agent:m0_readdata @@ -333,7 +338,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_006_src_valid; // cmd_mux_006:src_valid -> timer_1ms_s1_agent:cp_valid wire [89:0] cmd_mux_006_src_data; // cmd_mux_006:src_data -> timer_1ms_s1_agent:cp_data wire cmd_mux_006_src_ready; // timer_1ms_s1_agent:cp_ready -> cmd_mux_006:src_ready - wire [24:0] cmd_mux_006_src_channel; // cmd_mux_006:src_channel -> timer_1ms_s1_agent:cp_channel + wire [25:0] cmd_mux_006_src_channel; // cmd_mux_006:src_channel -> timer_1ms_s1_agent:cp_channel wire cmd_mux_006_src_startofpacket; // cmd_mux_006:src_startofpacket -> timer_1ms_s1_agent:cp_startofpacket wire cmd_mux_006_src_endofpacket; // cmd_mux_006:src_endofpacket -> timer_1ms_s1_agent:cp_endofpacket wire [31:0] pio_dip_s1_agent_m0_readdata; // pio_DIP_s1_translator:uav_readdata -> pio_DIP_s1_agent:m0_readdata @@ -360,7 +365,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_007_src_valid; // cmd_mux_007:src_valid -> pio_DIP_s1_agent:cp_valid wire [89:0] cmd_mux_007_src_data; // cmd_mux_007:src_data -> pio_DIP_s1_agent:cp_data wire cmd_mux_007_src_ready; // pio_DIP_s1_agent:cp_ready -> cmd_mux_007:src_ready - wire [24:0] cmd_mux_007_src_channel; // cmd_mux_007:src_channel -> pio_DIP_s1_agent:cp_channel + wire [25:0] cmd_mux_007_src_channel; // cmd_mux_007:src_channel -> pio_DIP_s1_agent:cp_channel wire cmd_mux_007_src_startofpacket; // cmd_mux_007:src_startofpacket -> pio_DIP_s1_agent:cp_startofpacket wire cmd_mux_007_src_endofpacket; // cmd_mux_007:src_endofpacket -> pio_DIP_s1_agent:cp_endofpacket wire [31:0] timer_1us_s1_agent_m0_readdata; // timer_1us_s1_translator:uav_readdata -> timer_1us_s1_agent:m0_readdata @@ -387,7 +392,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_008_src_valid; // cmd_mux_008:src_valid -> timer_1us_s1_agent:cp_valid wire [89:0] cmd_mux_008_src_data; // cmd_mux_008:src_data -> timer_1us_s1_agent:cp_data wire cmd_mux_008_src_ready; // timer_1us_s1_agent:cp_ready -> cmd_mux_008:src_ready - wire [24:0] cmd_mux_008_src_channel; // cmd_mux_008:src_channel -> timer_1us_s1_agent:cp_channel + wire [25:0] cmd_mux_008_src_channel; // cmd_mux_008:src_channel -> timer_1us_s1_agent:cp_channel wire cmd_mux_008_src_startofpacket; // cmd_mux_008:src_startofpacket -> timer_1us_s1_agent:cp_startofpacket wire cmd_mux_008_src_endofpacket; // cmd_mux_008:src_endofpacket -> timer_1us_s1_agent:cp_endofpacket wire [31:0] pio_ext_s1_agent_m0_readdata; // pio_EXT_s1_translator:uav_readdata -> pio_EXT_s1_agent:m0_readdata @@ -414,7 +419,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_009_src_valid; // cmd_mux_009:src_valid -> pio_EXT_s1_agent:cp_valid wire [89:0] cmd_mux_009_src_data; // cmd_mux_009:src_data -> pio_EXT_s1_agent:cp_data wire cmd_mux_009_src_ready; // pio_EXT_s1_agent:cp_ready -> cmd_mux_009:src_ready - wire [24:0] cmd_mux_009_src_channel; // cmd_mux_009:src_channel -> pio_EXT_s1_agent:cp_channel + wire [25:0] cmd_mux_009_src_channel; // cmd_mux_009:src_channel -> pio_EXT_s1_agent:cp_channel wire cmd_mux_009_src_startofpacket; // cmd_mux_009:src_startofpacket -> pio_EXT_s1_agent:cp_startofpacket wire cmd_mux_009_src_endofpacket; // cmd_mux_009:src_endofpacket -> pio_EXT_s1_agent:cp_endofpacket wire [31:0] sd_card_wp_n_s1_agent_m0_readdata; // sd_card_wp_n_s1_translator:uav_readdata -> sd_card_wp_n_s1_agent:m0_readdata @@ -441,7 +446,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_010_src_valid; // cmd_mux_010:src_valid -> sd_card_wp_n_s1_agent:cp_valid wire [89:0] cmd_mux_010_src_data; // cmd_mux_010:src_data -> sd_card_wp_n_s1_agent:cp_data wire cmd_mux_010_src_ready; // sd_card_wp_n_s1_agent:cp_ready -> cmd_mux_010:src_ready - wire [24:0] cmd_mux_010_src_channel; // cmd_mux_010:src_channel -> sd_card_wp_n_s1_agent:cp_channel + wire [25:0] cmd_mux_010_src_channel; // cmd_mux_010:src_channel -> sd_card_wp_n_s1_agent:cp_channel wire cmd_mux_010_src_startofpacket; // cmd_mux_010:src_startofpacket -> sd_card_wp_n_s1_agent:cp_startofpacket wire cmd_mux_010_src_endofpacket; // cmd_mux_010:src_endofpacket -> sd_card_wp_n_s1_agent:cp_endofpacket wire [31:0] temp_scl_s1_agent_m0_readdata; // temp_scl_s1_translator:uav_readdata -> temp_scl_s1_agent:m0_readdata @@ -468,7 +473,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_011_src_valid; // cmd_mux_011:src_valid -> temp_scl_s1_agent:cp_valid wire [89:0] cmd_mux_011_src_data; // cmd_mux_011:src_data -> temp_scl_s1_agent:cp_data wire cmd_mux_011_src_ready; // temp_scl_s1_agent:cp_ready -> cmd_mux_011:src_ready - wire [24:0] cmd_mux_011_src_channel; // cmd_mux_011:src_channel -> temp_scl_s1_agent:cp_channel + wire [25:0] cmd_mux_011_src_channel; // cmd_mux_011:src_channel -> temp_scl_s1_agent:cp_channel wire cmd_mux_011_src_startofpacket; // cmd_mux_011:src_startofpacket -> temp_scl_s1_agent:cp_startofpacket wire cmd_mux_011_src_endofpacket; // cmd_mux_011:src_endofpacket -> temp_scl_s1_agent:cp_endofpacket wire [31:0] temp_sda_s1_agent_m0_readdata; // temp_sda_s1_translator:uav_readdata -> temp_sda_s1_agent:m0_readdata @@ -495,7 +500,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_012_src_valid; // cmd_mux_012:src_valid -> temp_sda_s1_agent:cp_valid wire [89:0] cmd_mux_012_src_data; // cmd_mux_012:src_data -> temp_sda_s1_agent:cp_data wire cmd_mux_012_src_ready; // temp_sda_s1_agent:cp_ready -> cmd_mux_012:src_ready - wire [24:0] cmd_mux_012_src_channel; // cmd_mux_012:src_channel -> temp_sda_s1_agent:cp_channel + wire [25:0] cmd_mux_012_src_channel; // cmd_mux_012:src_channel -> temp_sda_s1_agent:cp_channel wire cmd_mux_012_src_startofpacket; // cmd_mux_012:src_startofpacket -> temp_sda_s1_agent:cp_startofpacket wire cmd_mux_012_src_endofpacket; // cmd_mux_012:src_endofpacket -> temp_sda_s1_agent:cp_endofpacket wire [31:0] m2_ddr2_i2c_sda_s1_agent_m0_readdata; // m2_ddr2_i2c_sda_s1_translator:uav_readdata -> m2_ddr2_i2c_sda_s1_agent:m0_readdata @@ -522,7 +527,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_013_src_valid; // cmd_mux_013:src_valid -> m2_ddr2_i2c_sda_s1_agent:cp_valid wire [89:0] cmd_mux_013_src_data; // cmd_mux_013:src_data -> m2_ddr2_i2c_sda_s1_agent:cp_data wire cmd_mux_013_src_ready; // m2_ddr2_i2c_sda_s1_agent:cp_ready -> cmd_mux_013:src_ready - wire [24:0] cmd_mux_013_src_channel; // cmd_mux_013:src_channel -> m2_ddr2_i2c_sda_s1_agent:cp_channel + wire [25:0] cmd_mux_013_src_channel; // cmd_mux_013:src_channel -> m2_ddr2_i2c_sda_s1_agent:cp_channel wire cmd_mux_013_src_startofpacket; // cmd_mux_013:src_startofpacket -> m2_ddr2_i2c_sda_s1_agent:cp_startofpacket wire cmd_mux_013_src_endofpacket; // cmd_mux_013:src_endofpacket -> m2_ddr2_i2c_sda_s1_agent:cp_endofpacket wire [31:0] m2_ddr2_i2c_scl_s1_agent_m0_readdata; // m2_ddr2_i2c_scl_s1_translator:uav_readdata -> m2_ddr2_i2c_scl_s1_agent:m0_readdata @@ -549,7 +554,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_014_src_valid; // cmd_mux_014:src_valid -> m2_ddr2_i2c_scl_s1_agent:cp_valid wire [89:0] cmd_mux_014_src_data; // cmd_mux_014:src_data -> m2_ddr2_i2c_scl_s1_agent:cp_data wire cmd_mux_014_src_ready; // m2_ddr2_i2c_scl_s1_agent:cp_ready -> cmd_mux_014:src_ready - wire [24:0] cmd_mux_014_src_channel; // cmd_mux_014:src_channel -> m2_ddr2_i2c_scl_s1_agent:cp_channel + wire [25:0] cmd_mux_014_src_channel; // cmd_mux_014:src_channel -> m2_ddr2_i2c_scl_s1_agent:cp_channel wire cmd_mux_014_src_startofpacket; // cmd_mux_014:src_startofpacket -> m2_ddr2_i2c_scl_s1_agent:cp_startofpacket wire cmd_mux_014_src_endofpacket; // cmd_mux_014:src_endofpacket -> m2_ddr2_i2c_scl_s1_agent:cp_endofpacket wire [31:0] csense_sdo_s1_agent_m0_readdata; // csense_sdo_s1_translator:uav_readdata -> csense_sdo_s1_agent:m0_readdata @@ -576,7 +581,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_015_src_valid; // cmd_mux_015:src_valid -> csense_sdo_s1_agent:cp_valid wire [89:0] cmd_mux_015_src_data; // cmd_mux_015:src_data -> csense_sdo_s1_agent:cp_data wire cmd_mux_015_src_ready; // csense_sdo_s1_agent:cp_ready -> cmd_mux_015:src_ready - wire [24:0] cmd_mux_015_src_channel; // cmd_mux_015:src_channel -> csense_sdo_s1_agent:cp_channel + wire [25:0] cmd_mux_015_src_channel; // cmd_mux_015:src_channel -> csense_sdo_s1_agent:cp_channel wire cmd_mux_015_src_startofpacket; // cmd_mux_015:src_startofpacket -> csense_sdo_s1_agent:cp_startofpacket wire cmd_mux_015_src_endofpacket; // cmd_mux_015:src_endofpacket -> csense_sdo_s1_agent:cp_endofpacket wire [31:0] csense_sdi_s1_agent_m0_readdata; // csense_sdi_s1_translator:uav_readdata -> csense_sdi_s1_agent:m0_readdata @@ -603,7 +608,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_016_src_valid; // cmd_mux_016:src_valid -> csense_sdi_s1_agent:cp_valid wire [89:0] cmd_mux_016_src_data; // cmd_mux_016:src_data -> csense_sdi_s1_agent:cp_data wire cmd_mux_016_src_ready; // csense_sdi_s1_agent:cp_ready -> cmd_mux_016:src_ready - wire [24:0] cmd_mux_016_src_channel; // cmd_mux_016:src_channel -> csense_sdi_s1_agent:cp_channel + wire [25:0] cmd_mux_016_src_channel; // cmd_mux_016:src_channel -> csense_sdi_s1_agent:cp_channel wire cmd_mux_016_src_startofpacket; // cmd_mux_016:src_startofpacket -> csense_sdi_s1_agent:cp_startofpacket wire cmd_mux_016_src_endofpacket; // cmd_mux_016:src_endofpacket -> csense_sdi_s1_agent:cp_endofpacket wire [31:0] csense_sck_s1_agent_m0_readdata; // csense_sck_s1_translator:uav_readdata -> csense_sck_s1_agent:m0_readdata @@ -630,7 +635,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_017_src_valid; // cmd_mux_017:src_valid -> csense_sck_s1_agent:cp_valid wire [89:0] cmd_mux_017_src_data; // cmd_mux_017:src_data -> csense_sck_s1_agent:cp_data wire cmd_mux_017_src_ready; // csense_sck_s1_agent:cp_ready -> cmd_mux_017:src_ready - wire [24:0] cmd_mux_017_src_channel; // cmd_mux_017:src_channel -> csense_sck_s1_agent:cp_channel + wire [25:0] cmd_mux_017_src_channel; // cmd_mux_017:src_channel -> csense_sck_s1_agent:cp_channel wire cmd_mux_017_src_startofpacket; // cmd_mux_017:src_startofpacket -> csense_sck_s1_agent:cp_startofpacket wire cmd_mux_017_src_endofpacket; // cmd_mux_017:src_endofpacket -> csense_sck_s1_agent:cp_endofpacket wire [31:0] csense_cs_n_s1_agent_m0_readdata; // csense_cs_n_s1_translator:uav_readdata -> csense_cs_n_s1_agent:m0_readdata @@ -657,7 +662,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_018_src_valid; // cmd_mux_018:src_valid -> csense_cs_n_s1_agent:cp_valid wire [89:0] cmd_mux_018_src_data; // cmd_mux_018:src_data -> csense_cs_n_s1_agent:cp_data wire cmd_mux_018_src_ready; // csense_cs_n_s1_agent:cp_ready -> cmd_mux_018:src_ready - wire [24:0] cmd_mux_018_src_channel; // cmd_mux_018:src_channel -> csense_cs_n_s1_agent:cp_channel + wire [25:0] cmd_mux_018_src_channel; // cmd_mux_018:src_channel -> csense_cs_n_s1_agent:cp_channel wire cmd_mux_018_src_startofpacket; // cmd_mux_018:src_startofpacket -> csense_cs_n_s1_agent:cp_startofpacket wire cmd_mux_018_src_endofpacket; // cmd_mux_018:src_endofpacket -> csense_cs_n_s1_agent:cp_endofpacket wire [31:0] csense_adc_fo_s1_agent_m0_readdata; // csense_adc_fo_s1_translator:uav_readdata -> csense_adc_fo_s1_agent:m0_readdata @@ -684,7 +689,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_019_src_valid; // cmd_mux_019:src_valid -> csense_adc_fo_s1_agent:cp_valid wire [89:0] cmd_mux_019_src_data; // cmd_mux_019:src_data -> csense_adc_fo_s1_agent:cp_data wire cmd_mux_019_src_ready; // csense_adc_fo_s1_agent:cp_ready -> cmd_mux_019:src_ready - wire [24:0] cmd_mux_019_src_channel; // cmd_mux_019:src_channel -> csense_adc_fo_s1_agent:cp_channel + wire [25:0] cmd_mux_019_src_channel; // cmd_mux_019:src_channel -> csense_adc_fo_s1_agent:cp_channel wire cmd_mux_019_src_startofpacket; // cmd_mux_019:src_startofpacket -> csense_adc_fo_s1_agent:cp_startofpacket wire cmd_mux_019_src_endofpacket; // cmd_mux_019:src_endofpacket -> csense_adc_fo_s1_agent:cp_endofpacket wire [31:0] pio_led_painel_s1_agent_m0_readdata; // pio_LED_painel_s1_translator:uav_readdata -> pio_LED_painel_s1_agent:m0_readdata @@ -711,7 +716,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_020_src_valid; // cmd_mux_020:src_valid -> pio_LED_painel_s1_agent:cp_valid wire [89:0] cmd_mux_020_src_data; // cmd_mux_020:src_data -> pio_LED_painel_s1_agent:cp_data wire cmd_mux_020_src_ready; // pio_LED_painel_s1_agent:cp_ready -> cmd_mux_020:src_ready - wire [24:0] cmd_mux_020_src_channel; // cmd_mux_020:src_channel -> pio_LED_painel_s1_agent:cp_channel + wire [25:0] cmd_mux_020_src_channel; // cmd_mux_020:src_channel -> pio_LED_painel_s1_agent:cp_channel wire cmd_mux_020_src_startofpacket; // cmd_mux_020:src_startofpacket -> pio_LED_painel_s1_agent:cp_startofpacket wire cmd_mux_020_src_endofpacket; // cmd_mux_020:src_endofpacket -> pio_LED_painel_s1_agent:cp_endofpacket wire [31:0] rs232_uart_s1_agent_m0_readdata; // rs232_uart_s1_translator:uav_readdata -> rs232_uart_s1_agent:m0_readdata @@ -738,7 +743,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_021_src_valid; // cmd_mux_021:src_valid -> rs232_uart_s1_agent:cp_valid wire [89:0] cmd_mux_021_src_data; // cmd_mux_021:src_data -> rs232_uart_s1_agent:cp_data wire cmd_mux_021_src_ready; // rs232_uart_s1_agent:cp_ready -> cmd_mux_021:src_ready - wire [24:0] cmd_mux_021_src_channel; // cmd_mux_021:src_channel -> rs232_uart_s1_agent:cp_channel + wire [25:0] cmd_mux_021_src_channel; // cmd_mux_021:src_channel -> rs232_uart_s1_agent:cp_channel wire cmd_mux_021_src_startofpacket; // cmd_mux_021:src_startofpacket -> rs232_uart_s1_agent:cp_startofpacket wire cmd_mux_021_src_endofpacket; // cmd_mux_021:src_endofpacket -> rs232_uart_s1_agent:cp_endofpacket wire [31:0] pio_ctrl_io_lvds_s1_agent_m0_readdata; // pio_ctrl_io_lvds_s1_translator:uav_readdata -> pio_ctrl_io_lvds_s1_agent:m0_readdata @@ -765,7 +770,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_022_src_valid; // cmd_mux_022:src_valid -> pio_ctrl_io_lvds_s1_agent:cp_valid wire [89:0] cmd_mux_022_src_data; // cmd_mux_022:src_data -> pio_ctrl_io_lvds_s1_agent:cp_data wire cmd_mux_022_src_ready; // pio_ctrl_io_lvds_s1_agent:cp_ready -> cmd_mux_022:src_ready - wire [24:0] cmd_mux_022_src_channel; // cmd_mux_022:src_channel -> pio_ctrl_io_lvds_s1_agent:cp_channel + wire [25:0] cmd_mux_022_src_channel; // cmd_mux_022:src_channel -> pio_ctrl_io_lvds_s1_agent:cp_channel wire cmd_mux_022_src_startofpacket; // cmd_mux_022:src_startofpacket -> pio_ctrl_io_lvds_s1_agent:cp_startofpacket wire cmd_mux_022_src_endofpacket; // cmd_mux_022:src_endofpacket -> pio_ctrl_io_lvds_s1_agent:cp_endofpacket wire [31:0] pio_ftdi_umft601a_module_reset_s1_agent_m0_readdata; // pio_ftdi_umft601a_module_reset_s1_translator:uav_readdata -> pio_ftdi_umft601a_module_reset_s1_agent:m0_readdata @@ -792,7 +797,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_023_src_valid; // cmd_mux_023:src_valid -> pio_ftdi_umft601a_module_reset_s1_agent:cp_valid wire [89:0] cmd_mux_023_src_data; // cmd_mux_023:src_data -> pio_ftdi_umft601a_module_reset_s1_agent:cp_data wire cmd_mux_023_src_ready; // pio_ftdi_umft601a_module_reset_s1_agent:cp_ready -> cmd_mux_023:src_ready - wire [24:0] cmd_mux_023_src_channel; // cmd_mux_023:src_channel -> pio_ftdi_umft601a_module_reset_s1_agent:cp_channel + wire [25:0] cmd_mux_023_src_channel; // cmd_mux_023:src_channel -> pio_ftdi_umft601a_module_reset_s1_agent:cp_channel wire cmd_mux_023_src_startofpacket; // cmd_mux_023:src_startofpacket -> pio_ftdi_umft601a_module_reset_s1_agent:cp_startofpacket wire cmd_mux_023_src_endofpacket; // cmd_mux_023:src_endofpacket -> pio_ftdi_umft601a_module_reset_s1_agent:cp_endofpacket wire [31:0] pio_iso_logic_signal_enable_s1_agent_m0_readdata; // pio_iso_logic_signal_enable_s1_translator:uav_readdata -> pio_iso_logic_signal_enable_s1_agent:m0_readdata @@ -819,9 +824,36 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire cmd_mux_024_src_valid; // cmd_mux_024:src_valid -> pio_iso_logic_signal_enable_s1_agent:cp_valid wire [89:0] cmd_mux_024_src_data; // cmd_mux_024:src_data -> pio_iso_logic_signal_enable_s1_agent:cp_data wire cmd_mux_024_src_ready; // pio_iso_logic_signal_enable_s1_agent:cp_ready -> cmd_mux_024:src_ready - wire [24:0] cmd_mux_024_src_channel; // cmd_mux_024:src_channel -> pio_iso_logic_signal_enable_s1_agent:cp_channel + wire [25:0] cmd_mux_024_src_channel; // cmd_mux_024:src_channel -> pio_iso_logic_signal_enable_s1_agent:cp_channel wire cmd_mux_024_src_startofpacket; // cmd_mux_024:src_startofpacket -> pio_iso_logic_signal_enable_s1_agent:cp_startofpacket wire cmd_mux_024_src_endofpacket; // cmd_mux_024:src_endofpacket -> pio_iso_logic_signal_enable_s1_agent:cp_endofpacket + wire [31:0] pio_rmap_echoing_module_reset_s1_agent_m0_readdata; // pio_rmap_echoing_module_reset_s1_translator:uav_readdata -> pio_rmap_echoing_module_reset_s1_agent:m0_readdata + wire pio_rmap_echoing_module_reset_s1_agent_m0_waitrequest; // pio_rmap_echoing_module_reset_s1_translator:uav_waitrequest -> pio_rmap_echoing_module_reset_s1_agent:m0_waitrequest + wire pio_rmap_echoing_module_reset_s1_agent_m0_debugaccess; // pio_rmap_echoing_module_reset_s1_agent:m0_debugaccess -> pio_rmap_echoing_module_reset_s1_translator:uav_debugaccess + wire [11:0] pio_rmap_echoing_module_reset_s1_agent_m0_address; // pio_rmap_echoing_module_reset_s1_agent:m0_address -> pio_rmap_echoing_module_reset_s1_translator:uav_address + wire [3:0] pio_rmap_echoing_module_reset_s1_agent_m0_byteenable; // pio_rmap_echoing_module_reset_s1_agent:m0_byteenable -> pio_rmap_echoing_module_reset_s1_translator:uav_byteenable + wire pio_rmap_echoing_module_reset_s1_agent_m0_read; // pio_rmap_echoing_module_reset_s1_agent:m0_read -> pio_rmap_echoing_module_reset_s1_translator:uav_read + wire pio_rmap_echoing_module_reset_s1_agent_m0_readdatavalid; // pio_rmap_echoing_module_reset_s1_translator:uav_readdatavalid -> pio_rmap_echoing_module_reset_s1_agent:m0_readdatavalid + wire pio_rmap_echoing_module_reset_s1_agent_m0_lock; // pio_rmap_echoing_module_reset_s1_agent:m0_lock -> pio_rmap_echoing_module_reset_s1_translator:uav_lock + wire [31:0] pio_rmap_echoing_module_reset_s1_agent_m0_writedata; // pio_rmap_echoing_module_reset_s1_agent:m0_writedata -> pio_rmap_echoing_module_reset_s1_translator:uav_writedata + wire pio_rmap_echoing_module_reset_s1_agent_m0_write; // pio_rmap_echoing_module_reset_s1_agent:m0_write -> pio_rmap_echoing_module_reset_s1_translator:uav_write + wire [2:0] pio_rmap_echoing_module_reset_s1_agent_m0_burstcount; // pio_rmap_echoing_module_reset_s1_agent:m0_burstcount -> pio_rmap_echoing_module_reset_s1_translator:uav_burstcount + wire pio_rmap_echoing_module_reset_s1_agent_rf_source_valid; // pio_rmap_echoing_module_reset_s1_agent:rf_source_valid -> pio_rmap_echoing_module_reset_s1_agent_rsp_fifo:in_valid + wire [90:0] pio_rmap_echoing_module_reset_s1_agent_rf_source_data; // pio_rmap_echoing_module_reset_s1_agent:rf_source_data -> pio_rmap_echoing_module_reset_s1_agent_rsp_fifo:in_data + wire pio_rmap_echoing_module_reset_s1_agent_rf_source_ready; // pio_rmap_echoing_module_reset_s1_agent_rsp_fifo:in_ready -> pio_rmap_echoing_module_reset_s1_agent:rf_source_ready + wire pio_rmap_echoing_module_reset_s1_agent_rf_source_startofpacket; // pio_rmap_echoing_module_reset_s1_agent:rf_source_startofpacket -> pio_rmap_echoing_module_reset_s1_agent_rsp_fifo:in_startofpacket + wire pio_rmap_echoing_module_reset_s1_agent_rf_source_endofpacket; // pio_rmap_echoing_module_reset_s1_agent:rf_source_endofpacket -> pio_rmap_echoing_module_reset_s1_agent_rsp_fifo:in_endofpacket + wire pio_rmap_echoing_module_reset_s1_agent_rsp_fifo_out_valid; // pio_rmap_echoing_module_reset_s1_agent_rsp_fifo:out_valid -> pio_rmap_echoing_module_reset_s1_agent:rf_sink_valid + wire [90:0] pio_rmap_echoing_module_reset_s1_agent_rsp_fifo_out_data; // pio_rmap_echoing_module_reset_s1_agent_rsp_fifo:out_data -> pio_rmap_echoing_module_reset_s1_agent:rf_sink_data + wire pio_rmap_echoing_module_reset_s1_agent_rsp_fifo_out_ready; // pio_rmap_echoing_module_reset_s1_agent:rf_sink_ready -> pio_rmap_echoing_module_reset_s1_agent_rsp_fifo:out_ready + wire pio_rmap_echoing_module_reset_s1_agent_rsp_fifo_out_startofpacket; // pio_rmap_echoing_module_reset_s1_agent_rsp_fifo:out_startofpacket -> pio_rmap_echoing_module_reset_s1_agent:rf_sink_startofpacket + wire pio_rmap_echoing_module_reset_s1_agent_rsp_fifo_out_endofpacket; // pio_rmap_echoing_module_reset_s1_agent_rsp_fifo:out_endofpacket -> pio_rmap_echoing_module_reset_s1_agent:rf_sink_endofpacket + wire cmd_mux_025_src_valid; // cmd_mux_025:src_valid -> pio_rmap_echoing_module_reset_s1_agent:cp_valid + wire [89:0] cmd_mux_025_src_data; // cmd_mux_025:src_data -> pio_rmap_echoing_module_reset_s1_agent:cp_data + wire cmd_mux_025_src_ready; // pio_rmap_echoing_module_reset_s1_agent:cp_ready -> cmd_mux_025:src_ready + wire [25:0] cmd_mux_025_src_channel; // cmd_mux_025:src_channel -> pio_rmap_echoing_module_reset_s1_agent:cp_channel + wire cmd_mux_025_src_startofpacket; // cmd_mux_025:src_startofpacket -> pio_rmap_echoing_module_reset_s1_agent:cp_startofpacket + wire cmd_mux_025_src_endofpacket; // cmd_mux_025:src_endofpacket -> pio_rmap_echoing_module_reset_s1_agent:cp_endofpacket wire clock_bridge_afi_50_m0_agent_cp_valid; // clock_bridge_afi_50_m0_agent:cp_valid -> router:sink_valid wire [89:0] clock_bridge_afi_50_m0_agent_cp_data; // clock_bridge_afi_50_m0_agent:cp_data -> router:sink_data wire clock_bridge_afi_50_m0_agent_cp_ready; // router:sink_ready -> clock_bridge_afi_50_m0_agent:cp_ready @@ -835,7 +867,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_001_src_valid; // router_001:src_valid -> rsp_demux:sink_valid wire [89:0] router_001_src_data; // router_001:src_data -> rsp_demux:sink_data wire router_001_src_ready; // rsp_demux:sink_ready -> router_001:src_ready - wire [24:0] router_001_src_channel; // router_001:src_channel -> rsp_demux:sink_channel + wire [25:0] router_001_src_channel; // router_001:src_channel -> rsp_demux:sink_channel wire router_001_src_startofpacket; // router_001:src_startofpacket -> rsp_demux:sink_startofpacket wire router_001_src_endofpacket; // router_001:src_endofpacket -> rsp_demux:sink_endofpacket wire rst_controller_avalon_rst_controller_slave_agent_rp_valid; // rst_controller_avalon_rst_controller_slave_agent:rp_valid -> router_002:sink_valid @@ -846,7 +878,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_002_src_valid; // router_002:src_valid -> rsp_demux_001:sink_valid wire [89:0] router_002_src_data; // router_002:src_data -> rsp_demux_001:sink_data wire router_002_src_ready; // rsp_demux_001:sink_ready -> router_002:src_ready - wire [24:0] router_002_src_channel; // router_002:src_channel -> rsp_demux_001:sink_channel + wire [25:0] router_002_src_channel; // router_002:src_channel -> rsp_demux_001:sink_channel wire router_002_src_startofpacket; // router_002:src_startofpacket -> rsp_demux_001:sink_startofpacket wire router_002_src_endofpacket; // router_002:src_endofpacket -> rsp_demux_001:sink_endofpacket wire m1_ddr2_i2c_sda_s1_agent_rp_valid; // m1_ddr2_i2c_sda_s1_agent:rp_valid -> router_003:sink_valid @@ -857,7 +889,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_003_src_valid; // router_003:src_valid -> rsp_demux_002:sink_valid wire [89:0] router_003_src_data; // router_003:src_data -> rsp_demux_002:sink_data wire router_003_src_ready; // rsp_demux_002:sink_ready -> router_003:src_ready - wire [24:0] router_003_src_channel; // router_003:src_channel -> rsp_demux_002:sink_channel + wire [25:0] router_003_src_channel; // router_003:src_channel -> rsp_demux_002:sink_channel wire router_003_src_startofpacket; // router_003:src_startofpacket -> rsp_demux_002:sink_startofpacket wire router_003_src_endofpacket; // router_003:src_endofpacket -> rsp_demux_002:sink_endofpacket wire m1_ddr2_i2c_scl_s1_agent_rp_valid; // m1_ddr2_i2c_scl_s1_agent:rp_valid -> router_004:sink_valid @@ -868,7 +900,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_004_src_valid; // router_004:src_valid -> rsp_demux_003:sink_valid wire [89:0] router_004_src_data; // router_004:src_data -> rsp_demux_003:sink_data wire router_004_src_ready; // rsp_demux_003:sink_ready -> router_004:src_ready - wire [24:0] router_004_src_channel; // router_004:src_channel -> rsp_demux_003:sink_channel + wire [25:0] router_004_src_channel; // router_004:src_channel -> rsp_demux_003:sink_channel wire router_004_src_startofpacket; // router_004:src_startofpacket -> rsp_demux_003:sink_startofpacket wire router_004_src_endofpacket; // router_004:src_endofpacket -> rsp_demux_003:sink_endofpacket wire pio_button_s1_agent_rp_valid; // pio_BUTTON_s1_agent:rp_valid -> router_005:sink_valid @@ -879,7 +911,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_005_src_valid; // router_005:src_valid -> rsp_demux_004:sink_valid wire [89:0] router_005_src_data; // router_005:src_data -> rsp_demux_004:sink_data wire router_005_src_ready; // rsp_demux_004:sink_ready -> router_005:src_ready - wire [24:0] router_005_src_channel; // router_005:src_channel -> rsp_demux_004:sink_channel + wire [25:0] router_005_src_channel; // router_005:src_channel -> rsp_demux_004:sink_channel wire router_005_src_startofpacket; // router_005:src_startofpacket -> rsp_demux_004:sink_startofpacket wire router_005_src_endofpacket; // router_005:src_endofpacket -> rsp_demux_004:sink_endofpacket wire pio_led_s1_agent_rp_valid; // pio_LED_s1_agent:rp_valid -> router_006:sink_valid @@ -890,7 +922,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_006_src_valid; // router_006:src_valid -> rsp_demux_005:sink_valid wire [89:0] router_006_src_data; // router_006:src_data -> rsp_demux_005:sink_data wire router_006_src_ready; // rsp_demux_005:sink_ready -> router_006:src_ready - wire [24:0] router_006_src_channel; // router_006:src_channel -> rsp_demux_005:sink_channel + wire [25:0] router_006_src_channel; // router_006:src_channel -> rsp_demux_005:sink_channel wire router_006_src_startofpacket; // router_006:src_startofpacket -> rsp_demux_005:sink_startofpacket wire router_006_src_endofpacket; // router_006:src_endofpacket -> rsp_demux_005:sink_endofpacket wire timer_1ms_s1_agent_rp_valid; // timer_1ms_s1_agent:rp_valid -> router_007:sink_valid @@ -901,7 +933,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_007_src_valid; // router_007:src_valid -> rsp_demux_006:sink_valid wire [89:0] router_007_src_data; // router_007:src_data -> rsp_demux_006:sink_data wire router_007_src_ready; // rsp_demux_006:sink_ready -> router_007:src_ready - wire [24:0] router_007_src_channel; // router_007:src_channel -> rsp_demux_006:sink_channel + wire [25:0] router_007_src_channel; // router_007:src_channel -> rsp_demux_006:sink_channel wire router_007_src_startofpacket; // router_007:src_startofpacket -> rsp_demux_006:sink_startofpacket wire router_007_src_endofpacket; // router_007:src_endofpacket -> rsp_demux_006:sink_endofpacket wire pio_dip_s1_agent_rp_valid; // pio_DIP_s1_agent:rp_valid -> router_008:sink_valid @@ -912,7 +944,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_008_src_valid; // router_008:src_valid -> rsp_demux_007:sink_valid wire [89:0] router_008_src_data; // router_008:src_data -> rsp_demux_007:sink_data wire router_008_src_ready; // rsp_demux_007:sink_ready -> router_008:src_ready - wire [24:0] router_008_src_channel; // router_008:src_channel -> rsp_demux_007:sink_channel + wire [25:0] router_008_src_channel; // router_008:src_channel -> rsp_demux_007:sink_channel wire router_008_src_startofpacket; // router_008:src_startofpacket -> rsp_demux_007:sink_startofpacket wire router_008_src_endofpacket; // router_008:src_endofpacket -> rsp_demux_007:sink_endofpacket wire timer_1us_s1_agent_rp_valid; // timer_1us_s1_agent:rp_valid -> router_009:sink_valid @@ -923,7 +955,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_009_src_valid; // router_009:src_valid -> rsp_demux_008:sink_valid wire [89:0] router_009_src_data; // router_009:src_data -> rsp_demux_008:sink_data wire router_009_src_ready; // rsp_demux_008:sink_ready -> router_009:src_ready - wire [24:0] router_009_src_channel; // router_009:src_channel -> rsp_demux_008:sink_channel + wire [25:0] router_009_src_channel; // router_009:src_channel -> rsp_demux_008:sink_channel wire router_009_src_startofpacket; // router_009:src_startofpacket -> rsp_demux_008:sink_startofpacket wire router_009_src_endofpacket; // router_009:src_endofpacket -> rsp_demux_008:sink_endofpacket wire pio_ext_s1_agent_rp_valid; // pio_EXT_s1_agent:rp_valid -> router_010:sink_valid @@ -934,7 +966,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_010_src_valid; // router_010:src_valid -> rsp_demux_009:sink_valid wire [89:0] router_010_src_data; // router_010:src_data -> rsp_demux_009:sink_data wire router_010_src_ready; // rsp_demux_009:sink_ready -> router_010:src_ready - wire [24:0] router_010_src_channel; // router_010:src_channel -> rsp_demux_009:sink_channel + wire [25:0] router_010_src_channel; // router_010:src_channel -> rsp_demux_009:sink_channel wire router_010_src_startofpacket; // router_010:src_startofpacket -> rsp_demux_009:sink_startofpacket wire router_010_src_endofpacket; // router_010:src_endofpacket -> rsp_demux_009:sink_endofpacket wire sd_card_wp_n_s1_agent_rp_valid; // sd_card_wp_n_s1_agent:rp_valid -> router_011:sink_valid @@ -945,7 +977,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_011_src_valid; // router_011:src_valid -> rsp_demux_010:sink_valid wire [89:0] router_011_src_data; // router_011:src_data -> rsp_demux_010:sink_data wire router_011_src_ready; // rsp_demux_010:sink_ready -> router_011:src_ready - wire [24:0] router_011_src_channel; // router_011:src_channel -> rsp_demux_010:sink_channel + wire [25:0] router_011_src_channel; // router_011:src_channel -> rsp_demux_010:sink_channel wire router_011_src_startofpacket; // router_011:src_startofpacket -> rsp_demux_010:sink_startofpacket wire router_011_src_endofpacket; // router_011:src_endofpacket -> rsp_demux_010:sink_endofpacket wire temp_scl_s1_agent_rp_valid; // temp_scl_s1_agent:rp_valid -> router_012:sink_valid @@ -956,7 +988,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_012_src_valid; // router_012:src_valid -> rsp_demux_011:sink_valid wire [89:0] router_012_src_data; // router_012:src_data -> rsp_demux_011:sink_data wire router_012_src_ready; // rsp_demux_011:sink_ready -> router_012:src_ready - wire [24:0] router_012_src_channel; // router_012:src_channel -> rsp_demux_011:sink_channel + wire [25:0] router_012_src_channel; // router_012:src_channel -> rsp_demux_011:sink_channel wire router_012_src_startofpacket; // router_012:src_startofpacket -> rsp_demux_011:sink_startofpacket wire router_012_src_endofpacket; // router_012:src_endofpacket -> rsp_demux_011:sink_endofpacket wire temp_sda_s1_agent_rp_valid; // temp_sda_s1_agent:rp_valid -> router_013:sink_valid @@ -967,7 +999,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_013_src_valid; // router_013:src_valid -> rsp_demux_012:sink_valid wire [89:0] router_013_src_data; // router_013:src_data -> rsp_demux_012:sink_data wire router_013_src_ready; // rsp_demux_012:sink_ready -> router_013:src_ready - wire [24:0] router_013_src_channel; // router_013:src_channel -> rsp_demux_012:sink_channel + wire [25:0] router_013_src_channel; // router_013:src_channel -> rsp_demux_012:sink_channel wire router_013_src_startofpacket; // router_013:src_startofpacket -> rsp_demux_012:sink_startofpacket wire router_013_src_endofpacket; // router_013:src_endofpacket -> rsp_demux_012:sink_endofpacket wire m2_ddr2_i2c_sda_s1_agent_rp_valid; // m2_ddr2_i2c_sda_s1_agent:rp_valid -> router_014:sink_valid @@ -978,7 +1010,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_014_src_valid; // router_014:src_valid -> rsp_demux_013:sink_valid wire [89:0] router_014_src_data; // router_014:src_data -> rsp_demux_013:sink_data wire router_014_src_ready; // rsp_demux_013:sink_ready -> router_014:src_ready - wire [24:0] router_014_src_channel; // router_014:src_channel -> rsp_demux_013:sink_channel + wire [25:0] router_014_src_channel; // router_014:src_channel -> rsp_demux_013:sink_channel wire router_014_src_startofpacket; // router_014:src_startofpacket -> rsp_demux_013:sink_startofpacket wire router_014_src_endofpacket; // router_014:src_endofpacket -> rsp_demux_013:sink_endofpacket wire m2_ddr2_i2c_scl_s1_agent_rp_valid; // m2_ddr2_i2c_scl_s1_agent:rp_valid -> router_015:sink_valid @@ -989,7 +1021,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_015_src_valid; // router_015:src_valid -> rsp_demux_014:sink_valid wire [89:0] router_015_src_data; // router_015:src_data -> rsp_demux_014:sink_data wire router_015_src_ready; // rsp_demux_014:sink_ready -> router_015:src_ready - wire [24:0] router_015_src_channel; // router_015:src_channel -> rsp_demux_014:sink_channel + wire [25:0] router_015_src_channel; // router_015:src_channel -> rsp_demux_014:sink_channel wire router_015_src_startofpacket; // router_015:src_startofpacket -> rsp_demux_014:sink_startofpacket wire router_015_src_endofpacket; // router_015:src_endofpacket -> rsp_demux_014:sink_endofpacket wire csense_sdo_s1_agent_rp_valid; // csense_sdo_s1_agent:rp_valid -> router_016:sink_valid @@ -1000,7 +1032,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_016_src_valid; // router_016:src_valid -> rsp_demux_015:sink_valid wire [89:0] router_016_src_data; // router_016:src_data -> rsp_demux_015:sink_data wire router_016_src_ready; // rsp_demux_015:sink_ready -> router_016:src_ready - wire [24:0] router_016_src_channel; // router_016:src_channel -> rsp_demux_015:sink_channel + wire [25:0] router_016_src_channel; // router_016:src_channel -> rsp_demux_015:sink_channel wire router_016_src_startofpacket; // router_016:src_startofpacket -> rsp_demux_015:sink_startofpacket wire router_016_src_endofpacket; // router_016:src_endofpacket -> rsp_demux_015:sink_endofpacket wire csense_sdi_s1_agent_rp_valid; // csense_sdi_s1_agent:rp_valid -> router_017:sink_valid @@ -1011,7 +1043,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_017_src_valid; // router_017:src_valid -> rsp_demux_016:sink_valid wire [89:0] router_017_src_data; // router_017:src_data -> rsp_demux_016:sink_data wire router_017_src_ready; // rsp_demux_016:sink_ready -> router_017:src_ready - wire [24:0] router_017_src_channel; // router_017:src_channel -> rsp_demux_016:sink_channel + wire [25:0] router_017_src_channel; // router_017:src_channel -> rsp_demux_016:sink_channel wire router_017_src_startofpacket; // router_017:src_startofpacket -> rsp_demux_016:sink_startofpacket wire router_017_src_endofpacket; // router_017:src_endofpacket -> rsp_demux_016:sink_endofpacket wire csense_sck_s1_agent_rp_valid; // csense_sck_s1_agent:rp_valid -> router_018:sink_valid @@ -1022,7 +1054,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_018_src_valid; // router_018:src_valid -> rsp_demux_017:sink_valid wire [89:0] router_018_src_data; // router_018:src_data -> rsp_demux_017:sink_data wire router_018_src_ready; // rsp_demux_017:sink_ready -> router_018:src_ready - wire [24:0] router_018_src_channel; // router_018:src_channel -> rsp_demux_017:sink_channel + wire [25:0] router_018_src_channel; // router_018:src_channel -> rsp_demux_017:sink_channel wire router_018_src_startofpacket; // router_018:src_startofpacket -> rsp_demux_017:sink_startofpacket wire router_018_src_endofpacket; // router_018:src_endofpacket -> rsp_demux_017:sink_endofpacket wire csense_cs_n_s1_agent_rp_valid; // csense_cs_n_s1_agent:rp_valid -> router_019:sink_valid @@ -1033,7 +1065,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_019_src_valid; // router_019:src_valid -> rsp_demux_018:sink_valid wire [89:0] router_019_src_data; // router_019:src_data -> rsp_demux_018:sink_data wire router_019_src_ready; // rsp_demux_018:sink_ready -> router_019:src_ready - wire [24:0] router_019_src_channel; // router_019:src_channel -> rsp_demux_018:sink_channel + wire [25:0] router_019_src_channel; // router_019:src_channel -> rsp_demux_018:sink_channel wire router_019_src_startofpacket; // router_019:src_startofpacket -> rsp_demux_018:sink_startofpacket wire router_019_src_endofpacket; // router_019:src_endofpacket -> rsp_demux_018:sink_endofpacket wire csense_adc_fo_s1_agent_rp_valid; // csense_adc_fo_s1_agent:rp_valid -> router_020:sink_valid @@ -1044,7 +1076,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_020_src_valid; // router_020:src_valid -> rsp_demux_019:sink_valid wire [89:0] router_020_src_data; // router_020:src_data -> rsp_demux_019:sink_data wire router_020_src_ready; // rsp_demux_019:sink_ready -> router_020:src_ready - wire [24:0] router_020_src_channel; // router_020:src_channel -> rsp_demux_019:sink_channel + wire [25:0] router_020_src_channel; // router_020:src_channel -> rsp_demux_019:sink_channel wire router_020_src_startofpacket; // router_020:src_startofpacket -> rsp_demux_019:sink_startofpacket wire router_020_src_endofpacket; // router_020:src_endofpacket -> rsp_demux_019:sink_endofpacket wire pio_led_painel_s1_agent_rp_valid; // pio_LED_painel_s1_agent:rp_valid -> router_021:sink_valid @@ -1055,7 +1087,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_021_src_valid; // router_021:src_valid -> rsp_demux_020:sink_valid wire [89:0] router_021_src_data; // router_021:src_data -> rsp_demux_020:sink_data wire router_021_src_ready; // rsp_demux_020:sink_ready -> router_021:src_ready - wire [24:0] router_021_src_channel; // router_021:src_channel -> rsp_demux_020:sink_channel + wire [25:0] router_021_src_channel; // router_021:src_channel -> rsp_demux_020:sink_channel wire router_021_src_startofpacket; // router_021:src_startofpacket -> rsp_demux_020:sink_startofpacket wire router_021_src_endofpacket; // router_021:src_endofpacket -> rsp_demux_020:sink_endofpacket wire rs232_uart_s1_agent_rp_valid; // rs232_uart_s1_agent:rp_valid -> router_022:sink_valid @@ -1066,7 +1098,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_022_src_valid; // router_022:src_valid -> rsp_demux_021:sink_valid wire [89:0] router_022_src_data; // router_022:src_data -> rsp_demux_021:sink_data wire router_022_src_ready; // rsp_demux_021:sink_ready -> router_022:src_ready - wire [24:0] router_022_src_channel; // router_022:src_channel -> rsp_demux_021:sink_channel + wire [25:0] router_022_src_channel; // router_022:src_channel -> rsp_demux_021:sink_channel wire router_022_src_startofpacket; // router_022:src_startofpacket -> rsp_demux_021:sink_startofpacket wire router_022_src_endofpacket; // router_022:src_endofpacket -> rsp_demux_021:sink_endofpacket wire pio_ctrl_io_lvds_s1_agent_rp_valid; // pio_ctrl_io_lvds_s1_agent:rp_valid -> router_023:sink_valid @@ -1077,7 +1109,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_023_src_valid; // router_023:src_valid -> rsp_demux_022:sink_valid wire [89:0] router_023_src_data; // router_023:src_data -> rsp_demux_022:sink_data wire router_023_src_ready; // rsp_demux_022:sink_ready -> router_023:src_ready - wire [24:0] router_023_src_channel; // router_023:src_channel -> rsp_demux_022:sink_channel + wire [25:0] router_023_src_channel; // router_023:src_channel -> rsp_demux_022:sink_channel wire router_023_src_startofpacket; // router_023:src_startofpacket -> rsp_demux_022:sink_startofpacket wire router_023_src_endofpacket; // router_023:src_endofpacket -> rsp_demux_022:sink_endofpacket wire pio_ftdi_umft601a_module_reset_s1_agent_rp_valid; // pio_ftdi_umft601a_module_reset_s1_agent:rp_valid -> router_024:sink_valid @@ -1088,7 +1120,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_024_src_valid; // router_024:src_valid -> rsp_demux_023:sink_valid wire [89:0] router_024_src_data; // router_024:src_data -> rsp_demux_023:sink_data wire router_024_src_ready; // rsp_demux_023:sink_ready -> router_024:src_ready - wire [24:0] router_024_src_channel; // router_024:src_channel -> rsp_demux_023:sink_channel + wire [25:0] router_024_src_channel; // router_024:src_channel -> rsp_demux_023:sink_channel wire router_024_src_startofpacket; // router_024:src_startofpacket -> rsp_demux_023:sink_startofpacket wire router_024_src_endofpacket; // router_024:src_endofpacket -> rsp_demux_023:sink_endofpacket wire pio_iso_logic_signal_enable_s1_agent_rp_valid; // pio_iso_logic_signal_enable_s1_agent:rp_valid -> router_025:sink_valid @@ -1099,333 +1131,356 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire router_025_src_valid; // router_025:src_valid -> rsp_demux_024:sink_valid wire [89:0] router_025_src_data; // router_025:src_data -> rsp_demux_024:sink_data wire router_025_src_ready; // rsp_demux_024:sink_ready -> router_025:src_ready - wire [24:0] router_025_src_channel; // router_025:src_channel -> rsp_demux_024:sink_channel + wire [25:0] router_025_src_channel; // router_025:src_channel -> rsp_demux_024:sink_channel wire router_025_src_startofpacket; // router_025:src_startofpacket -> rsp_demux_024:sink_startofpacket wire router_025_src_endofpacket; // router_025:src_endofpacket -> rsp_demux_024:sink_endofpacket + wire pio_rmap_echoing_module_reset_s1_agent_rp_valid; // pio_rmap_echoing_module_reset_s1_agent:rp_valid -> router_026:sink_valid + wire [89:0] pio_rmap_echoing_module_reset_s1_agent_rp_data; // pio_rmap_echoing_module_reset_s1_agent:rp_data -> router_026:sink_data + wire pio_rmap_echoing_module_reset_s1_agent_rp_ready; // router_026:sink_ready -> pio_rmap_echoing_module_reset_s1_agent:rp_ready + wire pio_rmap_echoing_module_reset_s1_agent_rp_startofpacket; // pio_rmap_echoing_module_reset_s1_agent:rp_startofpacket -> router_026:sink_startofpacket + wire pio_rmap_echoing_module_reset_s1_agent_rp_endofpacket; // pio_rmap_echoing_module_reset_s1_agent:rp_endofpacket -> router_026:sink_endofpacket + wire router_026_src_valid; // router_026:src_valid -> rsp_demux_025:sink_valid + wire [89:0] router_026_src_data; // router_026:src_data -> rsp_demux_025:sink_data + wire router_026_src_ready; // rsp_demux_025:sink_ready -> router_026:src_ready + wire [25:0] router_026_src_channel; // router_026:src_channel -> rsp_demux_025:sink_channel + wire router_026_src_startofpacket; // router_026:src_startofpacket -> rsp_demux_025:sink_startofpacket + wire router_026_src_endofpacket; // router_026:src_endofpacket -> rsp_demux_025:sink_endofpacket wire router_src_valid; // router:src_valid -> clock_bridge_afi_50_m0_limiter:cmd_sink_valid wire [89:0] router_src_data; // router:src_data -> clock_bridge_afi_50_m0_limiter:cmd_sink_data wire router_src_ready; // clock_bridge_afi_50_m0_limiter:cmd_sink_ready -> router:src_ready - wire [24:0] router_src_channel; // router:src_channel -> clock_bridge_afi_50_m0_limiter:cmd_sink_channel + wire [25:0] router_src_channel; // router:src_channel -> clock_bridge_afi_50_m0_limiter:cmd_sink_channel wire router_src_startofpacket; // router:src_startofpacket -> clock_bridge_afi_50_m0_limiter:cmd_sink_startofpacket wire router_src_endofpacket; // router:src_endofpacket -> clock_bridge_afi_50_m0_limiter:cmd_sink_endofpacket wire [89:0] clock_bridge_afi_50_m0_limiter_cmd_src_data; // clock_bridge_afi_50_m0_limiter:cmd_src_data -> cmd_demux:sink_data wire clock_bridge_afi_50_m0_limiter_cmd_src_ready; // cmd_demux:sink_ready -> clock_bridge_afi_50_m0_limiter:cmd_src_ready - wire [24:0] clock_bridge_afi_50_m0_limiter_cmd_src_channel; // clock_bridge_afi_50_m0_limiter:cmd_src_channel -> cmd_demux:sink_channel + wire [25:0] clock_bridge_afi_50_m0_limiter_cmd_src_channel; // clock_bridge_afi_50_m0_limiter:cmd_src_channel -> cmd_demux:sink_channel wire clock_bridge_afi_50_m0_limiter_cmd_src_startofpacket; // clock_bridge_afi_50_m0_limiter:cmd_src_startofpacket -> cmd_demux:sink_startofpacket wire clock_bridge_afi_50_m0_limiter_cmd_src_endofpacket; // clock_bridge_afi_50_m0_limiter:cmd_src_endofpacket -> cmd_demux:sink_endofpacket wire rsp_mux_src_valid; // rsp_mux:src_valid -> clock_bridge_afi_50_m0_limiter:rsp_sink_valid wire [89:0] rsp_mux_src_data; // rsp_mux:src_data -> clock_bridge_afi_50_m0_limiter:rsp_sink_data wire rsp_mux_src_ready; // clock_bridge_afi_50_m0_limiter:rsp_sink_ready -> rsp_mux:src_ready - wire [24:0] rsp_mux_src_channel; // rsp_mux:src_channel -> clock_bridge_afi_50_m0_limiter:rsp_sink_channel + wire [25:0] rsp_mux_src_channel; // rsp_mux:src_channel -> clock_bridge_afi_50_m0_limiter:rsp_sink_channel wire rsp_mux_src_startofpacket; // rsp_mux:src_startofpacket -> clock_bridge_afi_50_m0_limiter:rsp_sink_startofpacket wire rsp_mux_src_endofpacket; // rsp_mux:src_endofpacket -> clock_bridge_afi_50_m0_limiter:rsp_sink_endofpacket wire clock_bridge_afi_50_m0_limiter_rsp_src_valid; // clock_bridge_afi_50_m0_limiter:rsp_src_valid -> clock_bridge_afi_50_m0_agent:rp_valid wire [89:0] clock_bridge_afi_50_m0_limiter_rsp_src_data; // clock_bridge_afi_50_m0_limiter:rsp_src_data -> clock_bridge_afi_50_m0_agent:rp_data wire clock_bridge_afi_50_m0_limiter_rsp_src_ready; // clock_bridge_afi_50_m0_agent:rp_ready -> clock_bridge_afi_50_m0_limiter:rsp_src_ready - wire [24:0] clock_bridge_afi_50_m0_limiter_rsp_src_channel; // clock_bridge_afi_50_m0_limiter:rsp_src_channel -> clock_bridge_afi_50_m0_agent:rp_channel + wire [25:0] clock_bridge_afi_50_m0_limiter_rsp_src_channel; // clock_bridge_afi_50_m0_limiter:rsp_src_channel -> clock_bridge_afi_50_m0_agent:rp_channel wire clock_bridge_afi_50_m0_limiter_rsp_src_startofpacket; // clock_bridge_afi_50_m0_limiter:rsp_src_startofpacket -> clock_bridge_afi_50_m0_agent:rp_startofpacket wire clock_bridge_afi_50_m0_limiter_rsp_src_endofpacket; // clock_bridge_afi_50_m0_limiter:rsp_src_endofpacket -> clock_bridge_afi_50_m0_agent:rp_endofpacket wire cmd_demux_src0_valid; // cmd_demux:src0_valid -> cmd_mux:sink0_valid wire [89:0] cmd_demux_src0_data; // cmd_demux:src0_data -> cmd_mux:sink0_data wire cmd_demux_src0_ready; // cmd_mux:sink0_ready -> cmd_demux:src0_ready - wire [24:0] cmd_demux_src0_channel; // cmd_demux:src0_channel -> cmd_mux:sink0_channel + wire [25:0] cmd_demux_src0_channel; // cmd_demux:src0_channel -> cmd_mux:sink0_channel wire cmd_demux_src0_startofpacket; // cmd_demux:src0_startofpacket -> cmd_mux:sink0_startofpacket wire cmd_demux_src0_endofpacket; // cmd_demux:src0_endofpacket -> cmd_mux:sink0_endofpacket wire cmd_demux_src1_valid; // cmd_demux:src1_valid -> cmd_mux_001:sink0_valid wire [89:0] cmd_demux_src1_data; // cmd_demux:src1_data -> cmd_mux_001:sink0_data wire cmd_demux_src1_ready; // cmd_mux_001:sink0_ready -> cmd_demux:src1_ready - wire [24:0] cmd_demux_src1_channel; // cmd_demux:src1_channel -> cmd_mux_001:sink0_channel + wire [25:0] cmd_demux_src1_channel; // cmd_demux:src1_channel -> cmd_mux_001:sink0_channel wire cmd_demux_src1_startofpacket; // cmd_demux:src1_startofpacket -> cmd_mux_001:sink0_startofpacket wire cmd_demux_src1_endofpacket; // cmd_demux:src1_endofpacket -> cmd_mux_001:sink0_endofpacket wire cmd_demux_src2_valid; // cmd_demux:src2_valid -> cmd_mux_002:sink0_valid wire [89:0] cmd_demux_src2_data; // cmd_demux:src2_data -> cmd_mux_002:sink0_data wire cmd_demux_src2_ready; // cmd_mux_002:sink0_ready -> cmd_demux:src2_ready - wire [24:0] cmd_demux_src2_channel; // cmd_demux:src2_channel -> cmd_mux_002:sink0_channel + wire [25:0] cmd_demux_src2_channel; // cmd_demux:src2_channel -> cmd_mux_002:sink0_channel wire cmd_demux_src2_startofpacket; // cmd_demux:src2_startofpacket -> cmd_mux_002:sink0_startofpacket wire cmd_demux_src2_endofpacket; // cmd_demux:src2_endofpacket -> cmd_mux_002:sink0_endofpacket wire cmd_demux_src3_valid; // cmd_demux:src3_valid -> cmd_mux_003:sink0_valid wire [89:0] cmd_demux_src3_data; // cmd_demux:src3_data -> cmd_mux_003:sink0_data wire cmd_demux_src3_ready; // cmd_mux_003:sink0_ready -> cmd_demux:src3_ready - wire [24:0] cmd_demux_src3_channel; // cmd_demux:src3_channel -> cmd_mux_003:sink0_channel + wire [25:0] cmd_demux_src3_channel; // cmd_demux:src3_channel -> cmd_mux_003:sink0_channel wire cmd_demux_src3_startofpacket; // cmd_demux:src3_startofpacket -> cmd_mux_003:sink0_startofpacket wire cmd_demux_src3_endofpacket; // cmd_demux:src3_endofpacket -> cmd_mux_003:sink0_endofpacket wire cmd_demux_src4_valid; // cmd_demux:src4_valid -> cmd_mux_004:sink0_valid wire [89:0] cmd_demux_src4_data; // cmd_demux:src4_data -> cmd_mux_004:sink0_data wire cmd_demux_src4_ready; // cmd_mux_004:sink0_ready -> cmd_demux:src4_ready - wire [24:0] cmd_demux_src4_channel; // cmd_demux:src4_channel -> cmd_mux_004:sink0_channel + wire [25:0] cmd_demux_src4_channel; // cmd_demux:src4_channel -> cmd_mux_004:sink0_channel wire cmd_demux_src4_startofpacket; // cmd_demux:src4_startofpacket -> cmd_mux_004:sink0_startofpacket wire cmd_demux_src4_endofpacket; // cmd_demux:src4_endofpacket -> cmd_mux_004:sink0_endofpacket wire cmd_demux_src5_valid; // cmd_demux:src5_valid -> cmd_mux_005:sink0_valid wire [89:0] cmd_demux_src5_data; // cmd_demux:src5_data -> cmd_mux_005:sink0_data wire cmd_demux_src5_ready; // cmd_mux_005:sink0_ready -> cmd_demux:src5_ready - wire [24:0] cmd_demux_src5_channel; // cmd_demux:src5_channel -> cmd_mux_005:sink0_channel + wire [25:0] cmd_demux_src5_channel; // cmd_demux:src5_channel -> cmd_mux_005:sink0_channel wire cmd_demux_src5_startofpacket; // cmd_demux:src5_startofpacket -> cmd_mux_005:sink0_startofpacket wire cmd_demux_src5_endofpacket; // cmd_demux:src5_endofpacket -> cmd_mux_005:sink0_endofpacket wire cmd_demux_src6_valid; // cmd_demux:src6_valid -> cmd_mux_006:sink0_valid wire [89:0] cmd_demux_src6_data; // cmd_demux:src6_data -> cmd_mux_006:sink0_data wire cmd_demux_src6_ready; // cmd_mux_006:sink0_ready -> cmd_demux:src6_ready - wire [24:0] cmd_demux_src6_channel; // cmd_demux:src6_channel -> cmd_mux_006:sink0_channel + wire [25:0] cmd_demux_src6_channel; // cmd_demux:src6_channel -> cmd_mux_006:sink0_channel wire cmd_demux_src6_startofpacket; // cmd_demux:src6_startofpacket -> cmd_mux_006:sink0_startofpacket wire cmd_demux_src6_endofpacket; // cmd_demux:src6_endofpacket -> cmd_mux_006:sink0_endofpacket wire cmd_demux_src7_valid; // cmd_demux:src7_valid -> cmd_mux_007:sink0_valid wire [89:0] cmd_demux_src7_data; // cmd_demux:src7_data -> cmd_mux_007:sink0_data wire cmd_demux_src7_ready; // cmd_mux_007:sink0_ready -> cmd_demux:src7_ready - wire [24:0] cmd_demux_src7_channel; // cmd_demux:src7_channel -> cmd_mux_007:sink0_channel + wire [25:0] cmd_demux_src7_channel; // cmd_demux:src7_channel -> cmd_mux_007:sink0_channel wire cmd_demux_src7_startofpacket; // cmd_demux:src7_startofpacket -> cmd_mux_007:sink0_startofpacket wire cmd_demux_src7_endofpacket; // cmd_demux:src7_endofpacket -> cmd_mux_007:sink0_endofpacket wire cmd_demux_src8_valid; // cmd_demux:src8_valid -> cmd_mux_008:sink0_valid wire [89:0] cmd_demux_src8_data; // cmd_demux:src8_data -> cmd_mux_008:sink0_data wire cmd_demux_src8_ready; // cmd_mux_008:sink0_ready -> cmd_demux:src8_ready - wire [24:0] cmd_demux_src8_channel; // cmd_demux:src8_channel -> cmd_mux_008:sink0_channel + wire [25:0] cmd_demux_src8_channel; // cmd_demux:src8_channel -> cmd_mux_008:sink0_channel wire cmd_demux_src8_startofpacket; // cmd_demux:src8_startofpacket -> cmd_mux_008:sink0_startofpacket wire cmd_demux_src8_endofpacket; // cmd_demux:src8_endofpacket -> cmd_mux_008:sink0_endofpacket wire cmd_demux_src9_valid; // cmd_demux:src9_valid -> cmd_mux_009:sink0_valid wire [89:0] cmd_demux_src9_data; // cmd_demux:src9_data -> cmd_mux_009:sink0_data wire cmd_demux_src9_ready; // cmd_mux_009:sink0_ready -> cmd_demux:src9_ready - wire [24:0] cmd_demux_src9_channel; // cmd_demux:src9_channel -> cmd_mux_009:sink0_channel + wire [25:0] cmd_demux_src9_channel; // cmd_demux:src9_channel -> cmd_mux_009:sink0_channel wire cmd_demux_src9_startofpacket; // cmd_demux:src9_startofpacket -> cmd_mux_009:sink0_startofpacket wire cmd_demux_src9_endofpacket; // cmd_demux:src9_endofpacket -> cmd_mux_009:sink0_endofpacket wire cmd_demux_src10_valid; // cmd_demux:src10_valid -> cmd_mux_010:sink0_valid wire [89:0] cmd_demux_src10_data; // cmd_demux:src10_data -> cmd_mux_010:sink0_data wire cmd_demux_src10_ready; // cmd_mux_010:sink0_ready -> cmd_demux:src10_ready - wire [24:0] cmd_demux_src10_channel; // cmd_demux:src10_channel -> cmd_mux_010:sink0_channel + wire [25:0] cmd_demux_src10_channel; // cmd_demux:src10_channel -> cmd_mux_010:sink0_channel wire cmd_demux_src10_startofpacket; // cmd_demux:src10_startofpacket -> cmd_mux_010:sink0_startofpacket wire cmd_demux_src10_endofpacket; // cmd_demux:src10_endofpacket -> cmd_mux_010:sink0_endofpacket wire cmd_demux_src11_valid; // cmd_demux:src11_valid -> cmd_mux_011:sink0_valid wire [89:0] cmd_demux_src11_data; // cmd_demux:src11_data -> cmd_mux_011:sink0_data wire cmd_demux_src11_ready; // cmd_mux_011:sink0_ready -> cmd_demux:src11_ready - wire [24:0] cmd_demux_src11_channel; // cmd_demux:src11_channel -> cmd_mux_011:sink0_channel + wire [25:0] cmd_demux_src11_channel; // cmd_demux:src11_channel -> cmd_mux_011:sink0_channel wire cmd_demux_src11_startofpacket; // cmd_demux:src11_startofpacket -> cmd_mux_011:sink0_startofpacket wire cmd_demux_src11_endofpacket; // cmd_demux:src11_endofpacket -> cmd_mux_011:sink0_endofpacket wire cmd_demux_src12_valid; // cmd_demux:src12_valid -> cmd_mux_012:sink0_valid wire [89:0] cmd_demux_src12_data; // cmd_demux:src12_data -> cmd_mux_012:sink0_data wire cmd_demux_src12_ready; // cmd_mux_012:sink0_ready -> cmd_demux:src12_ready - wire [24:0] cmd_demux_src12_channel; // cmd_demux:src12_channel -> cmd_mux_012:sink0_channel + wire [25:0] cmd_demux_src12_channel; // cmd_demux:src12_channel -> cmd_mux_012:sink0_channel wire cmd_demux_src12_startofpacket; // cmd_demux:src12_startofpacket -> cmd_mux_012:sink0_startofpacket wire cmd_demux_src12_endofpacket; // cmd_demux:src12_endofpacket -> cmd_mux_012:sink0_endofpacket wire cmd_demux_src13_valid; // cmd_demux:src13_valid -> cmd_mux_013:sink0_valid wire [89:0] cmd_demux_src13_data; // cmd_demux:src13_data -> cmd_mux_013:sink0_data wire cmd_demux_src13_ready; // cmd_mux_013:sink0_ready -> cmd_demux:src13_ready - wire [24:0] cmd_demux_src13_channel; // cmd_demux:src13_channel -> cmd_mux_013:sink0_channel + wire [25:0] cmd_demux_src13_channel; // cmd_demux:src13_channel -> cmd_mux_013:sink0_channel wire cmd_demux_src13_startofpacket; // cmd_demux:src13_startofpacket -> cmd_mux_013:sink0_startofpacket wire cmd_demux_src13_endofpacket; // cmd_demux:src13_endofpacket -> cmd_mux_013:sink0_endofpacket wire cmd_demux_src14_valid; // cmd_demux:src14_valid -> cmd_mux_014:sink0_valid wire [89:0] cmd_demux_src14_data; // cmd_demux:src14_data -> cmd_mux_014:sink0_data wire cmd_demux_src14_ready; // cmd_mux_014:sink0_ready -> cmd_demux:src14_ready - wire [24:0] cmd_demux_src14_channel; // cmd_demux:src14_channel -> cmd_mux_014:sink0_channel + wire [25:0] cmd_demux_src14_channel; // cmd_demux:src14_channel -> cmd_mux_014:sink0_channel wire cmd_demux_src14_startofpacket; // cmd_demux:src14_startofpacket -> cmd_mux_014:sink0_startofpacket wire cmd_demux_src14_endofpacket; // cmd_demux:src14_endofpacket -> cmd_mux_014:sink0_endofpacket wire cmd_demux_src15_valid; // cmd_demux:src15_valid -> cmd_mux_015:sink0_valid wire [89:0] cmd_demux_src15_data; // cmd_demux:src15_data -> cmd_mux_015:sink0_data wire cmd_demux_src15_ready; // cmd_mux_015:sink0_ready -> cmd_demux:src15_ready - wire [24:0] cmd_demux_src15_channel; // cmd_demux:src15_channel -> cmd_mux_015:sink0_channel + wire [25:0] cmd_demux_src15_channel; // cmd_demux:src15_channel -> cmd_mux_015:sink0_channel wire cmd_demux_src15_startofpacket; // cmd_demux:src15_startofpacket -> cmd_mux_015:sink0_startofpacket wire cmd_demux_src15_endofpacket; // cmd_demux:src15_endofpacket -> cmd_mux_015:sink0_endofpacket wire cmd_demux_src16_valid; // cmd_demux:src16_valid -> cmd_mux_016:sink0_valid wire [89:0] cmd_demux_src16_data; // cmd_demux:src16_data -> cmd_mux_016:sink0_data wire cmd_demux_src16_ready; // cmd_mux_016:sink0_ready -> cmd_demux:src16_ready - wire [24:0] cmd_demux_src16_channel; // cmd_demux:src16_channel -> cmd_mux_016:sink0_channel + wire [25:0] cmd_demux_src16_channel; // cmd_demux:src16_channel -> cmd_mux_016:sink0_channel wire cmd_demux_src16_startofpacket; // cmd_demux:src16_startofpacket -> cmd_mux_016:sink0_startofpacket wire cmd_demux_src16_endofpacket; // cmd_demux:src16_endofpacket -> cmd_mux_016:sink0_endofpacket wire cmd_demux_src17_valid; // cmd_demux:src17_valid -> cmd_mux_017:sink0_valid wire [89:0] cmd_demux_src17_data; // cmd_demux:src17_data -> cmd_mux_017:sink0_data wire cmd_demux_src17_ready; // cmd_mux_017:sink0_ready -> cmd_demux:src17_ready - wire [24:0] cmd_demux_src17_channel; // cmd_demux:src17_channel -> cmd_mux_017:sink0_channel + wire [25:0] cmd_demux_src17_channel; // cmd_demux:src17_channel -> cmd_mux_017:sink0_channel wire cmd_demux_src17_startofpacket; // cmd_demux:src17_startofpacket -> cmd_mux_017:sink0_startofpacket wire cmd_demux_src17_endofpacket; // cmd_demux:src17_endofpacket -> cmd_mux_017:sink0_endofpacket wire cmd_demux_src18_valid; // cmd_demux:src18_valid -> cmd_mux_018:sink0_valid wire [89:0] cmd_demux_src18_data; // cmd_demux:src18_data -> cmd_mux_018:sink0_data wire cmd_demux_src18_ready; // cmd_mux_018:sink0_ready -> cmd_demux:src18_ready - wire [24:0] cmd_demux_src18_channel; // cmd_demux:src18_channel -> cmd_mux_018:sink0_channel + wire [25:0] cmd_demux_src18_channel; // cmd_demux:src18_channel -> cmd_mux_018:sink0_channel wire cmd_demux_src18_startofpacket; // cmd_demux:src18_startofpacket -> cmd_mux_018:sink0_startofpacket wire cmd_demux_src18_endofpacket; // cmd_demux:src18_endofpacket -> cmd_mux_018:sink0_endofpacket wire cmd_demux_src19_valid; // cmd_demux:src19_valid -> cmd_mux_019:sink0_valid wire [89:0] cmd_demux_src19_data; // cmd_demux:src19_data -> cmd_mux_019:sink0_data wire cmd_demux_src19_ready; // cmd_mux_019:sink0_ready -> cmd_demux:src19_ready - wire [24:0] cmd_demux_src19_channel; // cmd_demux:src19_channel -> cmd_mux_019:sink0_channel + wire [25:0] cmd_demux_src19_channel; // cmd_demux:src19_channel -> cmd_mux_019:sink0_channel wire cmd_demux_src19_startofpacket; // cmd_demux:src19_startofpacket -> cmd_mux_019:sink0_startofpacket wire cmd_demux_src19_endofpacket; // cmd_demux:src19_endofpacket -> cmd_mux_019:sink0_endofpacket wire cmd_demux_src20_valid; // cmd_demux:src20_valid -> cmd_mux_020:sink0_valid wire [89:0] cmd_demux_src20_data; // cmd_demux:src20_data -> cmd_mux_020:sink0_data wire cmd_demux_src20_ready; // cmd_mux_020:sink0_ready -> cmd_demux:src20_ready - wire [24:0] cmd_demux_src20_channel; // cmd_demux:src20_channel -> cmd_mux_020:sink0_channel + wire [25:0] cmd_demux_src20_channel; // cmd_demux:src20_channel -> cmd_mux_020:sink0_channel wire cmd_demux_src20_startofpacket; // cmd_demux:src20_startofpacket -> cmd_mux_020:sink0_startofpacket wire cmd_demux_src20_endofpacket; // cmd_demux:src20_endofpacket -> cmd_mux_020:sink0_endofpacket wire cmd_demux_src21_valid; // cmd_demux:src21_valid -> cmd_mux_021:sink0_valid wire [89:0] cmd_demux_src21_data; // cmd_demux:src21_data -> cmd_mux_021:sink0_data wire cmd_demux_src21_ready; // cmd_mux_021:sink0_ready -> cmd_demux:src21_ready - wire [24:0] cmd_demux_src21_channel; // cmd_demux:src21_channel -> cmd_mux_021:sink0_channel + wire [25:0] cmd_demux_src21_channel; // cmd_demux:src21_channel -> cmd_mux_021:sink0_channel wire cmd_demux_src21_startofpacket; // cmd_demux:src21_startofpacket -> cmd_mux_021:sink0_startofpacket wire cmd_demux_src21_endofpacket; // cmd_demux:src21_endofpacket -> cmd_mux_021:sink0_endofpacket wire cmd_demux_src22_valid; // cmd_demux:src22_valid -> cmd_mux_022:sink0_valid wire [89:0] cmd_demux_src22_data; // cmd_demux:src22_data -> cmd_mux_022:sink0_data wire cmd_demux_src22_ready; // cmd_mux_022:sink0_ready -> cmd_demux:src22_ready - wire [24:0] cmd_demux_src22_channel; // cmd_demux:src22_channel -> cmd_mux_022:sink0_channel + wire [25:0] cmd_demux_src22_channel; // cmd_demux:src22_channel -> cmd_mux_022:sink0_channel wire cmd_demux_src22_startofpacket; // cmd_demux:src22_startofpacket -> cmd_mux_022:sink0_startofpacket wire cmd_demux_src22_endofpacket; // cmd_demux:src22_endofpacket -> cmd_mux_022:sink0_endofpacket wire cmd_demux_src23_valid; // cmd_demux:src23_valid -> cmd_mux_023:sink0_valid wire [89:0] cmd_demux_src23_data; // cmd_demux:src23_data -> cmd_mux_023:sink0_data wire cmd_demux_src23_ready; // cmd_mux_023:sink0_ready -> cmd_demux:src23_ready - wire [24:0] cmd_demux_src23_channel; // cmd_demux:src23_channel -> cmd_mux_023:sink0_channel + wire [25:0] cmd_demux_src23_channel; // cmd_demux:src23_channel -> cmd_mux_023:sink0_channel wire cmd_demux_src23_startofpacket; // cmd_demux:src23_startofpacket -> cmd_mux_023:sink0_startofpacket wire cmd_demux_src23_endofpacket; // cmd_demux:src23_endofpacket -> cmd_mux_023:sink0_endofpacket wire cmd_demux_src24_valid; // cmd_demux:src24_valid -> cmd_mux_024:sink0_valid wire [89:0] cmd_demux_src24_data; // cmd_demux:src24_data -> cmd_mux_024:sink0_data wire cmd_demux_src24_ready; // cmd_mux_024:sink0_ready -> cmd_demux:src24_ready - wire [24:0] cmd_demux_src24_channel; // cmd_demux:src24_channel -> cmd_mux_024:sink0_channel + wire [25:0] cmd_demux_src24_channel; // cmd_demux:src24_channel -> cmd_mux_024:sink0_channel wire cmd_demux_src24_startofpacket; // cmd_demux:src24_startofpacket -> cmd_mux_024:sink0_startofpacket wire cmd_demux_src24_endofpacket; // cmd_demux:src24_endofpacket -> cmd_mux_024:sink0_endofpacket + wire cmd_demux_src25_valid; // cmd_demux:src25_valid -> cmd_mux_025:sink0_valid + wire [89:0] cmd_demux_src25_data; // cmd_demux:src25_data -> cmd_mux_025:sink0_data + wire cmd_demux_src25_ready; // cmd_mux_025:sink0_ready -> cmd_demux:src25_ready + wire [25:0] cmd_demux_src25_channel; // cmd_demux:src25_channel -> cmd_mux_025:sink0_channel + wire cmd_demux_src25_startofpacket; // cmd_demux:src25_startofpacket -> cmd_mux_025:sink0_startofpacket + wire cmd_demux_src25_endofpacket; // cmd_demux:src25_endofpacket -> cmd_mux_025:sink0_endofpacket wire rsp_demux_src0_valid; // rsp_demux:src0_valid -> rsp_mux:sink0_valid wire [89:0] rsp_demux_src0_data; // rsp_demux:src0_data -> rsp_mux:sink0_data wire rsp_demux_src0_ready; // rsp_mux:sink0_ready -> rsp_demux:src0_ready - wire [24:0] rsp_demux_src0_channel; // rsp_demux:src0_channel -> rsp_mux:sink0_channel + wire [25:0] rsp_demux_src0_channel; // rsp_demux:src0_channel -> rsp_mux:sink0_channel wire rsp_demux_src0_startofpacket; // rsp_demux:src0_startofpacket -> rsp_mux:sink0_startofpacket wire rsp_demux_src0_endofpacket; // rsp_demux:src0_endofpacket -> rsp_mux:sink0_endofpacket wire rsp_demux_001_src0_valid; // rsp_demux_001:src0_valid -> rsp_mux:sink1_valid wire [89:0] rsp_demux_001_src0_data; // rsp_demux_001:src0_data -> rsp_mux:sink1_data wire rsp_demux_001_src0_ready; // rsp_mux:sink1_ready -> rsp_demux_001:src0_ready - wire [24:0] rsp_demux_001_src0_channel; // rsp_demux_001:src0_channel -> rsp_mux:sink1_channel + wire [25:0] rsp_demux_001_src0_channel; // rsp_demux_001:src0_channel -> rsp_mux:sink1_channel wire rsp_demux_001_src0_startofpacket; // rsp_demux_001:src0_startofpacket -> rsp_mux:sink1_startofpacket wire rsp_demux_001_src0_endofpacket; // rsp_demux_001:src0_endofpacket -> rsp_mux:sink1_endofpacket wire rsp_demux_002_src0_valid; // rsp_demux_002:src0_valid -> rsp_mux:sink2_valid wire [89:0] rsp_demux_002_src0_data; // rsp_demux_002:src0_data -> rsp_mux:sink2_data wire rsp_demux_002_src0_ready; // rsp_mux:sink2_ready -> rsp_demux_002:src0_ready - wire [24:0] rsp_demux_002_src0_channel; // rsp_demux_002:src0_channel -> rsp_mux:sink2_channel + wire [25:0] rsp_demux_002_src0_channel; // rsp_demux_002:src0_channel -> rsp_mux:sink2_channel wire rsp_demux_002_src0_startofpacket; // rsp_demux_002:src0_startofpacket -> rsp_mux:sink2_startofpacket wire rsp_demux_002_src0_endofpacket; // rsp_demux_002:src0_endofpacket -> rsp_mux:sink2_endofpacket wire rsp_demux_003_src0_valid; // rsp_demux_003:src0_valid -> rsp_mux:sink3_valid wire [89:0] rsp_demux_003_src0_data; // rsp_demux_003:src0_data -> rsp_mux:sink3_data wire rsp_demux_003_src0_ready; // rsp_mux:sink3_ready -> rsp_demux_003:src0_ready - wire [24:0] rsp_demux_003_src0_channel; // rsp_demux_003:src0_channel -> rsp_mux:sink3_channel + wire [25:0] rsp_demux_003_src0_channel; // rsp_demux_003:src0_channel -> rsp_mux:sink3_channel wire rsp_demux_003_src0_startofpacket; // rsp_demux_003:src0_startofpacket -> rsp_mux:sink3_startofpacket wire rsp_demux_003_src0_endofpacket; // rsp_demux_003:src0_endofpacket -> rsp_mux:sink3_endofpacket wire rsp_demux_004_src0_valid; // rsp_demux_004:src0_valid -> rsp_mux:sink4_valid wire [89:0] rsp_demux_004_src0_data; // rsp_demux_004:src0_data -> rsp_mux:sink4_data wire rsp_demux_004_src0_ready; // rsp_mux:sink4_ready -> rsp_demux_004:src0_ready - wire [24:0] rsp_demux_004_src0_channel; // rsp_demux_004:src0_channel -> rsp_mux:sink4_channel + wire [25:0] rsp_demux_004_src0_channel; // rsp_demux_004:src0_channel -> rsp_mux:sink4_channel wire rsp_demux_004_src0_startofpacket; // rsp_demux_004:src0_startofpacket -> rsp_mux:sink4_startofpacket wire rsp_demux_004_src0_endofpacket; // rsp_demux_004:src0_endofpacket -> rsp_mux:sink4_endofpacket wire rsp_demux_005_src0_valid; // rsp_demux_005:src0_valid -> rsp_mux:sink5_valid wire [89:0] rsp_demux_005_src0_data; // rsp_demux_005:src0_data -> rsp_mux:sink5_data wire rsp_demux_005_src0_ready; // rsp_mux:sink5_ready -> rsp_demux_005:src0_ready - wire [24:0] rsp_demux_005_src0_channel; // rsp_demux_005:src0_channel -> rsp_mux:sink5_channel + wire [25:0] rsp_demux_005_src0_channel; // rsp_demux_005:src0_channel -> rsp_mux:sink5_channel wire rsp_demux_005_src0_startofpacket; // rsp_demux_005:src0_startofpacket -> rsp_mux:sink5_startofpacket wire rsp_demux_005_src0_endofpacket; // rsp_demux_005:src0_endofpacket -> rsp_mux:sink5_endofpacket wire rsp_demux_006_src0_valid; // rsp_demux_006:src0_valid -> rsp_mux:sink6_valid wire [89:0] rsp_demux_006_src0_data; // rsp_demux_006:src0_data -> rsp_mux:sink6_data wire rsp_demux_006_src0_ready; // rsp_mux:sink6_ready -> rsp_demux_006:src0_ready - wire [24:0] rsp_demux_006_src0_channel; // rsp_demux_006:src0_channel -> rsp_mux:sink6_channel + wire [25:0] rsp_demux_006_src0_channel; // rsp_demux_006:src0_channel -> rsp_mux:sink6_channel wire rsp_demux_006_src0_startofpacket; // rsp_demux_006:src0_startofpacket -> rsp_mux:sink6_startofpacket wire rsp_demux_006_src0_endofpacket; // rsp_demux_006:src0_endofpacket -> rsp_mux:sink6_endofpacket wire rsp_demux_007_src0_valid; // rsp_demux_007:src0_valid -> rsp_mux:sink7_valid wire [89:0] rsp_demux_007_src0_data; // rsp_demux_007:src0_data -> rsp_mux:sink7_data wire rsp_demux_007_src0_ready; // rsp_mux:sink7_ready -> rsp_demux_007:src0_ready - wire [24:0] rsp_demux_007_src0_channel; // rsp_demux_007:src0_channel -> rsp_mux:sink7_channel + wire [25:0] rsp_demux_007_src0_channel; // rsp_demux_007:src0_channel -> rsp_mux:sink7_channel wire rsp_demux_007_src0_startofpacket; // rsp_demux_007:src0_startofpacket -> rsp_mux:sink7_startofpacket wire rsp_demux_007_src0_endofpacket; // rsp_demux_007:src0_endofpacket -> rsp_mux:sink7_endofpacket wire rsp_demux_008_src0_valid; // rsp_demux_008:src0_valid -> rsp_mux:sink8_valid wire [89:0] rsp_demux_008_src0_data; // rsp_demux_008:src0_data -> rsp_mux:sink8_data wire rsp_demux_008_src0_ready; // rsp_mux:sink8_ready -> rsp_demux_008:src0_ready - wire [24:0] rsp_demux_008_src0_channel; // rsp_demux_008:src0_channel -> rsp_mux:sink8_channel + wire [25:0] rsp_demux_008_src0_channel; // rsp_demux_008:src0_channel -> rsp_mux:sink8_channel wire rsp_demux_008_src0_startofpacket; // rsp_demux_008:src0_startofpacket -> rsp_mux:sink8_startofpacket wire rsp_demux_008_src0_endofpacket; // rsp_demux_008:src0_endofpacket -> rsp_mux:sink8_endofpacket wire rsp_demux_009_src0_valid; // rsp_demux_009:src0_valid -> rsp_mux:sink9_valid wire [89:0] rsp_demux_009_src0_data; // rsp_demux_009:src0_data -> rsp_mux:sink9_data wire rsp_demux_009_src0_ready; // rsp_mux:sink9_ready -> rsp_demux_009:src0_ready - wire [24:0] rsp_demux_009_src0_channel; // rsp_demux_009:src0_channel -> rsp_mux:sink9_channel + wire [25:0] rsp_demux_009_src0_channel; // rsp_demux_009:src0_channel -> rsp_mux:sink9_channel wire rsp_demux_009_src0_startofpacket; // rsp_demux_009:src0_startofpacket -> rsp_mux:sink9_startofpacket wire rsp_demux_009_src0_endofpacket; // rsp_demux_009:src0_endofpacket -> rsp_mux:sink9_endofpacket wire rsp_demux_010_src0_valid; // rsp_demux_010:src0_valid -> rsp_mux:sink10_valid wire [89:0] rsp_demux_010_src0_data; // rsp_demux_010:src0_data -> rsp_mux:sink10_data wire rsp_demux_010_src0_ready; // rsp_mux:sink10_ready -> rsp_demux_010:src0_ready - wire [24:0] rsp_demux_010_src0_channel; // rsp_demux_010:src0_channel -> rsp_mux:sink10_channel + wire [25:0] rsp_demux_010_src0_channel; // rsp_demux_010:src0_channel -> rsp_mux:sink10_channel wire rsp_demux_010_src0_startofpacket; // rsp_demux_010:src0_startofpacket -> rsp_mux:sink10_startofpacket wire rsp_demux_010_src0_endofpacket; // rsp_demux_010:src0_endofpacket -> rsp_mux:sink10_endofpacket wire rsp_demux_011_src0_valid; // rsp_demux_011:src0_valid -> rsp_mux:sink11_valid wire [89:0] rsp_demux_011_src0_data; // rsp_demux_011:src0_data -> rsp_mux:sink11_data wire rsp_demux_011_src0_ready; // rsp_mux:sink11_ready -> rsp_demux_011:src0_ready - wire [24:0] rsp_demux_011_src0_channel; // rsp_demux_011:src0_channel -> rsp_mux:sink11_channel + wire [25:0] rsp_demux_011_src0_channel; // rsp_demux_011:src0_channel -> rsp_mux:sink11_channel wire rsp_demux_011_src0_startofpacket; // rsp_demux_011:src0_startofpacket -> rsp_mux:sink11_startofpacket wire rsp_demux_011_src0_endofpacket; // rsp_demux_011:src0_endofpacket -> rsp_mux:sink11_endofpacket wire rsp_demux_012_src0_valid; // rsp_demux_012:src0_valid -> rsp_mux:sink12_valid wire [89:0] rsp_demux_012_src0_data; // rsp_demux_012:src0_data -> rsp_mux:sink12_data wire rsp_demux_012_src0_ready; // rsp_mux:sink12_ready -> rsp_demux_012:src0_ready - wire [24:0] rsp_demux_012_src0_channel; // rsp_demux_012:src0_channel -> rsp_mux:sink12_channel + wire [25:0] rsp_demux_012_src0_channel; // rsp_demux_012:src0_channel -> rsp_mux:sink12_channel wire rsp_demux_012_src0_startofpacket; // rsp_demux_012:src0_startofpacket -> rsp_mux:sink12_startofpacket wire rsp_demux_012_src0_endofpacket; // rsp_demux_012:src0_endofpacket -> rsp_mux:sink12_endofpacket wire rsp_demux_013_src0_valid; // rsp_demux_013:src0_valid -> rsp_mux:sink13_valid wire [89:0] rsp_demux_013_src0_data; // rsp_demux_013:src0_data -> rsp_mux:sink13_data wire rsp_demux_013_src0_ready; // rsp_mux:sink13_ready -> rsp_demux_013:src0_ready - wire [24:0] rsp_demux_013_src0_channel; // rsp_demux_013:src0_channel -> rsp_mux:sink13_channel + wire [25:0] rsp_demux_013_src0_channel; // rsp_demux_013:src0_channel -> rsp_mux:sink13_channel wire rsp_demux_013_src0_startofpacket; // rsp_demux_013:src0_startofpacket -> rsp_mux:sink13_startofpacket wire rsp_demux_013_src0_endofpacket; // rsp_demux_013:src0_endofpacket -> rsp_mux:sink13_endofpacket wire rsp_demux_014_src0_valid; // rsp_demux_014:src0_valid -> rsp_mux:sink14_valid wire [89:0] rsp_demux_014_src0_data; // rsp_demux_014:src0_data -> rsp_mux:sink14_data wire rsp_demux_014_src0_ready; // rsp_mux:sink14_ready -> rsp_demux_014:src0_ready - wire [24:0] rsp_demux_014_src0_channel; // rsp_demux_014:src0_channel -> rsp_mux:sink14_channel + wire [25:0] rsp_demux_014_src0_channel; // rsp_demux_014:src0_channel -> rsp_mux:sink14_channel wire rsp_demux_014_src0_startofpacket; // rsp_demux_014:src0_startofpacket -> rsp_mux:sink14_startofpacket wire rsp_demux_014_src0_endofpacket; // rsp_demux_014:src0_endofpacket -> rsp_mux:sink14_endofpacket wire rsp_demux_015_src0_valid; // rsp_demux_015:src0_valid -> rsp_mux:sink15_valid wire [89:0] rsp_demux_015_src0_data; // rsp_demux_015:src0_data -> rsp_mux:sink15_data wire rsp_demux_015_src0_ready; // rsp_mux:sink15_ready -> rsp_demux_015:src0_ready - wire [24:0] rsp_demux_015_src0_channel; // rsp_demux_015:src0_channel -> rsp_mux:sink15_channel + wire [25:0] rsp_demux_015_src0_channel; // rsp_demux_015:src0_channel -> rsp_mux:sink15_channel wire rsp_demux_015_src0_startofpacket; // rsp_demux_015:src0_startofpacket -> rsp_mux:sink15_startofpacket wire rsp_demux_015_src0_endofpacket; // rsp_demux_015:src0_endofpacket -> rsp_mux:sink15_endofpacket wire rsp_demux_016_src0_valid; // rsp_demux_016:src0_valid -> rsp_mux:sink16_valid wire [89:0] rsp_demux_016_src0_data; // rsp_demux_016:src0_data -> rsp_mux:sink16_data wire rsp_demux_016_src0_ready; // rsp_mux:sink16_ready -> rsp_demux_016:src0_ready - wire [24:0] rsp_demux_016_src0_channel; // rsp_demux_016:src0_channel -> rsp_mux:sink16_channel + wire [25:0] rsp_demux_016_src0_channel; // rsp_demux_016:src0_channel -> rsp_mux:sink16_channel wire rsp_demux_016_src0_startofpacket; // rsp_demux_016:src0_startofpacket -> rsp_mux:sink16_startofpacket wire rsp_demux_016_src0_endofpacket; // rsp_demux_016:src0_endofpacket -> rsp_mux:sink16_endofpacket wire rsp_demux_017_src0_valid; // rsp_demux_017:src0_valid -> rsp_mux:sink17_valid wire [89:0] rsp_demux_017_src0_data; // rsp_demux_017:src0_data -> rsp_mux:sink17_data wire rsp_demux_017_src0_ready; // rsp_mux:sink17_ready -> rsp_demux_017:src0_ready - wire [24:0] rsp_demux_017_src0_channel; // rsp_demux_017:src0_channel -> rsp_mux:sink17_channel + wire [25:0] rsp_demux_017_src0_channel; // rsp_demux_017:src0_channel -> rsp_mux:sink17_channel wire rsp_demux_017_src0_startofpacket; // rsp_demux_017:src0_startofpacket -> rsp_mux:sink17_startofpacket wire rsp_demux_017_src0_endofpacket; // rsp_demux_017:src0_endofpacket -> rsp_mux:sink17_endofpacket wire rsp_demux_018_src0_valid; // rsp_demux_018:src0_valid -> rsp_mux:sink18_valid wire [89:0] rsp_demux_018_src0_data; // rsp_demux_018:src0_data -> rsp_mux:sink18_data wire rsp_demux_018_src0_ready; // rsp_mux:sink18_ready -> rsp_demux_018:src0_ready - wire [24:0] rsp_demux_018_src0_channel; // rsp_demux_018:src0_channel -> rsp_mux:sink18_channel + wire [25:0] rsp_demux_018_src0_channel; // rsp_demux_018:src0_channel -> rsp_mux:sink18_channel wire rsp_demux_018_src0_startofpacket; // rsp_demux_018:src0_startofpacket -> rsp_mux:sink18_startofpacket wire rsp_demux_018_src0_endofpacket; // rsp_demux_018:src0_endofpacket -> rsp_mux:sink18_endofpacket wire rsp_demux_019_src0_valid; // rsp_demux_019:src0_valid -> rsp_mux:sink19_valid wire [89:0] rsp_demux_019_src0_data; // rsp_demux_019:src0_data -> rsp_mux:sink19_data wire rsp_demux_019_src0_ready; // rsp_mux:sink19_ready -> rsp_demux_019:src0_ready - wire [24:0] rsp_demux_019_src0_channel; // rsp_demux_019:src0_channel -> rsp_mux:sink19_channel + wire [25:0] rsp_demux_019_src0_channel; // rsp_demux_019:src0_channel -> rsp_mux:sink19_channel wire rsp_demux_019_src0_startofpacket; // rsp_demux_019:src0_startofpacket -> rsp_mux:sink19_startofpacket wire rsp_demux_019_src0_endofpacket; // rsp_demux_019:src0_endofpacket -> rsp_mux:sink19_endofpacket wire rsp_demux_020_src0_valid; // rsp_demux_020:src0_valid -> rsp_mux:sink20_valid wire [89:0] rsp_demux_020_src0_data; // rsp_demux_020:src0_data -> rsp_mux:sink20_data wire rsp_demux_020_src0_ready; // rsp_mux:sink20_ready -> rsp_demux_020:src0_ready - wire [24:0] rsp_demux_020_src0_channel; // rsp_demux_020:src0_channel -> rsp_mux:sink20_channel + wire [25:0] rsp_demux_020_src0_channel; // rsp_demux_020:src0_channel -> rsp_mux:sink20_channel wire rsp_demux_020_src0_startofpacket; // rsp_demux_020:src0_startofpacket -> rsp_mux:sink20_startofpacket wire rsp_demux_020_src0_endofpacket; // rsp_demux_020:src0_endofpacket -> rsp_mux:sink20_endofpacket wire rsp_demux_021_src0_valid; // rsp_demux_021:src0_valid -> rsp_mux:sink21_valid wire [89:0] rsp_demux_021_src0_data; // rsp_demux_021:src0_data -> rsp_mux:sink21_data wire rsp_demux_021_src0_ready; // rsp_mux:sink21_ready -> rsp_demux_021:src0_ready - wire [24:0] rsp_demux_021_src0_channel; // rsp_demux_021:src0_channel -> rsp_mux:sink21_channel + wire [25:0] rsp_demux_021_src0_channel; // rsp_demux_021:src0_channel -> rsp_mux:sink21_channel wire rsp_demux_021_src0_startofpacket; // rsp_demux_021:src0_startofpacket -> rsp_mux:sink21_startofpacket wire rsp_demux_021_src0_endofpacket; // rsp_demux_021:src0_endofpacket -> rsp_mux:sink21_endofpacket wire rsp_demux_022_src0_valid; // rsp_demux_022:src0_valid -> rsp_mux:sink22_valid wire [89:0] rsp_demux_022_src0_data; // rsp_demux_022:src0_data -> rsp_mux:sink22_data wire rsp_demux_022_src0_ready; // rsp_mux:sink22_ready -> rsp_demux_022:src0_ready - wire [24:0] rsp_demux_022_src0_channel; // rsp_demux_022:src0_channel -> rsp_mux:sink22_channel + wire [25:0] rsp_demux_022_src0_channel; // rsp_demux_022:src0_channel -> rsp_mux:sink22_channel wire rsp_demux_022_src0_startofpacket; // rsp_demux_022:src0_startofpacket -> rsp_mux:sink22_startofpacket wire rsp_demux_022_src0_endofpacket; // rsp_demux_022:src0_endofpacket -> rsp_mux:sink22_endofpacket wire rsp_demux_023_src0_valid; // rsp_demux_023:src0_valid -> rsp_mux:sink23_valid wire [89:0] rsp_demux_023_src0_data; // rsp_demux_023:src0_data -> rsp_mux:sink23_data wire rsp_demux_023_src0_ready; // rsp_mux:sink23_ready -> rsp_demux_023:src0_ready - wire [24:0] rsp_demux_023_src0_channel; // rsp_demux_023:src0_channel -> rsp_mux:sink23_channel + wire [25:0] rsp_demux_023_src0_channel; // rsp_demux_023:src0_channel -> rsp_mux:sink23_channel wire rsp_demux_023_src0_startofpacket; // rsp_demux_023:src0_startofpacket -> rsp_mux:sink23_startofpacket wire rsp_demux_023_src0_endofpacket; // rsp_demux_023:src0_endofpacket -> rsp_mux:sink23_endofpacket wire rsp_demux_024_src0_valid; // rsp_demux_024:src0_valid -> rsp_mux:sink24_valid wire [89:0] rsp_demux_024_src0_data; // rsp_demux_024:src0_data -> rsp_mux:sink24_data wire rsp_demux_024_src0_ready; // rsp_mux:sink24_ready -> rsp_demux_024:src0_ready - wire [24:0] rsp_demux_024_src0_channel; // rsp_demux_024:src0_channel -> rsp_mux:sink24_channel + wire [25:0] rsp_demux_024_src0_channel; // rsp_demux_024:src0_channel -> rsp_mux:sink24_channel wire rsp_demux_024_src0_startofpacket; // rsp_demux_024:src0_startofpacket -> rsp_mux:sink24_startofpacket wire rsp_demux_024_src0_endofpacket; // rsp_demux_024:src0_endofpacket -> rsp_mux:sink24_endofpacket - wire [24:0] clock_bridge_afi_50_m0_limiter_cmd_valid_data; // clock_bridge_afi_50_m0_limiter:cmd_src_valid -> cmd_demux:sink_valid + wire rsp_demux_025_src0_valid; // rsp_demux_025:src0_valid -> rsp_mux:sink25_valid + wire [89:0] rsp_demux_025_src0_data; // rsp_demux_025:src0_data -> rsp_mux:sink25_data + wire rsp_demux_025_src0_ready; // rsp_mux:sink25_ready -> rsp_demux_025:src0_ready + wire [25:0] rsp_demux_025_src0_channel; // rsp_demux_025:src0_channel -> rsp_mux:sink25_channel + wire rsp_demux_025_src0_startofpacket; // rsp_demux_025:src0_startofpacket -> rsp_mux:sink25_startofpacket + wire rsp_demux_025_src0_endofpacket; // rsp_demux_025:src0_endofpacket -> rsp_mux:sink25_endofpacket + wire [25:0] clock_bridge_afi_50_m0_limiter_cmd_valid_data; // clock_bridge_afi_50_m0_limiter:cmd_src_valid -> cmd_demux:sink_valid wire sync_avalon_mm_slave_agent_rdata_fifo_src_valid; // sync_avalon_mm_slave_agent:rdata_fifo_src_valid -> avalon_st_adapter:in_0_valid wire [33:0] sync_avalon_mm_slave_agent_rdata_fifo_src_data; // sync_avalon_mm_slave_agent:rdata_fifo_src_data -> avalon_st_adapter:in_0_data wire sync_avalon_mm_slave_agent_rdata_fifo_src_ready; // avalon_st_adapter:in_0_ready -> sync_avalon_mm_slave_agent:rdata_fifo_src_ready @@ -1601,6 +1656,13 @@ module MebX_Qsys_Project_mm_interconnect_2 ( wire [33:0] avalon_st_adapter_024_out_0_data; // avalon_st_adapter_024:out_0_data -> pio_iso_logic_signal_enable_s1_agent:rdata_fifo_sink_data wire avalon_st_adapter_024_out_0_ready; // pio_iso_logic_signal_enable_s1_agent:rdata_fifo_sink_ready -> avalon_st_adapter_024:out_0_ready wire [0:0] avalon_st_adapter_024_out_0_error; // avalon_st_adapter_024:out_0_error -> pio_iso_logic_signal_enable_s1_agent:rdata_fifo_sink_error + wire pio_rmap_echoing_module_reset_s1_agent_rdata_fifo_src_valid; // pio_rmap_echoing_module_reset_s1_agent:rdata_fifo_src_valid -> avalon_st_adapter_025:in_0_valid + wire [33:0] pio_rmap_echoing_module_reset_s1_agent_rdata_fifo_src_data; // pio_rmap_echoing_module_reset_s1_agent:rdata_fifo_src_data -> avalon_st_adapter_025:in_0_data + wire pio_rmap_echoing_module_reset_s1_agent_rdata_fifo_src_ready; // avalon_st_adapter_025:in_0_ready -> pio_rmap_echoing_module_reset_s1_agent:rdata_fifo_src_ready + wire avalon_st_adapter_025_out_0_valid; // avalon_st_adapter_025:out_0_valid -> pio_rmap_echoing_module_reset_s1_agent:rdata_fifo_sink_valid + wire [33:0] avalon_st_adapter_025_out_0_data; // avalon_st_adapter_025:out_0_data -> pio_rmap_echoing_module_reset_s1_agent:rdata_fifo_sink_data + wire avalon_st_adapter_025_out_0_ready; // pio_rmap_echoing_module_reset_s1_agent:rdata_fifo_sink_ready -> avalon_st_adapter_025:out_0_ready + wire [0:0] avalon_st_adapter_025_out_0_error; // avalon_st_adapter_025:out_0_error -> pio_rmap_echoing_module_reset_s1_agent:rdata_fifo_sink_error altera_merlin_master_translator #( .AV_ADDRESS_W (12), @@ -3262,6 +3324,70 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .av_writeresponsevalid (1'b0) // (terminated) ); + altera_merlin_slave_translator #( + .AV_ADDRESS_W (2), + .AV_DATA_W (32), + .UAV_DATA_W (32), + .AV_BURSTCOUNT_W (1), + .AV_BYTEENABLE_W (1), + .UAV_BYTEENABLE_W (4), + .UAV_ADDRESS_W (12), + .UAV_BURSTCOUNT_W (3), + .AV_READLATENCY (0), + .USE_READDATAVALID (0), + .USE_WAITREQUEST (0), + .USE_UAV_CLKEN (0), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .AV_SYMBOLS_PER_WORD (4), + .AV_ADDRESS_SYMBOLS (0), + .AV_BURSTCOUNT_SYMBOLS (0), + .AV_CONSTANT_BURST_BEHAVIOR (0), + .UAV_CONSTANT_BURST_BEHAVIOR (0), + .AV_REQUIRE_UNALIGNED_ADDRESSES (0), + .CHIPSELECT_THROUGH_READLATENCY (0), + .AV_READ_WAIT_CYCLES (1), + .AV_WRITE_WAIT_CYCLES (0), + .AV_SETUP_WAIT_CYCLES (0), + .AV_DATA_HOLD_CYCLES (0) + ) pio_rmap_echoing_module_reset_s1_translator ( + .clk (clk_50_clk_clk), // clk.clk + .reset (clock_bridge_afi_50_m0_reset_reset_bridge_in_reset_reset), // reset.reset + .uav_address (pio_rmap_echoing_module_reset_s1_agent_m0_address), // avalon_universal_slave_0.address + .uav_burstcount (pio_rmap_echoing_module_reset_s1_agent_m0_burstcount), // .burstcount + .uav_read (pio_rmap_echoing_module_reset_s1_agent_m0_read), // .read + .uav_write (pio_rmap_echoing_module_reset_s1_agent_m0_write), // .write + .uav_waitrequest (pio_rmap_echoing_module_reset_s1_agent_m0_waitrequest), // .waitrequest + .uav_readdatavalid (pio_rmap_echoing_module_reset_s1_agent_m0_readdatavalid), // .readdatavalid + .uav_byteenable (pio_rmap_echoing_module_reset_s1_agent_m0_byteenable), // .byteenable + .uav_readdata (pio_rmap_echoing_module_reset_s1_agent_m0_readdata), // .readdata + .uav_writedata (pio_rmap_echoing_module_reset_s1_agent_m0_writedata), // .writedata + .uav_lock (pio_rmap_echoing_module_reset_s1_agent_m0_lock), // .lock + .uav_debugaccess (pio_rmap_echoing_module_reset_s1_agent_m0_debugaccess), // .debugaccess + .av_address (pio_rmap_echoing_module_reset_s1_address), // avalon_anti_slave_0.address + .av_write (pio_rmap_echoing_module_reset_s1_write), // .write + .av_readdata (pio_rmap_echoing_module_reset_s1_readdata), // .readdata + .av_writedata (pio_rmap_echoing_module_reset_s1_writedata), // .writedata + .av_chipselect (pio_rmap_echoing_module_reset_s1_chipselect), // .chipselect + .av_read (), // (terminated) + .av_begintransfer (), // (terminated) + .av_beginbursttransfer (), // (terminated) + .av_burstcount (), // (terminated) + .av_byteenable (), // (terminated) + .av_readdatavalid (1'b0), // (terminated) + .av_waitrequest (1'b0), // (terminated) + .av_writebyteenable (), // (terminated) + .av_lock (), // (terminated) + .av_clken (), // (terminated) + .uav_clken (1'b0), // (terminated) + .av_debugaccess (), // (terminated) + .av_outputenable (), // (terminated) + .uav_response (), // (terminated) + .av_response (2'b00), // (terminated) + .uav_writeresponsevalid (), // (terminated) + .av_writeresponsevalid (1'b0) // (terminated) + ); + altera_merlin_master_agent #( .PKT_ORI_BURST_SIZE_H (89), .PKT_ORI_BURST_SIZE_L (87), @@ -3305,7 +3431,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .ST_DATA_W (90), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .AV_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_RSP (0), .ID (0), @@ -3373,7 +3499,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -3498,7 +3624,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -3623,7 +3749,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -3748,7 +3874,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -3873,7 +3999,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -3998,7 +4124,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -4123,7 +4249,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -4248,7 +4374,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -4373,7 +4499,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -4498,7 +4624,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -4623,7 +4749,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -4748,7 +4874,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -4873,7 +4999,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -4998,7 +5124,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -5123,7 +5249,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -5248,7 +5374,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -5373,7 +5499,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -5498,7 +5624,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -5623,7 +5749,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -5748,7 +5874,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -5873,7 +5999,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -5998,7 +6124,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -6123,7 +6249,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -6248,7 +6374,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -6373,7 +6499,7 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), .PKT_SYMBOL_W (8), - .ST_CHANNEL_W (25), + .ST_CHANNEL_W (26), .ST_DATA_W (90), .AVS_BURSTCOUNT_W (3), .SUPPRESS_0_BYTEEN_CMD (0), @@ -6468,6 +6594,131 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .out_channel () // (terminated) ); + altera_merlin_slave_agent #( + .PKT_ORI_BURST_SIZE_H (89), + .PKT_ORI_BURST_SIZE_L (87), + .PKT_RESPONSE_STATUS_H (86), + .PKT_RESPONSE_STATUS_L (85), + .PKT_BURST_SIZE_H (60), + .PKT_BURST_SIZE_L (58), + .PKT_TRANS_LOCK (52), + .PKT_BEGIN_BURST (65), + .PKT_PROTECTION_H (80), + .PKT_PROTECTION_L (78), + .PKT_BURSTWRAP_H (57), + .PKT_BURSTWRAP_L (57), + .PKT_BYTE_CNT_H (56), + .PKT_BYTE_CNT_L (54), + .PKT_ADDR_H (47), + .PKT_ADDR_L (36), + .PKT_TRANS_COMPRESSED_READ (48), + .PKT_TRANS_POSTED (49), + .PKT_TRANS_WRITE (50), + .PKT_TRANS_READ (51), + .PKT_DATA_H (31), + .PKT_DATA_L (0), + .PKT_BYTEEN_H (35), + .PKT_BYTEEN_L (32), + .PKT_SRC_ID_H (71), + .PKT_SRC_ID_L (67), + .PKT_DEST_ID_H (76), + .PKT_DEST_ID_L (72), + .PKT_SYMBOL_W (8), + .ST_CHANNEL_W (26), + .ST_DATA_W (90), + .AVS_BURSTCOUNT_W (3), + .SUPPRESS_0_BYTEEN_CMD (0), + .PREVENT_FIFO_OVERFLOW (1), + .USE_READRESPONSE (0), + .USE_WRITERESPONSE (0), + .ECC_ENABLE (0) + ) pio_rmap_echoing_module_reset_s1_agent ( + .clk (clk_50_clk_clk), // clk.clk + .reset (clock_bridge_afi_50_m0_reset_reset_bridge_in_reset_reset), // clk_reset.reset + .m0_address (pio_rmap_echoing_module_reset_s1_agent_m0_address), // m0.address + .m0_burstcount (pio_rmap_echoing_module_reset_s1_agent_m0_burstcount), // .burstcount + .m0_byteenable (pio_rmap_echoing_module_reset_s1_agent_m0_byteenable), // .byteenable + .m0_debugaccess (pio_rmap_echoing_module_reset_s1_agent_m0_debugaccess), // .debugaccess + .m0_lock (pio_rmap_echoing_module_reset_s1_agent_m0_lock), // .lock + .m0_readdata (pio_rmap_echoing_module_reset_s1_agent_m0_readdata), // .readdata + .m0_readdatavalid (pio_rmap_echoing_module_reset_s1_agent_m0_readdatavalid), // .readdatavalid + .m0_read (pio_rmap_echoing_module_reset_s1_agent_m0_read), // .read + .m0_waitrequest (pio_rmap_echoing_module_reset_s1_agent_m0_waitrequest), // .waitrequest + .m0_writedata (pio_rmap_echoing_module_reset_s1_agent_m0_writedata), // .writedata + .m0_write (pio_rmap_echoing_module_reset_s1_agent_m0_write), // .write + .rp_endofpacket (pio_rmap_echoing_module_reset_s1_agent_rp_endofpacket), // rp.endofpacket + .rp_ready (pio_rmap_echoing_module_reset_s1_agent_rp_ready), // .ready + .rp_valid (pio_rmap_echoing_module_reset_s1_agent_rp_valid), // .valid + .rp_data (pio_rmap_echoing_module_reset_s1_agent_rp_data), // .data + .rp_startofpacket (pio_rmap_echoing_module_reset_s1_agent_rp_startofpacket), // .startofpacket + .cp_ready (cmd_mux_025_src_ready), // cp.ready + .cp_valid (cmd_mux_025_src_valid), // .valid + .cp_data (cmd_mux_025_src_data), // .data + .cp_startofpacket (cmd_mux_025_src_startofpacket), // .startofpacket + .cp_endofpacket (cmd_mux_025_src_endofpacket), // .endofpacket + .cp_channel (cmd_mux_025_src_channel), // .channel + .rf_sink_ready (pio_rmap_echoing_module_reset_s1_agent_rsp_fifo_out_ready), // rf_sink.ready + .rf_sink_valid (pio_rmap_echoing_module_reset_s1_agent_rsp_fifo_out_valid), // .valid + .rf_sink_startofpacket (pio_rmap_echoing_module_reset_s1_agent_rsp_fifo_out_startofpacket), // .startofpacket + .rf_sink_endofpacket (pio_rmap_echoing_module_reset_s1_agent_rsp_fifo_out_endofpacket), // .endofpacket + .rf_sink_data (pio_rmap_echoing_module_reset_s1_agent_rsp_fifo_out_data), // .data + .rf_source_ready (pio_rmap_echoing_module_reset_s1_agent_rf_source_ready), // rf_source.ready + .rf_source_valid (pio_rmap_echoing_module_reset_s1_agent_rf_source_valid), // .valid + .rf_source_startofpacket (pio_rmap_echoing_module_reset_s1_agent_rf_source_startofpacket), // .startofpacket + .rf_source_endofpacket (pio_rmap_echoing_module_reset_s1_agent_rf_source_endofpacket), // .endofpacket + .rf_source_data (pio_rmap_echoing_module_reset_s1_agent_rf_source_data), // .data + .rdata_fifo_sink_ready (avalon_st_adapter_025_out_0_ready), // rdata_fifo_sink.ready + .rdata_fifo_sink_valid (avalon_st_adapter_025_out_0_valid), // .valid + .rdata_fifo_sink_data (avalon_st_adapter_025_out_0_data), // .data + .rdata_fifo_sink_error (avalon_st_adapter_025_out_0_error), // .error + .rdata_fifo_src_ready (pio_rmap_echoing_module_reset_s1_agent_rdata_fifo_src_ready), // rdata_fifo_src.ready + .rdata_fifo_src_valid (pio_rmap_echoing_module_reset_s1_agent_rdata_fifo_src_valid), // .valid + .rdata_fifo_src_data (pio_rmap_echoing_module_reset_s1_agent_rdata_fifo_src_data), // .data + .m0_response (2'b00), // (terminated) + .m0_writeresponsevalid (1'b0) // (terminated) + ); + + altera_avalon_sc_fifo #( + .SYMBOLS_PER_BEAT (1), + .BITS_PER_SYMBOL (91), + .FIFO_DEPTH (2), + .CHANNEL_WIDTH (0), + .ERROR_WIDTH (0), + .USE_PACKETS (1), + .USE_FILL_LEVEL (0), + .EMPTY_LATENCY (1), + .USE_MEMORY_BLOCKS (0), + .USE_STORE_FORWARD (0), + .USE_ALMOST_FULL_IF (0), + .USE_ALMOST_EMPTY_IF (0) + ) pio_rmap_echoing_module_reset_s1_agent_rsp_fifo ( + .clk (clk_50_clk_clk), // clk.clk + .reset (clock_bridge_afi_50_m0_reset_reset_bridge_in_reset_reset), // clk_reset.reset + .in_data (pio_rmap_echoing_module_reset_s1_agent_rf_source_data), // in.data + .in_valid (pio_rmap_echoing_module_reset_s1_agent_rf_source_valid), // .valid + .in_ready (pio_rmap_echoing_module_reset_s1_agent_rf_source_ready), // .ready + .in_startofpacket (pio_rmap_echoing_module_reset_s1_agent_rf_source_startofpacket), // .startofpacket + .in_endofpacket (pio_rmap_echoing_module_reset_s1_agent_rf_source_endofpacket), // .endofpacket + .out_data (pio_rmap_echoing_module_reset_s1_agent_rsp_fifo_out_data), // out.data + .out_valid (pio_rmap_echoing_module_reset_s1_agent_rsp_fifo_out_valid), // .valid + .out_ready (pio_rmap_echoing_module_reset_s1_agent_rsp_fifo_out_ready), // .ready + .out_startofpacket (pio_rmap_echoing_module_reset_s1_agent_rsp_fifo_out_startofpacket), // .startofpacket + .out_endofpacket (pio_rmap_echoing_module_reset_s1_agent_rsp_fifo_out_endofpacket), // .endofpacket + .csr_address (2'b00), // (terminated) + .csr_read (1'b0), // (terminated) + .csr_write (1'b0), // (terminated) + .csr_readdata (), // (terminated) + .csr_writedata (32'b00000000000000000000000000000000), // (terminated) + .almost_full_data (), // (terminated) + .almost_empty_data (), // (terminated) + .in_empty (1'b0), // (terminated) + .out_empty (), // (terminated) + .in_error (1'b0), // (terminated) + .out_error (), // (terminated) + .in_channel (1'b0), // (terminated) + .out_channel () // (terminated) + ); + MebX_Qsys_Project_mm_interconnect_2_router router ( .sink_ready (clock_bridge_afi_50_m0_agent_cp_ready), // sink.ready .sink_valid (clock_bridge_afi_50_m0_agent_cp_valid), // .valid @@ -6884,6 +7135,22 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .src_endofpacket (router_025_src_endofpacket) // .endofpacket ); + MebX_Qsys_Project_mm_interconnect_2_router_001 router_026 ( + .sink_ready (pio_rmap_echoing_module_reset_s1_agent_rp_ready), // sink.ready + .sink_valid (pio_rmap_echoing_module_reset_s1_agent_rp_valid), // .valid + .sink_data (pio_rmap_echoing_module_reset_s1_agent_rp_data), // .data + .sink_startofpacket (pio_rmap_echoing_module_reset_s1_agent_rp_startofpacket), // .startofpacket + .sink_endofpacket (pio_rmap_echoing_module_reset_s1_agent_rp_endofpacket), // .endofpacket + .clk (clk_50_clk_clk), // clk.clk + .reset (clock_bridge_afi_50_m0_reset_reset_bridge_in_reset_reset), // clk_reset.reset + .src_ready (router_026_src_ready), // src.ready + .src_valid (router_026_src_valid), // .valid + .src_data (router_026_src_data), // .data + .src_channel (router_026_src_channel), // .channel + .src_startofpacket (router_026_src_startofpacket), // .startofpacket + .src_endofpacket (router_026_src_endofpacket) // .endofpacket + ); + altera_merlin_traffic_limiter #( .PKT_DEST_ID_H (76), .PKT_DEST_ID_L (72), @@ -6898,8 +7165,8 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .MAX_OUTSTANDING_RESPONSES (1), .PIPELINED (0), .ST_DATA_W (90), - .ST_CHANNEL_W (25), - .VALID_WIDTH (25), + .ST_CHANNEL_W (26), + .VALID_WIDTH (26), .ENFORCE_ORDER (1), .PREVENT_HAZARDS (0), .SUPPORTS_POSTED_WRITES (1), @@ -7092,7 +7359,13 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .src24_data (cmd_demux_src24_data), // .data .src24_channel (cmd_demux_src24_channel), // .channel .src24_startofpacket (cmd_demux_src24_startofpacket), // .startofpacket - .src24_endofpacket (cmd_demux_src24_endofpacket) // .endofpacket + .src24_endofpacket (cmd_demux_src24_endofpacket), // .endofpacket + .src25_ready (cmd_demux_src25_ready), // src25.ready + .src25_valid (cmd_demux_src25_valid), // .valid + .src25_data (cmd_demux_src25_data), // .data + .src25_channel (cmd_demux_src25_channel), // .channel + .src25_startofpacket (cmd_demux_src25_startofpacket), // .startofpacket + .src25_endofpacket (cmd_demux_src25_endofpacket) // .endofpacket ); MebX_Qsys_Project_mm_interconnect_2_cmd_mux cmd_mux ( @@ -7520,6 +7793,23 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .sink0_endofpacket (cmd_demux_src24_endofpacket) // .endofpacket ); + MebX_Qsys_Project_mm_interconnect_2_cmd_mux cmd_mux_025 ( + .clk (clk_50_clk_clk), // clk.clk + .reset (clock_bridge_afi_50_m0_reset_reset_bridge_in_reset_reset), // clk_reset.reset + .src_ready (cmd_mux_025_src_ready), // src.ready + .src_valid (cmd_mux_025_src_valid), // .valid + .src_data (cmd_mux_025_src_data), // .data + .src_channel (cmd_mux_025_src_channel), // .channel + .src_startofpacket (cmd_mux_025_src_startofpacket), // .startofpacket + .src_endofpacket (cmd_mux_025_src_endofpacket), // .endofpacket + .sink0_ready (cmd_demux_src25_ready), // sink0.ready + .sink0_valid (cmd_demux_src25_valid), // .valid + .sink0_channel (cmd_demux_src25_channel), // .channel + .sink0_data (cmd_demux_src25_data), // .data + .sink0_startofpacket (cmd_demux_src25_startofpacket), // .startofpacket + .sink0_endofpacket (cmd_demux_src25_endofpacket) // .endofpacket + ); + MebX_Qsys_Project_mm_interconnect_2_rsp_demux rsp_demux ( .clk (clk_50_clk_clk), // clk.clk .reset (clock_bridge_afi_50_m0_reset_reset_bridge_in_reset_reset), // clk_reset.reset @@ -7945,6 +8235,23 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .src0_endofpacket (rsp_demux_024_src0_endofpacket) // .endofpacket ); + MebX_Qsys_Project_mm_interconnect_2_rsp_demux rsp_demux_025 ( + .clk (clk_50_clk_clk), // clk.clk + .reset (clock_bridge_afi_50_m0_reset_reset_bridge_in_reset_reset), // clk_reset.reset + .sink_ready (router_026_src_ready), // sink.ready + .sink_channel (router_026_src_channel), // .channel + .sink_data (router_026_src_data), // .data + .sink_startofpacket (router_026_src_startofpacket), // .startofpacket + .sink_endofpacket (router_026_src_endofpacket), // .endofpacket + .sink_valid (router_026_src_valid), // .valid + .src0_ready (rsp_demux_025_src0_ready), // src0.ready + .src0_valid (rsp_demux_025_src0_valid), // .valid + .src0_data (rsp_demux_025_src0_data), // .data + .src0_channel (rsp_demux_025_src0_channel), // .channel + .src0_startofpacket (rsp_demux_025_src0_startofpacket), // .startofpacket + .src0_endofpacket (rsp_demux_025_src0_endofpacket) // .endofpacket + ); + MebX_Qsys_Project_mm_interconnect_2_rsp_mux rsp_mux ( .clk (clk_50_clk_clk), // clk.clk .reset (clock_bridge_afi_50_m0_reset_reset_bridge_in_reset_reset), // clk_reset.reset @@ -8103,7 +8410,13 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .sink24_channel (rsp_demux_024_src0_channel), // .channel .sink24_data (rsp_demux_024_src0_data), // .data .sink24_startofpacket (rsp_demux_024_src0_startofpacket), // .startofpacket - .sink24_endofpacket (rsp_demux_024_src0_endofpacket) // .endofpacket + .sink24_endofpacket (rsp_demux_024_src0_endofpacket), // .endofpacket + .sink25_ready (rsp_demux_025_src0_ready), // sink25.ready + .sink25_valid (rsp_demux_025_src0_valid), // .valid + .sink25_channel (rsp_demux_025_src0_channel), // .channel + .sink25_data (rsp_demux_025_src0_data), // .data + .sink25_startofpacket (rsp_demux_025_src0_startofpacket), // .startofpacket + .sink25_endofpacket (rsp_demux_025_src0_endofpacket) // .endofpacket ); MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter #( @@ -8831,4 +9144,33 @@ module MebX_Qsys_Project_mm_interconnect_2 ( .out_0_error (avalon_st_adapter_024_out_0_error) // .error ); + MebX_Qsys_Project_mm_interconnect_1_avalon_st_adapter #( + .inBitsPerSymbol (34), + .inUsePackets (0), + .inDataWidth (34), + .inChannelWidth (0), + .inErrorWidth (0), + .inUseEmptyPort (0), + .inUseValid (1), + .inUseReady (1), + .inReadyLatency (0), + .outDataWidth (34), + .outChannelWidth (0), + .outErrorWidth (1), + .outUseEmptyPort (0), + .outUseValid (1), + .outUseReady (1), + .outReadyLatency (0) + ) avalon_st_adapter_025 ( + .in_clk_0_clk (clk_50_clk_clk), // in_clk_0.clk + .in_rst_0_reset (clock_bridge_afi_50_m0_reset_reset_bridge_in_reset_reset), // in_rst_0.reset + .in_0_data (pio_rmap_echoing_module_reset_s1_agent_rdata_fifo_src_data), // in_0.data + .in_0_valid (pio_rmap_echoing_module_reset_s1_agent_rdata_fifo_src_valid), // .valid + .in_0_ready (pio_rmap_echoing_module_reset_s1_agent_rdata_fifo_src_ready), // .ready + .out_0_data (avalon_st_adapter_025_out_0_data), // out_0.data + .out_0_valid (avalon_st_adapter_025_out_0_valid), // .valid + .out_0_ready (avalon_st_adapter_025_out_0_ready), // .ready + .out_0_error (avalon_st_adapter_025_out_0_error) // .error + ); + endmodule diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_demux.sv b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_demux.sv index e791eb7d..f4a49c0a 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_demux.sv +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_demux.sv @@ -29,9 +29,9 @@ // Generation parameters: // output_name: MebX_Qsys_Project_mm_interconnect_2_cmd_demux // ST_DATA_W: 90 -// ST_CHANNEL_W: 25 -// NUM_OUTPUTS: 25 -// VALID_WIDTH: 25 +// ST_CHANNEL_W: 26 +// NUM_OUTPUTS: 26 +// VALID_WIDTH: 26 // ------------------------------------------ //------------------------------------------ @@ -45,9 +45,9 @@ module MebX_Qsys_Project_mm_interconnect_2_cmd_demux // ------------------- // Sink // ------------------- - input [25-1 : 0] sink_valid, + input [26-1 : 0] sink_valid, input [90-1 : 0] sink_data, // ST_DATA_W=90 - input [25-1 : 0] sink_channel, // ST_CHANNEL_W=25 + input [26-1 : 0] sink_channel, // ST_CHANNEL_W=26 input sink_startofpacket, input sink_endofpacket, output sink_ready, @@ -57,179 +57,186 @@ module MebX_Qsys_Project_mm_interconnect_2_cmd_demux // ------------------- output reg src0_valid, output reg [90-1 : 0] src0_data, // ST_DATA_W=90 - output reg [25-1 : 0] src0_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src0_channel, // ST_CHANNEL_W=26 output reg src0_startofpacket, output reg src0_endofpacket, input src0_ready, output reg src1_valid, output reg [90-1 : 0] src1_data, // ST_DATA_W=90 - output reg [25-1 : 0] src1_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src1_channel, // ST_CHANNEL_W=26 output reg src1_startofpacket, output reg src1_endofpacket, input src1_ready, output reg src2_valid, output reg [90-1 : 0] src2_data, // ST_DATA_W=90 - output reg [25-1 : 0] src2_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src2_channel, // ST_CHANNEL_W=26 output reg src2_startofpacket, output reg src2_endofpacket, input src2_ready, output reg src3_valid, output reg [90-1 : 0] src3_data, // ST_DATA_W=90 - output reg [25-1 : 0] src3_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src3_channel, // ST_CHANNEL_W=26 output reg src3_startofpacket, output reg src3_endofpacket, input src3_ready, output reg src4_valid, output reg [90-1 : 0] src4_data, // ST_DATA_W=90 - output reg [25-1 : 0] src4_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src4_channel, // ST_CHANNEL_W=26 output reg src4_startofpacket, output reg src4_endofpacket, input src4_ready, output reg src5_valid, output reg [90-1 : 0] src5_data, // ST_DATA_W=90 - output reg [25-1 : 0] src5_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src5_channel, // ST_CHANNEL_W=26 output reg src5_startofpacket, output reg src5_endofpacket, input src5_ready, output reg src6_valid, output reg [90-1 : 0] src6_data, // ST_DATA_W=90 - output reg [25-1 : 0] src6_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src6_channel, // ST_CHANNEL_W=26 output reg src6_startofpacket, output reg src6_endofpacket, input src6_ready, output reg src7_valid, output reg [90-1 : 0] src7_data, // ST_DATA_W=90 - output reg [25-1 : 0] src7_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src7_channel, // ST_CHANNEL_W=26 output reg src7_startofpacket, output reg src7_endofpacket, input src7_ready, output reg src8_valid, output reg [90-1 : 0] src8_data, // ST_DATA_W=90 - output reg [25-1 : 0] src8_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src8_channel, // ST_CHANNEL_W=26 output reg src8_startofpacket, output reg src8_endofpacket, input src8_ready, output reg src9_valid, output reg [90-1 : 0] src9_data, // ST_DATA_W=90 - output reg [25-1 : 0] src9_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src9_channel, // ST_CHANNEL_W=26 output reg src9_startofpacket, output reg src9_endofpacket, input src9_ready, output reg src10_valid, output reg [90-1 : 0] src10_data, // ST_DATA_W=90 - output reg [25-1 : 0] src10_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src10_channel, // ST_CHANNEL_W=26 output reg src10_startofpacket, output reg src10_endofpacket, input src10_ready, output reg src11_valid, output reg [90-1 : 0] src11_data, // ST_DATA_W=90 - output reg [25-1 : 0] src11_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src11_channel, // ST_CHANNEL_W=26 output reg src11_startofpacket, output reg src11_endofpacket, input src11_ready, output reg src12_valid, output reg [90-1 : 0] src12_data, // ST_DATA_W=90 - output reg [25-1 : 0] src12_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src12_channel, // ST_CHANNEL_W=26 output reg src12_startofpacket, output reg src12_endofpacket, input src12_ready, output reg src13_valid, output reg [90-1 : 0] src13_data, // ST_DATA_W=90 - output reg [25-1 : 0] src13_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src13_channel, // ST_CHANNEL_W=26 output reg src13_startofpacket, output reg src13_endofpacket, input src13_ready, output reg src14_valid, output reg [90-1 : 0] src14_data, // ST_DATA_W=90 - output reg [25-1 : 0] src14_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src14_channel, // ST_CHANNEL_W=26 output reg src14_startofpacket, output reg src14_endofpacket, input src14_ready, output reg src15_valid, output reg [90-1 : 0] src15_data, // ST_DATA_W=90 - output reg [25-1 : 0] src15_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src15_channel, // ST_CHANNEL_W=26 output reg src15_startofpacket, output reg src15_endofpacket, input src15_ready, output reg src16_valid, output reg [90-1 : 0] src16_data, // ST_DATA_W=90 - output reg [25-1 : 0] src16_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src16_channel, // ST_CHANNEL_W=26 output reg src16_startofpacket, output reg src16_endofpacket, input src16_ready, output reg src17_valid, output reg [90-1 : 0] src17_data, // ST_DATA_W=90 - output reg [25-1 : 0] src17_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src17_channel, // ST_CHANNEL_W=26 output reg src17_startofpacket, output reg src17_endofpacket, input src17_ready, output reg src18_valid, output reg [90-1 : 0] src18_data, // ST_DATA_W=90 - output reg [25-1 : 0] src18_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src18_channel, // ST_CHANNEL_W=26 output reg src18_startofpacket, output reg src18_endofpacket, input src18_ready, output reg src19_valid, output reg [90-1 : 0] src19_data, // ST_DATA_W=90 - output reg [25-1 : 0] src19_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src19_channel, // ST_CHANNEL_W=26 output reg src19_startofpacket, output reg src19_endofpacket, input src19_ready, output reg src20_valid, output reg [90-1 : 0] src20_data, // ST_DATA_W=90 - output reg [25-1 : 0] src20_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src20_channel, // ST_CHANNEL_W=26 output reg src20_startofpacket, output reg src20_endofpacket, input src20_ready, output reg src21_valid, output reg [90-1 : 0] src21_data, // ST_DATA_W=90 - output reg [25-1 : 0] src21_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src21_channel, // ST_CHANNEL_W=26 output reg src21_startofpacket, output reg src21_endofpacket, input src21_ready, output reg src22_valid, output reg [90-1 : 0] src22_data, // ST_DATA_W=90 - output reg [25-1 : 0] src22_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src22_channel, // ST_CHANNEL_W=26 output reg src22_startofpacket, output reg src22_endofpacket, input src22_ready, output reg src23_valid, output reg [90-1 : 0] src23_data, // ST_DATA_W=90 - output reg [25-1 : 0] src23_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src23_channel, // ST_CHANNEL_W=26 output reg src23_startofpacket, output reg src23_endofpacket, input src23_ready, output reg src24_valid, output reg [90-1 : 0] src24_data, // ST_DATA_W=90 - output reg [25-1 : 0] src24_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src24_channel, // ST_CHANNEL_W=26 output reg src24_startofpacket, output reg src24_endofpacket, input src24_ready, + output reg src25_valid, + output reg [90-1 : 0] src25_data, // ST_DATA_W=90 + output reg [26-1 : 0] src25_channel, // ST_CHANNEL_W=26 + output reg src25_startofpacket, + output reg src25_endofpacket, + input src25_ready, + // ------------------- // Clock & Reset @@ -241,7 +248,7 @@ module MebX_Qsys_Project_mm_interconnect_2_cmd_demux ); - localparam NUM_OUTPUTS = 25; + localparam NUM_OUTPUTS = 26; wire [NUM_OUTPUTS - 1 : 0] ready_vector; // ------------------- @@ -423,6 +430,13 @@ module MebX_Qsys_Project_mm_interconnect_2_cmd_demux src24_valid = sink_channel[24] && sink_valid[24]; + src25_data = sink_data; + src25_startofpacket = sink_startofpacket; + src25_endofpacket = sink_endofpacket; + src25_channel = sink_channel >> NUM_OUTPUTS; + + src25_valid = sink_channel[25] && sink_valid[25]; + end // ------------------- @@ -453,6 +467,7 @@ module MebX_Qsys_Project_mm_interconnect_2_cmd_demux assign ready_vector[22] = src22_ready; assign ready_vector[23] = src23_ready; assign ready_vector[24] = src24_ready; + assign ready_vector[25] = src25_ready; assign sink_ready = |(sink_channel & ready_vector); diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux.sv b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux.sv index 6cf6727f..b8811a0b 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux.sv +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_cmd_mux.sv @@ -45,7 +45,7 @@ // PIPELINE_ARB: 1 // PKT_TRANS_LOCK: 52 (arbitration locking enabled) // ST_DATA_W: 90 -// ST_CHANNEL_W: 25 +// ST_CHANNEL_W: 26 // ------------------------------------------ module MebX_Qsys_Project_mm_interconnect_2_cmd_mux @@ -55,7 +55,7 @@ module MebX_Qsys_Project_mm_interconnect_2_cmd_mux // ---------------------- input sink0_valid, input [90-1 : 0] sink0_data, - input [25-1: 0] sink0_channel, + input [26-1: 0] sink0_channel, input sink0_startofpacket, input sink0_endofpacket, output sink0_ready, @@ -66,7 +66,7 @@ module MebX_Qsys_Project_mm_interconnect_2_cmd_mux // ---------------------- output src_valid, output [90-1 : 0] src_data, - output [25-1 : 0] src_channel, + output [26-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, input src_ready, @@ -77,12 +77,12 @@ module MebX_Qsys_Project_mm_interconnect_2_cmd_mux input clk, input reset ); - localparam PAYLOAD_W = 90 + 25 + 2; + localparam PAYLOAD_W = 90 + 26 + 2; localparam NUM_INPUTS = 1; localparam SHARE_COUNTER_W = 1; localparam PIPELINE_ARB = 1; localparam ST_DATA_W = 90; - localparam ST_CHANNEL_W = 25; + localparam ST_CHANNEL_W = 26; localparam PKT_TRANS_LOCK = 52; assign src_valid = sink0_valid; diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_router.sv b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_router.sv index 52171d53..db1a4ab6 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_router.sv +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_router.sv @@ -47,12 +47,12 @@ module MebX_Qsys_Project_mm_interconnect_2_router_default_decode parameter DEFAULT_CHANNEL = 0, DEFAULT_WR_CHANNEL = -1, DEFAULT_RD_CHANNEL = -1, - DEFAULT_DESTID = 20 + DEFAULT_DESTID = 21 ) (output [76 - 72 : 0] default_destination_id, - output [25-1 : 0] default_wr_channel, - output [25-1 : 0] default_rd_channel, - output [25-1 : 0] default_src_channel + output [26-1 : 0] default_wr_channel, + output [26-1 : 0] default_rd_channel, + output [26-1 : 0] default_src_channel ); assign default_destination_id = @@ -63,7 +63,7 @@ module MebX_Qsys_Project_mm_interconnect_2_router_default_decode assign default_src_channel = '0; end else begin : default_channel_assignment - assign default_src_channel = 25'b1 << DEFAULT_CHANNEL; + assign default_src_channel = 26'b1 << DEFAULT_CHANNEL; end endgenerate @@ -73,8 +73,8 @@ module MebX_Qsys_Project_mm_interconnect_2_router_default_decode assign default_rd_channel = '0; end else begin : default_rw_channel_assignment - assign default_wr_channel = 25'b1 << DEFAULT_WR_CHANNEL; - assign default_rd_channel = 25'b1 << DEFAULT_RD_CHANNEL; + assign default_wr_channel = 26'b1 << DEFAULT_WR_CHANNEL; + assign default_rd_channel = 26'b1 << DEFAULT_RD_CHANNEL; end endgenerate @@ -103,7 +103,7 @@ module MebX_Qsys_Project_mm_interconnect_2_router // ------------------- output src_valid, output reg [90-1 : 0] src_data, - output reg [25-1 : 0] src_channel, + output reg [26-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, input src_ready @@ -119,7 +119,7 @@ module MebX_Qsys_Project_mm_interconnect_2_router localparam PKT_PROTECTION_H = 80; localparam PKT_PROTECTION_L = 78; localparam ST_DATA_W = 90; - localparam ST_CHANNEL_W = 25; + localparam ST_CHANNEL_W = 26; localparam DECODER_TYPE = 0; localparam PKT_TRANS_WRITE = 50; @@ -159,12 +159,13 @@ module MebX_Qsys_Project_mm_interconnect_2_router localparam PAD22 = log2ceil(64'ha10 - 64'ha00); localparam PAD23 = log2ceil(64'hb10 - 64'hb00); localparam PAD24 = log2ceil(64'hb20 - 64'hb10); + localparam PAD25 = log2ceil(64'hc10 - 64'hc00); // ------------------------------------------------------- // Work out which address bits are significant based on the // address range of the slaves. If the required width is too // large or too small, we use the address field width instead. // ------------------------------------------------------- - localparam ADDR_RANGE = 64'hb20; + localparam ADDR_RANGE = 64'hc10; localparam RANGE_ADDR_WIDTH = log2ceil(ADDR_RANGE); localparam OPTIMIZED_ADDR_H = (RANGE_ADDR_WIDTH > PKT_ADDR_W) || (RANGE_ADDR_WIDTH == 0) ? @@ -188,7 +189,7 @@ module MebX_Qsys_Project_mm_interconnect_2_router assign src_startofpacket = sink_startofpacket; assign src_endofpacket = sink_endofpacket; wire [PKT_DEST_ID_W-1:0] default_destid; - wire [25-1 : 0] default_src_channel; + wire [26-1 : 0] default_src_channel; @@ -219,154 +220,160 @@ module MebX_Qsys_Project_mm_interconnect_2_router // ( 0x400 .. 0x800 ) if ( {address[RG:PAD0],{PAD0{1'b0}}} == 12'h400 ) begin - src_channel = 25'b0000000000000000000000001; - src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 20; + src_channel = 26'b00000000000000000000000001; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 21; end // ( 0x800 .. 0x840 ) if ( {address[RG:PAD1],{PAD1{1'b0}}} == 12'h800 ) begin - src_channel = 25'b0000000000000000000000010; - src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 18; + src_channel = 26'b00000000000000000000000010; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 19; end // ( 0x840 .. 0x860 ) if ( {address[RG:PAD2],{PAD2{1'b0}}} == 12'h840 ) begin - src_channel = 25'b0001000000000000000000000; - src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 17; + src_channel = 26'b00001000000000000000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 18; end // ( 0x860 .. 0x880 ) if ( {address[RG:PAD3],{PAD3{1'b0}}} == 12'h860 ) begin - src_channel = 25'b0000000000000000100000000; - src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 24; + src_channel = 26'b00000000000000000100000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 25; end // ( 0x880 .. 0x8a0 ) if ( {address[RG:PAD4],{PAD4{1'b0}}} == 12'h880 ) begin - src_channel = 25'b0000000000000000001000000; - src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 23; + src_channel = 26'b00000000000000000001000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 24; end // ( 0x8a0 .. 0x8b0 ) if ( {address[RG:PAD5],{PAD5{1'b0}}} == 12'h8a0 ) begin - src_channel = 25'b0010000000000000000000000; + src_channel = 26'b00010000000000000000000000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 14; end // ( 0x900 .. 0x910 ) if ( {address[RG:PAD6],{PAD6{1'b0}}} == 12'h900 ) begin - src_channel = 25'b0000100000000000000000000; + src_channel = 26'b00000100000000000000000000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 12; end // ( 0x910 .. 0x920 ) if ( {address[RG:PAD7],{PAD7{1'b0}}} == 12'h910 ) begin - src_channel = 25'b0000010000000000000000000; + src_channel = 26'b00000010000000000000000000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 0; end // ( 0x920 .. 0x930 ) if ( {address[RG:PAD8],{PAD8{1'b0}}} == 12'h920 ) begin - src_channel = 25'b0000001000000000000000000; + src_channel = 26'b00000001000000000000000000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 1; end // ( 0x930 .. 0x940 ) if ( {address[RG:PAD9],{PAD9{1'b0}}} == 12'h930 ) begin - src_channel = 25'b0000000100000000000000000; + src_channel = 26'b00000000100000000000000000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 2; end // ( 0x940 .. 0x950 ) if ( {address[RG:PAD10],{PAD10{1'b0}}} == 12'h940 ) begin - src_channel = 25'b0000000010000000000000000; + src_channel = 26'b00000000010000000000000000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 3; end // ( 0x950 .. 0x960 ) if ( {address[RG:PAD11],{PAD11{1'b0}}} == 12'h950 && read_transaction ) begin - src_channel = 25'b0000000001000000000000000; + src_channel = 26'b00000000001000000000000000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 4; end // ( 0x960 .. 0x970 ) if ( {address[RG:PAD12],{PAD12{1'b0}}} == 12'h960 ) begin - src_channel = 25'b0000000000100000000000000; + src_channel = 26'b00000000000100000000000000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 7; end // ( 0x970 .. 0x980 ) if ( {address[RG:PAD13],{PAD13{1'b0}}} == 12'h970 ) begin - src_channel = 25'b0000000000010000000000000; + src_channel = 26'b00000000000010000000000000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 8; end // ( 0x980 .. 0x990 ) if ( {address[RG:PAD14],{PAD14{1'b0}}} == 12'h980 ) begin - src_channel = 25'b0000000000001000000000000; - src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 22; + src_channel = 26'b00000000000001000000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 23; end // ( 0x990 .. 0x9a0 ) if ( {address[RG:PAD15],{PAD15{1'b0}}} == 12'h990 ) begin - src_channel = 25'b0000000000000100000000000; - src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 21; + src_channel = 26'b00000000000000100000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 22; end // ( 0x9a0 .. 0x9b0 ) if ( {address[RG:PAD16],{PAD16{1'b0}}} == 12'h9a0 && read_transaction ) begin - src_channel = 25'b0000000000000010000000000; - src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 19; + src_channel = 26'b00000000000000010000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 20; end // ( 0x9b0 .. 0x9c0 ) if ( {address[RG:PAD17],{PAD17{1'b0}}} == 12'h9b0 && read_transaction ) begin - src_channel = 25'b0000000000000001000000000; + src_channel = 26'b00000000000000001000000000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 11; end // ( 0x9c0 .. 0x9d0 ) if ( {address[RG:PAD18],{PAD18{1'b0}}} == 12'h9c0 && read_transaction ) begin - src_channel = 25'b0000000000000000010000000; + src_channel = 26'b00000000000000000010000000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 10; end // ( 0x9d0 .. 0x9e0 ) if ( {address[RG:PAD19],{PAD19{1'b0}}} == 12'h9d0 ) begin - src_channel = 25'b0000000000000000000100000; + src_channel = 26'b00000000000000000000100000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 13; end // ( 0x9e0 .. 0x9f0 ) if ( {address[RG:PAD20],{PAD20{1'b0}}} == 12'h9e0 && read_transaction ) begin - src_channel = 25'b0000000000000000000010000; + src_channel = 26'b00000000000000000000010000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 9; end // ( 0x9f0 .. 0xa00 ) if ( {address[RG:PAD21],{PAD21{1'b0}}} == 12'h9f0 ) begin - src_channel = 25'b0000000000000000000001000; + src_channel = 26'b00000000000000000000001000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 5; end // ( 0xa00 .. 0xa10 ) if ( {address[RG:PAD22],{PAD22{1'b0}}} == 12'ha00 ) begin - src_channel = 25'b0000000000000000000000100; + src_channel = 26'b00000000000000000000000100; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 6; end // ( 0xb00 .. 0xb10 ) if ( {address[RG:PAD23],{PAD23{1'b0}}} == 12'hb00 ) begin - src_channel = 25'b0100000000000000000000000; + src_channel = 26'b00100000000000000000000000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 15; end // ( 0xb10 .. 0xb20 ) if ( {address[RG:PAD24],{PAD24{1'b0}}} == 12'hb10 ) begin - src_channel = 25'b1000000000000000000000000; + src_channel = 26'b01000000000000000000000000; src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 16; end + // ( 0xc00 .. 0xc10 ) + if ( {address[RG:PAD25],{PAD25{1'b0}}} == 12'hc00 ) begin + src_channel = 26'b10000000000000000000000000; + src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 17; + end + end diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_router_001.sv b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_router_001.sv index 5b78c32b..08dc8b8f 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_router_001.sv +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_router_001.sv @@ -50,9 +50,9 @@ module MebX_Qsys_Project_mm_interconnect_2_router_001_default_decode DEFAULT_DESTID = 0 ) (output [76 - 72 : 0] default_destination_id, - output [25-1 : 0] default_wr_channel, - output [25-1 : 0] default_rd_channel, - output [25-1 : 0] default_src_channel + output [26-1 : 0] default_wr_channel, + output [26-1 : 0] default_rd_channel, + output [26-1 : 0] default_src_channel ); assign default_destination_id = @@ -63,7 +63,7 @@ module MebX_Qsys_Project_mm_interconnect_2_router_001_default_decode assign default_src_channel = '0; end else begin : default_channel_assignment - assign default_src_channel = 25'b1 << DEFAULT_CHANNEL; + assign default_src_channel = 26'b1 << DEFAULT_CHANNEL; end endgenerate @@ -73,8 +73,8 @@ module MebX_Qsys_Project_mm_interconnect_2_router_001_default_decode assign default_rd_channel = '0; end else begin : default_rw_channel_assignment - assign default_wr_channel = 25'b1 << DEFAULT_WR_CHANNEL; - assign default_rd_channel = 25'b1 << DEFAULT_RD_CHANNEL; + assign default_wr_channel = 26'b1 << DEFAULT_WR_CHANNEL; + assign default_rd_channel = 26'b1 << DEFAULT_RD_CHANNEL; end endgenerate @@ -103,7 +103,7 @@ module MebX_Qsys_Project_mm_interconnect_2_router_001 // ------------------- output src_valid, output reg [90-1 : 0] src_data, - output reg [25-1 : 0] src_channel, + output reg [26-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, input src_ready @@ -119,7 +119,7 @@ module MebX_Qsys_Project_mm_interconnect_2_router_001 localparam PKT_PROTECTION_H = 80; localparam PKT_PROTECTION_L = 78; localparam ST_DATA_W = 90; - localparam ST_CHANNEL_W = 25; + localparam ST_CHANNEL_W = 26; localparam DECODER_TYPE = 1; localparam PKT_TRANS_WRITE = 50; @@ -158,7 +158,7 @@ module MebX_Qsys_Project_mm_interconnect_2_router_001 assign src_valid = sink_valid; assign src_startofpacket = sink_startofpacket; assign src_endofpacket = sink_endofpacket; - wire [25-1 : 0] default_src_channel; + wire [26-1 : 0] default_src_channel; @@ -185,7 +185,7 @@ module MebX_Qsys_Project_mm_interconnect_2_router_001 if (destid == 0 ) begin - src_channel = 25'b1; + src_channel = 26'b1; end diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_demux.sv b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_demux.sv index dac06432..2f675de6 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_demux.sv +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_demux.sv @@ -29,7 +29,7 @@ // Generation parameters: // output_name: MebX_Qsys_Project_mm_interconnect_2_rsp_demux // ST_DATA_W: 90 -// ST_CHANNEL_W: 25 +// ST_CHANNEL_W: 26 // NUM_OUTPUTS: 1 // VALID_WIDTH: 1 // ------------------------------------------ @@ -47,7 +47,7 @@ module MebX_Qsys_Project_mm_interconnect_2_rsp_demux // ------------------- input [1-1 : 0] sink_valid, input [90-1 : 0] sink_data, // ST_DATA_W=90 - input [25-1 : 0] sink_channel, // ST_CHANNEL_W=25 + input [26-1 : 0] sink_channel, // ST_CHANNEL_W=26 input sink_startofpacket, input sink_endofpacket, output sink_ready, @@ -57,7 +57,7 @@ module MebX_Qsys_Project_mm_interconnect_2_rsp_demux // ------------------- output reg src0_valid, output reg [90-1 : 0] src0_data, // ST_DATA_W=90 - output reg [25-1 : 0] src0_channel, // ST_CHANNEL_W=25 + output reg [26-1 : 0] src0_channel, // ST_CHANNEL_W=26 output reg src0_startofpacket, output reg src0_endofpacket, input src0_ready, @@ -94,7 +94,7 @@ module MebX_Qsys_Project_mm_interconnect_2_rsp_demux // ------------------- assign ready_vector[0] = src0_ready; - assign sink_ready = |(sink_channel & {{24{1'b0}},{ready_vector[NUM_OUTPUTS - 1 : 0]}}); + assign sink_ready = |(sink_channel & {{25{1'b0}},{ready_vector[NUM_OUTPUTS - 1 : 0]}}); endmodule diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_mux.sv b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_mux.sv index ef79fc28..b31462e6 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_mux.sv +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_mm_interconnect_2_rsp_mux.sv @@ -39,13 +39,13 @@ // ------------------------------------------ // Generation parameters: // output_name: MebX_Qsys_Project_mm_interconnect_2_rsp_mux -// NUM_INPUTS: 25 -// ARBITRATION_SHARES: 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 +// NUM_INPUTS: 26 +// ARBITRATION_SHARES: 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 // ARBITRATION_SCHEME "no-arb" // PIPELINE_ARB: 0 // PKT_TRANS_LOCK: 52 (arbitration locking enabled) // ST_DATA_W: 90 -// ST_CHANNEL_W: 25 +// ST_CHANNEL_W: 26 // ------------------------------------------ module MebX_Qsys_Project_mm_interconnect_2_rsp_mux @@ -55,186 +55,193 @@ module MebX_Qsys_Project_mm_interconnect_2_rsp_mux // ---------------------- input sink0_valid, input [90-1 : 0] sink0_data, - input [25-1: 0] sink0_channel, + input [26-1: 0] sink0_channel, input sink0_startofpacket, input sink0_endofpacket, output sink0_ready, input sink1_valid, input [90-1 : 0] sink1_data, - input [25-1: 0] sink1_channel, + input [26-1: 0] sink1_channel, input sink1_startofpacket, input sink1_endofpacket, output sink1_ready, input sink2_valid, input [90-1 : 0] sink2_data, - input [25-1: 0] sink2_channel, + input [26-1: 0] sink2_channel, input sink2_startofpacket, input sink2_endofpacket, output sink2_ready, input sink3_valid, input [90-1 : 0] sink3_data, - input [25-1: 0] sink3_channel, + input [26-1: 0] sink3_channel, input sink3_startofpacket, input sink3_endofpacket, output sink3_ready, input sink4_valid, input [90-1 : 0] sink4_data, - input [25-1: 0] sink4_channel, + input [26-1: 0] sink4_channel, input sink4_startofpacket, input sink4_endofpacket, output sink4_ready, input sink5_valid, input [90-1 : 0] sink5_data, - input [25-1: 0] sink5_channel, + input [26-1: 0] sink5_channel, input sink5_startofpacket, input sink5_endofpacket, output sink5_ready, input sink6_valid, input [90-1 : 0] sink6_data, - input [25-1: 0] sink6_channel, + input [26-1: 0] sink6_channel, input sink6_startofpacket, input sink6_endofpacket, output sink6_ready, input sink7_valid, input [90-1 : 0] sink7_data, - input [25-1: 0] sink7_channel, + input [26-1: 0] sink7_channel, input sink7_startofpacket, input sink7_endofpacket, output sink7_ready, input sink8_valid, input [90-1 : 0] sink8_data, - input [25-1: 0] sink8_channel, + input [26-1: 0] sink8_channel, input sink8_startofpacket, input sink8_endofpacket, output sink8_ready, input sink9_valid, input [90-1 : 0] sink9_data, - input [25-1: 0] sink9_channel, + input [26-1: 0] sink9_channel, input sink9_startofpacket, input sink9_endofpacket, output sink9_ready, input sink10_valid, input [90-1 : 0] sink10_data, - input [25-1: 0] sink10_channel, + input [26-1: 0] sink10_channel, input sink10_startofpacket, input sink10_endofpacket, output sink10_ready, input sink11_valid, input [90-1 : 0] sink11_data, - input [25-1: 0] sink11_channel, + input [26-1: 0] sink11_channel, input sink11_startofpacket, input sink11_endofpacket, output sink11_ready, input sink12_valid, input [90-1 : 0] sink12_data, - input [25-1: 0] sink12_channel, + input [26-1: 0] sink12_channel, input sink12_startofpacket, input sink12_endofpacket, output sink12_ready, input sink13_valid, input [90-1 : 0] sink13_data, - input [25-1: 0] sink13_channel, + input [26-1: 0] sink13_channel, input sink13_startofpacket, input sink13_endofpacket, output sink13_ready, input sink14_valid, input [90-1 : 0] sink14_data, - input [25-1: 0] sink14_channel, + input [26-1: 0] sink14_channel, input sink14_startofpacket, input sink14_endofpacket, output sink14_ready, input sink15_valid, input [90-1 : 0] sink15_data, - input [25-1: 0] sink15_channel, + input [26-1: 0] sink15_channel, input sink15_startofpacket, input sink15_endofpacket, output sink15_ready, input sink16_valid, input [90-1 : 0] sink16_data, - input [25-1: 0] sink16_channel, + input [26-1: 0] sink16_channel, input sink16_startofpacket, input sink16_endofpacket, output sink16_ready, input sink17_valid, input [90-1 : 0] sink17_data, - input [25-1: 0] sink17_channel, + input [26-1: 0] sink17_channel, input sink17_startofpacket, input sink17_endofpacket, output sink17_ready, input sink18_valid, input [90-1 : 0] sink18_data, - input [25-1: 0] sink18_channel, + input [26-1: 0] sink18_channel, input sink18_startofpacket, input sink18_endofpacket, output sink18_ready, input sink19_valid, input [90-1 : 0] sink19_data, - input [25-1: 0] sink19_channel, + input [26-1: 0] sink19_channel, input sink19_startofpacket, input sink19_endofpacket, output sink19_ready, input sink20_valid, input [90-1 : 0] sink20_data, - input [25-1: 0] sink20_channel, + input [26-1: 0] sink20_channel, input sink20_startofpacket, input sink20_endofpacket, output sink20_ready, input sink21_valid, input [90-1 : 0] sink21_data, - input [25-1: 0] sink21_channel, + input [26-1: 0] sink21_channel, input sink21_startofpacket, input sink21_endofpacket, output sink21_ready, input sink22_valid, input [90-1 : 0] sink22_data, - input [25-1: 0] sink22_channel, + input [26-1: 0] sink22_channel, input sink22_startofpacket, input sink22_endofpacket, output sink22_ready, input sink23_valid, input [90-1 : 0] sink23_data, - input [25-1: 0] sink23_channel, + input [26-1: 0] sink23_channel, input sink23_startofpacket, input sink23_endofpacket, output sink23_ready, input sink24_valid, input [90-1 : 0] sink24_data, - input [25-1: 0] sink24_channel, + input [26-1: 0] sink24_channel, input sink24_startofpacket, input sink24_endofpacket, output sink24_ready, + input sink25_valid, + input [90-1 : 0] sink25_data, + input [26-1: 0] sink25_channel, + input sink25_startofpacket, + input sink25_endofpacket, + output sink25_ready, + // ---------------------- // Source // ---------------------- output src_valid, output [90-1 : 0] src_data, - output [25-1 : 0] src_channel, + output [26-1 : 0] src_channel, output src_startofpacket, output src_endofpacket, input src_ready, @@ -245,12 +252,12 @@ module MebX_Qsys_Project_mm_interconnect_2_rsp_mux input clk, input reset ); - localparam PAYLOAD_W = 90 + 25 + 2; - localparam NUM_INPUTS = 25; + localparam PAYLOAD_W = 90 + 26 + 2; + localparam NUM_INPUTS = 26; localparam SHARE_COUNTER_W = 1; localparam PIPELINE_ARB = 0; localparam ST_DATA_W = 90; - localparam ST_CHANNEL_W = 25; + localparam ST_CHANNEL_W = 26; localparam PKT_TRANS_LOCK = 52; // ------------------------------------------ @@ -291,6 +298,7 @@ module MebX_Qsys_Project_mm_interconnect_2_rsp_mux wire [PAYLOAD_W - 1 : 0] sink22_payload; wire [PAYLOAD_W - 1 : 0] sink23_payload; wire [PAYLOAD_W - 1 : 0] sink24_payload; + wire [PAYLOAD_W - 1 : 0] sink25_payload; assign valid[0] = sink0_valid; assign valid[1] = sink1_valid; @@ -317,6 +325,7 @@ module MebX_Qsys_Project_mm_interconnect_2_rsp_mux assign valid[22] = sink22_valid; assign valid[23] = sink23_valid; assign valid[24] = sink24_valid; + assign valid[25] = sink25_valid; // ------------------------------------------ @@ -351,6 +360,7 @@ module MebX_Qsys_Project_mm_interconnect_2_rsp_mux lock[22] = sink22_data[52]; lock[23] = sink23_data[52]; lock[24] = sink24_data[52]; + lock[25] = sink25_data[52]; end assign last_cycle = src_valid & src_ready & src_endofpacket & ~(|(lock & grant)); @@ -406,6 +416,7 @@ module MebX_Qsys_Project_mm_interconnect_2_rsp_mux // 22 | 1 | 0 // 23 | 1 | 0 // 24 | 1 | 0 + // 25 | 1 | 0 wire [SHARE_COUNTER_W - 1 : 0] share_0 = 1'd0; wire [SHARE_COUNTER_W - 1 : 0] share_1 = 1'd0; wire [SHARE_COUNTER_W - 1 : 0] share_2 = 1'd0; @@ -431,6 +442,7 @@ module MebX_Qsys_Project_mm_interconnect_2_rsp_mux wire [SHARE_COUNTER_W - 1 : 0] share_22 = 1'd0; wire [SHARE_COUNTER_W - 1 : 0] share_23 = 1'd0; wire [SHARE_COUNTER_W - 1 : 0] share_24 = 1'd0; + wire [SHARE_COUNTER_W - 1 : 0] share_25 = 1'd0; // ------------------------------------------ // Choose the share value corresponding to the grant. @@ -462,7 +474,8 @@ module MebX_Qsys_Project_mm_interconnect_2_rsp_mux share_21 & { SHARE_COUNTER_W {next_grant[21]} } | share_22 & { SHARE_COUNTER_W {next_grant[22]} } | share_23 & { SHARE_COUNTER_W {next_grant[23]} } | - share_24 & { SHARE_COUNTER_W {next_grant[24]} }; + share_24 & { SHARE_COUNTER_W {next_grant[24]} } | + share_25 & { SHARE_COUNTER_W {next_grant[25]} }; end // ------------------------------------------ @@ -574,11 +587,14 @@ module MebX_Qsys_Project_mm_interconnect_2_rsp_mux wire final_packet_24 = 1'b1; + wire final_packet_25 = 1'b1; + // ------------------------------------------ // Concatenate all final_packet signals (wire or reg) into a handy vector. // ------------------------------------------ wire [NUM_INPUTS - 1 : 0] final_packet = { + final_packet_25, final_packet_24, final_packet_23, final_packet_22, @@ -712,6 +728,7 @@ module MebX_Qsys_Project_mm_interconnect_2_rsp_mux assign sink22_ready = src_ready && grant[22]; assign sink23_ready = src_ready && grant[23]; assign sink24_ready = src_ready && grant[24]; + assign sink25_ready = src_ready && grant[25]; assign src_valid = |(grant & valid); @@ -741,7 +758,8 @@ module MebX_Qsys_Project_mm_interconnect_2_rsp_mux sink21_payload & {PAYLOAD_W {grant[21]} } | sink22_payload & {PAYLOAD_W {grant[22]} } | sink23_payload & {PAYLOAD_W {grant[23]} } | - sink24_payload & {PAYLOAD_W {grant[24]} }; + sink24_payload & {PAYLOAD_W {grant[24]} } | + sink25_payload & {PAYLOAD_W {grant[25]} }; end // ------------------------------------------ @@ -798,6 +816,8 @@ module MebX_Qsys_Project_mm_interconnect_2_rsp_mux sink23_startofpacket,sink23_endofpacket}; assign sink24_payload = {sink24_channel,sink24_data, sink24_startofpacket,sink24_endofpacket}; + assign sink25_payload = {sink25_channel,sink25_data, + sink25_startofpacket,sink25_endofpacket}; assign {src_channel,src_data,src_startofpacket,src_endofpacket} = src_payload; endmodule diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu.sdc b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu.sdc index 801c4582..dd7e7da5 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu.sdc +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu.sdc @@ -1,4 +1,4 @@ -# Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +# Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your # use of Altera Corporation's design tools, logic functions and other # software and tools, and its AMPP partner logic functions, and any # output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu.v index 6d1a50d8..91f4f972 100644 Binary files a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu.v and b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu.v differ diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_debug_slave_sysclk.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_debug_slave_sysclk.v index 345ca545..e58d41d9 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_debug_slave_sysclk.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_debug_slave_sysclk.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_debug_slave_tck.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_debug_slave_tck.v index 5979fa96..99bee9d0 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_debug_slave_tck.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_debug_slave_tck.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_debug_slave_wrapper.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_debug_slave_wrapper.v index 91c0db9f..6b64237a 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_debug_slave_wrapper.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_debug_slave_wrapper.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_mult_cell.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_mult_cell.v index a618c5f4..a2740136 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_mult_cell.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_mult_cell.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_test_bench.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_test_bench.v index 6a79a51c..48677ca1 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_test_bench.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_nios2_gen2_0_cpu_test_bench.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_onchip_memory.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_onchip_memory.v index fb46cfe7..7b259596 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_onchip_memory.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_onchip_memory.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_BUTTON.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_BUTTON.v index 8ea6a8da..c105ce11 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_BUTTON.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_BUTTON.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_DIP.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_DIP.v index d75a62b2..c390435e 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_DIP.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_DIP.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_EXT.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_EXT.v index c8bb46c5..687c4ebd 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_EXT.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_EXT.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_LED.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_LED.v index bc583501..7998723d 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_LED.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_LED.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_LED_painel.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_LED_painel.v index 9cc2d175..a5e5cdbf 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_LED_painel.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_LED_painel.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_ctrl_io_lvds.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_ctrl_io_lvds.v index a5dd6690..d2373d87 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_ctrl_io_lvds.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_pio_ctrl_io_lvds.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_rs232_uart.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_rs232_uart.v index cb658587..0fc884c8 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_rs232_uart.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_rs232_uart.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_sysid_qsys.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_sysid_qsys.v index e41c9c14..cd398c31 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_sysid_qsys.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_sysid_qsys.v @@ -49,7 +49,7 @@ module MebX_Qsys_Project_sysid_qsys ( wire [ 31: 0] readdata; //control_slave, which is an e_avalon_slave - assign readdata = address ? 1635423393 : 113; + assign readdata = address ? 1681054324 : 113; endmodule diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_timer_1ms.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_timer_1ms.v index 4a8fd34a..a522b4bc 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_timer_1ms.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_timer_1ms.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_timer_1us.v b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_timer_1us.v index eb683d21..708e52a0 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_timer_1us.v +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/MebX_Qsys_Project_timer_1us.v @@ -1,4 +1,4 @@ -//Legal Notice: (C)2021 Altera Corporation. All rights reserved. Your +//Legal Notice: (C)2023 Altera Corporation. All rights reserved. Your //use of Altera Corporation's design tools, logic functions and other //software and tools, and its AMPP partner logic functions, and any //output files any of the foregoing (including device programming or diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmpe_rmap_echo_controller_ent.vhd b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmpe_rmap_echo_controller_ent.vhd index ded369d1..8b714c09 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmpe_rmap_echo_controller_ent.vhd +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmpe_rmap_echo_controller_ent.vhd @@ -5,427 +5,478 @@ use ieee.numeric_std.all; use work.rmpe_rmap_echoing_pkg.all; entity rmpe_rmap_echo_controller_ent is - generic( - g_RMAP_FIFO_OVERFLOW_EN : std_logic; - g_FEE_CHANNEL_ID : std_logic_vector(3 downto 0); - g_RMAP_PACKAGE_ID : std_logic_vector(3 downto 0) - ); - port( - clk_i : in std_logic; - rst_i : in std_logic; - echo_en_i : in std_logic; - echo_id_en_i : in std_logic; - spw_fifo_control_i : in t_rmpe_rmap_echoing_spw_fifo_control; - rmap_fifo_control_i : in t_rmpe_rmap_echoing_rmap_fifo_control; - spw_fifo_status_o : out t_rmpe_rmap_echoing_spw_fifo_status; - rmap_fifo_status_o : out t_rmpe_rmap_echoing_rmap_fifo_status - ); + generic( + g_RMAP_FIFO_OVERFLOW_EN : std_logic; + g_FEE_CHANNEL_ID : std_logic_vector(3 downto 0); + g_RMAP_PACKAGE_ID : std_logic_vector(3 downto 0) + ); + port( + clk_i : in std_logic; + rst_i : in std_logic; + echo_en_i : in std_logic; + echo_id_en_i : in std_logic; + spw_fifo_control_i : in t_rmpe_rmap_echoing_spw_fifo_control; + rmap_fifo_control_i : in t_rmpe_rmap_echoing_rmap_fifo_control; + spw_fifo_status_o : out t_rmpe_rmap_echoing_spw_fifo_status; + rmap_fifo_status_o : out t_rmpe_rmap_echoing_rmap_fifo_status + ); end entity rmpe_rmap_echo_controller_ent; architecture RTL of rmpe_rmap_echo_controller_ent is - -- SpaceWire Data SC FIFO record - type t_spacewire_data_sc_fifo is record - rdreq : std_logic; - empty : std_logic; - rddata_flag : std_logic; - rddata_data : std_logic_vector(7 downto 0); - usedw : std_logic_vector(12 downto 0); - end record t_spacewire_data_sc_fifo; - - -- SpaceWire Data SC FIFO signals - signal s_spacewire_data_sc_fifo : t_spacewire_data_sc_fifo; - - -- RMAP Data SC FIFO record - type t_rmap_data_sc_fifo is record - wrdata_flag : std_logic; - wrdata_data : std_logic_vector(7 downto 0); - wrreq : std_logic; - full : std_logic; - usedw : std_logic_vector(4 downto 0); - end record t_rmap_data_sc_fifo; - - -- RMAP Data SC FIFO signals - signal s_rmap_data_sc_fifo : t_rmap_data_sc_fifo; - - -- RMAP Echo Controller FSM States enumeration - type t_rmpe_rmap_echo_controller_fsm is ( - IDLE, -- in idle, waiting data in the spw data fifo - WAITING_SPW_DATA, -- waiting data in the spw data fifo - FETCH_SPW_DATA, -- fetching data from the spw data fifo - RMAP_TARGET_ADDR, -- receive rmap target address - RMAP_PROTOCOL_ID, -- receive rmap protocol id - WRITE_ECHO_ID, -- write rmap echo id - WRITE_TARGET_ADDR, -- write rmap target address - WRITE_PROTOCOL_ID, -- write rmap protocol id - WRITE_RMAP_DATA, -- write rmap packet data - DISCARD_SPW_DATA -- discard spw packet data - ); - - -- RMAP Echo Controller FSM State signals - signal s_rmpe_rmap_echo_controller_state : t_rmpe_rmap_echo_controller_fsm; - signal s_rmpe_rmap_echo_controller_return_state : t_rmpe_rmap_echo_controller_fsm; - - -- RMAP constants - constant c_RMAP_PROTOCOL_ID : std_logic_vector(7 downto 0) := x"01"; - - -- RMAP data signals - signal s_rmap_target_addr : std_logic_vector(7 downto 0); - signal s_rmap_protocol_id : std_logic_vector(7 downto 0); - - -- SpaceWire Data SC FIFO signals - signal s_spw_fifo_wrdata_flag : std_logic; - signal s_spw_fifo_wrdata_data : std_logic_vector(7 downto 0); - signal s_spw_fifo_wrreq : std_logic; - signal s_spw_fifo_overflow_flag : std_logic; + -- SpaceWire Data SC FIFO record + type t_spacewire_data_sc_fifo is record + rdreq : std_logic; + empty : std_logic; + rddata_flag : std_logic; + rddata_data : std_logic_vector(7 downto 0); + usedw : std_logic_vector(12 downto 0); + end record t_spacewire_data_sc_fifo; + + -- SpaceWire Data SC FIFO signals + signal s_spacewire_data_sc_fifo : t_spacewire_data_sc_fifo; + + -- RMAP Data SC FIFO record + type t_rmap_data_sc_fifo is record + wrdata_flag : std_logic; + wrdata_data : std_logic_vector(7 downto 0); + wrreq : std_logic; + full : std_logic; + usedw : std_logic_vector(4 downto 0); + end record t_rmap_data_sc_fifo; + + -- RMAP Data SC FIFO signals + signal s_rmap_data_sc_fifo : t_rmap_data_sc_fifo; + + -- RMAP Echo Controller FSM States enumeration + type t_rmpe_rmap_echo_controller_fsm is ( + DISABLED, -- disabled, keep the spw data fifo cleared + IDLE, -- in idle, waiting data in the spw data fifo + WAITING_SPW_DATA, -- waiting data in the spw data fifo + FETCH_SPW_DATA, -- fetching data from the spw data fifo + RMAP_TARGET_ADDR, -- receive rmap target address + RMAP_PROTOCOL_ID, -- receive rmap protocol id + WRITE_ECHO_ID, -- write rmap echo id + WRITE_TARGET_ADDR, -- write rmap target address + WRITE_PROTOCOL_ID, -- write rmap protocol id + WRITE_RMAP_DATA, -- write rmap packet data + DISCARD_SPW_DATA -- discard spw packet data + ); + + -- RMAP Echo Controller FSM State signals + signal s_rmpe_rmap_echo_controller_state : t_rmpe_rmap_echo_controller_fsm; + signal s_rmpe_rmap_echo_controller_return_state : t_rmpe_rmap_echo_controller_fsm; + + -- RMAP constants + constant c_RMAP_PROTOCOL_ID : std_logic_vector(7 downto 0) := x"01"; + + -- RMAP data signals + signal s_rmap_target_addr : std_logic_vector(7 downto 0); + signal s_rmap_protocol_id : std_logic_vector(7 downto 0); + + -- SpaceWire Data SC FIFO signals + signal s_spw_fifo_wrdata_flag : std_logic; + signal s_spw_fifo_wrdata_data : std_logic_vector(7 downto 0); + signal s_spw_fifo_wrreq : std_logic; + signal s_spw_fifo_overflow_flag : std_logic; begin - -- SpaceWire Data SC FIFO instantiation - spacewire_data_sc_fifo_inst : entity work.spacewire_data_sc_fifo - port map( - aclr => rst_i, - clock => clk_i, - data(8) => s_spw_fifo_wrdata_flag, - data(7 downto 0) => s_spw_fifo_wrdata_data, - rdreq => s_spacewire_data_sc_fifo.rdreq, - sclr => rst_i, - wrreq => s_spw_fifo_wrreq, - empty => s_spacewire_data_sc_fifo.empty, - full => spw_fifo_status_o.full, - q(8) => s_spacewire_data_sc_fifo.rddata_flag, - q(7 downto 0) => s_spacewire_data_sc_fifo.rddata_data, - usedw => s_spacewire_data_sc_fifo.usedw - ); - - -- RMAP Data SC FIFO instantiation - rmap_data_sc_fifo_inst : entity work.rmap_data_sc_fifo - port map( - aclr => rst_i, - clock => clk_i, - data(8) => s_rmap_data_sc_fifo.wrdata_flag, - data(7 downto 0) => s_rmap_data_sc_fifo.wrdata_data, - rdreq => rmap_fifo_control_i.rdreq, - sclr => rst_i, - wrreq => s_rmap_data_sc_fifo.wrreq, - empty => rmap_fifo_status_o.empty, - full => s_rmap_data_sc_fifo.full, - q(8) => rmap_fifo_status_o.rddata_flag, - q(7 downto 0) => rmap_fifo_status_o.rddata_data, - usedw => s_rmap_data_sc_fifo.usedw - ); - - -- RMAP Echo Controller process - p_rmpe_rmap_echo_controller : process(clk_i, rst_i) is - begin - if (rst_i = '1') then - -- fsm state reset - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - -- internal signals reset - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - s_rmap_target_addr <= (others => '0'); - s_rmap_protocol_id <= (others => '0'); - s_spw_fifo_wrdata_flag <= '0'; - s_spw_fifo_wrdata_data <= (others => '0'); - s_spw_fifo_wrreq <= '0'; - s_spw_fifo_overflow_flag <= '0'; - -- outputs reset - elsif (rising_edge(clk_i)) then - - -- SpaceWire Data SC FIFO Write Manager - -- standart signals value - s_spw_fifo_wrdata_flag <= '0'; - s_spw_fifo_wrdata_data <= (others => '0'); - s_spw_fifo_wrreq <= '0'; - -- check if a write was requested and the echo is enabled - if ((spw_fifo_control_i.wrreq = '1') and (echo_en_i = '1')) then - -- a write was requested and the echo is enabled - -- check if the data is an end of package - if (spw_fifo_control_i.wrdata_flag = '1') then - -- the data is an end of package - -- check if an overflow occured previously - if (s_spw_fifo_overflow_flag = '1') then - -- an overflow occured previously - -- write an eep in the buffer - s_spw_fifo_wrdata_flag <= '1'; - s_spw_fifo_wrdata_data <= x"01"; - s_spw_fifo_wrreq <= '1'; - s_spw_fifo_overflow_flag <= '0'; - else - -- an overflow has not occured - -- write the original end of package - s_spw_fifo_wrdata_flag <= '1'; - s_spw_fifo_wrdata_data <= spw_fifo_control_i.wrdata_data; - s_spw_fifo_wrreq <= '1'; - s_spw_fifo_overflow_flag <= '0'; - end if; - else - -- the data is not an end of package - -- check if there is space in the spw data fifo - if (unsigned(s_spacewire_data_sc_fifo.usedw) < ((2**s_spacewire_data_sc_fifo.usedw'length - 1) - 2)) then - -- there is space in the spw data fifo - -- write data in the fifo - s_spw_fifo_wrdata_flag <= '0'; - s_spw_fifo_wrdata_data <= spw_fifo_control_i.wrdata_data; - s_spw_fifo_wrreq <= '1'; - else - -- there is no more space in the spw data fifo - -- set the overflow flag - s_spw_fifo_overflow_flag <= '1'; - end if; - end if; - end if; - - -- States transitions FSM - case (s_rmpe_rmap_echo_controller_state) is - - -- state "IDLE" - when IDLE => - -- in idle, waiting data in the spw data fifo - -- default state transition - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - s_rmap_target_addr <= (others => '0'); - s_rmap_protocol_id <= (others => '0'); - -- conditional state transition - -- check if there is data in the spw data fifo - if (s_spacewire_data_sc_fifo.empty = '0') then - -- there is data in the spw data fifo - -- fetch data from spw fifo - s_spacewire_data_sc_fifo.rdreq <= '1'; - s_rmpe_rmap_echo_controller_state <= FETCH_SPW_DATA; - s_rmpe_rmap_echo_controller_return_state <= RMAP_TARGET_ADDR; - end if; - - -- state "WAITING_SPW_DATA" - when WAITING_SPW_DATA => - -- waiting data in the spw data fifo - -- default state transition - s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if there is data in the spw data fifo - if (s_spacewire_data_sc_fifo.empty = '0') then - -- there is data in the spw data fifo - -- fetch data from spw fifo - s_spacewire_data_sc_fifo.rdreq <= '1'; - s_rmpe_rmap_echo_controller_state <= FETCH_SPW_DATA; - end if; - - -- state "FETCH_SPW_DATA" - when FETCH_SPW_DATA => - -- fetching data from the spw data fifo - -- default state transition - s_rmpe_rmap_echo_controller_state <= s_rmpe_rmap_echo_controller_return_state; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - - -- state "RMAP_TARGET_ADDR" - when RMAP_TARGET_ADDR => - -- receive rmap target address - -- default state transition - s_rmpe_rmap_echo_controller_state <= RMAP_TARGET_ADDR; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if an end of packet was received - if (s_spacewire_data_sc_fifo.rddata_flag = '1') then - -- end of packet received, return to idle - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - else - -- data received, go to rmap protocol id - s_rmap_target_addr <= s_spacewire_data_sc_fifo.rddata_data; - s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; - s_rmpe_rmap_echo_controller_return_state <= RMAP_PROTOCOL_ID; - end if; - - -- state "RMAP_PROTOCOL_ID" - when RMAP_PROTOCOL_ID => - -- receive rmap protocol id - -- default state transition - s_rmpe_rmap_echo_controller_state <= RMAP_PROTOCOL_ID; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if an end of packet was received - if (s_spacewire_data_sc_fifo.rddata_flag = '1') then - -- end of packet received, return to idle - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - else - -- data received, check if the protocol id is valid - s_rmap_protocol_id <= s_spacewire_data_sc_fifo.rddata_data; - if (s_spacewire_data_sc_fifo.rddata_data = c_RMAP_PROTOCOL_ID) then - -- protocol id is valid, - -- check if an echo id must be added - if (echo_id_en_i = '1') then - -- echo id must be added, go to write echo id - s_rmpe_rmap_echo_controller_state <= WRITE_ECHO_ID; - s_rmpe_rmap_echo_controller_return_state <= WRITE_ECHO_ID; - else - -- no need for an echo id, go to write target addr - s_rmpe_rmap_echo_controller_state <= WRITE_TARGET_ADDR; - s_rmpe_rmap_echo_controller_return_state <= WRITE_TARGET_ADDR; - end if; - else - -- protocol id is not valid, go to discard spw data - s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; - s_rmpe_rmap_echo_controller_return_state <= DISCARD_SPW_DATA; - end if; - end if; - - -- state "WRITE_ECHO_ID" - when WRITE_ECHO_ID => - -- write rmap echo id - -- default state transition - s_rmpe_rmap_echo_controller_state <= WRITE_ECHO_ID; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if the rmap data fifo can receive data or if the overflow is enabled - if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then - -- rmap data fifo can receive data, write echo id - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data(7 downto 4) <= g_RMAP_PACKAGE_ID; - s_rmap_data_sc_fifo.wrdata_data(3 downto 0) <= g_FEE_CHANNEL_ID; - s_rmap_data_sc_fifo.wrreq <= '1'; - -- go to write target address - s_rmpe_rmap_echo_controller_state <= WRITE_TARGET_ADDR; - s_rmpe_rmap_echo_controller_return_state <= WRITE_TARGET_ADDR; - end if; - - -- state "WRITE_TARGET_ADDR" - when WRITE_TARGET_ADDR => - -- write rmap target address - -- default state transition - s_rmpe_rmap_echo_controller_state <= WRITE_TARGET_ADDR; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if the rmap data fifo can receive data or if the overflow is enabled - if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then - -- rmap data fifo can receive data, write target address - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= s_rmap_target_addr; - s_rmap_data_sc_fifo.wrreq <= '1'; - -- go to write target address - s_rmpe_rmap_echo_controller_state <= WRITE_PROTOCOL_ID; - s_rmpe_rmap_echo_controller_return_state <= WRITE_PROTOCOL_ID; - end if; - - -- state "WRITE_PROTOCOL_ID" - when WRITE_PROTOCOL_ID => - -- write rmap protocol id - -- default state transition - s_rmpe_rmap_echo_controller_state <= WRITE_PROTOCOL_ID; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if the rmap data fifo can receive data or if the overflow is enabled - if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then - -- rmap data fifo can receive data, write protocol id - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= s_rmap_protocol_id; - s_rmap_data_sc_fifo.wrreq <= '1'; - -- go to waiting spw data, to return to write rmap data - s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; - s_rmpe_rmap_echo_controller_return_state <= WRITE_RMAP_DATA; - end if; - - -- state "WRITE_RMAP_DATA" - when WRITE_RMAP_DATA => - -- write rmap packet data - -- default state transition - s_rmpe_rmap_echo_controller_state <= WRITE_RMAP_DATA; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - -- conditional state transition - -- check if the rmap data fifo can receive data or if the overflow is enabled - if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then - -- rmap data fifo can receive data, write rmap data - s_rmap_data_sc_fifo.wrdata_flag <= s_spacewire_data_sc_fifo.rddata_flag; - s_rmap_data_sc_fifo.wrdata_data <= s_spacewire_data_sc_fifo.rddata_data; - s_rmap_data_sc_fifo.wrreq <= '1'; - -- check if a end of package was received - if (s_spacewire_data_sc_fifo.rddata_flag = '1') then - -- a end of package was received - -- return to idle - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - else - -- data was received - -- go to waiting spw data, to return to write rmap data - s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; - s_rmpe_rmap_echo_controller_return_state <= WRITE_RMAP_DATA; - end if; - end if; - - -- state "DISCARD_SPW_DATA" - when DISCARD_SPW_DATA => - -- discard spw packet data - -- default state transition - s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; - s_rmpe_rmap_echo_controller_return_state <= DISCARD_SPW_DATA; - -- default internal signal values - s_spacewire_data_sc_fifo.rdreq <= '0'; - s_rmap_data_sc_fifo.wrdata_flag <= '0'; - s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); - s_rmap_data_sc_fifo.wrreq <= '0'; - s_rmap_target_addr <= (others => '0'); - s_rmap_protocol_id <= (others => '0'); - -- conditional state transition - -- check if a end of package was received - if (s_spacewire_data_sc_fifo.rddata_flag = '1') then - -- a end of package was received - -- return to idle - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - end if; - - -- all the other states (not defined) - when others => - s_rmpe_rmap_echo_controller_state <= IDLE; - s_rmpe_rmap_echo_controller_return_state <= IDLE; - - end case; - - end if; - end process p_rmpe_rmap_echo_controller; + -- SpaceWire Data SC FIFO instantiation + spacewire_data_sc_fifo_inst : entity work.spacewire_data_sc_fifo + port map( + aclr => rst_i, + clock => clk_i, + data(8) => s_spw_fifo_wrdata_flag, + data(7 downto 0) => s_spw_fifo_wrdata_data, + rdreq => s_spacewire_data_sc_fifo.rdreq, + sclr => rst_i, + wrreq => s_spw_fifo_wrreq, + empty => s_spacewire_data_sc_fifo.empty, + full => spw_fifo_status_o.full, + q(8) => s_spacewire_data_sc_fifo.rddata_flag, + q(7 downto 0) => s_spacewire_data_sc_fifo.rddata_data, + usedw => s_spacewire_data_sc_fifo.usedw + ); + + -- RMAP Data SC FIFO instantiation + rmap_data_sc_fifo_inst : entity work.rmap_data_sc_fifo + port map( + aclr => rst_i, + clock => clk_i, + data(8) => s_rmap_data_sc_fifo.wrdata_flag, + data(7 downto 0) => s_rmap_data_sc_fifo.wrdata_data, + rdreq => rmap_fifo_control_i.rdreq, + sclr => rst_i, + wrreq => s_rmap_data_sc_fifo.wrreq, + empty => rmap_fifo_status_o.empty, + full => s_rmap_data_sc_fifo.full, + q(8) => rmap_fifo_status_o.rddata_flag, + q(7 downto 0) => rmap_fifo_status_o.rddata_data, + usedw => s_rmap_data_sc_fifo.usedw + ); + + -- RMAP Echo Controller process + p_rmpe_rmap_echo_controller : process(clk_i, rst_i) is + begin + if (rst_i = '1') then + -- fsm state reset + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + -- internal signals reset + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + s_rmap_target_addr <= (others => '0'); + s_rmap_protocol_id <= (others => '0'); + s_spw_fifo_wrdata_flag <= '0'; + s_spw_fifo_wrdata_data <= (others => '0'); + s_spw_fifo_wrreq <= '0'; + s_spw_fifo_overflow_flag <= '0'; + -- outputs reset + elsif (rising_edge(clk_i)) then + + -- States transitions FSM + case (s_rmpe_rmap_echo_controller_state) is + + -- state "DISABLED" + when DISABLED => + -- disabled, keep the spw data fifo cleared + -- default state transition + s_rmpe_rmap_echo_controller_state <= DISABLED; + s_rmpe_rmap_echo_controller_return_state <= DISABLED; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + s_rmap_target_addr <= (others => '0'); + s_rmap_protocol_id <= (others => '0'); + -- conditional state transition + -- check if there is data in the spw data fifo + if (s_spacewire_data_sc_fifo.empty = '0') then + -- there is data in the spw data fifo + -- discard data from spw fifo + s_spacewire_data_sc_fifo.rdreq <= '1'; + else + -- there is no data in the spw data fifo + -- check if echo is enabled + if (echo_en_i = '1') then + -- echo is enabled + -- go to idle state + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + end if; + end if; + + -- state "IDLE" + when IDLE => + -- in idle, waiting data in the spw data fifo + -- default state transition + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + s_rmap_target_addr <= (others => '0'); + s_rmap_protocol_id <= (others => '0'); + -- conditional state transition + -- check if there is data in the spw data fifo + if (s_spacewire_data_sc_fifo.empty = '0') then + -- there is data in the spw data fifo + -- fetch data from spw fifo + s_spacewire_data_sc_fifo.rdreq <= '1'; + s_rmpe_rmap_echo_controller_state <= FETCH_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= RMAP_TARGET_ADDR; + end if; + + -- state "WAITING_SPW_DATA" + when WAITING_SPW_DATA => + -- waiting data in the spw data fifo + -- default state transition + s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if there is data in the spw data fifo + if (s_spacewire_data_sc_fifo.empty = '0') then + -- there is data in the spw data fifo + -- fetch data from spw fifo + s_spacewire_data_sc_fifo.rdreq <= '1'; + s_rmpe_rmap_echo_controller_state <= FETCH_SPW_DATA; + end if; + + -- state "FETCH_SPW_DATA" + when FETCH_SPW_DATA => + -- fetching data from the spw data fifo + -- default state transition + s_rmpe_rmap_echo_controller_state <= s_rmpe_rmap_echo_controller_return_state; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + + -- state "RMAP_TARGET_ADDR" + when RMAP_TARGET_ADDR => + -- receive rmap target address + -- default state transition + s_rmpe_rmap_echo_controller_state <= RMAP_TARGET_ADDR; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if an end of packet was received + if (s_spacewire_data_sc_fifo.rddata_flag = '1') then + -- end of packet received, return to idle + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + else + -- data received, go to rmap protocol id + s_rmap_target_addr <= s_spacewire_data_sc_fifo.rddata_data; + s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= RMAP_PROTOCOL_ID; + end if; + + -- state "RMAP_PROTOCOL_ID" + when RMAP_PROTOCOL_ID => + -- receive rmap protocol id + -- default state transition + s_rmpe_rmap_echo_controller_state <= RMAP_PROTOCOL_ID; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if an end of packet was received + if (s_spacewire_data_sc_fifo.rddata_flag = '1') then + -- end of packet received, return to idle + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + else + -- data received, check if the protocol id is valid + s_rmap_protocol_id <= s_spacewire_data_sc_fifo.rddata_data; + if (s_spacewire_data_sc_fifo.rddata_data = c_RMAP_PROTOCOL_ID) then + -- protocol id is valid, + -- check if an echo id must be added + if (echo_id_en_i = '1') then + -- echo id must be added, go to write echo id + s_rmpe_rmap_echo_controller_state <= WRITE_ECHO_ID; + s_rmpe_rmap_echo_controller_return_state <= WRITE_ECHO_ID; + else + -- no need for an echo id, go to write target addr + s_rmpe_rmap_echo_controller_state <= WRITE_TARGET_ADDR; + s_rmpe_rmap_echo_controller_return_state <= WRITE_TARGET_ADDR; + end if; + else + -- protocol id is not valid, go to discard spw data + s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= DISCARD_SPW_DATA; + end if; + end if; + + -- state "WRITE_ECHO_ID" + when WRITE_ECHO_ID => + -- write rmap echo id + -- default state transition + s_rmpe_rmap_echo_controller_state <= WRITE_ECHO_ID; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if the rmap data fifo can receive data or if the overflow is enabled + if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then + -- rmap data fifo can receive data, write echo id + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data(7 downto 4) <= g_RMAP_PACKAGE_ID; + s_rmap_data_sc_fifo.wrdata_data(3 downto 0) <= g_FEE_CHANNEL_ID; + s_rmap_data_sc_fifo.wrreq <= '1'; + -- go to write target address + s_rmpe_rmap_echo_controller_state <= WRITE_TARGET_ADDR; + s_rmpe_rmap_echo_controller_return_state <= WRITE_TARGET_ADDR; + end if; + + -- state "WRITE_TARGET_ADDR" + when WRITE_TARGET_ADDR => + -- write rmap target address + -- default state transition + s_rmpe_rmap_echo_controller_state <= WRITE_TARGET_ADDR; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if the rmap data fifo can receive data or if the overflow is enabled + if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then + -- rmap data fifo can receive data, write target address + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= s_rmap_target_addr; + s_rmap_data_sc_fifo.wrreq <= '1'; + -- go to write target address + s_rmpe_rmap_echo_controller_state <= WRITE_PROTOCOL_ID; + s_rmpe_rmap_echo_controller_return_state <= WRITE_PROTOCOL_ID; + end if; + + -- state "WRITE_PROTOCOL_ID" + when WRITE_PROTOCOL_ID => + -- write rmap protocol id + -- default state transition + s_rmpe_rmap_echo_controller_state <= WRITE_PROTOCOL_ID; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if the rmap data fifo can receive data or if the overflow is enabled + if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then + -- rmap data fifo can receive data, write protocol id + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= s_rmap_protocol_id; + s_rmap_data_sc_fifo.wrreq <= '1'; + -- go to waiting spw data, to return to write rmap data + s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= WRITE_RMAP_DATA; + end if; + + -- state "WRITE_RMAP_DATA" + when WRITE_RMAP_DATA => + -- write rmap packet data + -- default state transition + s_rmpe_rmap_echo_controller_state <= WRITE_RMAP_DATA; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + -- conditional state transition + -- check if the rmap data fifo can receive data or if the overflow is enabled + if ((s_rmap_data_sc_fifo.full = '0') or (g_RMAP_FIFO_OVERFLOW_EN = '1')) then + -- rmap data fifo can receive data, write rmap data + s_rmap_data_sc_fifo.wrdata_flag <= s_spacewire_data_sc_fifo.rddata_flag; + s_rmap_data_sc_fifo.wrdata_data <= s_spacewire_data_sc_fifo.rddata_data; + s_rmap_data_sc_fifo.wrreq <= '1'; + -- check if a end of package was received + if (s_spacewire_data_sc_fifo.rddata_flag = '1') then + -- a end of package was received + -- return to idle + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + else + -- data was received + -- check if there is data in the spw data fifo + if (s_spacewire_data_sc_fifo.empty = '0') then + -- there is data in the spw data fifo + -- fetch data from spw fifo + s_spacewire_data_sc_fifo.rdreq <= '1'; + -- go to fetch spw data, to return to write rmap data + s_rmpe_rmap_echo_controller_state <= FETCH_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= WRITE_RMAP_DATA; + else + -- there is no data in the spw data fifo + -- go to waiting spw data, to return to write rmap data + s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= WRITE_RMAP_DATA; + end if; + end if; + end if; + + -- state "DISCARD_SPW_DATA" + when DISCARD_SPW_DATA => + -- discard spw packet data + -- default state transition + s_rmpe_rmap_echo_controller_state <= WAITING_SPW_DATA; + s_rmpe_rmap_echo_controller_return_state <= DISCARD_SPW_DATA; + -- default internal signal values + s_spacewire_data_sc_fifo.rdreq <= '0'; + s_rmap_data_sc_fifo.wrdata_flag <= '0'; + s_rmap_data_sc_fifo.wrdata_data <= (others => '0'); + s_rmap_data_sc_fifo.wrreq <= '0'; + s_rmap_target_addr <= (others => '0'); + s_rmap_protocol_id <= (others => '0'); + -- conditional state transition + -- check if a end of package was received + if (s_spacewire_data_sc_fifo.rddata_flag = '1') then + -- a end of package was received + -- return to idle + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + end if; + + -- all the other states (not defined) + when others => + s_rmpe_rmap_echo_controller_state <= IDLE; + s_rmpe_rmap_echo_controller_return_state <= IDLE; + + end case; + + -- SpaceWire Data SC FIFO Write Manager + -- standart signals value + s_spw_fifo_wrdata_flag <= '0'; + s_spw_fifo_wrdata_data <= (others => '0'); + s_spw_fifo_wrreq <= '0'; + -- check if echo is disabled + if (echo_en_i = '0') then + -- echo is disabled + -- force state machine to go to disabled state + s_rmpe_rmap_echo_controller_state <= DISABLED; + s_rmpe_rmap_echo_controller_return_state <= DISABLED; + else + -- echo is enabled + -- check if a write was requested + if (spw_fifo_control_i.wrreq = '1') then + -- a write was requested + -- check if the data is an end of package + if (spw_fifo_control_i.wrdata_flag = '1') then + -- the data is an end of package + -- check if an overflow occured previously + if (s_spw_fifo_overflow_flag = '1') then + -- an overflow occured previously + -- write an eep in the buffer + s_spw_fifo_wrdata_flag <= '1'; + s_spw_fifo_wrdata_data <= x"01"; + s_spw_fifo_wrreq <= '1'; + s_spw_fifo_overflow_flag <= '0'; + else + -- an overflow has not occured + -- write the original end of package + s_spw_fifo_wrdata_flag <= '1'; + s_spw_fifo_wrdata_data <= spw_fifo_control_i.wrdata_data; + s_spw_fifo_wrreq <= '1'; + s_spw_fifo_overflow_flag <= '0'; + end if; + else + -- the data is not an end of package + -- check if there is space in the spw data fifo + if (unsigned(s_spacewire_data_sc_fifo.usedw) < ((2 ** s_spacewire_data_sc_fifo.usedw'length - 1) - 2)) then + -- there is space in the spw data fifo + -- write data in the fifo + s_spw_fifo_wrdata_flag <= '0'; + s_spw_fifo_wrdata_data <= spw_fifo_control_i.wrdata_data; + s_spw_fifo_wrreq <= '1'; + else + -- there is no more space in the spw data fifo + -- set the overflow flag + s_spw_fifo_overflow_flag <= '1'; + end if; + end if; + end if; + end if; + + end if; + end process p_rmpe_rmap_echo_controller; end architecture RTL; diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmpe_rmap_echo_transmitter_ent.vhd b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmpe_rmap_echo_transmitter_ent.vhd index 8b61a5ee..4c410c92 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmpe_rmap_echo_transmitter_ent.vhd +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmpe_rmap_echo_transmitter_ent.vhd @@ -5,774 +5,1044 @@ use ieee.numeric_std.all; use work.rmpe_rmap_echoing_pkg.all; entity rmpe_rmap_echo_transmitter_ent is - port( - clk_i : in std_logic; - rst_i : in std_logic; - fee_0_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_0_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_1_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_1_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_2_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_2_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_3_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_3_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_4_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_4_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_5_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - fee_5_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; - spw_codec_status_i : in t_rmpe_rmap_echoing_spw_codec_status; - fee_0_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_0_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_1_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_1_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_2_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_2_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_3_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_3_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_4_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_4_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_5_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - fee_5_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; - spw_codec_control_o : out t_rmpe_rmap_echoing_spw_codec_control - ); + port( + clk_i : in std_logic; + rst_i : in std_logic; + fee_0_rmap_echo_en_i : in std_logic; + fee_1_rmap_echo_en_i : in std_logic; + fee_2_rmap_echo_en_i : in std_logic; + fee_3_rmap_echo_en_i : in std_logic; + fee_4_rmap_echo_en_i : in std_logic; + fee_5_rmap_echo_en_i : in std_logic; + fee_0_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_0_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_1_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_1_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_2_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_2_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_3_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_3_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_4_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_4_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_5_rmap_incoming_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + fee_5_rmap_outgoing_fifo_status_i : in t_rmpe_rmap_echoing_rmap_fifo_status; + spw_codec_status_i : in t_rmpe_rmap_echoing_spw_codec_status; + fee_0_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_0_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_1_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_1_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_2_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_2_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_3_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_3_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_4_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_4_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_5_rmap_incoming_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + fee_5_rmap_outgoing_fifo_control_o : out t_rmpe_rmap_echoing_rmap_fifo_control; + spw_codec_control_o : out t_rmpe_rmap_echoing_spw_codec_control + ); end entity rmpe_rmap_echo_transmitter_ent; architecture RTL of rmpe_rmap_echo_transmitter_ent is - type t_rmap_fifo_list is ( - fifo_none, - fifo_incoming_fee_0, - fifo_outgoing_fee_0, - fifo_incoming_fee_1, - fifo_outgoing_fee_1, - fifo_incoming_fee_2, - fifo_outgoing_fee_2, - fifo_incoming_fee_3, - fifo_outgoing_fee_3, - fifo_incoming_fee_4, - fifo_outgoing_fee_4, - fifo_incoming_fee_5, - fifo_outgoing_fee_5 - ); - signal s_selected_fifo : t_rmap_fifo_list; - - subtype t_rmap_fifo_queue_index is natural range 0 to 12; - type t_rmap_fifo_queue is array (0 to t_rmap_fifo_queue_index'high) of t_rmap_fifo_list; - signal s_rmap_fifo_queue : t_rmap_fifo_queue; - - signal s_fifo_incoming_fee_0_queued : std_logic; - signal s_fifo_outgoing_fee_0_queued : std_logic; - signal s_fifo_incoming_fee_1_queued : std_logic; - signal s_fifo_outgoing_fee_1_queued : std_logic; - signal s_fifo_incoming_fee_2_queued : std_logic; - signal s_fifo_outgoing_fee_2_queued : std_logic; - signal s_fifo_incoming_fee_3_queued : std_logic; - signal s_fifo_outgoing_fee_3_queued : std_logic; - signal s_fifo_incoming_fee_4_queued : std_logic; - signal s_fifo_outgoing_fee_4_queued : std_logic; - signal s_fifo_incoming_fee_5_queued : std_logic; - signal s_fifo_outgoing_fee_5_queued : std_logic; - - signal s_fifo_incoming_fee_0_in_use : std_logic; - signal s_fifo_outgoing_fee_0_in_use : std_logic; - signal s_fifo_incoming_fee_1_in_use : std_logic; - signal s_fifo_outgoing_fee_1_in_use : std_logic; - signal s_fifo_incoming_fee_2_in_use : std_logic; - signal s_fifo_outgoing_fee_2_in_use : std_logic; - signal s_fifo_incoming_fee_3_in_use : std_logic; - signal s_fifo_outgoing_fee_3_in_use : std_logic; - signal s_fifo_incoming_fee_4_in_use : std_logic; - signal s_fifo_outgoing_fee_4_in_use : std_logic; - signal s_fifo_incoming_fee_5_in_use : std_logic; - signal s_fifo_outgoing_fee_5_in_use : std_logic; - - type t_rmpe_rmap_echo_transmitter_fsm is ( - IDLE, -- in idle, waiting data in the rmap data fifo - WAITING_RMAP_DATA, -- waiting data in the rmap data fifo - FETCH_RMAP_DATA, -- fetching data from the rmap data fifo - WRITE_RMAP_DATA -- write rmap packet data - ); - signal s_rmpe_rmap_echo_transmitter_state : t_rmpe_rmap_echo_transmitter_fsm; + type t_rmap_fifo_list is ( + fifo_none, + fifo_incoming_fee_0, + fifo_outgoing_fee_0, + fifo_incoming_fee_1, + fifo_outgoing_fee_1, + fifo_incoming_fee_2, + fifo_outgoing_fee_2, + fifo_incoming_fee_3, + fifo_outgoing_fee_3, + fifo_incoming_fee_4, + fifo_outgoing_fee_4, + fifo_incoming_fee_5, + fifo_outgoing_fee_5 + ); + signal s_selected_fifo : t_rmap_fifo_list; + + subtype t_rmap_fifo_queue_index is natural range 0 to 120; + type t_rmap_fifo_queue is array (0 to t_rmap_fifo_queue_index'high) of t_rmap_fifo_list; + signal s_rmap_fifo_queue : t_rmap_fifo_queue; + + signal s_fifo_incoming_fee_0_queued : std_logic; + signal s_fifo_outgoing_fee_0_queued : std_logic; + signal s_fifo_incoming_fee_1_queued : std_logic; + signal s_fifo_outgoing_fee_1_queued : std_logic; + signal s_fifo_incoming_fee_2_queued : std_logic; + signal s_fifo_outgoing_fee_2_queued : std_logic; + signal s_fifo_incoming_fee_3_queued : std_logic; + signal s_fifo_outgoing_fee_3_queued : std_logic; + signal s_fifo_incoming_fee_4_queued : std_logic; + signal s_fifo_outgoing_fee_4_queued : std_logic; + signal s_fifo_incoming_fee_5_queued : std_logic; + signal s_fifo_outgoing_fee_5_queued : std_logic; + + signal s_fifo_incoming_fee_0_in_use : std_logic; + signal s_fifo_outgoing_fee_0_in_use : std_logic; + signal s_fifo_incoming_fee_1_in_use : std_logic; + signal s_fifo_outgoing_fee_1_in_use : std_logic; + signal s_fifo_incoming_fee_2_in_use : std_logic; + signal s_fifo_outgoing_fee_2_in_use : std_logic; + signal s_fifo_incoming_fee_3_in_use : std_logic; + signal s_fifo_outgoing_fee_3_in_use : std_logic; + signal s_fifo_incoming_fee_4_in_use : std_logic; + signal s_fifo_outgoing_fee_4_in_use : std_logic; + signal s_fifo_incoming_fee_5_in_use : std_logic; + signal s_fifo_outgoing_fee_5_in_use : std_logic; + + type t_rmpe_rmap_echo_transmitter_fsm is ( + IDLE, -- in idle, waiting data in the rmap data fifo + WAITING_RMAP_DATA, -- waiting data in the rmap data fifo + FETCH_RMAP_DATA, -- fetching data from the rmap data fifo + WRITE_RMAP_DATA -- write rmap packet data + ); + signal s_rmpe_rmap_echo_transmitter_state : t_rmpe_rmap_echo_transmitter_fsm; begin - p_rmpe_rmap_echo_transmitter : process(clk_i, rst_i) is - variable v_fifo_queue_index : t_rmap_fifo_queue_index := 0; - variable v_rmpe_rmap_echo_transmitter_state : t_rmpe_rmap_echo_transmitter_fsm; - begin - if (rst_i = '1') then - s_selected_fifo <= fifo_none; - s_rmap_fifo_queue <= (others => fifo_none); - s_fifo_incoming_fee_0_queued <= '0'; - s_fifo_outgoing_fee_0_queued <= '0'; - s_fifo_incoming_fee_1_queued <= '0'; - s_fifo_outgoing_fee_1_queued <= '0'; - s_fifo_incoming_fee_2_queued <= '0'; - s_fifo_outgoing_fee_2_queued <= '0'; - s_fifo_incoming_fee_3_queued <= '0'; - s_fifo_outgoing_fee_3_queued <= '0'; - s_fifo_incoming_fee_4_queued <= '0'; - s_fifo_outgoing_fee_4_queued <= '0'; - s_fifo_incoming_fee_5_queued <= '0'; - s_fifo_outgoing_fee_5_queued <= '0'; - s_fifo_incoming_fee_0_in_use <= '0'; - s_fifo_outgoing_fee_0_in_use <= '0'; - s_fifo_incoming_fee_1_in_use <= '0'; - s_fifo_outgoing_fee_1_in_use <= '0'; - s_fifo_incoming_fee_2_in_use <= '0'; - s_fifo_outgoing_fee_2_in_use <= '0'; - s_fifo_incoming_fee_3_in_use <= '0'; - s_fifo_outgoing_fee_3_in_use <= '0'; - s_fifo_incoming_fee_4_in_use <= '0'; - s_fifo_outgoing_fee_4_in_use <= '0'; - s_fifo_incoming_fee_5_in_use <= '0'; - s_fifo_outgoing_fee_5_in_use <= '0'; - v_fifo_queue_index := 0; - elsif rising_edge(clk_i) then - - -- check if fee 0 rmap incoming fifo has data available and is not queued - if ((fee_0_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_0_queued = '0')) then - -- fee 0 rmap incoming fifo has data available and is not queued - -- put fee 0 rmap incoming fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_0; - s_fifo_incoming_fee_0_queued <= '1'; - s_fifo_incoming_fee_0_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 1 rmap incoming fifo has data available and is not queued - if ((fee_1_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_1_queued = '0')) then - -- fee 1 rmap incoming fifo has data available and is not queued - -- put fee 1 rmap incoming fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_1; - s_fifo_incoming_fee_1_queued <= '1'; - s_fifo_incoming_fee_1_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 2 rmap incoming fifo has data available and is not queued - if ((fee_2_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_2_queued = '0')) then - -- fee 2 rmap incoming fifo has data available and is not queued - -- put fee 2 rmap incoming fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_2; - s_fifo_incoming_fee_2_queued <= '1'; - s_fifo_incoming_fee_2_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 3 rmap incoming fifo has data available and is not queued - if ((fee_3_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_3_queued = '0')) then - -- fee 3 rmap incoming fifo has data available and is not queued - -- put fee 3 rmap incoming fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_3; - s_fifo_incoming_fee_3_queued <= '1'; - s_fifo_incoming_fee_3_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 4 rmap incoming fifo has data available and is not queued - if ((fee_4_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_4_queued = '0')) then - -- fee 4 rmap incoming fifo has data available and is not queued - -- put fee 4 rmap incoming fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_4; - s_fifo_incoming_fee_4_queued <= '1'; - s_fifo_incoming_fee_4_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 5 rmap incoming fifo has data available and is not queued - if ((fee_5_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_5_queued = '0')) then - -- fee 5 rmap incoming fifo has data available and is not queued - -- put fee 5 rmap incoming fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_5; - s_fifo_incoming_fee_5_queued <= '1'; - s_fifo_incoming_fee_5_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 0 rmap outgoing fifo has data available and is not queued - if ((fee_0_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_0_queued = '0')) then - -- fee 0 rmap outgoing fifo has data available and is not queued - -- put fee 0 rmap outgoing fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_0; - s_fifo_outgoing_fee_0_queued <= '1'; - s_fifo_outgoing_fee_0_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 1 rmap outgoing fifo has data available and is not queued - if ((fee_1_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_1_queued = '0')) then - -- fee 1 rmap outgoing fifo has data available and is not queued - -- put fee 1 rmap outgoing fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_1; - s_fifo_outgoing_fee_1_queued <= '1'; - s_fifo_outgoing_fee_1_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 2 rmap outgoing fifo has data available and is not queued - if ((fee_2_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_2_queued = '0')) then - -- fee 2 rmap outgoing fifo has data available and is not queued - -- put fee 2 rmap outgoing fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_2; - s_fifo_outgoing_fee_2_queued <= '1'; - s_fifo_outgoing_fee_2_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 3 rmap outgoing fifo has data available and is not queued - if ((fee_3_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_3_queued = '0')) then - -- fee 3 rmap outgoing fifo has data available and is not queued - -- put fee 3 rmap outgoing fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_3; - s_fifo_outgoing_fee_3_queued <= '1'; - s_fifo_outgoing_fee_3_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 4 rmap outgoing fifo has data available and is not queued - if ((fee_4_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_4_queued = '0')) then - -- fee 4 rmap outgoing fifo has data available and is not queued - -- put fee 4 rmap outgoing fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_4; - s_fifo_outgoing_fee_4_queued <= '1'; - s_fifo_outgoing_fee_4_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- check if fee 5 rmap outgoing fifo has data available and is not queued - if ((fee_5_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_5_queued = '0')) then - -- fee 5 rmap outgoing fifo has data available and is not queued - -- put fee 5 rmap outgoing fifo in the queue - s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_5; - s_fifo_outgoing_fee_5_queued <= '1'; - s_fifo_outgoing_fee_5_in_use <= '1'; - -- update fifo queue index - if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then - v_fifo_queue_index := v_fifo_queue_index + 1; - end if; - end if; - - -- fifo queue management - -- case to handle the fifo queue - case (s_rmap_fifo_queue(0)) is - - when fifo_none => - -- no fifo waiting at the queue - s_selected_fifo <= fifo_none; - - when fifo_incoming_fee_0 => - -- fee 0 rmap incoming fifo at top of the queue - s_selected_fifo <= fifo_incoming_fee_0; - -- check if the fifo use is over - if (s_fifo_incoming_fee_0_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_incoming_fee_0_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_incoming_fee_1 => - -- fee 1 rmap incoming fifo at top of the queue - s_selected_fifo <= fifo_incoming_fee_1; - -- check if the fifo use is over - if (s_fifo_incoming_fee_1_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_incoming_fee_1_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_incoming_fee_2 => - -- fee 2 rmap incoming fifo at top of the queue - s_selected_fifo <= fifo_incoming_fee_2; - -- check if the fifo use is over - if (s_fifo_incoming_fee_2_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_incoming_fee_2_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_incoming_fee_3 => - -- fee 3 rmap incoming fifo at top of the queue - s_selected_fifo <= fifo_incoming_fee_3; - -- check if the fifo use is over - if (s_fifo_incoming_fee_3_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_incoming_fee_3_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_incoming_fee_4 => - -- fee 4 rmap incoming fifo at top of the queue - s_selected_fifo <= fifo_incoming_fee_4; - -- check if the fifo use is over - if (s_fifo_incoming_fee_4_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_incoming_fee_4_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_incoming_fee_5 => - -- fee 5 rmap incoming fifo at top of the queue - s_selected_fifo <= fifo_incoming_fee_5; - -- check if the fifo use is over - if (s_fifo_incoming_fee_5_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_incoming_fee_5_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_outgoing_fee_0 => - -- fee 0 rmap outgoing fifo at top of the queue - s_selected_fifo <= fifo_outgoing_fee_0; - -- check if the fifo use is over - if (s_fifo_outgoing_fee_0_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_outgoing_fee_0_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_outgoing_fee_1 => - -- fee 1 rmap outgoing fifo at top of the queue - s_selected_fifo <= fifo_outgoing_fee_1; - -- check if the fifo use is over - if (s_fifo_outgoing_fee_1_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_outgoing_fee_1_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_outgoing_fee_2 => - -- fee 2 rmap outgoing fifo at top of the queue - s_selected_fifo <= fifo_outgoing_fee_2; - -- check if the fifo use is over - if (s_fifo_outgoing_fee_2_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_outgoing_fee_2_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_outgoing_fee_3 => - -- fee 3 rmap outgoing fifo at top of the queue - s_selected_fifo <= fifo_outgoing_fee_3; - -- check if the fifo use is over - if (s_fifo_outgoing_fee_3_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_outgoing_fee_3_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_outgoing_fee_4 => - -- fee 4 rmap outgoing fifo at top of the queue - s_selected_fifo <= fifo_outgoing_fee_4; - -- check if the fifo use is over - if (s_fifo_outgoing_fee_4_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_outgoing_fee_4_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - when fifo_outgoing_fee_5 => - -- fee 5 rmap outgoing fifo at top of the queue - s_selected_fifo <= fifo_outgoing_fee_5; - -- check if the fifo use is over - if (s_fifo_outgoing_fee_5_in_use = '0') then - -- fifo use is over - -- set fifo selection to none - s_selected_fifo <= fifo_none; - -- remove fifo from the queue - for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop - s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); - end loop; - s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; - s_fifo_outgoing_fee_5_queued <= '0'; - -- update fifo queue index - if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then - v_fifo_queue_index := v_fifo_queue_index - 1; - end if; - end if; - - end case; - - -- Output generation default values - - fee_0_rmap_incoming_fifo_control_o.rdreq <= '0'; - fee_0_rmap_outgoing_fifo_control_o.rdreq <= '0'; - fee_1_rmap_incoming_fifo_control_o.rdreq <= '0'; - fee_1_rmap_outgoing_fifo_control_o.rdreq <= '0'; - fee_2_rmap_incoming_fifo_control_o.rdreq <= '0'; - fee_2_rmap_outgoing_fifo_control_o.rdreq <= '0'; - fee_3_rmap_incoming_fifo_control_o.rdreq <= '0'; - fee_3_rmap_outgoing_fifo_control_o.rdreq <= '0'; - fee_4_rmap_incoming_fifo_control_o.rdreq <= '0'; - fee_4_rmap_outgoing_fifo_control_o.rdreq <= '0'; - fee_5_rmap_incoming_fifo_control_o.rdreq <= '0'; - fee_5_rmap_outgoing_fifo_control_o.rdreq <= '0'; - spw_codec_control_o.txwrite <= '0'; - spw_codec_control_o.txflag <= '0'; - spw_codec_control_o.txdata <= (others => '0'); - - -- States transitions FSM - case (s_rmpe_rmap_echo_transmitter_state) is - - -- state "IDLE" - when IDLE => - -- in idle, waiting a fifo be selected - -- default state transition - s_rmpe_rmap_echo_transmitter_state <= IDLE; - v_rmpe_rmap_echo_transmitter_state := IDLE; - -- default internal signal values - -- conditional state transition - -- check if there is a fifo was selected - if (s_selected_fifo /= fifo_none) then - -- a fifo was selected, go to waiting rmap data - s_rmpe_rmap_echo_transmitter_state <= WAITING_RMAP_DATA; - v_rmpe_rmap_echo_transmitter_state := WAITING_RMAP_DATA; - end if; - - -- state "WAITING_RMAP_DATA" - when WAITING_RMAP_DATA => - -- waiting data in the rmap data fifo - -- default state transition - s_rmpe_rmap_echo_transmitter_state <= WAITING_RMAP_DATA; - v_rmpe_rmap_echo_transmitter_state := WAITING_RMAP_DATA; - -- default internal signal values - -- conditional state transition - -- check if there is not a fifo selected - if (s_selected_fifo = fifo_none) then - -- no fifo selected (error) return to idle - s_rmpe_rmap_echo_transmitter_state <= IDLE; - v_rmpe_rmap_echo_transmitter_state := IDLE; - -- check if there is space available in the spw codec and the selected fifo have data available - elsif ((spw_codec_status_i.txrdy = '1') and (((s_selected_fifo = fifo_incoming_fee_0) and (fee_0_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_1) and (fee_1_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_2) and (fee_2_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_3) and (fee_3_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_4) and (fee_4_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_5) and (fee_5_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_0) and (fee_0_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_1) and (fee_1_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_2) and (fee_2_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_3) and (fee_3_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_4) and (fee_4_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_5) and (fee_5_rmap_outgoing_fifo_status_i.empty = '0')))) then - -- there is space available in the spw codec and the selected fifo have data available, fetch data - s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; - v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; - -- output generation - -- fetch data from the selected fifo - case (s_selected_fifo) is - when fifo_none => - null; - when fifo_incoming_fee_0 => - fee_0_rmap_incoming_fifo_control_o.rdreq <= '1'; - when fifo_incoming_fee_1 => - fee_1_rmap_incoming_fifo_control_o.rdreq <= '1'; - when fifo_incoming_fee_2 => - fee_2_rmap_incoming_fifo_control_o.rdreq <= '1'; - when fifo_incoming_fee_3 => - fee_3_rmap_incoming_fifo_control_o.rdreq <= '1'; - when fifo_incoming_fee_4 => - fee_4_rmap_incoming_fifo_control_o.rdreq <= '1'; - when fifo_incoming_fee_5 => - fee_5_rmap_incoming_fifo_control_o.rdreq <= '1'; - when fifo_outgoing_fee_0 => - fee_0_rmap_outgoing_fifo_control_o.rdreq <= '1'; - when fifo_outgoing_fee_1 => - fee_1_rmap_outgoing_fifo_control_o.rdreq <= '1'; - when fifo_outgoing_fee_2 => - fee_2_rmap_outgoing_fifo_control_o.rdreq <= '1'; - when fifo_outgoing_fee_3 => - fee_3_rmap_outgoing_fifo_control_o.rdreq <= '1'; - when fifo_outgoing_fee_4 => - fee_4_rmap_outgoing_fifo_control_o.rdreq <= '1'; - when fifo_outgoing_fee_5 => - fee_5_rmap_outgoing_fifo_control_o.rdreq <= '1'; - end case; - end if; - - -- state "FETCH_RMAP_DATA" - when FETCH_RMAP_DATA => - -- fetching data from the rmap data fifo - -- default state transition - s_rmpe_rmap_echo_transmitter_state <= WRITE_RMAP_DATA; - v_rmpe_rmap_echo_transmitter_state := WRITE_RMAP_DATA; - -- default internal signal values - -- conditional state transition - - -- state "WRITE_RMAP_DATA" - when WRITE_RMAP_DATA => - -- write rmap packet data - -- default state transition - s_rmpe_rmap_echo_transmitter_state <= WAITING_RMAP_DATA; - v_rmpe_rmap_echo_transmitter_state := WAITING_RMAP_DATA; - -- default internal signal values - -- conditional state transition - -- check if there is not a fifo selected - if (s_selected_fifo = fifo_none) then - -- no fifo selected (error) return to idle - s_rmpe_rmap_echo_transmitter_state <= IDLE; - v_rmpe_rmap_echo_transmitter_state := IDLE; - -- check if an end of packet was received - elsif (((s_selected_fifo = fifo_incoming_fee_0) and (fee_0_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_1) and (fee_1_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_2) and (fee_2_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_3) and (fee_3_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_4) and (fee_4_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_5) and (fee_5_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_0) and (fee_0_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_1) and (fee_1_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_2) and (fee_2_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_3) and (fee_3_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_4) and (fee_4_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_5) and (fee_5_rmap_outgoing_fifo_status_i.rddata_flag = '1'))) then - -- end of packet was received, return to idle - case (s_selected_fifo) is - when fifo_none => - null; - when fifo_incoming_fee_0 => - s_fifo_incoming_fee_0_in_use <= '0'; - when fifo_incoming_fee_1 => - s_fifo_incoming_fee_1_in_use <= '0'; - when fifo_incoming_fee_2 => - s_fifo_incoming_fee_2_in_use <= '0'; - when fifo_incoming_fee_3 => - s_fifo_incoming_fee_3_in_use <= '0'; - when fifo_incoming_fee_4 => - s_fifo_incoming_fee_4_in_use <= '0'; - when fifo_incoming_fee_5 => - s_fifo_incoming_fee_5_in_use <= '0'; - when fifo_outgoing_fee_0 => - s_fifo_outgoing_fee_0_in_use <= '0'; - when fifo_outgoing_fee_1 => - s_fifo_outgoing_fee_1_in_use <= '0'; - when fifo_outgoing_fee_2 => - s_fifo_outgoing_fee_2_in_use <= '0'; - when fifo_outgoing_fee_3 => - s_fifo_outgoing_fee_3_in_use <= '0'; - when fifo_outgoing_fee_4 => - s_fifo_outgoing_fee_4_in_use <= '0'; - when fifo_outgoing_fee_5 => - s_fifo_outgoing_fee_5_in_use <= '0'; - end case; - s_rmpe_rmap_echo_transmitter_state <= IDLE; - v_rmpe_rmap_echo_transmitter_state := IDLE; - end if; - -- output generation - -- write data to the spw codec - case (s_selected_fifo) is - when fifo_none => - null; - when fifo_incoming_fee_0 => - spw_codec_control_o.txflag <= fee_0_rmap_incoming_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_0_rmap_incoming_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_incoming_fee_1 => - spw_codec_control_o.txflag <= fee_1_rmap_incoming_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_1_rmap_incoming_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_incoming_fee_2 => - spw_codec_control_o.txflag <= fee_2_rmap_incoming_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_2_rmap_incoming_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_incoming_fee_3 => - spw_codec_control_o.txflag <= fee_3_rmap_incoming_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_3_rmap_incoming_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_incoming_fee_4 => - spw_codec_control_o.txflag <= fee_4_rmap_incoming_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_4_rmap_incoming_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_incoming_fee_5 => - spw_codec_control_o.txflag <= fee_5_rmap_incoming_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_5_rmap_incoming_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_outgoing_fee_0 => - spw_codec_control_o.txflag <= fee_0_rmap_outgoing_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_0_rmap_outgoing_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_outgoing_fee_1 => - spw_codec_control_o.txflag <= fee_1_rmap_outgoing_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_1_rmap_outgoing_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_outgoing_fee_2 => - spw_codec_control_o.txflag <= fee_2_rmap_outgoing_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_2_rmap_outgoing_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_outgoing_fee_3 => - spw_codec_control_o.txflag <= fee_3_rmap_outgoing_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_3_rmap_outgoing_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_outgoing_fee_4 => - spw_codec_control_o.txflag <= fee_4_rmap_outgoing_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_4_rmap_outgoing_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - when fifo_outgoing_fee_5 => - spw_codec_control_o.txflag <= fee_5_rmap_outgoing_fifo_status_i.rddata_flag; - spw_codec_control_o.txdata <= fee_5_rmap_outgoing_fifo_status_i.rddata_data; - spw_codec_control_o.txwrite <= '1'; - end case; - - -- all the other states (not defined) - when others => - s_rmpe_rmap_echo_transmitter_state <= IDLE; - v_rmpe_rmap_echo_transmitter_state := IDLE; - - end case; - - -- Output generation default values - - -- Output generation FSM - - case (v_rmpe_rmap_echo_transmitter_state) is - - -- state "IDLE" - when IDLE => - -- in idle, waiting a fifo be selected - -- default output signals - -- conditional output signals - - -- state "WAITING_RMAP_DATA" - when WAITING_RMAP_DATA => - -- waiting data in the rmap data fifo - -- default output signals - -- conditional output signals - - -- state "FETCH_RMAP_DATA" - when FETCH_RMAP_DATA => - -- fetching data from the rmap data fifo - -- default output signals - -- conditional output signals - - -- state "WRITE_RMAP_DATA" - when WRITE_RMAP_DATA => - -- write rmap packet data - -- default output signals - -- conditional output signals - - end case; - - end if; - end process p_rmpe_rmap_echo_transmitter; + p_rmpe_rmap_echo_transmitter : process(clk_i, rst_i) is + variable v_fifo_queue_index : t_rmap_fifo_queue_index := 0; + variable v_rmpe_rmap_echo_transmitter_state : t_rmpe_rmap_echo_transmitter_fsm; + begin + if (rst_i = '1') then + s_selected_fifo <= fifo_none; + s_rmap_fifo_queue <= (others => fifo_none); + s_fifo_incoming_fee_0_queued <= '0'; + s_fifo_outgoing_fee_0_queued <= '0'; + s_fifo_incoming_fee_1_queued <= '0'; + s_fifo_outgoing_fee_1_queued <= '0'; + s_fifo_incoming_fee_2_queued <= '0'; + s_fifo_outgoing_fee_2_queued <= '0'; + s_fifo_incoming_fee_3_queued <= '0'; + s_fifo_outgoing_fee_3_queued <= '0'; + s_fifo_incoming_fee_4_queued <= '0'; + s_fifo_outgoing_fee_4_queued <= '0'; + s_fifo_incoming_fee_5_queued <= '0'; + s_fifo_outgoing_fee_5_queued <= '0'; + s_fifo_incoming_fee_0_in_use <= '0'; + s_fifo_outgoing_fee_0_in_use <= '0'; + s_fifo_incoming_fee_1_in_use <= '0'; + s_fifo_outgoing_fee_1_in_use <= '0'; + s_fifo_incoming_fee_2_in_use <= '0'; + s_fifo_outgoing_fee_2_in_use <= '0'; + s_fifo_incoming_fee_3_in_use <= '0'; + s_fifo_outgoing_fee_3_in_use <= '0'; + s_fifo_incoming_fee_4_in_use <= '0'; + s_fifo_outgoing_fee_4_in_use <= '0'; + s_fifo_incoming_fee_5_in_use <= '0'; + s_fifo_outgoing_fee_5_in_use <= '0'; + v_fifo_queue_index := 0; + fee_0_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_0_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_1_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_1_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_2_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_2_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_3_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_3_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_4_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_4_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_5_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_5_rmap_outgoing_fifo_control_o.rdreq <= '0'; + spw_codec_control_o.txwrite <= '0'; + spw_codec_control_o.txflag <= '0'; + spw_codec_control_o.txdata <= (others => '0'); + elsif rising_edge(clk_i) then + + -- check if fee 0 rmap incoming fifo is enabled, has data available and is not queued + if ((fee_0_rmap_echo_en_i = '1') and (fee_0_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_0_queued = '0')) then + -- fee 0 rmap incoming fifo has data available and is not queued + -- put fee 0 rmap incoming fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_0; + s_fifo_incoming_fee_0_queued <= '1'; + s_fifo_incoming_fee_0_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 1 rmap incoming fifo is enabled, has data available and is not queued + if ((fee_1_rmap_echo_en_i = '1') and (fee_1_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_1_queued = '0')) then + -- fee 1 rmap incoming fifo has data available and is not queued + -- put fee 1 rmap incoming fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_1; + s_fifo_incoming_fee_1_queued <= '1'; + s_fifo_incoming_fee_1_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 2 rmap incoming fifo is enabled, has data available and is not queued + if ((fee_2_rmap_echo_en_i = '1') and (fee_2_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_2_queued = '0')) then + -- fee 2 rmap incoming fifo has data available and is not queued + -- put fee 2 rmap incoming fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_2; + s_fifo_incoming_fee_2_queued <= '1'; + s_fifo_incoming_fee_2_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 3 rmap incoming fifo is enabled, has data available and is not queued + if ((fee_3_rmap_echo_en_i = '1') and (fee_3_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_3_queued = '0')) then + -- fee 3 rmap incoming fifo has data available and is not queued + -- put fee 3 rmap incoming fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_3; + s_fifo_incoming_fee_3_queued <= '1'; + s_fifo_incoming_fee_3_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 4 rmap incoming fifo is enabled, has data available and is not queued + if ((fee_4_rmap_echo_en_i = '1') and (fee_4_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_4_queued = '0')) then + -- fee 4 rmap incoming fifo has data available and is not queued + -- put fee 4 rmap incoming fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_4; + s_fifo_incoming_fee_4_queued <= '1'; + s_fifo_incoming_fee_4_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 5 rmap incoming fifo is enabled, has data available and is not queued + if ((fee_5_rmap_echo_en_i = '1') and (fee_5_rmap_incoming_fifo_status_i.empty = '0') and (s_fifo_incoming_fee_5_queued = '0')) then + -- fee 5 rmap incoming fifo has data available and is not queued + -- put fee 5 rmap incoming fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_incoming_fee_5; + s_fifo_incoming_fee_5_queued <= '1'; + s_fifo_incoming_fee_5_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 0 rmap outgoing fifo is enabled, has data available and is not queued + if ((fee_0_rmap_echo_en_i = '1') and (fee_0_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_0_queued = '0')) then + -- fee 0 rmap outgoing fifo has data available and is not queued + -- put fee 0 rmap outgoing fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_0; + s_fifo_outgoing_fee_0_queued <= '1'; + s_fifo_outgoing_fee_0_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 1 rmap outgoing fifo is enabled, has data available and is not queued + if ((fee_1_rmap_echo_en_i = '1') and (fee_1_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_1_queued = '0')) then + -- fee 1 rmap outgoing fifo has data available and is not queued + -- put fee 1 rmap outgoing fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_1; + s_fifo_outgoing_fee_1_queued <= '1'; + s_fifo_outgoing_fee_1_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 2 rmap outgoing fifo is enabled, has data available and is not queued + if ((fee_2_rmap_echo_en_i = '1') and (fee_2_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_2_queued = '0')) then + -- fee 2 rmap outgoing fifo has data available and is not queued + -- put fee 2 rmap outgoing fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_2; + s_fifo_outgoing_fee_2_queued <= '1'; + s_fifo_outgoing_fee_2_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 3 rmap outgoing fifo is enabled, has data available and is not queued + if ((fee_3_rmap_echo_en_i = '1') and (fee_3_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_3_queued = '0')) then + -- fee 3 rmap outgoing fifo has data available and is not queued + -- put fee 3 rmap outgoing fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_3; + s_fifo_outgoing_fee_3_queued <= '1'; + s_fifo_outgoing_fee_3_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 4 rmap outgoing fifo is enabled, has data available and is not queued + if ((fee_4_rmap_echo_en_i = '1') and (fee_4_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_4_queued = '0')) then + -- fee 4 rmap outgoing fifo has data available and is not queued + -- put fee 4 rmap outgoing fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_4; + s_fifo_outgoing_fee_4_queued <= '1'; + s_fifo_outgoing_fee_4_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- check if fee 5 rmap outgoing fifo is enabled, has data available and is not queued + if ((fee_5_rmap_echo_en_i = '1') and (fee_5_rmap_outgoing_fifo_status_i.empty = '0') and (s_fifo_outgoing_fee_5_queued = '0')) then + -- fee 5 rmap outgoing fifo has data available and is not queued + -- put fee 5 rmap outgoing fifo in the queue + s_rmap_fifo_queue(v_fifo_queue_index) <= fifo_outgoing_fee_5; + s_fifo_outgoing_fee_5_queued <= '1'; + s_fifo_outgoing_fee_5_in_use <= '1'; + -- update fifo queue index + if (v_fifo_queue_index < t_rmap_fifo_queue_index'high) then + v_fifo_queue_index := v_fifo_queue_index + 1; + end if; + end if; + + -- fifo queue management + -- case to handle the fifo queue + case (s_rmap_fifo_queue(0)) is + + when fifo_none => + -- no fifo waiting at the queue + s_selected_fifo <= fifo_none; + + when fifo_incoming_fee_0 => + -- fee 0 rmap incoming fifo at top of the queue + s_selected_fifo <= fifo_incoming_fee_0; + -- check if the fifo use is over + if (s_fifo_incoming_fee_0_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_incoming_fee_0_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_incoming_fee_1 => + -- fee 1 rmap incoming fifo at top of the queue + s_selected_fifo <= fifo_incoming_fee_1; + -- check if the fifo use is over + if (s_fifo_incoming_fee_1_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_incoming_fee_1_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_incoming_fee_2 => + -- fee 2 rmap incoming fifo at top of the queue + s_selected_fifo <= fifo_incoming_fee_2; + -- check if the fifo use is over + if (s_fifo_incoming_fee_2_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_incoming_fee_2_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_incoming_fee_3 => + -- fee 3 rmap incoming fifo at top of the queue + s_selected_fifo <= fifo_incoming_fee_3; + -- check if the fifo use is over + if (s_fifo_incoming_fee_3_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_incoming_fee_3_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_incoming_fee_4 => + -- fee 4 rmap incoming fifo at top of the queue + s_selected_fifo <= fifo_incoming_fee_4; + -- check if the fifo use is over + if (s_fifo_incoming_fee_4_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_incoming_fee_4_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_incoming_fee_5 => + -- fee 5 rmap incoming fifo at top of the queue + s_selected_fifo <= fifo_incoming_fee_5; + -- check if the fifo use is over + if (s_fifo_incoming_fee_5_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_incoming_fee_5_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_outgoing_fee_0 => + -- fee 0 rmap outgoing fifo at top of the queue + s_selected_fifo <= fifo_outgoing_fee_0; + -- check if the fifo use is over + if (s_fifo_outgoing_fee_0_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_outgoing_fee_0_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_outgoing_fee_1 => + -- fee 1 rmap outgoing fifo at top of the queue + s_selected_fifo <= fifo_outgoing_fee_1; + -- check if the fifo use is over + if (s_fifo_outgoing_fee_1_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_outgoing_fee_1_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_outgoing_fee_2 => + -- fee 2 rmap outgoing fifo at top of the queue + s_selected_fifo <= fifo_outgoing_fee_2; + -- check if the fifo use is over + if (s_fifo_outgoing_fee_2_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_outgoing_fee_2_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_outgoing_fee_3 => + -- fee 3 rmap outgoing fifo at top of the queue + s_selected_fifo <= fifo_outgoing_fee_3; + -- check if the fifo use is over + if (s_fifo_outgoing_fee_3_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_outgoing_fee_3_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_outgoing_fee_4 => + -- fee 4 rmap outgoing fifo at top of the queue + s_selected_fifo <= fifo_outgoing_fee_4; + -- check if the fifo use is over + if (s_fifo_outgoing_fee_4_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_outgoing_fee_4_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + when fifo_outgoing_fee_5 => + -- fee 5 rmap outgoing fifo at top of the queue + s_selected_fifo <= fifo_outgoing_fee_5; + -- check if the fifo use is over + if (s_fifo_outgoing_fee_5_in_use = '0') then + -- fifo use is over + -- set fifo selection to none + s_selected_fifo <= fifo_none; + -- remove fifo from the queue + for index in 0 to (t_rmap_fifo_queue_index'high - 1) loop + s_rmap_fifo_queue(index) <= s_rmap_fifo_queue(index + 1); + end loop; + s_rmap_fifo_queue(t_rmap_fifo_queue_index'high) <= fifo_none; + s_fifo_outgoing_fee_5_queued <= '0'; + -- update fifo queue index + if (v_fifo_queue_index > t_rmap_fifo_queue_index'low) then + v_fifo_queue_index := v_fifo_queue_index - 1; + end if; + end if; + + end case; + + -- Output generation default values + + fee_0_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_0_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_1_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_1_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_2_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_2_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_3_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_3_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_4_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_4_rmap_outgoing_fifo_control_o.rdreq <= '0'; + fee_5_rmap_incoming_fifo_control_o.rdreq <= '0'; + fee_5_rmap_outgoing_fifo_control_o.rdreq <= '0'; + spw_codec_control_o.txwrite <= '0'; + spw_codec_control_o.txflag <= '0'; + spw_codec_control_o.txdata <= (others => '0'); + + -- States transitions FSM + case (s_rmpe_rmap_echo_transmitter_state) is + + -- state "IDLE" + when IDLE => + -- in idle, waiting a fifo be selected + -- default state transition + s_rmpe_rmap_echo_transmitter_state <= IDLE; + v_rmpe_rmap_echo_transmitter_state := IDLE; + -- default internal signal values + -- conditional state transition + -- check if there is a fifo was selected + if (s_selected_fifo /= fifo_none) then + -- a fifo was selected, go to waiting rmap data + s_rmpe_rmap_echo_transmitter_state <= WAITING_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := WAITING_RMAP_DATA; + end if; + + -- state "WAITING_RMAP_DATA" + when WAITING_RMAP_DATA => + -- waiting data in the rmap data fifo + -- default state transition + s_rmpe_rmap_echo_transmitter_state <= WAITING_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := WAITING_RMAP_DATA; + -- default internal signal values + -- conditional state transition + -- check if there is not a fifo selected + if (s_selected_fifo = fifo_none) then + -- no fifo selected (error) return to idle + s_rmpe_rmap_echo_transmitter_state <= IDLE; + v_rmpe_rmap_echo_transmitter_state := IDLE; + -- check if there is space available in the spw codec and the selected fifo have data available + elsif ((spw_codec_status_i.txrdy = '1') and (((s_selected_fifo = fifo_incoming_fee_0) and (fee_0_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_1) and (fee_1_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_2) and (fee_2_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_3) and (fee_3_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_4) and (fee_4_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_incoming_fee_5) and (fee_5_rmap_incoming_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_0) and (fee_0_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_1) and (fee_1_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_2) and (fee_2_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_3) and (fee_3_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_4) and (fee_4_rmap_outgoing_fifo_status_i.empty = '0')) or ((s_selected_fifo = fifo_outgoing_fee_5) and (fee_5_rmap_outgoing_fifo_status_i.empty = '0')))) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + -- output generation + -- fetch data from the selected fifo + case (s_selected_fifo) is + when fifo_none => + null; + when fifo_incoming_fee_0 => + fee_0_rmap_incoming_fifo_control_o.rdreq <= '1'; + when fifo_incoming_fee_1 => + fee_1_rmap_incoming_fifo_control_o.rdreq <= '1'; + when fifo_incoming_fee_2 => + fee_2_rmap_incoming_fifo_control_o.rdreq <= '1'; + when fifo_incoming_fee_3 => + fee_3_rmap_incoming_fifo_control_o.rdreq <= '1'; + when fifo_incoming_fee_4 => + fee_4_rmap_incoming_fifo_control_o.rdreq <= '1'; + when fifo_incoming_fee_5 => + fee_5_rmap_incoming_fifo_control_o.rdreq <= '1'; + when fifo_outgoing_fee_0 => + fee_0_rmap_outgoing_fifo_control_o.rdreq <= '1'; + when fifo_outgoing_fee_1 => + fee_1_rmap_outgoing_fifo_control_o.rdreq <= '1'; + when fifo_outgoing_fee_2 => + fee_2_rmap_outgoing_fifo_control_o.rdreq <= '1'; + when fifo_outgoing_fee_3 => + fee_3_rmap_outgoing_fifo_control_o.rdreq <= '1'; + when fifo_outgoing_fee_4 => + fee_4_rmap_outgoing_fifo_control_o.rdreq <= '1'; + when fifo_outgoing_fee_5 => + fee_5_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end case; + end if; + + -- state "FETCH_RMAP_DATA" + when FETCH_RMAP_DATA => + -- fetching data from the rmap data fifo + -- default state transition + s_rmpe_rmap_echo_transmitter_state <= WRITE_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := WRITE_RMAP_DATA; + -- default internal signal values + -- conditional state transition + + -- state "WRITE_RMAP_DATA" + when WRITE_RMAP_DATA => + -- write rmap packet data + -- default state transition + s_rmpe_rmap_echo_transmitter_state <= WAITING_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := WAITING_RMAP_DATA; + -- default internal signal values + -- conditional state transition + -- check if there is not a fifo selected + if (s_selected_fifo = fifo_none) then + -- no fifo selected (error) return to idle + s_rmpe_rmap_echo_transmitter_state <= IDLE; + v_rmpe_rmap_echo_transmitter_state := IDLE; + -- check if an end of packet was received + elsif (((s_selected_fifo = fifo_incoming_fee_0) and (fee_0_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_1) and (fee_1_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_2) and (fee_2_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_3) and (fee_3_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_4) and (fee_4_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_incoming_fee_5) and (fee_5_rmap_incoming_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_0) and (fee_0_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_1) and (fee_1_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_2) and (fee_2_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_3) and (fee_3_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_4) and (fee_4_rmap_outgoing_fifo_status_i.rddata_flag = '1')) or ((s_selected_fifo = fifo_outgoing_fee_5) and (fee_5_rmap_outgoing_fifo_status_i.rddata_flag = '1'))) then + -- end of packet was received, return to idle + case (s_selected_fifo) is + when fifo_none => + null; + when fifo_incoming_fee_0 => + s_fifo_incoming_fee_0_in_use <= '0'; + when fifo_incoming_fee_1 => + s_fifo_incoming_fee_1_in_use <= '0'; + when fifo_incoming_fee_2 => + s_fifo_incoming_fee_2_in_use <= '0'; + when fifo_incoming_fee_3 => + s_fifo_incoming_fee_3_in_use <= '0'; + when fifo_incoming_fee_4 => + s_fifo_incoming_fee_4_in_use <= '0'; + when fifo_incoming_fee_5 => + s_fifo_incoming_fee_5_in_use <= '0'; + when fifo_outgoing_fee_0 => + s_fifo_outgoing_fee_0_in_use <= '0'; + when fifo_outgoing_fee_1 => + s_fifo_outgoing_fee_1_in_use <= '0'; + when fifo_outgoing_fee_2 => + s_fifo_outgoing_fee_2_in_use <= '0'; + when fifo_outgoing_fee_3 => + s_fifo_outgoing_fee_3_in_use <= '0'; + when fifo_outgoing_fee_4 => + s_fifo_outgoing_fee_4_in_use <= '0'; + when fifo_outgoing_fee_5 => + s_fifo_outgoing_fee_5_in_use <= '0'; + end case; + s_rmpe_rmap_echo_transmitter_state <= IDLE; + v_rmpe_rmap_echo_transmitter_state := IDLE; + end if; + -- output generation + -- write data to the spw codec + case (s_selected_fifo) is + when fifo_none => + null; + when fifo_incoming_fee_0 => + spw_codec_control_o.txflag <= fee_0_rmap_incoming_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_0_rmap_incoming_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_0_rmap_incoming_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_0_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + when fifo_incoming_fee_1 => + spw_codec_control_o.txflag <= fee_1_rmap_incoming_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_1_rmap_incoming_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_1_rmap_incoming_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_1_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + when fifo_incoming_fee_2 => + spw_codec_control_o.txflag <= fee_2_rmap_incoming_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_2_rmap_incoming_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_2_rmap_incoming_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_2_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + when fifo_incoming_fee_3 => + spw_codec_control_o.txflag <= fee_3_rmap_incoming_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_3_rmap_incoming_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_3_rmap_incoming_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_3_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + when fifo_incoming_fee_4 => + spw_codec_control_o.txflag <= fee_4_rmap_incoming_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_4_rmap_incoming_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_4_rmap_incoming_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_4_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + when fifo_incoming_fee_5 => + spw_codec_control_o.txflag <= fee_5_rmap_incoming_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_5_rmap_incoming_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_5_rmap_incoming_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_5_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + when fifo_outgoing_fee_0 => + spw_codec_control_o.txflag <= fee_0_rmap_outgoing_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_0_rmap_outgoing_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_0_rmap_outgoing_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_0_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + when fifo_outgoing_fee_1 => + spw_codec_control_o.txflag <= fee_1_rmap_outgoing_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_1_rmap_outgoing_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_1_rmap_outgoing_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_1_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + when fifo_outgoing_fee_2 => + spw_codec_control_o.txflag <= fee_2_rmap_outgoing_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_2_rmap_outgoing_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_2_rmap_outgoing_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_2_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + when fifo_outgoing_fee_3 => + spw_codec_control_o.txflag <= fee_3_rmap_outgoing_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_3_rmap_outgoing_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_3_rmap_outgoing_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_3_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + when fifo_outgoing_fee_4 => + spw_codec_control_o.txflag <= fee_4_rmap_outgoing_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_4_rmap_outgoing_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_4_rmap_outgoing_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_4_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + when fifo_outgoing_fee_5 => + spw_codec_control_o.txflag <= fee_5_rmap_outgoing_fifo_status_i.rddata_flag; + spw_codec_control_o.txdata <= fee_5_rmap_outgoing_fifo_status_i.rddata_data; + spw_codec_control_o.txwrite <= '1'; + if ((spw_codec_status_i.txrdy = '1') and (fee_5_rmap_outgoing_fifo_status_i.empty = '0')) then + -- there is space available in the spw codec and the selected fifo have data available, fetch data + s_rmpe_rmap_echo_transmitter_state <= FETCH_RMAP_DATA; + v_rmpe_rmap_echo_transmitter_state := FETCH_RMAP_DATA; + fee_5_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + end case; + + -- all the other states (not defined) + when others => + s_rmpe_rmap_echo_transmitter_state <= IDLE; + v_rmpe_rmap_echo_transmitter_state := IDLE; + + end case; + + -- Output generation default values + + -- Output generation FSM + + case (v_rmpe_rmap_echo_transmitter_state) is + + -- state "IDLE" + when IDLE => + -- in idle, waiting a fifo be selected + -- default output signals + -- conditional output signals + + -- state "WAITING_RMAP_DATA" + when WAITING_RMAP_DATA => + -- waiting data in the rmap data fifo + -- default output signals + -- conditional output signals + + -- state "FETCH_RMAP_DATA" + when FETCH_RMAP_DATA => + -- fetching data from the rmap data fifo + -- default output signals + -- conditional output signals + + -- state "WRITE_RMAP_DATA" + when WRITE_RMAP_DATA => + -- write rmap packet data + -- default output signals + -- conditional output signals + + end case; + + -- Echoing Enable Manager + + -- check if fee 0 rmap echo is disabled + if (fee_0_rmap_echo_en_i = '0') then + -- fee 0 rmap echo is disabled + -- clear internal queue signals + s_fifo_incoming_fee_0_queued <= '0'; + s_fifo_outgoing_fee_0_queued <= '0'; + -- clear all fifo data + fee_0_rmap_incoming_fifo_control_o.rdreq <= '0'; + if (fee_0_rmap_incoming_fifo_status_i.empty = '0') then + fee_0_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + fee_0_rmap_outgoing_fifo_control_o.rdreq <= '0'; + if (fee_0_rmap_outgoing_fifo_status_i.empty = '0') then + fee_0_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + -- check if there is a ongoing transmission and finishes it with an EEP + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_incoming_fee_0_in_use = '1')) then + s_fifo_incoming_fee_0_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_outgoing_fee_0_in_use = '1')) then + s_fifo_outgoing_fee_0_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + end if; + -- check if fee 1 rmap echo is disabled + if (fee_1_rmap_echo_en_i = '0') then + -- fee 1 rmap echo is disabled + -- clear internal queue signals + s_fifo_incoming_fee_1_queued <= '0'; + s_fifo_outgoing_fee_1_queued <= '0'; + -- clear all fifo data + fee_1_rmap_incoming_fifo_control_o.rdreq <= '0'; + if (fee_1_rmap_incoming_fifo_status_i.empty = '0') then + fee_1_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + fee_1_rmap_outgoing_fifo_control_o.rdreq <= '0'; + if (fee_1_rmap_outgoing_fifo_status_i.empty = '0') then + fee_1_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + -- check if there is a ongoing transmission and finishes it with an EEP + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_incoming_fee_1_in_use = '1')) then + s_fifo_incoming_fee_1_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_outgoing_fee_1_in_use = '1')) then + s_fifo_outgoing_fee_1_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + end if; + -- check if fee 2 rmap echo is disabled + if (fee_2_rmap_echo_en_i = '0') then + -- fee 2 rmap echo is disabled + -- clear internal queue signals + s_fifo_incoming_fee_2_queued <= '0'; + s_fifo_outgoing_fee_2_queued <= '0'; + -- clear all fifo data + fee_2_rmap_incoming_fifo_control_o.rdreq <= '0'; + if (fee_2_rmap_incoming_fifo_status_i.empty = '0') then + fee_2_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + fee_2_rmap_outgoing_fifo_control_o.rdreq <= '0'; + if (fee_2_rmap_outgoing_fifo_status_i.empty = '0') then + fee_2_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + -- check if there is a ongoing transmission and finishes it with an EEP + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_incoming_fee_2_in_use = '1')) then + s_fifo_incoming_fee_2_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_outgoing_fee_2_in_use = '1')) then + s_fifo_outgoing_fee_2_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + end if; + -- check if fee 3 rmap echo is disabled + if (fee_3_rmap_echo_en_i = '0') then + -- fee 3 rmap echo is disabled + -- clear internal queue signals + s_fifo_incoming_fee_3_queued <= '0'; + s_fifo_outgoing_fee_3_queued <= '0'; + -- clear all fifo data + fee_3_rmap_incoming_fifo_control_o.rdreq <= '0'; + if (fee_3_rmap_incoming_fifo_status_i.empty = '0') then + fee_3_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + fee_3_rmap_outgoing_fifo_control_o.rdreq <= '0'; + if (fee_3_rmap_outgoing_fifo_status_i.empty = '0') then + fee_3_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + -- check if there is a ongoing transmission and finishes it with an EEP + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_incoming_fee_3_in_use = '1')) then + s_fifo_incoming_fee_3_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_outgoing_fee_3_in_use = '1')) then + s_fifo_outgoing_fee_3_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + end if; + -- check if fee 4 rmap echo is disabled + if (fee_4_rmap_echo_en_i = '0') then + -- fee 4 rmap echo is disabled + -- clear internal queue signals + s_fifo_incoming_fee_4_queued <= '0'; + s_fifo_outgoing_fee_4_queued <= '0'; + -- clear all fifo data + fee_4_rmap_incoming_fifo_control_o.rdreq <= '0'; + if (fee_4_rmap_incoming_fifo_status_i.empty = '0') then + fee_4_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + fee_4_rmap_outgoing_fifo_control_o.rdreq <= '0'; + if (fee_4_rmap_outgoing_fifo_status_i.empty = '0') then + fee_4_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + -- check if there is a ongoing transmission and finishes it with an EEP + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_incoming_fee_4_in_use = '1')) then + s_fifo_incoming_fee_4_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_outgoing_fee_4_in_use = '1')) then + s_fifo_outgoing_fee_4_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + end if; + -- check if fee 5 rmap echo is disabled + if (fee_5_rmap_echo_en_i = '0') then + -- fee 5 rmap echo is disabled + -- clear internal queue signals + s_fifo_incoming_fee_5_queued <= '0'; + s_fifo_outgoing_fee_5_queued <= '0'; + -- clear all fifo data + fee_5_rmap_incoming_fifo_control_o.rdreq <= '0'; + if (fee_5_rmap_incoming_fifo_status_i.empty = '0') then + fee_5_rmap_incoming_fifo_control_o.rdreq <= '1'; + end if; + fee_5_rmap_outgoing_fifo_control_o.rdreq <= '0'; + if (fee_5_rmap_outgoing_fifo_status_i.empty = '0') then + fee_5_rmap_outgoing_fifo_control_o.rdreq <= '1'; + end if; + -- check if there is a ongoing transmission and finishes it with an EEP + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_incoming_fee_5_in_use = '1')) then + s_fifo_incoming_fee_5_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + if ((spw_codec_status_i.txrdy = '1') and (s_fifo_outgoing_fee_5_in_use = '1')) then + s_fifo_outgoing_fee_5_in_use <= '0'; + spw_codec_control_o.txwrite <= '1'; + spw_codec_control_o.txflag <= '1'; + spw_codec_control_o.txdata <= x"01"; + end if; + end if; + + end if; + end process p_rmpe_rmap_echo_transmitter; end architecture RTL; diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmpe_rmap_echoing_pkg.vhd b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmpe_rmap_echoing_pkg.vhd index 969ff615..7f1ae8e6 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmpe_rmap_echoing_pkg.vhd +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmpe_rmap_echoing_pkg.vhd @@ -4,41 +4,41 @@ use ieee.numeric_std.all; package rmpe_rmap_echoing_pkg is - constant c_RMAP_FIFO_OVERFLOW_EN : std_logic := '0'; - - constant c_RMAP_PACKAGE_ID_INCOMING : std_logic_vector(3 downto 0) := x"0"; - constant c_RMAP_PACKAGE_ID_OUTGOING : std_logic_vector(3 downto 0) := x"1"; - - type t_rmpe_rmap_echoing_spw_fifo_control is record - wrdata_flag : std_logic; - wrdata_data : std_logic_vector(7 downto 0); - wrreq : std_logic; - end record t_rmpe_rmap_echoing_spw_fifo_control; - - type t_rmpe_rmap_echoing_spw_fifo_status is record - full : std_logic; - end record t_rmpe_rmap_echoing_spw_fifo_status; - - type t_rmpe_rmap_echoing_rmap_fifo_control is record - rdreq : std_logic; - end record t_rmpe_rmap_echoing_rmap_fifo_control; - - type t_rmpe_rmap_echoing_rmap_fifo_status is record - empty : std_logic; - rddata_flag : std_logic; - rddata_data : std_logic_vector(7 downto 0); - end record t_rmpe_rmap_echoing_rmap_fifo_status; - - type t_rmpe_rmap_echoing_spw_codec_control is record - txwrite : std_logic; - txflag : std_logic; - txdata : std_logic_vector(7 downto 0); - end record t_rmpe_rmap_echoing_spw_codec_control; - - type t_rmpe_rmap_echoing_spw_codec_status is record - txrdy : std_logic; - txhalff : std_logic; - end record t_rmpe_rmap_echoing_spw_codec_status; + constant c_RMAP_FIFO_OVERFLOW_EN : std_logic := '0'; + + constant c_RMAP_PACKAGE_ID_INCOMING : std_logic_vector(3 downto 0) := x"0"; + constant c_RMAP_PACKAGE_ID_OUTGOING : std_logic_vector(3 downto 0) := x"1"; + + type t_rmpe_rmap_echoing_spw_fifo_control is record + wrdata_flag : std_logic; + wrdata_data : std_logic_vector(7 downto 0); + wrreq : std_logic; + end record t_rmpe_rmap_echoing_spw_fifo_control; + + type t_rmpe_rmap_echoing_spw_fifo_status is record + full : std_logic; + end record t_rmpe_rmap_echoing_spw_fifo_status; + + type t_rmpe_rmap_echoing_rmap_fifo_control is record + rdreq : std_logic; + end record t_rmpe_rmap_echoing_rmap_fifo_control; + + type t_rmpe_rmap_echoing_rmap_fifo_status is record + empty : std_logic; + rddata_flag : std_logic; + rddata_data : std_logic_vector(7 downto 0); + end record t_rmpe_rmap_echoing_rmap_fifo_status; + + type t_rmpe_rmap_echoing_spw_codec_control is record + txwrite : std_logic; + txflag : std_logic; + txdata : std_logic_vector(7 downto 0); + end record t_rmpe_rmap_echoing_spw_codec_control; + + type t_rmpe_rmap_echoing_spw_codec_status is record + txrdy : std_logic; + txhalff : std_logic; + end record t_rmpe_rmap_echoing_spw_codec_status; end package rmpe_rmap_echoing_pkg; diff --git a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmpe_rmap_echoing_top.vhd b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmpe_rmap_echoing_top.vhd index 597fe529..e628cce8 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmpe_rmap_echoing_top.vhd +++ b/G3U_HW_V02_2GB/Qsys_Project/MebX_Qsys_Project/synthesis/submodules/rmpe_rmap_echoing_top.vhd @@ -17,6 +17,7 @@ use work.rmpe_rmap_echoing_pkg.all; entity rmpe_rmap_echoing_top is port( reset_i : in std_logic := '0'; -- -- reset_sink.reset + echo_rst_i : in std_logic := '0'; -- -- echo_rst_sink.reset clk_100_i : in std_logic := '0'; -- -- clock_sink_100mhz.clk rmap_echo_0_echo_en_i : in std_logic := '0'; -- -- conduit_end_rmap_echo_0_in.echo_en_signal rmap_echo_0_echo_id_en_i : in std_logic := '0'; -- -- .echo_id_en_signal @@ -104,11 +105,14 @@ end entity rmpe_rmap_echoing_top; architecture rtl of rmpe_rmap_echoing_top is + -- Signals -- + signal s_global_rst : std_logic; + -- Alias -- -- Basic Alias alias a_avs_clock_i is clk_100_i; - alias a_reset_i is reset_i; + alias a_reset_i is s_global_rst; -- Constants -- @@ -389,6 +393,12 @@ begin port map( clk_i => a_avs_clock_i, rst_i => a_reset_i, + fee_0_rmap_echo_en_i => rmap_echo_0_echo_en_i, + fee_1_rmap_echo_en_i => rmap_echo_1_echo_en_i, + fee_2_rmap_echo_en_i => rmap_echo_2_echo_en_i, + fee_3_rmap_echo_en_i => rmap_echo_3_echo_en_i, + fee_4_rmap_echo_en_i => rmap_echo_4_echo_en_i, + fee_5_rmap_echo_en_i => rmap_echo_5_echo_en_i, fee_0_rmap_incoming_fifo_status_i => s_rmap_echo_0_in_fifo_status, fee_0_rmap_outgoing_fifo_status_i => s_rmap_echo_0_out_fifo_status, fee_1_rmap_incoming_fifo_status_i => s_rmap_echo_1_in_fifo_status, @@ -422,6 +432,9 @@ begin -- Signals Assignments and Processes -- + -- Global Reset Assignments + s_global_rst <= reset_i or echo_rst_i; + -- SpaceWire Channel Codec Configuration p_spwc_codec_config : process(a_avs_clock_i, a_reset_i) is begin diff --git a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART/.settings/language.settings.xml b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART/.settings/language.settings.xml index 3da1dade..e33d9eab 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART/.settings/language.settings.xml +++ b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART/.settings/language.settings.xml @@ -4,7 +4,7 @@ - + diff --git a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART/Simucam_R0_UART.elf b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART/Simucam_R0_UART.elf index 552c6c5b..e076bddf 100644 Binary files a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART/Simucam_R0_UART.elf and b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART/Simucam_R0_UART.elf differ diff --git a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART/Simucam_R0_UART.objdump b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART/Simucam_R0_UART.objdump index 7208161f..2efb1d5b 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART/Simucam_R0_UART.objdump +++ b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART/Simucam_R0_UART.objdump @@ -7,7 +7,7 @@ start address 0x81100190 Program Header: LOAD off 0x00001020 vaddr 0x81100020 paddr 0x81100020 align 2**12 - filesz 0x00051c24 memsz 0x0008bfe4 flags rwx + filesz 0x00051c68 memsz 0x0008c028 flags rwx LOAD off 0x00053000 vaddr 0x86020000 paddr 0x86020000 align 2**12 filesz 0x00000000 memsz 0x00000000 flags r-x @@ -17,15 +17,15 @@ Idx Name Size VMA LMA File off Algn CONTENTS, ALLOC, LOAD, READONLY, CODE 1 .exceptions 00000170 81100020 81100020 00001020 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE - 2 .text 00046ba4 81100190 81100190 00001190 2**2 + 2 .text 00046be4 81100190 81100190 00001190 2**2 CONTENTS, ALLOC, LOAD, READONLY, CODE - 3 .rodata 0000927c 81146d34 81146d34 00047d34 2**2 + 3 .rodata 00009280 81146d74 81146d74 00047d74 2**2 CONTENTS, ALLOC, LOAD, READONLY, DATA - 4 .rwdata 00001c94 8114ffb0 8114ffb0 00050fb0 2**2 + 4 .rwdata 00001c94 8114fff4 8114fff4 00050ff4 2**2 CONTENTS, ALLOC, LOAD, DATA, SMALL_DATA - 5 .bss 0003a3c0 81151c44 81151c44 00052c44 2**2 + 5 .bss 0003a3c0 81151c88 81151c88 00052c88 2**2 ALLOC, SMALL_DATA - 6 .onchip_memory 00000000 8118c004 8118c004 00053000 2**0 + 6 .onchip_memory 00000000 8118c048 8118c048 00053000 2**0 CONTENTS 7 .ext_flash 00000000 86020020 86020020 00053000 2**0 CONTENTS @@ -49,40 +49,40 @@ Idx Name Size VMA LMA File off Algn CONTENTS, READONLY, DEBUGGING 17 .debug_ranges 000028a0 00000000 00000000 000d17b8 2**3 CONTENTS, READONLY, DEBUGGING - 18 .thread_model 00000006 00000000 00000000 000e1139 2**0 + 18 .thread_model 00000006 00000000 00000000 000e1161 2**0 CONTENTS, READONLY - 19 .cpu 0000000c 00000000 00000000 000e113f 2**0 + 19 .cpu 0000000c 00000000 00000000 000e1167 2**0 CONTENTS, READONLY - 20 .qsys 00000001 00000000 00000000 000e114b 2**0 + 20 .qsys 00000001 00000000 00000000 000e1173 2**0 CONTENTS, READONLY - 21 .simulation_enabled 00000001 00000000 00000000 000e114c 2**0 + 21 .simulation_enabled 00000001 00000000 00000000 000e1174 2**0 CONTENTS, READONLY - 22 .sysid_hash 00000004 00000000 00000000 000e114d 2**0 + 22 .sysid_hash 00000004 00000000 00000000 000e1175 2**0 CONTENTS, READONLY - 23 .sysid_base 00000004 00000000 00000000 000e1151 2**0 + 23 .sysid_base 00000004 00000000 00000000 000e1179 2**0 CONTENTS, READONLY - 24 .sysid_time 00000004 00000000 00000000 000e1155 2**0 + 24 .sysid_time 00000004 00000000 00000000 000e117d 2**0 CONTENTS, READONLY - 25 .stderr_dev 0000000b 00000000 00000000 000e1159 2**0 + 25 .stderr_dev 0000000b 00000000 00000000 000e1181 2**0 CONTENTS, READONLY - 26 .stdin_dev 0000000a 00000000 00000000 000e1164 2**0 + 26 .stdin_dev 0000000a 00000000 00000000 000e118c 2**0 CONTENTS, READONLY - 27 .stdout_dev 0000000a 00000000 00000000 000e116e 2**0 + 27 .stdout_dev 0000000a 00000000 00000000 000e1196 2**0 CONTENTS, READONLY - 28 .sopc_system_name 00000011 00000000 00000000 000e1178 2**0 + 28 .sopc_system_name 00000011 00000000 00000000 000e11a0 2**0 CONTENTS, READONLY - 29 .quartus_project_dir 00000058 00000000 00000000 000e1189 2**0 + 29 .quartus_project_dir 00000058 00000000 00000000 000e11b1 2**0 CONTENTS, READONLY - 30 .sopcinfo 002d3e87 00000000 00000000 000e11e1 2**0 + 30 .sopcinfo 002c1657 00000000 00000000 000e1209 2**0 CONTENTS, READONLY SYMBOL TABLE: 86020000 l d .entry 00000000 .entry 81100020 l d .exceptions 00000000 .exceptions 81100190 l d .text 00000000 .text -81146d34 l d .rodata 00000000 .rodata -8114ffb0 l d .rwdata 00000000 .rwdata -81151c44 l d .bss 00000000 .bss -8118c004 l d .onchip_memory 00000000 .onchip_memory +81146d74 l d .rodata 00000000 .rodata +8114fff4 l d .rwdata 00000000 .rwdata +81151c88 l d .bss 00000000 .bss +8118c048 l d .onchip_memory 00000000 .onchip_memory 86020020 l d .ext_flash 00000000 .ext_flash 00000000 l d .comment 00000000 .comment 00000000 l d .debug_aranges 00000000 .debug_aranges @@ -101,36 +101,36 @@ SYMBOL TABLE: 00000000 l df *ABS* 00000000 ddr2.c 00000000 l df *ABS* 00000000 sense.c 00000000 l df *ABS* 00000000 simucam_dma.c -81147c38 l O .rodata 00000018 CSWTCH.11 -81147c38 l O .rodata 00000018 CSWTCH.14 +81147c78 l O .rodata 00000018 CSWTCH.11 +81147c78 l O .rodata 00000018 CSWTCH.14 00000000 l df *ABS* 00000000 comm_channel.c 00000000 l df *ABS* 00000000 data_packet.c 00000000 l df *ABS* 00000000 fee_buffers.c -81151c98 l O .bss 00000004 viCh5HoldContext -81151c94 l O .bss 00000004 viCh6HoldContext -81151ca8 l O .bss 00000004 viCh1HoldContext -81151ca4 l O .bss 00000004 viCh2HoldContext -81151ca0 l O .bss 00000004 viCh3HoldContext -81151c9c l O .bss 00000004 viCh4HoldContext +81151cdc l O .bss 00000004 viCh5HoldContext +81151cd8 l O .bss 00000004 viCh6HoldContext +81151cec l O .bss 00000004 viCh1HoldContext +81151ce8 l O .bss 00000004 viCh2HoldContext +81151ce4 l O .bss 00000004 viCh3HoldContext +81151ce0 l O .bss 00000004 viCh4HoldContext 00000000 l df *ABS* 00000000 rmap.c -81147c8c l O .rodata 00000018 CSWTCH.32 -81151cb0 l O .bss 00000004 viCh5HoldContext -81151cac l O .bss 00000004 viCh6HoldContext -81151cc0 l O .bss 00000004 viCh1HoldContext -81151cbc l O .bss 00000004 viCh2HoldContext -81151cb8 l O .bss 00000004 viCh3HoldContext -81151cb4 l O .bss 00000004 viCh4HoldContext -81147c74 l O .rodata 00000018 CSWTCH.35 -81147c74 l O .rodata 00000018 CSWTCH.37 +81147ccc l O .rodata 00000018 CSWTCH.32 +81151cf4 l O .bss 00000004 viCh5HoldContext +81151cf0 l O .bss 00000004 viCh6HoldContext +81151d04 l O .bss 00000004 viCh1HoldContext +81151d00 l O .bss 00000004 viCh2HoldContext +81151cfc l O .bss 00000004 viCh3HoldContext +81151cf8 l O .bss 00000004 viCh4HoldContext +81147cb4 l O .rodata 00000018 CSWTCH.35 +81147cb4 l O .rodata 00000018 CSWTCH.37 00000000 l df *ABS* 00000000 spw_controller.c 00000000 l df *ABS* 00000000 windowing.c -81148074 l O .rodata 00000018 CSWTCH.6 -81148074 l O .rodata 00000018 CSWTCH.9 +811480b4 l O .rodata 00000018 CSWTCH.6 +811480b4 l O .rodata 00000018 CSWTCH.9 00000000 l df *ABS* 00000000 ctrl_io_lvds.c -81151b4c l O .rwdata 00000001 ucIoValue +81151b90 l O .rwdata 00000001 ucIoValue 00000000 l df *ABS* 00000000 ftdi.c -81151cc8 l O .bss 00000004 viRxBuffHoldContext -81151cc4 l O .bss 00000004 viTxBuffHoldContext +81151d0c l O .bss 00000004 viRxBuffHoldContext +81151d08 l O .bss 00000004 viTxBuffHoldContext 00000000 l df *ABS* 00000000 i2c.c 00000000 l df *ABS* 00000000 leds.c 00000000 l df *ABS* 00000000 memory_filler.c @@ -139,32 +139,32 @@ SYMBOL TABLE: 00000000 l df *ABS* 00000000 scom.c 00000000 l df *ABS* 00000000 seven_seg.c 00000000 l df *ABS* 00000000 sync.c -81151cd4 l O .bss 00000004 viSyncHoldContext -81151cd0 l O .bss 00000004 viPreSyncHoldContext +81151d18 l O .bss 00000004 viSyncHoldContext +81151d14 l O .bss 00000004 viPreSyncHoldContext 00000000 l df *ABS* 00000000 data_control_taskV2.c 00000000 l df *ABS* 00000000 fee_taskV3.c -811498ac l O .rodata 00000020 CSWTCH.53 -8114988c l O .rodata 00000020 CSWTCH.55 +811498ec l O .rodata 00000020 CSWTCH.53 +811498cc l O .rodata 00000020 CSWTCH.55 00000000 l df *ABS* 00000000 in_ack_handler_task.c -81151cf8 l O .bss 00000004 xRAckLocal.7029 +81151d3c l O .bss 00000004 xRAckLocal.7031 00000000 l df *ABS* 00000000 initialization_task.c 00000000 l df *ABS* 00000000 lut_handler_task.c -81113bd4 l F .text 00000018 vQCmdLUTWaitIRQFinish.part.1 -81113bd4 l F .text 00000018 vQCmdLUTCmd.part.0 +81113c08 l F .text 00000018 vQCmdLUTWaitIRQFinish.part.1 +81113c08 l F .text 00000018 vQCmdLUTCmd.part.0 00000000 l df *ABS* 00000000 nfee_control_taskV3.c 00000000 l df *ABS* 00000000 out_ack_handler_task.c -81151d08 l O .bss 00000004 xSAckLocal.7010 +81151d4c l O .bss 00000004 xSAckLocal.7012 00000000 l df *ABS* 00000000 parser_comm_task.c -81152088 l O .bss 0000004c PreParsedLocal.7534 -81151f74 l O .bss 00000114 xTcPusL.7533 -81151e60 l O .bss 00000114 xTmPusL.7532 +811520cc l O .bss 0000004c PreParsedLocal.7536 +81151fb8 l O .bss 00000114 xTcPusL.7535 +81151ea4 l O .bss 00000114 xTmPusL.7534 00000000 l df *ABS* 00000000 receiver_uart_task.c -811520d4 l O .bss 0000004c xPreParsedReader.7117 +81152118 l O .bss 0000004c xPreParsedReader.7119 00000000 l df *ABS* 00000000 sender_com_task.c 00000000 l df *ABS* 00000000 sim_meb_task.c -811170c0 l F .text 00000060 vDebugSyncTimeCode.part.2 -81117120 l F .text 00000018 vPusType251conf.part.3 -81152120 l O .bss 00000114 xPusLocal.8502 +811170f4 l F .text 00000060 vDebugSyncTimeCode.part.2 +81117154 l F .text 00000018 vPusType251conf.part.3 +81152164 l O .bss 00000114 xPusLocal.8504 00000000 l df *ABS* 00000000 stack_monitor_task.c 00000000 l df *ABS* 00000000 sync_reset_task.c 00000000 l df *ABS* 00000000 test_task.c @@ -175,7 +175,7 @@ SYMBOL TABLE: 00000000 l df *ABS* 00000000 configs_bind_channel_FEEinst.c 00000000 l df *ABS* 00000000 configs_simucam.c 00000000 l df *ABS* 00000000 crc8.c -8114d49c l O .rodata 00000100 crc8_table +8114d4e0 l O .rodata 00000100 crc8_table 00000000 l df *ABS* 00000000 data_controller.c 00000000 l df *ABS* 00000000 defaults.c 00000000 l df *ABS* 00000000 error_handler_simucam.c @@ -218,7 +218,7 @@ SYMBOL TABLE: 00000000 l df *ABS* 00000000 atoi.c 00000000 l df *ABS* 00000000 atoll.c 00000000 l df *ABS* 00000000 ctype_.c -8114f929 l O .rodata 00000180 _ctype_b +8114f96d l O .rodata 00000180 _ctype_b 00000000 l df *ABS* 00000000 div.c 00000000 l df *ABS* 00000000 fopen.c 00000000 l df *ABS* 00000000 fprintf.c @@ -228,7 +228,7 @@ SYMBOL TABLE: 00000000 l df *ABS* 00000000 fstatr.c 00000000 l df *ABS* 00000000 fwrite.c 00000000 l df *ABS* 00000000 impure.c -8114ffc4 l O .rwdata 00000424 impure_data +81150008 l O .rwdata 00000424 impure_data 00000000 l df *ABS* 00000000 int_errno.c 00000000 l df *ABS* 00000000 makebuf.c 00000000 l df *ABS* 00000000 mallocr.c @@ -239,7 +239,7 @@ SYMBOL TABLE: 00000000 l df *ABS* 00000000 puts.c 00000000 l df *ABS* 00000000 qsort.c 00000000 l df *ABS* 00000000 refill.c -8112d370 l F .text 0000001c lflush +8112d3b0 l F .text 0000001c lflush 00000000 l df *ABS* 00000000 sbrkr.c 00000000 l df *ABS* 00000000 scanf.c 00000000 l df *ABS* 00000000 sprintf.c @@ -251,25 +251,25 @@ SYMBOL TABLE: 00000000 l df *ABS* 00000000 strtoll.c 00000000 l df *ABS* 00000000 strtoll_r.c 00000000 l df *ABS* 00000000 vfprintf.c -8114faf2 l O .rodata 00000010 zeroes.4389 -8114fb02 l O .rodata 00000010 blanks.4388 +8114fb36 l O .rodata 00000010 zeroes.4389 +8114fb46 l O .rodata 00000010 blanks.4388 00000000 l df *ABS* 00000000 vfprintf.c -8114fb12 l O .rodata 00000010 zeroes.4404 -81132284 l F .text 000000bc __sbprintf -8114fb22 l O .rodata 00000010 blanks.4403 +8114fb56 l O .rodata 00000010 zeroes.4404 +811322c4 l F .text 000000bc __sbprintf +8114fb66 l O .rodata 00000010 blanks.4403 00000000 l df *ABS* 00000000 vfscanf.c -8114fb3a l O .rodata 00000022 basefix.4359 +8114fb7e l O .rodata 00000022 basefix.4359 00000000 l df *ABS* 00000000 wbuf.c 00000000 l df *ABS* 00000000 writer.c 00000000 l df *ABS* 00000000 wsetup.c 00000000 l df *ABS* 00000000 closer.c 00000000 l df *ABS* 00000000 dtoa.c -81133f2c l F .text 00000200 quorem +81133f6c l F .text 00000200 quorem 00000000 l df *ABS* 00000000 fflush.c 00000000 l df *ABS* 00000000 findfp.c -81135a5c l F .text 00000008 __fp_unlock -81135a70 l F .text 0000019c __sinit.part.1 -81135c0c l F .text 00000008 __fp_lock +81135a9c l F .text 00000008 __fp_unlock +81135ab0 l F .text 0000019c __sinit.part.1 +81135c4c l F .text 00000008 __fp_lock 00000000 l df *ABS* 00000000 flags.c 00000000 l df *ABS* 00000000 fread.c 00000000 l df *ABS* 00000000 mallocr.c @@ -278,16 +278,16 @@ SYMBOL TABLE: 00000000 l df *ABS* 00000000 isattyr.c 00000000 l df *ABS* 00000000 iswspace.c 00000000 l df *ABS* 00000000 locale.c -81150810 l O .rwdata 00000020 lc_ctype_charset -811507f0 l O .rwdata 00000020 lc_message_charset -81150830 l O .rwdata 00000038 lconv +81150854 l O .rwdata 00000020 lc_ctype_charset +81150834 l O .rwdata 00000020 lc_message_charset +81150874 l O .rwdata 00000038 lconv 00000000 l df *ABS* 00000000 lseekr.c 00000000 l df *ABS* 00000000 mbrtowc.c 00000000 l df *ABS* 00000000 mbtowc_r.c 00000000 l df *ABS* 00000000 memchr.c 00000000 l df *ABS* 00000000 memmove.c 00000000 l df *ABS* 00000000 mprec.c -8114fb78 l O .rodata 0000000c p05.2768 +8114fbbc l O .rodata 0000000c p05.2768 00000000 l df *ABS* 00000000 readr.c 00000000 l df *ABS* 00000000 mallocr.c 00000000 l df *ABS* 00000000 s_fpclassify.c @@ -295,26 +295,26 @@ SYMBOL TABLE: 00000000 l df *ABS* 00000000 sf_nan.c 00000000 l df *ABS* 00000000 strcmp.c 00000000 l df *ABS* 00000000 strtod.c -8113898c l F .text 00000064 sulp -8114fcc0 l O .rodata 00000014 fpi.2737 -8114fcd4 l O .rodata 00000028 tinytens -8114fcac l O .rodata 00000014 fpinan.2773 +811389cc l F .text 00000064 sulp +8114fd04 l O .rodata 00000014 fpi.2737 +8114fd18 l O .rodata 00000028 tinytens +8114fcf0 l O .rodata 00000014 fpinan.2773 00000000 l df *ABS* 00000000 strtoul.c 00000000 l df *ABS* 00000000 strtoull_r.c 00000000 l df *ABS* 00000000 vfprintf.c -8114fd0c l O .rodata 00000010 blanks.4332 -8114fcfc l O .rodata 00000010 zeroes.4333 +8114fd50 l O .rodata 00000010 blanks.4332 +8114fd40 l O .rodata 00000010 zeroes.4333 00000000 l df *ABS* 00000000 ungetc.c 00000000 l df *ABS* 00000000 vfprintf.c -8113bccc l F .text 000000fc __sprint_r.part.0 -8114fd2c l O .rodata 00000010 blanks.4348 -8114fd1c l O .rodata 00000010 zeroes.4349 -8113d258 l F .text 000000bc __sbprintf +8113bd0c l F .text 000000fc __sprint_r.part.0 +8114fd70 l O .rodata 00000010 blanks.4348 +8114fd60 l O .rodata 00000010 zeroes.4349 +8113d298 l F .text 000000bc __sbprintf 00000000 l df *ABS* 00000000 mallocr.c 00000000 l df *ABS* 00000000 fclose.c 00000000 l df *ABS* 00000000 fputwc.c 00000000 l df *ABS* 00000000 gdtoa-gethex.c -8113d6e4 l F .text 00000100 rshift +8113d724 l F .text 00000100 rshift 00000000 l df *ABS* 00000000 gdtoa-hexnan.c 00000000 l df *ABS* 00000000 strncmp.c 00000000 l df *ABS* 00000000 wcrtomb.c @@ -328,7 +328,7 @@ SYMBOL TABLE: 00000000 l df *ABS* 00000000 alt_close.c 00000000 l df *ABS* 00000000 alt_dcache_flush_all.c 00000000 l df *ABS* 00000000 alt_dev.c -8113f33c l F .text 00000008 alt_dev_null_write +8113f37c l F .text 00000008 alt_dev_null_write 00000000 l df *ABS* 00000000 alt_errno.c 00000000 l df *ABS* 00000000 alt_fstat.c 00000000 l df *ABS* 00000000 alt_irq_register.c @@ -340,48 +340,48 @@ SYMBOL TABLE: 00000000 l df *ABS* 00000000 alt_read.c 00000000 l df *ABS* 00000000 alt_release_fd.c 00000000 l df *ABS* 00000000 alt_sbrk.c -81151bbc l O .rwdata 00000004 heap_end +81151c00 l O .rwdata 00000004 heap_end 00000000 l df *ABS* 00000000 alt_tick.c 00000000 l df *ABS* 00000000 alt_usleep.c 00000000 l df *ABS* 00000000 alt_write.c 00000000 l df *ABS* 00000000 alt_env_lock.c -81151bc8 l O .rwdata 00000004 lockid -81151ddc l O .bss 00000004 locks +81151c0c l O .rwdata 00000004 lockid +81151e20 l O .bss 00000004 locks 00000000 l df *ABS* 00000000 alt_malloc_lock.c -81151bcc l O .rwdata 00000004 lockid -81151de4 l O .bss 00000004 locks +81151c10 l O .rwdata 00000004 lockid +81151e28 l O .bss 00000004 locks 00000000 l df *ABS* 00000000 os_core.c 00000000 l df *ABS* 00000000 os_dbg.c 00000000 l df *ABS* 00000000 os_flag.c -81140f98 l F .text 00000088 OS_FlagBlock -81141020 l F .text 000000a8 OS_FlagTaskRdy +81140fd8 l F .text 00000088 OS_FlagBlock +81141060 l F .text 000000a8 OS_FlagTaskRdy 00000000 l df *ABS* 00000000 os_mem.c 00000000 l df *ABS* 00000000 os_mutex.c -81141fd4 l F .text 000000a8 OSMutex_RdyAtPrio +81142014 l F .text 000000a8 OSMutex_RdyAtPrio 00000000 l df *ABS* 00000000 os_q.c 00000000 l df *ABS* 00000000 os_sem.c 00000000 l df *ABS* 00000000 os_task.c 00000000 l df *ABS* 00000000 os_time.c -81144718 l F .text 0000005c OSTimeDly.part.0 +81144758 l F .text 0000005c OSTimeDly.part.0 00000000 l df *ABS* 00000000 os_tmr.c -811449ec l F .text 00000094 OSTmr_Link -81144a80 l F .text 00000070 OSTmr_Unlink -81144af0 l F .text 000000d8 OSTmr_Task +81144a2c l F .text 00000094 OSTmr_Link +81144ac0 l F .text 00000070 OSTmr_Unlink +81144b30 l F .text 000000d8 OSTmr_Task 00000000 l df *ABS* 00000000 alt_sys_init.c -81150ae0 l O .rwdata 0000106c jtag_uart_0 -81150a10 l O .rwdata 000000d0 rs232_uart +81150b24 l O .rwdata 0000106c jtag_uart_0 +81150a54 l O .rwdata 000000d0 rs232_uart 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_fd.c 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_init.c -811456d4 l F .text 00000088 altera_avalon_jtag_uart_timeout -8114575c l F .text 00000180 altera_avalon_jtag_uart_irq +81145714 l F .text 00000088 altera_avalon_jtag_uart_timeout +8114579c l F .text 00000180 altera_avalon_jtag_uart_irq 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_ioctl.c 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_read.c 00000000 l df *ABS* 00000000 altera_avalon_jtag_uart_write.c 00000000 l df *ABS* 00000000 altera_avalon_timer_sc.c -81145dd0 l F .text 0000003c alt_avalon_timer_sc_irq +81145e10 l F .text 0000003c alt_avalon_timer_sc_irq 00000000 l df *ABS* 00000000 altera_avalon_uart_fd.c 00000000 l df *ABS* 00000000 altera_avalon_uart_init.c -81145e84 l F .text 000001c4 altera_avalon_uart_irq +81145ec4 l F .text 000001c4 altera_avalon_uart_irq 00000000 l df *ABS* 00000000 altera_avalon_uart_read.c 00000000 l df *ABS* 00000000 altera_avalon_uart_write.c 00000000 l df *ABS* 00000000 alt_alarm_start.c @@ -392,7 +392,7 @@ SYMBOL TABLE: 00000000 l df *ABS* 00000000 alt_iic.c 00000000 l df *ABS* 00000000 alt_iic_isr_register.c 00000000 l df *ABS* 00000000 alt_io_redirect.c -81146794 l F .text 00000074 alt_open_fd.constprop.0 +811467d4 l F .text 00000074 alt_open_fd.constprop.0 00000000 l df *ABS* 00000000 altera_nios2_gen2_irq.c 00000000 l df *ABS* 00000000 os_cpu_a.o 00000040 l *ABS* 00000000 OSCtxSw_SWITCH_PC @@ -400,1301 +400,1302 @@ SYMBOL TABLE: 00000014 l *ABS* 00000000 OSTCBNext_OFFSET 00000032 l *ABS* 00000000 OSTCBPrio_OFFSET 00000000 l *ABS* 00000000 OSTCBStkPtr_OFFSET -81151e58 l O .bss 00000002 OSTmrCtr +81151e9c l O .bss 00000002 OSTmrCtr 00000000 l df *ABS* 00000000 exit.c 00000000 l df *ABS* 00000000 memcmp.c 00000000 l df *ABS* 00000000 __call_atexit.c 00000000 l df *ABS* 00000000 alt_exit.c -81151e5c g O .bss 00000004 alt_instruction_exception_handler -8115275c g O .bss 00001800 vFeeTask0_stk +81151ea0 g O .bss 00000004 alt_instruction_exception_handler +811527a0 g O .bss 00001800 vFeeTask0_stk 81103a38 g F .text 00000010 bFeebGetCh2LeftFeeBusy -8112425c g F .text 00000030 vFailDeleteInitialization -81153f5c g O .bss 00001800 vInAckHandlerTask_stk +8112429c g F .text 00000030 vFailDeleteInitialization +81153fa0 g O .bss 00001800 vInAckHandlerTask_stk 8110478c g F .text 00000010 uliRmapCh1WriteCmdAddress -81107138 g F .text 0000002c vSyncInitIrq -8111bf1c g F .text 00000138 vCheckRetransmission128 -81138028 g F .text 00000074 _mprec_log10 -8110a6b0 g F .text 0000059c vQCmdFeeRMAPinModeOn -811071d0 g F .text 00000010 bSyncSetMbt -81125770 g F .text 00000030 vFailRequestDMAFromIRQ -811063d4 g F .text 000000d0 I2C_Read -81151bf6 g O .rwdata 00000002 OSTaskNameSize -8115575c g O .bss 00000980 xBuffer64 -81138114 g F .text 0000008c __any_on -81136b80 g F .text 00000054 _isatty_r -8114fb84 g O .rodata 00000028 __mprec_tinytens -81107294 g F .text 00000010 bSyncCtrIntern -8113f568 g F .text 00000084 alt_main +8110716c g F .text 0000002c vSyncInitIrq +8111bf5c g F .text 00000138 vCheckRetransmission128 +81138068 g F .text 00000074 _mprec_log10 +8110a6e4 g F .text 0000059c vQCmdFeeRMAPinModeOn +81107204 g F .text 00000010 bSyncSetMbt +811257b0 g F .text 00000030 vFailRequestDMAFromIRQ +81106408 g F .text 000000d0 I2C_Read +81151c3a g O .rwdata 00000002 OSTaskNameSize +811557a0 g O .bss 00000980 xBuffer64 +81138154 g F .text 0000008c __any_on +81136bc0 g F .text 00000054 _isatty_r +8114fbc8 g O .rodata 00000028 __mprec_tinytens +811072c8 g F .text 00000010 bSyncCtrIntern +8113f5a8 g F .text 00000084 alt_main 81103a98 g F .text 00000010 bFeebGetCh5LeftFeeBusy 81103940 g F .text 00000018 bFeebGetRightBufferEmpty 81103ad8 g F .text 00000068 bFeebGetBufferDataControl -8112ca80 g F .text 000000c0 _puts_r -81151dec g O .bss 00000004 OSTmrFreeList +8112cac0 g F .text 000000c0 _puts_r +81151e30 g O .bss 00000004 OSTmrFreeList 81102518 g F .text 00000048 bDpktSetSpwCodecErrInj 811023f0 g F .text 00000020 bDpktGetPacketErrors -8118bf04 g O .bss 00000100 alt_irq -81122950 g F .text 00001000 bDeftGetFeeDefaultValues -81136ce0 g F .text 00000060 _lseek_r -81126220 g F .text 00000004 vChangeDefaultAutoResetSync -81141f5c g F .text 00000078 OS_MemInit -811268ac g F .text 00000090 bTestSimucamBasicHW -81125644 g F .text 00000030 vFailRequestDMA +8118bf48 g O .bss 00000100 alt_irq +81122990 g F .text 00001000 bDeftGetFeeDefaultValues +81136d20 g F .text 00000060 _lseek_r +81126260 g F .text 00000004 vChangeDefaultAutoResetSync +81141f9c g F .text 00000078 OS_MemInit +811268ec g F .text 00000090 bTestSimucamBasicHW +81125684 g F .text 00000030 vFailRequestDMA 81103958 g F .text 00000010 bFeebGetCh1LeftBufferEmpty -81112d58 g F .text 000000b4 bCheckInAck64 -811560dc g O .bss 00001140 xPus -811449d0 g F .text 0000001c OSTimeSet -811191d4 g F .text 000012bc vPusType250run +81112d8c g F .text 000000b4 bCheckInAck64 +81156120 g O .bss 00001140 xPus +81144a10 g F .text 0000001c OSTimeSet +81119208 g F .text 000012bc vPusType250run 81104ca8 g F .text 00000028 bRmapGetMemConfig -81107e38 g F .text 000002ec vPerformActionDTCFillingMem -81135dfc g F .text 000000ac __sflags -8112475c g F .text 00000058 vNoContentInSenderBuffer -81125140 g F .text 00000058 vCoudlNotCreateNFee2Task -8114cc7f g O .rodata 00000078 cucEvtListData -81125484 g F .text 00000030 vCouldNotGetMutexMebPus -81117088 g F .text 00000038 iCompareDataPktError -8113f0a0 g F .text 00000088 .hidden __eqdf2 -81126440 g F .text 00000050 vSendCmdQToDataCTRL -811261f8 g F .text 00000008 vLoadDefaultSyncSource -81123d88 g F .text 00000030 vFailCreateMutexDMA -81151cdc g O .bss 00000004 EEventsList -81184da0 g O .bss 00000010 OSTmrWheelTbl +81107e6c g F .text 000002ec vPerformActionDTCFillingMem +81135e3c g F .text 000000ac __sflags +8112479c g F .text 00000058 vNoContentInSenderBuffer +81125180 g F .text 00000058 vCoudlNotCreateNFee2Task +8114ccc3 g O .rodata 00000078 cucEvtListData +811254c4 g F .text 00000030 vCouldNotGetMutexMebPus +811170bc g F .text 00000038 iCompareDataPktError +8113f0e0 g F .text 00000088 .hidden __eqdf2 +81126480 g F .text 00000050 vSendCmdQToDataCTRL +81126238 g F .text 00000008 vLoadDefaultSyncSource +81123dc8 g F .text 00000030 vFailCreateMutexDMA +81151d20 g O .bss 00000004 EEventsList +81184de4 g O .bss 00000010 OSTmrWheelTbl 811047ac g F .text 00000010 uliRmapCh3WriteCmdAddress -8115721c g O .bss 00000040 xFeeQueueTBL3 -81105310 g F .text 00000014 uliTimecodeCalcDelayNs -81151ce0 g O .bss 00000004 EDeftNfeeCfgRmapAreaID -8114085c g F .text 000003cc OSEventPendMulti -81151d1c g O .bss 00000004 xNfeeSchedule -8118c004 g *ABS* 00000000 __alt_heap_start -81146a2c g F .text 00000004 OSTaskCreateHook -81151d20 g O .bss 00000004 xSemCountBuffer64 -81151c4c g O .bss 00000004 xMutexTranferBuffer +81157260 g O .bss 00000040 xFeeQueueTBL3 +81105344 g F .text 00000014 uliTimecodeCalcDelayNs +81151d24 g O .bss 00000004 EDeftNfeeCfgRmapAreaID +8114089c g F .text 000003cc OSEventPendMulti +81151d60 g O .bss 00000004 xNfeeSchedule +8118c048 g *ABS* 00000000 __alt_heap_start +81146a6c g F .text 00000004 OSTaskCreateHook +81151d64 g O .bss 00000004 xSemCountBuffer64 +81151c90 g O .bss 00000004 xMutexTranferBuffer 8110220c g F .text 000000a4 bCommSetGlobalIrqEn 81104ae0 g F .text 00000028 bRmapGetIrqControl -81151ccd g O .bss 00000001 SspdConfigControl -811072b8 g F .text 00000014 bSyncCtrReset -81151c1e g O .rwdata 00000002 OSMboxEn -8110dea4 g F .text 00000558 vQCmdFeeRMAPWaitingSync -81127750 g F .text 00000054 aatoh -81140100 g F .text 0000002c OSIntEnter -8113e340 g F .text 0000009c _wcrtomb_r -811241fc g F .text 00000030 vFailReceiverCreate -81151c50 g O .bss 00000004 ERmapCcdReadoutOrder -81151d24 g O .bss 00000004 xQMaskDataCtrl +81151d11 g O .bss 00000001 SspdConfigControl +811072ec g F .text 00000014 bSyncCtrReset +81151c62 g O .rwdata 00000002 OSMboxEn +8110ded8 g F .text 00000558 vQCmdFeeRMAPWaitingSync +81127790 g F .text 00000054 aatoh +81140140 g F .text 0000002c OSIntEnter +8113e380 g F .text 0000009c _wcrtomb_r +8112423c g F .text 00000030 vFailReceiverCreate +81151c94 g O .bss 00000004 ERmapCcdReadoutOrder +81151d68 g O .bss 00000004 xQMaskDataCtrl 811031c0 g F .text 0000010c vFeebCh2HandleIrq -81107424 g F .text 00000010 bSyncIrqFlagClrNormalPulse -8112d7bc g F .text 0000005c __sseek -81135dac g F .text 00000010 __sinit -811073d4 g F .text 00000010 bSyncIrqEnableNormalPulse -81133bcc g F .text 00000140 __swbuf_r -8111c2ec g F .text 000004d4 bResourcesInitRTOS -81105010 g F .text 00000038 bSpwcGetLinkError -81151c0e g O .rwdata 00000002 OSQEn -8110ccd0 g F .text 0000068c vQCmdFeeRMAPinStandBy +81107458 g F .text 00000010 bSyncIrqFlagClrNormalPulse +8112d7fc g F .text 0000005c __sseek +81135dec g F .text 00000010 __sinit +81107408 g F .text 00000010 bSyncIrqEnableNormalPulse +81133c0c g F .text 00000140 __swbuf_r +8111c32c g F .text 000004d4 bResourcesInitRTOS +81105044 g F .text 00000038 bSpwcGetLinkError +81151c52 g O .rwdata 00000002 OSQEn +8110cd04 g F .text 0000068c vQCmdFeeRMAPinStandBy 811043b4 g F .text 00000148 vRmapCh4HandleIrq -81136c04 g F .text 0000007c _setlocale_r -81151b50 g O .rwdata 00000004 LedsPainelControl -8115225c g O .bss 00000100 cDebugBuffer -81135c14 g F .text 00000068 __sfmoreglue -8113bcb4 g F .text 00000018 ungetc -8113fca4 g F .text 00000050 __malloc_unlock -81127804 g F .text 00000100 round -81146a38 g F .text 00000004 OSTaskStatHook -81126304 g F .text 00000050 vSendCmdQToNFeeCTRL -81126208 g F .text 00000004 vChangeDefaultSyncSource -81151df0 g O .bss 00000001 OSLockNesting -81151df4 g O .bss 00000004 OSTmrSemSignal -81125ba0 g F .text 000002d8 vNFeeStructureInit -81151b62 g O .rwdata 00000002 cusiSyncFFeeNormalBlankTimeMs -81151d28 g O .bss 00000004 xSemCommInit +81136c44 g F .text 0000007c _setlocale_r +81151b94 g O .rwdata 00000004 LedsPainelControl +81104d28 g F .text 00000034 vRmapResetEchoingModule +811522a0 g O .bss 00000100 cDebugBuffer +81135c54 g F .text 00000068 __sfmoreglue +8113bcf4 g F .text 00000018 ungetc +8113fce4 g F .text 00000050 __malloc_unlock +81127844 g F .text 00000100 round +81146a78 g F .text 00000004 OSTaskStatHook +81126344 g F .text 00000050 vSendCmdQToNFeeCTRL +81126248 g F .text 00000004 vChangeDefaultSyncSource +81151e34 g O .bss 00000001 OSLockNesting +81151e38 g O .bss 00000004 OSTmrSemSignal +81125be0 g F .text 000002d8 vNFeeStructureInit +81151ba6 g O .rwdata 00000002 cusiSyncFFeeNormalBlankTimeMs +81151d6c g O .bss 00000004 xSemCommInit 81101790 g F .text 00000024 uliXorshift32 -811389f0 g F .text 000015f8 _strtod_r -81151d2c g O .bss 00000004 xSemCountSenderACK -811279d8 g F .text 00000440 .hidden __divsf3 -81151b6c g O .rwdata 00000004 cbSyncNFeePulsePolarity -8115725c g O .bss 00000020 xLutQTBL -81105fa8 g F .text 00000014 vFtdiStartModule -81151bd0 g O .rwdata 00000002 OSDataSize -81151df8 g O .bss 00000001 OSRunning -8111eeb8 g F .text 00000184 vShowChannelsConfig -8110513c g F .text 00000168 bSpwcInitCh -8115727c g O .bss 00001800 senderTask_stk -81105bc0 g F .text 00000014 bDisableIsoLogic -81107484 g F .text 00000010 bSyncIrqFlagLastPulse +81138a30 g F .text 000015f8 _strtod_r +81151d70 g O .bss 00000004 xSemCountSenderACK +81127a18 g F .text 00000440 .hidden __divsf3 +81151bb0 g O .rwdata 00000004 cbSyncNFeePulsePolarity +811572a0 g O .bss 00000020 xLutQTBL +81105fdc g F .text 00000014 vFtdiStartModule +81151c14 g O .rwdata 00000002 OSDataSize +81151e3c g O .bss 00000001 OSRunning +8111eef8 g F .text 00000184 vShowChannelsConfig +81105170 g F .text 00000168 bSpwcInitCh +811572c0 g O .bss 00001800 senderTask_stk +81105bf4 g F .text 00000014 bDisableIsoLogic +811074b8 g F .text 00000010 bSyncIrqFlagLastPulse 81104834 g F .text 00000038 bRmapChEnableCodec -81151d30 g O .bss 00000004 fp -81158a7c g O .bss 00000100 xNfeeScheduleTBL -81112ca4 g F .text 000000b4 bCheckInAck128 -81137048 g F .text 0000015c memmove -81146a60 g F .text 00000008 OSInitHookBegin -81151b74 g O .rwdata 00000002 cusiSyncNFeeSyncPeriodMs -81107394 g F .text 00000010 bSyncCtrCh8OutEnable -8110a1d8 g F .text 00000060 bEnableDbBuffer -81113118 g F .text 0000004c bInitFTDI -811225bc g F .text 00000168 bDeftSetNucDefaultValues -81151bd8 g O .rwdata 00000002 OSTmrSize -81105f14 g F .text 00000010 vFtdiResetLutWinArea -81135d94 g F .text 00000018 _cleanup -81151c54 g O .bss 00000004 ERmapSyncSel -81126680 g F .text 00000008 siCloseFile +81151d74 g O .bss 00000004 fp +81158ac0 g O .bss 00000100 xNfeeScheduleTBL +81112cd8 g F .text 000000b4 bCheckInAck128 +81137088 g F .text 0000015c memmove +81146aa0 g F .text 00000008 OSInitHookBegin +81151bb8 g O .rwdata 00000002 cusiSyncNFeeSyncPeriodMs +811073c8 g F .text 00000010 bSyncCtrCh8OutEnable +8110a20c g F .text 00000060 bEnableDbBuffer +8111314c g F .text 0000004c bInitFTDI +811225fc g F .text 00000168 bDeftSetNucDefaultValues +81151c1c g O .rwdata 00000002 OSTmrSize +81105f48 g F .text 00000010 vFtdiResetLutWinArea +81135dd4 g F .text 00000018 _cleanup +81151c98 g O .bss 00000004 ERmapSyncSel +811266c0 g F .text 00000008 siCloseFile 81103ddc g F .text 00000200 bFeebInitCh -81125860 g F .text 00000030 vFailSendMsgMasterSyncLut -811371a4 g F .text 000000a8 _Balloc -8112620c g F .text 0000000c vLoadDefaultAutoResetSync -8112882c g F .text 00000070 .hidden __fixsfsi +811258a0 g F .text 00000030 vFailSendMsgMasterSyncLut +811371e4 g F .text 000000a8 _Balloc +8112624c g F .text 0000000c vLoadDefaultAutoResetSync +8112886c g F .text 00000070 .hidden __fixsfsi 81104b80 g F .text 00000030 bRmapSetCodecConfig -81117aec g F .text 000000ec vSendMessageNUCModeMEBChange -81106b84 g F .text 00000020 vRstcClearResetCounter +81117b20 g F .text 000000ec vSendMessageNUCModeMEBChange +81106bb8 g F .text 00000020 vRstcClearResetCounter 81103d64 g F .text 00000078 bFeebSetPxStorageSize -81151dfc g O .bss 00000004 OSIdleCtr -81113d94 g F .text 000001c4 vQCmdLUTWaitIRQFinish -81105a44 g F .text 00000024 bEnableIsoDrivers -81123cd4 g F .text 00000030 vFailSendRequestDTController -81105120 g F .text 0000001c bSpwcEnableTimecodeTrans -81129c44 g F .text 000000dc .hidden __gtdf2 -8114687c g F .text 00000008 altera_nios2_gen2_irq_init -81146930 g .text 00000000 OSStartTsk -81107230 g F .text 00000014 bSyncSetNCycles -81120104 g F .text 00000060 bLoadHardcodedSpwConf -81123950 g F .text 00000158 bDeftGetNucDefaultValues -81108d60 g F .text 000000e8 vInitialConfig_DpktPacket -81140e1c g F .text 00000178 OS_TCBInit +81151e40 g O .bss 00000004 OSIdleCtr +81113dc8 g F .text 000001c4 vQCmdLUTWaitIRQFinish +81105a78 g F .text 00000024 bEnableIsoDrivers +81123d14 g F .text 00000030 vFailSendRequestDTController +81105154 g F .text 0000001c bSpwcEnableTimecodeTrans +81129c84 g F .text 000000dc .hidden __gtdf2 +811468bc g F .text 00000008 altera_nios2_gen2_irq_init +81146970 g .text 00000000 OSStartTsk +81107264 g F .text 00000014 bSyncSetNCycles +81120144 g F .text 00000060 bLoadHardcodedSpwConf +81123990 g F .text 00000158 bDeftGetNucDefaultValues +81108d94 g F .text 000000e8 vInitialConfig_DpktPacket +81140e5c g F .text 00000178 OS_TCBInit 81103ab8 g F .text 00000010 bFeebGetCh6LeftFeeBusy -81125ff0 g F .text 000000bc vInitSimucamBasicHW -8111d238 g F .text 000001f4 bSendUART512v2 -81158b7c g O .bss 00001800 vTimeoutCheckerTask_stk -81107374 g F .text 00000010 bSyncCtrCh6OutEnable -811060d0 g F .text 000000e4 i2c_write +81126030 g F .text 000000bc vInitSimucamBasicHW +8111d278 g F .text 000001f4 bSendUART512v2 +81158bc0 g O .bss 00001800 vTimeoutCheckerTask_stk +811073a8 g F .text 00000010 bSyncCtrCh6OutEnable +81106104 g F .text 000000e4 i2c_write 86020000 g F .entry 00000000 __reset -81151e00 g O .bss 00000002 OSTmrUsed -81151d34 g O .bss 00000004 xSemCountBuffer128 +81151e44 g O .bss 00000002 OSTmrUsed +81151d78 g O .bss 00000004 xSemCountBuffer128 81101980 g F .text 0000009c TEMP_Read 81104ab8 g F .text 00000028 bRmapSetIrqControl -8115a37c g O .bss 00001800 vDataControlTask_stk +8115a3c0 g O .bss 00001800 vDataControlTask_stk 811033c8 g F .text 00000100 vFeebCh4HandleIrq -81151bd2 g O .rwdata 00000002 OSTmrWheelTblSize -81151c32 g O .rwdata 00000002 OSEventSize -811059e0 g F .text 00000064 bWindSetWindowingAreaOffset -811055e0 g F .text 0000037c bWindCopyCcdXWindowingConfig +81151c16 g O .rwdata 00000002 OSTmrWheelTblSize +81151c76 g O .rwdata 00000002 OSEventSize +81105a14 g F .text 00000064 bWindSetWindowingAreaOffset +81105614 g F .text 0000037c bWindCopyCcdXWindowingConfig 811024b8 g F .text 00000030 bDpktSetSpacewireErrInj -81151e02 g O .bss 00000001 OSPrioHighRdy -8112bb24 g F .text 0000005c _fstat_r -81151c58 g O .bss 00000004 ERmapSensorSel -81146a30 g F .text 00000004 OSTaskDelHook -81151da4 g O .bss 00000004 errno +81151e46 g O .bss 00000001 OSPrioHighRdy +8112bb64 g F .text 0000005c _fstat_r +81151c9c g O .bss 00000004 ERmapSensorSel +81146a70 g F .text 00000004 OSTaskDelHook +81151de8 g O .bss 00000004 errno 81102d78 g F .text 00000030 bDpktHeaderErrInjStopInj -81133b64 g F .text 0000001c __svfscanf +81133ba4 g F .text 0000001c __svfscanf 81104b58 g F .text 00000028 bRmapGetEchoingMode 81102780 g F .text 00000038 bDpktGetRightContentErrInj -8112d738 g F .text 00000008 __seofread +8112d778 g F .text 00000008 __seofread 811030b0 g F .text 00000010 uliPxDelayCalcPeriodMs -81146910 g .text 00000000 OSStartHighRdy -81125a14 g F .text 00000004 vEvtChangeFeeControllerMode -811143d8 g F .text 0000008c bSendCmdQToNFeeInst -81151c5c g O .bss 00000004 EDpktHeaderErrId -81143c80 g F .text 00000160 OSTaskCreateExt +81146950 g .text 00000000 OSStartHighRdy +81125a54 g F .text 00000004 vEvtChangeFeeControllerMode +8111440c g F .text 0000008c bSendCmdQToNFeeInst +81151ca0 g O .bss 00000004 EDpktHeaderErrId +81143cc0 g F .text 00000160 OSTaskCreateExt 81102398 g F .text 00000038 bDpktGetPacketConfig -811245fc g F .text 00000058 vFailGetCountSemaphorePreParsedBuffer -8113a810 g F .text 0000123c ___svfiprintf_internal_r -81116ab8 g F .text 00000250 bPreParserV2 -8110a4ac g F .text 0000016c vActivateContentErrInj -811418cc g F .text 00000020 OSFlagPendGetFlagsRdy -81151bf2 g O .rwdata 00000002 OSTaskStatStkSize +8112463c g F .text 00000058 vFailGetCountSemaphorePreParsedBuffer +8113a850 g F .text 0000123c ___svfiprintf_internal_r +81116aec g F .text 00000250 bPreParserV2 +8110a4e0 g F .text 0000016c vActivateContentErrInj +8114190c g F .text 00000020 OSFlagPendGetFlagsRdy +81151c36 g O .rwdata 00000002 OSTaskStatStkSize 81103988 g F .text 00000010 bFeebGetCh2RightBufferEmpty -8112576c g F .text 00000004 vFailSendBufferFullIRQtoDTC -811410c8 g F .text 00000160 OSFlagAccept -81142c84 g F .text 00000050 OSQFlush -8114296c g F .text 000000a8 OSQAccept -81151dcc g O .bss 00000004 alt_argv -81159b4c g *ABS* 00000000 _gp -81123f58 g F .text 00000030 vFailSendPreAckReceiverSemaphore -81105f34 g F .text 00000010 ucFtdiGetTxErrorCode -8113f9c4 g F .text 000000b8 usleep -81126634 g F .text 00000008 bSDcardIsPresent +811257ac g F .text 00000004 vFailSendBufferFullIRQtoDTC +81141108 g F .text 00000160 OSFlagAccept +81142cc4 g F .text 00000050 OSQFlush +811429ac g F .text 000000a8 OSQAccept +81151e10 g O .bss 00000004 alt_argv +81159b90 g *ABS* 00000000 _gp +81123f98 g F .text 00000030 vFailSendPreAckReceiverSemaphore +81105f68 g F .text 00000010 ucFtdiGetTxErrorCode +8113fa04 g F .text 000000b8 usleep +81126674 g F .text 00000008 bSDcardIsPresent 811039a8 g F .text 00000010 bFeebGetCh3RightBufferEmpty -81128348 g F .text 000004e4 .hidden __subsf3 -81116f14 g F .text 0000012c vSenderComTask -81143b78 g F .text 00000108 OSTaskCreate -8112480c g F .text 00000058 vFailSendNack -81143920 g F .text 00000258 OSTaskChangePrio -8111907c g F .text 0000006c vPusMebInTaskConfigMode -81124e00 g F .text 00000070 vCouldNotSendTMPusCommand -8114ffb0 g O .rwdata 00000014 values -81151de8 g O .bss 00000004 alt_heapsem -81125ea0 g F .text 0000003c vResetMemCCDFEE -81106594 g F .text 00000048 bSetBoardLeds -8112cb54 g F .text 0000081c qsort -81140f94 g F .text 00000004 OSDebugInit -81143de0 g F .text 0000023c OSTaskDel -811079f4 g F .text 000003c4 bSyncConfigFFeeSyncPeriod -8114207c g F .text 000000d8 OSMutexAccept -8115bb7c g O .bss 0000ca18 xSimMeb -81120704 g F .text 000000a0 bDeftInitFeeDefault -81125f7c g F .text 00000008 vSetTimeCode +81128388 g F .text 000004e4 .hidden __subsf3 +81116f48 g F .text 0000012c vSenderComTask +81143bb8 g F .text 00000108 OSTaskCreate +8112484c g F .text 00000058 vFailSendNack +81143960 g F .text 00000258 OSTaskChangePrio +811190b0 g F .text 0000006c vPusMebInTaskConfigMode +81124e40 g F .text 00000070 vCouldNotSendTMPusCommand +8114fff4 g O .rwdata 00000014 values +81151e2c g O .bss 00000004 alt_heapsem +81125ee0 g F .text 0000003c vResetMemCCDFEE +811065c8 g F .text 00000048 bSetBoardLeds +8112cb94 g F .text 0000081c qsort +81140fd4 g F .text 00000004 OSDebugInit +81143e20 g F .text 0000023c OSTaskDel +81107a28 g F .text 000003c4 bSyncConfigFFeeSyncPeriod +811420bc g F .text 000000d8 OSMutexAccept +8115bbc0 g O .bss 0000ca18 xSimMeb +81120744 g F .text 000000a0 bDeftInitFeeDefault +81125fbc g F .text 00000008 vSetTimeCode 81101d80 g F .text 00000008 bSdmaInitComm5Dmas -81151d38 g O .bss 00000004 xSemCountPreParsed -81150868 g O .rwdata 00000180 alt_fd_list -81184db0 g O .bss 00000840 OSFlagTbl -81123db8 g F .text 00000030 vFailReadETHConf -81124068 g F .text 00000058 vFailGetCountSemaphoreReceiverTask +81151d7c g O .bss 00000004 xSemCountPreParsed +811508ac g O .rwdata 00000180 alt_fd_list +81184df4 g O .bss 00000840 OSFlagTbl +81123df8 g F .text 00000030 vFailReadETHConf +811240a8 g F .text 00000058 vFailGetCountSemaphoreReceiverTask 811047cc g F .text 00000010 uliRmapCh5WriteCmdAddress -811261e0 g F .text 0000000c vLoadDefaultRTValue -81108238 g F .text 000000d0 vPerformActionDTCConfig -81140694 g F .text 00000040 OS_EventTaskRemove -81168594 g O .bss 00001800 vFeeTask5_stk -81169d94 g O .bss 000025e0 xBuffer128_Sender -811464ec g F .text 00000078 alt_find_dev -81105f54 g F .text 00000010 usiFtdiTxBufferUsedBytes -8112c648 g F .text 00000148 memcpy -8113e014 g F .text 0000025c __hexnan -81108ed8 g F .text 00000648 vUpdateFeeHKValue -81104f60 g F .text 00000040 bSpwcSetLinkConfig +81126220 g F .text 0000000c vLoadDefaultRTValue +8110826c g F .text 000000d0 vPerformActionDTCConfig +811406d4 g F .text 00000040 OS_EventTaskRemove +811685d8 g O .bss 00001800 vFeeTask5_stk +81169dd8 g O .bss 000025e0 xBuffer128_Sender +8114652c g F .text 00000078 alt_find_dev +81105f88 g F .text 00000010 usiFtdiTxBufferUsedBytes +8112c688 g F .text 00000148 memcpy +8113e054 g F .text 0000025c __hexnan +81108f0c g F .text 00000648 vUpdateFeeHKValue +81104f94 g F .text 00000040 bSpwcSetLinkConfig 81102e18 g F .text 00000284 bDpktInitCh -8116c374 g O .bss 00000580 xBuffer32 -81107464 g F .text 00000010 bSyncIrqFlagMasterPulse -81151c08 g O .rwdata 00000002 OSRdyTblSize -811855f0 g O .bss 000001a0 OSTmrTbl -81124d20 g F .text 00000030 vCouldNotSendGenericMessageInternalCMD -81107414 g F .text 00000010 bSyncIrqFlagClrMasterPulse -81135a64 g F .text 0000000c _cleanup_r -8112adb4 g F .text 000000dc .hidden __floatsidf -8111e360 g F .text 00000160 vSendPusTM64 -811071b0 g F .text 00000010 ucSyncStatusErrorCode -811263d0 g F .text 00000070 vSendCmdQToNFeeCTRL_GEN -81114ba0 g F .text 00001c5c vParserCommTask -81146808 g F .text 00000074 alt_io_redirect -8113f128 g F .text 000000f4 .hidden __ltdf2 -811253fc g F .text 00000030 vFailSendPUStoMebTask +8116c3b8 g O .bss 00000580 xBuffer32 +81107498 g F .text 00000010 bSyncIrqFlagMasterPulse +81151c4c g O .rwdata 00000002 OSRdyTblSize +81185634 g O .bss 000001a0 OSTmrTbl +81124d60 g F .text 00000030 vCouldNotSendGenericMessageInternalCMD +81107448 g F .text 00000010 bSyncIrqFlagClrMasterPulse +81135aa4 g F .text 0000000c _cleanup_r +8112adf4 g F .text 000000dc .hidden __floatsidf +8111e3a0 g F .text 00000160 vSendPusTM64 +811071e4 g F .text 00000010 ucSyncStatusErrorCode +81126410 g F .text 00000070 vSendCmdQToNFeeCTRL_GEN +81114bd4 g F .text 00001c5c vParserCommTask +81146848 g F .text 00000074 alt_io_redirect +8113f168 g F .text 000000f4 .hidden __ltdf2 +8112543c g F .text 00000030 vFailSendPUStoMebTask 81101d78 g F .text 00000008 bSdmaInitComm4Dmas 81103868 g F .text 00000028 bFeebSetIrqControl -81105fcc g F .text 00000014 vFtdiAbortOperation -81124c18 g F .text 00000058 vCouldNotRetransmitB128TimeoutTask -81151d3c g O .bss 00000004 xMutexReceivedACK -81151c60 g O .bss 00000004 EDpktMode -811072e0 g F .text 00000014 bSyncCtrErrInj -81120164 g F .text 00000148 vShowEthConfig -811260ac g F .text 00000008 bLogWriteSDCard -811242e4 g F .text 00000058 vFailSetCountSemaphorexBuffer64 -81105ff8 g F .text 0000000c vFtdiIrqRxHccdCommErrEn +81106000 g F .text 00000014 vFtdiAbortOperation +81124c58 g F .text 00000058 vCouldNotRetransmitB128TimeoutTask +81151d80 g O .bss 00000004 xMutexReceivedACK +81151ca4 g O .bss 00000004 EDpktMode +81107314 g F .text 00000014 bSyncCtrErrInj +811201a4 g F .text 00000148 vShowEthConfig +811260ec g F .text 00000008 bLogWriteSDCard +81124324 g F .text 00000058 vFailSetCountSemaphorexBuffer64 +8110602c g F .text 0000000c vFtdiIrqRxHccdCommErrEn 81103ac8 g F .text 00000010 bFeebGetCh6RightFeeBusy -8112cb40 g F .text 00000014 puts -81126690 g F .text 0000010c bInitSync -81146a74 g F .text 00000074 alt_exception_cause_generated_bad_addr -8110a288 g F .text 00000224 vApplyRmap -81151b78 g O .rwdata 00000002 cusiSyncNFeeMasterDetectionTimeMs +8112cb80 g F .text 00000014 puts +811266d0 g F .text 0000010c bInitSync +81146ab4 g F .text 00000074 alt_exception_cause_generated_bad_addr +8110a2bc g F .text 00000224 vApplyRmap +81151bbc g O .rwdata 00000002 cusiSyncNFeeMasterDetectionTimeMs 81102618 g F .text 00000020 bDpktRstRmapErrInj -81106dbc g F .text 000001f8 vSyncHandleIrq -8113fd28 g F .text 000000cc OSEventNameGet -81151c24 g O .rwdata 00000002 OSFlagMax -81136dec g F .text 000000d8 mbrtowc -81126490 g F .text 00000050 vSendCmdQToDataCTRL_PRIO -81107364 g F .text 00000010 bSyncCtrCh5OutEnable -81151cd8 g O .bss 00000001 vucN -81138764 g F .text 00000074 __fpclassifyd -81107190 g F .text 00000010 bSyncStatusExtnIrq +81106df0 g F .text 000001f8 vSyncHandleIrq +8113fd68 g F .text 000000cc OSEventNameGet +81151c68 g O .rwdata 00000002 OSFlagMax +81136e2c g F .text 000000d8 mbrtowc +811264d0 g F .text 00000050 vSendCmdQToDataCTRL_PRIO +81107398 g F .text 00000010 bSyncCtrCh5OutEnable +81151d1c g O .bss 00000001 vucN +811387a4 g F .text 00000074 __fpclassifyd +811071c4 g F .text 00000010 bSyncStatusExtnIrq 81104a60 g F .text 00000058 bRmapSoftRstMemAreaHk -81133b80 g F .text 0000004c _vfscanf_r -81142448 g F .text 00000304 OSMutexPend -81125830 g F .text 00000030 vFailSendMsgMasterSyncDTC -81137f84 g F .text 000000a4 __ratio -81124e70 g F .text 00000058 vWarnCouldNotgetMutexRetrans128 -8116c8f4 g O .bss 000020c0 xBuffer512 -8114012c g F .text 000000e4 OSIntExit -81183c64 g O .bss 00000028 vxDeftMebDefaults -811071e0 g F .text 00000010 bSyncSetBt -8113d23c g F .text 0000001c __vfiprintf_internal -811254b4 g F .text 00000058 vCouldNotCreateQueueMaskNfeeCtrl -81151bea g O .rwdata 00000002 OSTCBSize -8111e1dc g F .text 000000fc vSendFEEStatus -81151e03 g O .bss 00000001 OSPrioCur -81107454 g F .text 00000010 bSyncIrqFlagBlankPulse -81145a44 g F .text 00000198 altera_avalon_jtag_uart_read +81133bc0 g F .text 0000004c _vfscanf_r +81142488 g F .text 00000304 OSMutexPend +81125870 g F .text 00000030 vFailSendMsgMasterSyncDTC +81137fc4 g F .text 000000a4 __ratio +81124eb0 g F .text 00000058 vWarnCouldNotgetMutexRetrans128 +8116c938 g O .bss 000020c0 xBuffer512 +8114016c g F .text 000000e4 OSIntExit +81183ca8 g O .bss 00000028 vxDeftMebDefaults +81107214 g F .text 00000010 bSyncSetBt +8113d27c g F .text 0000001c __vfiprintf_internal +811254f4 g F .text 00000058 vCouldNotCreateQueueMaskNfeeCtrl +81151c2e g O .rwdata 00000002 OSTCBSize +8111e21c g F .text 000000fc vSendFEEStatus +81151e47 g O .bss 00000001 OSPrioCur +81107488 g F .text 00000010 bSyncIrqFlagBlankPulse +81145a84 g F .text 00000198 altera_avalon_jtag_uart_read 81104b30 g F .text 00000028 bRmapSetEchoingMode -8112b34c g F .text 00000064 .hidden __udivsi3 -8113f468 g F .text 00000084 isatty -81151ccc g O .bss 00000001 LedsBoardControl -811074c4 g F .text 00000010 bSyncPreIrqEnableLastPulse -81151d40 g O .bss 00000004 xSemCountReceivedACK -81151c04 g O .rwdata 00000002 OSStkWidth -81136bd4 g F .text 00000030 iswspace -81122724 g F .text 000000a0 bDeftSetDefaultValues -811097fc g F .text 00000030 uliReturnMaskG -8114fbd4 g O .rodata 000000c8 __mprec_tens -81151c10 g O .rwdata 00000002 OSPtrSize -81107524 g F .text 00000010 bSyncPreIrqFlagMasterPulse -811257a0 g F .text 00000030 vFailSendRMAPFromIRQ -811252a0 g F .text 00000058 vCoudlNotCreateNFeeControllerTask -81105d80 g F .text 0000003c bFtdiTxIrqInit -81123c44 g F .text 00000030 vFailInitRTOSResources -81136c80 g F .text 0000000c __locale_charset -81107344 g F .text 00000010 bSyncCtrCh3OutEnable -8112db9c g F .text 0000001c strtoll -8113efd8 g F .text 000000c8 .hidden __lesf2 -81151c30 g O .rwdata 00000002 OSEventTblSize -81106624 g F .text 0000000c bMfilGetWrTimeoutErr -81127964 g F .text 00000074 .hidden __fixunsdfsi -81146884 g .text 00000000 OSCtxSw -811064a4 g F .text 000000f0 I2C_MultipleRead -81120814 g F .text 000001a4 bDeftSetMebDefaultValues -81151d44 g O .bss 00000004 xSemCountBuffer512 -81151db0 g O .bss 00000004 __malloc_top_pad -81151e04 g O .bss 00000004 OSTCBList -81106bc4 g F .text 00000028 vScomSoftRstMemAreaConfig -81151ce4 g O .bss 00000004 EDeftSpwInterfaceParamsID -81145498 g F .text 00000008 OSTmrSignal -81151dc0 g O .bss 00000004 alt_fd_list_lock -8113a34c g F .text 0000001c strtoul +8112b38c g F .text 00000064 .hidden __udivsi3 +8113f4a8 g F .text 00000084 isatty +81151d10 g O .bss 00000001 LedsBoardControl +811074f8 g F .text 00000010 bSyncPreIrqEnableLastPulse +81151d84 g O .bss 00000004 xSemCountReceivedACK +81151c48 g O .rwdata 00000002 OSStkWidth +81136c14 g F .text 00000030 iswspace +81122764 g F .text 000000a0 bDeftSetDefaultValues +81109830 g F .text 00000030 uliReturnMaskG +8114fc18 g O .rodata 000000c8 __mprec_tens +81151c54 g O .rwdata 00000002 OSPtrSize +81107558 g F .text 00000010 bSyncPreIrqFlagMasterPulse +811257e0 g F .text 00000030 vFailSendRMAPFromIRQ +811252e0 g F .text 00000058 vCoudlNotCreateNFeeControllerTask +81105db4 g F .text 0000003c bFtdiTxIrqInit +81123c84 g F .text 00000030 vFailInitRTOSResources +81136cc0 g F .text 0000000c __locale_charset +81107378 g F .text 00000010 bSyncCtrCh3OutEnable +8112dbdc g F .text 0000001c strtoll +8113f018 g F .text 000000c8 .hidden __lesf2 +81151c74 g O .rwdata 00000002 OSEventTblSize +81106658 g F .text 0000000c bMfilGetWrTimeoutErr +811279a4 g F .text 00000074 .hidden __fixunsdfsi +811468c4 g .text 00000000 OSCtxSw +811064d8 g F .text 000000f0 I2C_MultipleRead +81120854 g F .text 000001a4 bDeftSetMebDefaultValues +81151d88 g O .bss 00000004 xSemCountBuffer512 +81151df4 g O .bss 00000004 __malloc_top_pad +81151e48 g O .bss 00000004 OSTCBList +81106bf8 g F .text 00000028 vScomSoftRstMemAreaConfig +81151d28 g O .bss 00000004 EDeftSpwInterfaceParamsID +811454d8 g F .text 00000008 OSTmrSignal +81151e04 g O .bss 00000004 alt_fd_list_lock +8113a38c g F .text 0000001c strtoul 811023d0 g F .text 00000020 bDpktSetPacketErrors -81151b94 g O .rwdata 00000004 __mb_cur_max +81151bd8 g O .rwdata 00000004 __mb_cur_max 81102a90 g F .text 0000005c bDpktContentErrInjStopInj -81136cb0 g F .text 0000000c _localeconv_r -8113a368 g F .text 000002d8 _strtoull_r -81106b6c g F .text 00000018 vRstcHoldDeviceReset -811375b0 g F .text 0000003c __i2b -8113653c g F .text 000004bc __sfvwrite_r -81107514 g F .text 00000010 bSyncPreIrqFlagBlankPulse +81136cf0 g F .text 0000000c _localeconv_r +8113a3a8 g F .text 000002d8 _strtoull_r +81106ba0 g F .text 00000018 vRstcHoldDeviceReset +811375f0 g F .text 0000003c __i2b +8113657c g F .text 000004bc __sfvwrite_r +81107548 g F .text 00000010 bSyncPreIrqFlagBlankPulse 81104d10 g F .text 00000008 bRmapGetRmapMemCfgArea -81185790 g O .bss 00000c30 OSMemTbl -81151e08 g O .bss 00000001 OSTickStepState +811857d4 g O .bss 00000c30 OSMemTbl +81151e4c g O .bss 00000001 OSTickStepState 81104810 g F .text 0000000c vRmapCh4EnableCodec -8111e620 g F .text 00000164 vSendPusTM512 +8111e660 g F .text 00000164 vSendPusTM512 8110481c g F .text 0000000c vRmapCh5EnableCodec 81102710 g F .text 00000038 bDpktGetLeftContentErrInj 81102480 g F .text 00000038 bDpktGetPixelDelay -8116e9b4 g O .bss 00002000 vReceiverUartTask_stk -8112d560 g F .text 00000054 _sbrk_r -81151b7a g O .rwdata 00000002 cusiSyncNFeeMasterBlankTimeMs -81151d48 g O .bss 00000004 xSemTimeoutChecker -81183c8c g O .bss 0000001c vxDeftNucDefaults -81151c0c g O .rwdata 00000002 OSQMax -81105dbc g F .text 000000d8 bFtdiRequestHalfCcdImg -81142268 g F .text 000001e0 OSMutexDel -811863c0 g O .bss 00001000 OSTaskStatStk -8112663c g F .text 00000008 bSDcardFAT16Check -81141d3c g F .text 0000009c OSMemNameGet -81123d34 g F .text 00000054 vFailCreateMutexSResources -811709b4 g O .bss 00000100 xQMaskCMDNDataCtrlTBL -81151c2c g O .rwdata 00000002 OSFlagEn -811381a0 g F .text 00000060 _read_r -81151be6 g O .rwdata 00000002 OSTimeTickHookEn +8116e9f8 g O .bss 00002000 vReceiverUartTask_stk +8112d5a0 g F .text 00000054 _sbrk_r +81151bbe g O .rwdata 00000002 cusiSyncNFeeMasterBlankTimeMs +81151d8c g O .bss 00000004 xSemTimeoutChecker +81183cd0 g O .bss 0000001c vxDeftNucDefaults +81151c50 g O .rwdata 00000002 OSQMax +81105df0 g F .text 000000d8 bFtdiRequestHalfCcdImg +811422a8 g F .text 000001e0 OSMutexDel +81186404 g O .bss 00001000 OSTaskStatStk +8112667c g F .text 00000008 bSDcardFAT16Check +81141d7c g F .text 0000009c OSMemNameGet +81123d74 g F .text 00000054 vFailCreateMutexSResources +811709f8 g O .bss 00000100 xQMaskCMDNDataCtrlTBL +81151c70 g O .rwdata 00000002 OSFlagEn +811381e0 g F .text 00000060 _read_r +81151c2a g O .rwdata 00000002 OSTimeTickHookEn 81103ba8 g F .text 00000028 bFeebGetBufferDataStatus -81141bb0 g F .text 00000040 OS_FlagUnlink -81142f58 g F .text 000000cc OSQPost -81183b8c g O .bss 0000001c xGlobal +81141bf0 g F .text 00000040 OS_FlagUnlink +81142f98 g F .text 000000cc OSQPost +81183bd0 g O .bss 0000001c xGlobal 81101448 g F .text 00000348 bDdr2MemoryRandomReadTest -81151ba0 g O .rwdata 00000004 alt_max_fd -81124a30 g F .text 00000030 vFailTimeoutCheckerTaskCreate -81140770 g F .text 00000030 OS_MemCopy -81151b76 g O .rwdata 00000002 cusiSyncNFeeNormalBlankTimeMs -811407a0 g F .text 000000bc OS_Sched -8113d3d8 g F .text 000000f0 _fclose_r -81141cd0 g F .text 0000006c OSMemGet -811441c4 g F .text 0000011c OSTaskNameSet +81151be4 g O .rwdata 00000004 alt_max_fd +81124a70 g F .text 00000030 vFailTimeoutCheckerTaskCreate +811407b0 g F .text 00000030 OS_MemCopy +81151bba g O .rwdata 00000002 cusiSyncNFeeNormalBlankTimeMs +811407e0 g F .text 000000bc OS_Sched +8113d418 g F .text 000000f0 _fclose_r +81141d10 g F .text 0000006c OSMemGet +81144204 g F .text 0000011c OSTaskNameSet 81103bd0 g F .text 00000068 bFeebGetMachineControl -8111df38 g F .text 000000e0 vSendLogError -81135a2c g F .text 00000030 fflush -81105ba8 g F .text 00000018 bEnableIsoLogic -81151dac g O .bss 00000004 __malloc_max_sbrked_mem -81151e0c g O .bss 00000004 OSCtxSwCtr -81146a3c g F .text 00000024 OSTimeTickHook -81170ab4 g O .bss 00001800 vOutAckHandlerTask_stk -8113f3bc g F .text 000000ac alt_irq_register -81151c22 g O .rwdata 00000002 OSFlagNameSize -8112af38 g F .text 00000110 .hidden __extendsfdf2 -81147ca4 g O .rodata 0000016c cxDefaultsRmapMemAreaHk -81151c64 g O .bss 00000004 EDpktCcdSide -811406d4 g F .text 00000058 OS_EventTaskRemoveMulti -81125030 g F .text 00000060 vFailCreateNFEESyncQueue -811209b8 g F .text 00001c04 bDeftSetFeeDefaultValues -811061b4 g F .text 00000068 I2C_TestAdress -81128ab0 g F .text 000008ac .hidden __adddf3 -81127e18 g F .text 00000078 .hidden __nesf2 -81112bf8 g F .text 000000ac bCheckInAck512 -8110f5ac g F .text 000005f0 vQCmdFeeRMAPinReadoutTrans -811050d8 g F .text 00000028 bSpwcGetTimecodeStatus -81140604 g F .text 00000090 OS_EventTaskWaitMulti -8110595c g F .text 00000084 bWindClearWindowingArea -81137d2c g F .text 0000010c __b2d -811722b4 g O .bss 00000800 vSyncReset_stk -81151c0a g O .rwdata 00000002 OSQSize -81151c68 g O .bss 00000004 ESdmaFtdiOperation -8113eaa0 g F .text 00000538 .hidden __umoddi3 -8113f4ec g F .text 0000007c lseek -81143564 g F .text 00000140 OSSemPend -81151c6c g O .bss 00000004 ECcdSide -8112542c g F .text 00000058 vCouldNotGetCmdQueueMeb +8111df78 g F .text 000000e0 vSendLogError +81135a6c g F .text 00000030 fflush +81105bdc g F .text 00000018 bEnableIsoLogic +81151df0 g O .bss 00000004 __malloc_max_sbrked_mem +81151e50 g O .bss 00000004 OSCtxSwCtr +81146a7c g F .text 00000024 OSTimeTickHook +81170af8 g O .bss 00001800 vOutAckHandlerTask_stk +8113f3fc g F .text 000000ac alt_irq_register +81151c66 g O .rwdata 00000002 OSFlagNameSize +8112af78 g F .text 00000110 .hidden __extendsfdf2 +81147ce4 g O .rodata 0000016c cxDefaultsRmapMemAreaHk +81151ca8 g O .bss 00000004 EDpktCcdSide +81140714 g F .text 00000058 OS_EventTaskRemoveMulti +81125070 g F .text 00000060 vFailCreateNFEESyncQueue +811209f8 g F .text 00001c04 bDeftSetFeeDefaultValues +811061e8 g F .text 00000068 I2C_TestAdress +81128af0 g F .text 000008ac .hidden __adddf3 +81127e58 g F .text 00000078 .hidden __nesf2 +81112c2c g F .text 000000ac bCheckInAck512 +8110f5e0 g F .text 000005f0 vQCmdFeeRMAPinReadoutTrans +8110510c g F .text 00000028 bSpwcGetTimecodeStatus +81140644 g F .text 00000090 OS_EventTaskWaitMulti +81105990 g F .text 00000084 bWindClearWindowingArea +81137d6c g F .text 0000010c __b2d +811722f8 g O .bss 00000800 vSyncReset_stk +81151c4e g O .rwdata 00000002 OSQSize +81151cac g O .bss 00000004 ESdmaFtdiOperation +8113eae0 g F .text 00000538 .hidden __umoddi3 +8113f52c g F .text 0000007c lseek +811435a4 g F .text 00000140 OSSemPend +81151cb0 g O .bss 00000004 ECcdSide +8112546c g F .text 00000058 vCouldNotGetCmdQueueMeb 81104be0 g F .text 00000050 bRmapGetCodecStatus -81104fe0 g F .text 00000030 bSpwcGetLinkStatus -81151b84 g O .rwdata 00000004 _global_impure_ptr -81106da8 g F .text 00000014 bSSDisplayUpdate -81105048 g F .text 00000048 bSpwcSetTimecodeConfig -8112b42c g F .text 0000000c _atoll_r -81138200 g F .text 00000564 _realloc_r -81151c20 g O .rwdata 00000002 OSLowestPrio -8118c004 g *ABS* 00000000 __bss_end -8114672c g F .text 00000068 alt_iic_isr_register -81146a70 g F .text 00000004 OSTCBInitHook -81125764 g F .text 00000004 vFailFtdiErrorIRQtoDTC -81151bda g O .rwdata 00000002 OSTmrCfgTicksPerSec -8113f8d0 g F .text 000000f4 alt_tick +81105014 g F .text 00000030 bSpwcGetLinkStatus +81151bc8 g O .rwdata 00000004 _global_impure_ptr +81106ddc g F .text 00000014 bSSDisplayUpdate +8110507c g F .text 00000048 bSpwcSetTimecodeConfig +8112b46c g F .text 0000000c _atoll_r +81138240 g F .text 00000564 _realloc_r +81151c64 g O .rwdata 00000002 OSLowestPrio +8118c048 g *ABS* 00000000 __bss_end +8114676c g F .text 00000068 alt_iic_isr_register +81146ab0 g F .text 00000004 OSTCBInitHook +811257a4 g F .text 00000004 vFailFtdiErrorIRQtoDTC +81151c1e g O .rwdata 00000002 OSTmrCfgTicksPerSec +8113f910 g F .text 000000f4 alt_tick 8110486c g F .text 000001a0 vRmapInitIrq -81124010 g F .text 00000058 vFailGetMutexSenderTask +81124050 g F .text 00000058 vFailGetMutexSenderTask 811024e8 g F .text 00000030 bDpktGetSpacewireErrInj -811074a4 g F .text 00000010 bSyncPreIrqEnableMasterPulse -81109a8c g F .text 0000006c bSendRequestNFeeCtrl -8114fd3c g O .rodata 00000100 __hexdig -8113e528 g F .text 00000578 .hidden __udivdi3 -81151d94 g O .bss 00000004 vbDeftDefaultsReceived -811168c4 g F .text 000000e0 setPreAckSenderFreePos -8113d640 g F .text 00000024 _fputwc_r -81151c38 g O .rwdata 00000002 OSEventEn -8114fbac g O .rodata 00000028 __mprec_bigtens -811243ec g F .text 00000058 vFailGetCountSemaphorexBuffer512 -81124fd8 g F .text 00000058 vFailCreateLUTQueue -81137394 g F .text 00000104 __s2b -81151bde g O .rwdata 00000002 OSTmrCfgNameSize -81172ab4 g O .bss 00000040 xFeeQueueTBL4 -81107220 g F .text 00000010 bSyncSetPolarity -8111c054 g F .text 00000138 vCheckRetransmission64 -8112ae90 g F .text 000000a8 .hidden __floatunsidf -8112454c g F .text 00000058 vFailFoundBufferRetransmission -81137a6c g F .text 00000060 __mcmp -81146048 g F .text 000000a8 altera_avalon_uart_init -811207a4 g F .text 00000070 vDeftInitNucDefault -81125f84 g F .text 00000008 vResetTimeCode -81135dcc g F .text 00000018 __fp_lock_all -811258f0 g F .text 00000030 vFailSendMsgDataCTRL -81146714 g F .text 00000018 alt_ic_irq_enabled -8110621c g F .text 000000b0 I2C_Write -81151e10 g O .bss 00000002 OSTmrFree -81123c14 g F .text 00000030 vFailSendSemaphoreFromDTC -81141b30 g F .text 00000080 OS_FlagInit -8113f89c g F .text 00000034 alt_alarm_stop -81172af4 g O .bss 00000020 xQueueSyncResetTBL -8112db80 g F .text 0000001c strtol -81120090 g F .text 00000074 vLoadHardcodedDebugConf -81144d5c g F .text 00000154 OSTmrDel -81151bfc g O .rwdata 00000002 OSTaskIdleStkSize -81151dc4 g O .bss 00000004 alt_irq_active -8114332c g F .text 00000044 OSSemAccept -8112b6c4 g F .text 00000444 _fseeko_r -8111cacc g F .text 00000278 vFillMemmoryPattern -8112d904 g F .text 00000044 strnlen -81141e98 g F .text 00000060 OSMemPut -811267b8 g F .text 000000f0 bClearSync +811074d8 g F .text 00000010 bSyncPreIrqEnableMasterPulse +81109ac0 g F .text 0000006c bSendRequestNFeeCtrl +8114fd80 g O .rodata 00000100 __hexdig +8113e568 g F .text 00000578 .hidden __udivdi3 +81151dd8 g O .bss 00000004 vbDeftDefaultsReceived +811168f8 g F .text 000000e0 setPreAckSenderFreePos +8113d680 g F .text 00000024 _fputwc_r +81151c7c g O .rwdata 00000002 OSEventEn +8114fbf0 g O .rodata 00000028 __mprec_bigtens +8112442c g F .text 00000058 vFailGetCountSemaphorexBuffer512 +81125018 g F .text 00000058 vFailCreateLUTQueue +811373d4 g F .text 00000104 __s2b +81151c22 g O .rwdata 00000002 OSTmrCfgNameSize +81172af8 g O .bss 00000040 xFeeQueueTBL4 +81107254 g F .text 00000010 bSyncSetPolarity +8111c094 g F .text 00000138 vCheckRetransmission64 +8112aed0 g F .text 000000a8 .hidden __floatunsidf +8112458c g F .text 00000058 vFailFoundBufferRetransmission +81137aac g F .text 00000060 __mcmp +81146088 g F .text 000000a8 altera_avalon_uart_init +811207e4 g F .text 00000070 vDeftInitNucDefault +81125fc4 g F .text 00000008 vResetTimeCode +81135e0c g F .text 00000018 __fp_lock_all +81125930 g F .text 00000030 vFailSendMsgDataCTRL +81146754 g F .text 00000018 alt_ic_irq_enabled +81106250 g F .text 000000b0 I2C_Write +81151e54 g O .bss 00000002 OSTmrFree +81123c54 g F .text 00000030 vFailSendSemaphoreFromDTC +81141b70 g F .text 00000080 OS_FlagInit +8113f8dc g F .text 00000034 alt_alarm_stop +81172b38 g O .bss 00000020 xQueueSyncResetTBL +8112dbc0 g F .text 0000001c strtol +811200d0 g F .text 00000074 vLoadHardcodedDebugConf +81144d9c g F .text 00000154 OSTmrDel +81151c40 g O .rwdata 00000002 OSTaskIdleStkSize +81151e08 g O .bss 00000004 alt_irq_active +8114336c g F .text 00000044 OSSemAccept +8112b704 g F .text 00000444 _fseeko_r +8111cb0c g F .text 00000278 vFillMemmoryPattern +8112d944 g F .text 00000044 strnlen +81141ed8 g F .text 00000060 OSMemPut +811267f8 g F .text 000000f0 bClearSync 81101e5c g F .text 0000007c bSdmaResetFtdiDma -81141bf0 g F .text 000000e0 OSMemCreate -81151e14 g O .bss 00000004 OSIdleCtrMax -8110c5ec g F .text 000006e4 vQCmdFEEinWaitingMemUpdate -81151d10 g O .bss 00000004 vpxDataPktError -8111e4c0 g F .text 00000160 vSendPusTM128 +81141c30 g F .text 000000e0 OSMemCreate +81151e58 g O .bss 00000004 OSIdleCtrMax +8110c620 g F .text 000006e4 vQCmdFEEinWaitingMemUpdate +81151d54 g O .bss 00000004 vpxDataPktError +8111e500 g F .text 00000160 vSendPusTM128 81104a0c g F .text 00000054 bRmapSoftRstMemAreaConfig 81102bfc g F .text 00000048 bDpktHeaderErrInjClearEntries 811000fc g F .exceptions 00000068 alt_irq_handler -811509e8 g O .rwdata 00000028 alt_dev_null -81117040 g F .text 00000010 cmpfunc -81107210 g F .text 00000010 bSyncSetOst -81151be8 g O .rwdata 00000002 OSTicksPerSec -81151b68 g O .rwdata 00000001 cusiSyncNFeeNumberOfPulses -811108a0 g F .text 000006a0 vQCmdFEEinPreLoadBuffer -8111ab9c g F .text 000003d0 vSimMebTask -81108e48 g F .text 00000090 vInitialConfig_RmapMemArea -8110601c g F .text 0000006c i2c_start -81146884 g .text 00000000 OSIntCtxSw -811257d0 g F .text 00000030 vFailSendMsgSync -81107354 g F .text 00000010 bSyncCtrCh4OutEnable -81123c74 g F .text 00000030 vCriticalFailUpdateMemoreDTController +81150a2c g O .rwdata 00000028 alt_dev_null +81117074 g F .text 00000010 cmpfunc +81107244 g F .text 00000010 bSyncSetOst +81151c2c g O .rwdata 00000002 OSTicksPerSec +81151bac g O .rwdata 00000001 cusiSyncNFeeNumberOfPulses +811108d4 g F .text 000006a0 vQCmdFEEinPreLoadBuffer +8111abd0 g F .text 000003dc vSimMebTask +81108e7c g F .text 00000090 vInitialConfig_RmapMemArea +81106050 g F .text 0000006c i2c_start +811468c4 g .text 00000000 OSIntCtxSw +81125810 g F .text 00000030 vFailSendMsgSync +81107388 g F .text 00000010 bSyncCtrCh4OutEnable +81123cb4 g F .text 00000030 vCriticalFailUpdateMemoreDTController 81101d70 g F .text 00000008 bSdmaInitComm3Dmas -8113f338 g F .text 00000004 alt_dcache_flush_all -81137498 g F .text 00000068 __hi0bits -81117460 g F .text 0000016c vPusType251run +8113f378 g F .text 00000004 alt_dcache_flush_all +811374d8 g F .text 00000068 __hi0bits +81117494 g F .text 0000016c vPusType251run 811047dc g F .text 00000010 uliRmapCh6WriteCmdAddress -811261ec g F .text 00000008 vChangeRTValue -81117c14 g F .text 000001d8 vErrorInjOff -8111b80c g F .text 00000574 vCheck -8112ad34 g F .text 00000080 .hidden __fixdfsi +8112622c g F .text 00000008 vChangeRTValue +81117c48 g F .text 000001d8 vErrorInjOff +8111b84c g F .text 00000574 vCheck +8112ad74 g F .text 00000080 .hidden __fixdfsi 81103998 g F .text 00000010 bFeebGetCh3LeftBufferEmpty -81114464 g F .text 0000008c bSendCmdQToNFeeInst_Prio +81114498 g F .text 0000008c bSendCmdQToNFeeInst_Prio 81104cd0 g F .text 00000038 bRmapGetMemStatus 81104c80 g F .text 00000028 bRmapSetMemConfig 81103d20 g F .text 00000020 bFeebStopCh -81107db8 g F .text 00000040 uliPerCalcPeriodMs -81172b14 g O .bss 00001800 vInitialTask_stk -81151d4c g O .bss 00000002 usiIdCMD +81107dec g F .text 00000040 uliPerCalcPeriodMs +81172b58 g O .bss 00001800 vInitialTask_stk +81151d90 g O .bss 00000002 usiIdCMD 811047f8 g F .text 0000000c vRmapCh2EnableCodec 811026d8 g F .text 00000038 bDpktSetLeftContentErrInj -811072a4 g F .text 00000014 bSyncCtrStart -81139fe8 g F .text 00000018 strtod -81113f58 g F .text 000003d8 vLutHandlerTask +811072d8 g F .text 00000014 bSyncCtrStart +8113a028 g F .text 00000018 strtod +81113f8c g F .text 000003d8 vLutHandlerTask 81103d40 g F .text 00000020 bFeebClrCh -81151e18 g O .bss 00000004 OSTCBFreeList -811436a4 g F .text 000000f8 OSSemPendAbort +81151e5c g O .bss 00000004 OSTCBFreeList +811436e4 g F .text 000000f8 OSSemPendAbort 8110309c g F .text 00000014 uliPxDelayCalcPeriodNs -81124170 g F .text 00000030 vFailGetMacRTC +811241b0 g F .text 00000030 vFailGetMacRTC 811047bc g F .text 00000010 uliRmapCh4WriteCmdAddress 81100000 g *ABS* 00000000 __alt_mem_onchip_memory -811249d0 g F .text 00000030 vFailCreateTimerRetransmisison -811244f4 g F .text 00000058 vFailGetCountSemaphorexBuffer32 -8111e1a0 g F .text 0000003c vSendEventLogArr -8111d42c g F .text 00000200 bSendUART128v2 -81126110 g F .text 000000b8 vSimucamStructureInit -81151ba4 g O .rwdata 00000008 alt_dev_list -81106618 g F .text 0000000c bMfilGetWrBusy -8112b604 g F .text 00000044 _fputc_r -8113fa7c g F .text 000000c4 write -8112c918 g F .text 000000a0 _putc_r -811099c4 g F .text 0000006c bSendRequestNFeeCtrl_Front -81104fa0 g F .text 00000040 bSpwcGetLinkConfig -81151be4 g O .rwdata 00000002 OSVersionNbr -8110fb9c g F .text 00000714 vQCmdWaitFinishingTransmission -81127e90 g F .text 000000bc .hidden __gtsf2 -81125980 g F .text 00000030 vFailFlushMEBQueue +81124a10 g F .text 00000030 vFailCreateTimerRetransmisison +81124534 g F .text 00000058 vFailGetCountSemaphorexBuffer32 +8111e1e0 g F .text 0000003c vSendEventLogArr +8111d46c g F .text 00000200 bSendUART128v2 +81126150 g F .text 000000b8 vSimucamStructureInit +81151be8 g O .rwdata 00000008 alt_dev_list +8110664c g F .text 0000000c bMfilGetWrBusy +8112b644 g F .text 00000044 _fputc_r +8113fabc g F .text 000000c4 write +8112c958 g F .text 000000a0 _putc_r +811099f8 g F .text 0000006c bSendRequestNFeeCtrl_Front +81104fd4 g F .text 00000040 bSpwcGetLinkConfig +81151c28 g O .rwdata 00000002 OSVersionNbr +8110fbd0 g F .text 00000714 vQCmdWaitFinishingTransmission +81127ed0 g F .text 000000bc .hidden __gtsf2 +811259c0 g F .text 00000030 vFailFlushMEBQueue 811047ec g F .text 0000000c vRmapCh1EnableCodec -81114a38 g F .text 000000ac getPreParsedPacket -8110a164 g F .text 00000074 bEnableSPWChannel -8113f344 g F .text 00000078 fstat -81125f94 g F .text 00000008 vChangeIdNFEEMaster -811074b4 g F .text 00000010 bSyncPreIrqEnableNormalPulse -8112b5e0 g F .text 00000024 fprintf -81124ab8 g F .text 00000058 vFailPostBlockingSemTimeoutTask -8114d458 g O .rodata 00000028 cxDefaultsGenSimulationParams +81114a6c g F .text 000000ac getPreParsedPacket +8110a198 g F .text 00000074 bEnableSPWChannel +8113f384 g F .text 00000078 fstat +81125fd4 g F .text 00000008 vChangeIdNFEEMaster +811074e8 g F .text 00000010 bSyncPreIrqEnableNormalPulse +8112b620 g F .text 00000024 fprintf +81124af8 g F .text 00000058 vFailPostBlockingSemTimeoutTask +8114d49c g O .rodata 00000028 cxDefaultsGenSimulationParams 81102748 g F .text 00000038 bDpktSetRightContentErrInj -81127584 g F .text 00000018 _reg_write -81125f9c g F .text 00000004 vChangeDefaultIdNFEEMaster -8113f128 g F .text 000000f4 .hidden __ledf2 -8110b920 g F .text 000006dc vQCmdWaitBeforeSyncSignal +811275c4 g F .text 00000018 _reg_write +81125fdc g F .text 00000004 vChangeDefaultIdNFEEMaster +8113f168 g F .text 000000f4 .hidden __ledf2 +8110b954 g F .text 000006dc vQCmdWaitBeforeSyncSignal 811044fc g F .text 00000148 vRmapCh5HandleIrq -8110a0a0 g F .text 00000038 bDisableRmapIRQ -81123ca4 g F .text 00000030 vCommunicationErrorUSB3DTController -81174314 g O .bss 00001800 vStackMonitor_stk -81124da8 g F .text 00000058 vCouldNotSendLog -81144fd4 g F .text 0000015c OSTmrRemainGet -81151c3c g O .rwdata 00000004 OSEndiannessTest -81105e94 g F .text 00000070 bFtdiTransmitLutWinArea -811377e4 g F .text 00000140 __pow5mult +8110a0d4 g F .text 00000038 bDisableRmapIRQ +81123ce4 g F .text 00000030 vCommunicationErrorUSB3DTController +81174358 g O .bss 00001800 vStackMonitor_stk +81124de8 g F .text 00000058 vCouldNotSendLog +81145014 g F .text 0000015c OSTmrRemainGet +81151c80 g O .rwdata 00000004 OSEndiannessTest +81105ec8 g F .text 00000070 bFtdiTransmitLutWinArea +81137824 g F .text 00000140 __pow5mult 8110479c g F .text 00000010 uliRmapCh2WriteCmdAddress -81151c70 g O .bss 00000004 DpktRmapErrId -81123c04 g F .text 00000010 vCriticalErrorLedPanel -8113bde0 g F .text 0000145c ___vfiprintf_internal_r -81151dbc g O .bss 00000004 __nlocale_changed -811052a4 g F .text 0000006c ucSpwcCalculateLinkDiv -8112b3b0 g F .text 00000058 .hidden __umodsi3 -81107314 g F .text 00000010 bSyncCtrSyncOutEnable -81126644 g F .text 00000034 bInitializeSDCard -8112496c g F .text 00000030 vFailParserCommTaskCreate -81124d50 g F .text 00000058 vCouldNotSendReset -8112d5f0 g F .text 00000030 _scanf_r -81183ca8 g O .bss 000010f8 vxDeftFeeDefaults -8112499c g F .text 00000030 vFailOutAckHandlerTaskCreate -81151c2a g O .rwdata 00000002 OSFlagGrpSize -81117dec g F .text 00001290 vPusType250conf -81175b14 g O .bss 000001c0 xInUseRetrans -81145130 g F .text 000000bc OSTmrStateGet -8118c004 g *ABS* 00000000 end +81151cb4 g O .bss 00000004 DpktRmapErrId +81123c44 g F .text 00000010 vCriticalErrorLedPanel +8113be20 g F .text 0000145c ___vfiprintf_internal_r +81151e00 g O .bss 00000004 __nlocale_changed +811052d8 g F .text 0000006c ucSpwcCalculateLinkDiv +8112b3f0 g F .text 00000058 .hidden __umodsi3 +81107348 g F .text 00000010 bSyncCtrSyncOutEnable +81126684 g F .text 00000034 bInitializeSDCard +811249ac g F .text 00000030 vFailParserCommTaskCreate +81124d90 g F .text 00000058 vCouldNotSendReset +8112d630 g F .text 00000030 _scanf_r +81183cec g O .bss 000010f8 vxDeftFeeDefaults +811249dc g F .text 00000030 vFailOutAckHandlerTaskCreate +81151c6e g O .rwdata 00000002 OSFlagGrpSize +81117e20 g F .text 00001290 vPusType250conf +81175b58 g O .bss 000001c0 xInUseRetrans +81145170 g F .text 000000bc OSTmrStateGet +8118c048 g *ABS* 00000000 end 811035c8 g F .text 00000100 vFeebCh6HandleIrq -811271f0 g F .text 00000170 bDdr2MemoryZeroFill -81124704 g F .text 00000058 vNoContentInPreParsedBuffer -8111f8a0 g F .text 00000084 vLoadHardcodedEthConf -8112bb08 g F .text 0000001c fseeko -81114ae4 g F .text 000000bc bSendMessagePUStoMebTask -81105f04 g F .text 00000010 vFtdiResetHalfCcdImg -81124f20 g F .text 00000060 vFailCreateNFEEQueue -8112b414 g F .text 0000000c _atoi_r -81146298 g F .text 00000168 altera_avalon_uart_write -81183ba8 g O .bss 00000078 xConfSpw -8112b6a8 g F .text 0000001c fseek -8111d218 g F .text 00000020 vCCDChangeValues -811458dc g F .text 000000c0 altera_avalon_jtag_uart_init -81140d7c g F .text 000000a0 OS_TaskStat +81127230 g F .text 00000170 bDdr2MemoryZeroFill +81124744 g F .text 00000058 vNoContentInPreParsedBuffer +8111f8e0 g F .text 00000084 vLoadHardcodedEthConf +8112bb48 g F .text 0000001c fseeko +81114b18 g F .text 000000bc bSendMessagePUStoMebTask +81105f38 g F .text 00000010 vFtdiResetHalfCcdImg +81124f60 g F .text 00000060 vFailCreateNFEEQueue +8112b454 g F .text 0000000c _atoi_r +811462d8 g F .text 00000168 altera_avalon_uart_write +81183bec g O .bss 00000078 xConfSpw +8112b6e8 g F .text 0000001c fseek +8111d258 g F .text 00000020 vCCDChangeValues +8114591c g F .text 000000c0 altera_avalon_jtag_uart_init +81140dbc g F .text 000000a0 OS_TaskStat 81100164 g F .exceptions 0000002c alt_instruction_exception_entry -81107474 g F .text 00000010 bSyncIrqFlagNormalPulse -81123e18 g F .text 0000005c vFailTestCriticasParts +811074a8 g F .text 00000010 bSyncIrqFlagNormalPulse +81123e58 g F .text 0000005c vFailTestCriticasParts 81103a28 g F .text 00000010 bFeebGetCh1RightFeeBusy 811c0000 g *ABS* 00000000 __alt_stack_pointer 81103ca0 g F .text 00000060 bFeebGetMachineStatistics -811227c4 g F .text 0000018c bDeftGetMebDefaultValues +81122804 g F .text 0000018c bDeftGetMebDefaultValues 81101174 g F .text 000002d4 bDdr2MemoryRandomWriteTest -81145e0c g F .text 00000048 alt_avalon_timer_sc_init -81145e64 g F .text 00000010 altera_avalon_uart_write_fd -8112b1f0 g F .text 00000064 .hidden __clzsi2 -811202ac g F .text 00000148 vShowDebugConfig -81145e74 g F .text 00000010 altera_avalon_uart_close_fd -81175cd4 g O .bss 00000080 xMebQTBL -81145bdc g F .text 000001f4 altera_avalon_jtag_uart_write +81145e4c g F .text 00000048 alt_avalon_timer_sc_init +81145ea4 g F .text 00000010 altera_avalon_uart_write_fd +8112b230 g F .text 00000064 .hidden __clzsi2 +811202ec g F .text 00000148 vShowDebugConfig +81145eb4 g F .text 00000010 altera_avalon_uart_close_fd +81175d18 g O .bss 00000080 xMebQTBL +81145c1c g F .text 000001f4 altera_avalon_jtag_uart_write 81102560 g F .text 00000040 bDpktGetSpwCodecErrInj -811454a0 g F .text 0000014c OSTmr_Init -81175d54 g O .bss 00001180 xBuffer128 -81135dbc g F .text 00000004 __sfp_lock_acquire +811454e0 g F .text 0000014c OSTmr_Init +81175d98 g O .bss 00001180 xBuffer128 +81135dfc g F .text 00000004 __sfp_lock_acquire 81101a1c g F .text 00000004 sense_log_temp -81136f64 g F .text 000000e4 memchr -81140748 g F .text 00000028 OS_MemClr -81130070 g F .text 000021f8 ___vfprintf_internal_r -81183b7c g O .bss 00000010 xDefaultsCH -81146954 g F .text 000000d8 OSTaskStkInit -8112d620 g F .text 00000058 _sprintf_r -81151d4e g O .bss 00000001 SemCount32 -8113622c g F .text 00000310 _free_r -8111e330 g F .text 00000030 vTimeoutCheck -811275b8 g F .text 00000170 _print_codec_status -81136c8c g F .text 00000010 __locale_mb_cur_max -8114044c g F .text 00000144 OS_EventTaskRdy -81120670 g F .text 00000094 vDeftInitMebDefault +81136fa4 g F .text 000000e4 memchr +81140788 g F .text 00000028 OS_MemClr +811300b0 g F .text 000021f8 ___vfprintf_internal_r +81183bc0 g O .bss 00000010 xDefaultsCH +81146994 g F .text 000000d8 OSTaskStkInit +8112d660 g F .text 00000058 _sprintf_r +81151d92 g O .bss 00000001 SemCount32 +8113626c g F .text 00000310 _free_r +8111e370 g F .text 00000030 vTimeoutCheck +811275f8 g F .text 00000170 _print_codec_status +81136ccc g F .text 00000010 __locale_mb_cur_max +8114048c g F .text 00000144 OS_EventTaskRdy +811206b0 g F .text 00000094 vDeftInitMebDefault 81104b08 g F .text 00000028 bRmapGetIrqFlags -81146b9c g F .text 00000180 __call_exitprocs +81146bdc g F .text 00000180 __call_exitprocs 81103a68 g F .text 00000010 bFeebGetCh3RightFeeBusy -811144f0 g F .text 00000134 vPerformActionNFCRunning -81151e1c g O .bss 00000001 OSCPUUsage -811251f0 g F .text 00000058 vCoudlNotCreateNFee4Task -81151db8 g O .bss 00000004 __mlocale_changed -81114330 g F .text 000000a8 vPerformActionNFCConfig -811072cc g F .text 00000014 bSyncCtrOneShot -81151b8c g O .rwdata 00000004 __malloc_sbrk_base +81114524 g F .text 00000134 vPerformActionNFCRunning +81151e60 g O .bss 00000001 OSCPUUsage +81125230 g F .text 00000058 vCoudlNotCreateNFee4Task +81151dfc g O .bss 00000004 __mlocale_changed +81114364 g F .text 000000a8 vPerformActionNFCConfig +81107300 g F .text 00000014 bSyncCtrOneShot +81151bd0 g O .rwdata 00000004 __malloc_sbrk_base 81100190 g F .text 00000038 _start -81151d50 g O .bss 00000004 xQueueSyncReset -81151dd8 g O .bss 00000004 _alt_tick_rate -81142cd4 g F .text 00000190 OSQPend -8112dbb8 g F .text 000002e0 _strtoll_r +81151d94 g O .bss 00000004 xQueueSyncReset +81151e1c g O .bss 00000004 _alt_tick_rate +81142d14 g F .text 00000190 OSQPend +8112dbf8 g F .text 000002e0 _strtoll_r 81101d98 g F .text 00000008 bSdmaInitFtdiTxDma -81107304 g F .text 00000010 bSyncCtrHoldReleasePulse -81176ed4 g O .bss 00000100 xQMaskCMDNFeeCtrlTBL -81183c20 g O .bss 00000028 xDefaults -81144774 g F .text 00000018 OSTimeDly -8112693c g F .text 000008b4 bDdr2MemoryFastTest -8111a490 g F .text 00000068 vPusMebInTaskRunningMode -81137924 g F .text 00000148 __lshift -8111489c g F .text 0000019c vOutAckHandlerTask -81107544 g F .text 00000010 bSyncPreIrqFlagLastPulse +81107338 g F .text 00000010 bSyncCtrHoldReleasePulse +81176f18 g O .bss 00000100 xQMaskCMDNFeeCtrlTBL +81183c64 g O .bss 00000028 xDefaults +811447b4 g F .text 00000018 OSTimeDly +8112697c g F .text 000008b4 bDdr2MemoryFastTest +8111a4c4 g F .text 00000068 vPusMebInTaskRunningMode +81137964 g F .text 00000148 __lshift +811148d0 g F .text 0000019c vOutAckHandlerTask +81107578 g F .text 00000010 bSyncPreIrqFlagLastPulse 811027b8 g F .text 00000088 bDpktContentErrInjClearEntries -81151dd4 g O .bss 00000004 _alt_nticks -81109a30 g F .text 0000005c bSendMSGtoMebTask -8113f764 g F .text 000000c8 read -8114560c g F .text 0000008c alt_sys_init -8112889c g F .text 00000124 .hidden __floatsisf -8113a640 g F .text 000001d0 __ssprint_r -811074e4 g F .text 00000010 bSyncPreIrqFlagClrMasterPulse -8112c8b8 g F .text 00000060 _open_r -81127360 g F .text 00000224 bTestSimucamCriticalHW +81151e18 g O .bss 00000004 _alt_nticks +81109a64 g F .text 0000005c bSendMSGtoMebTask +8113f7a4 g F .text 000000c8 read +8114564c g F .text 0000008c alt_sys_init +811288dc g F .text 00000124 .hidden __floatsisf +8113a680 g F .text 000001d0 __ssprint_r +81107518 g F .text 00000010 bSyncPreIrqFlagClrMasterPulse +8112c8f8 g F .text 00000060 _open_r +811273a0 g F .text 00000224 bTestSimucamCriticalHW 811038e0 g F .text 00000048 bFeebGetBuffersStatus -81105bd4 g F .text 000000b8 vFtdiRxIrqHandler -81120504 g F .text 0000003c ucCrc8 +81105c08 g F .text 000000b8 vFtdiRxIrqHandler +81120544 g F .text 0000003c ucCrc8 811004d4 g F .text 00000634 bDdr2EepromDump -81151e1d g O .bss 00000001 OSTaskCtr -81116e60 g F .text 000000b4 getBufferSendPUSorChar -8111a4f8 g F .text 00000148 vPusMebTask -8111e30c g F .text 00000024 siPosStr -81126354 g F .text 0000007c vSendCmdQToNFeeCTRL_PRIO -8113e270 g F .text 000000d0 strncmp -81107534 g F .text 00000010 bSyncPreIrqFlagNormalPulse -811073c4 g F .text 00000010 bSyncIrqEnableMasterPulse -81151b64 g O .rwdata 00000002 cusiSyncFFeeMasterDetectionTimeMs -81105fbc g F .text 00000010 vFtdiClearModule +81151e61 g O .bss 00000001 OSTaskCtr +81116e94 g F .text 000000b4 getBufferSendPUSorChar +8111a52c g F .text 00000148 vPusMebTask +8111e34c g F .text 00000024 siPosStr +81126394 g F .text 0000007c vSendCmdQToNFeeCTRL_PRIO +8113e2b0 g F .text 000000d0 strncmp +81107568 g F .text 00000010 bSyncPreIrqFlagNormalPulse +811073f8 g F .text 00000010 bSyncIrqEnableMasterPulse +81151ba8 g O .rwdata 00000002 cusiSyncFFeeMasterDetectionTimeMs +81105ff0 g F .text 00000010 vFtdiClearModule 81103a58 g F .text 00000010 bFeebGetCh3LeftFeeBusy 811039c8 g F .text 00000010 bFeebGetCh4RightBufferEmpty -8114478c g F .text 0000012c OSTimeDlyHMSM -81108124 g F .text 00000114 vPerformActionDTCRun +811447cc g F .text 0000012c OSTimeDlyHMSM +81108158 g F .text 00000114 vPerformActionDTCRun 811025a0 g F .text 00000038 bDpktSetRmapErrInj -811375ec g F .text 000001f8 __multiply -8114599c g F .text 00000034 altera_avalon_jtag_uart_close -81126688 g F .text 00000008 cGetNextChar -81152234 g O .bss 00000028 __malloc_current_mallinfo -81151c36 g O .rwdata 00000002 OSEventMax -8114d444 g O .rodata 00000014 cxDefaultsSpwInterfaceParams -81127e18 g F .text 00000078 .hidden __eqsf2 -81137e38 g F .text 0000014c __d2b -81151b58 g O .rwdata 00000004 cbSyncFFeePulsePolarity -81151ce8 g O .bss 00000004 EDeftGenSimulationParamsID -8114379c g F .text 000000a4 OSSemPost +8113762c g F .text 000001f8 __multiply +811459dc g F .text 00000034 altera_avalon_jtag_uart_close +811266c8 g F .text 00000008 cGetNextChar +81152278 g O .bss 00000028 __malloc_current_mallinfo +81151c7a g O .rwdata 00000002 OSEventMax +8114d488 g O .rodata 00000014 cxDefaultsSpwInterfaceParams +81127e58 g F .text 00000078 .hidden __eqsf2 +81137e78 g F .text 0000014c __d2b +81151b9c g O .rwdata 00000004 cbSyncFFeePulsePolarity +81151d2c g O .bss 00000004 EDeftGenSimulationParamsID +811437dc g F .text 000000a4 OSSemPost 81101d60 g F .text 00000008 bSdmaInitComm1Dmas -811261f4 g F .text 00000004 vChangeDefaultRTValue +81126234 g F .text 00000004 vChangeDefaultRTValue 81103a78 g F .text 00000010 bFeebGetCh4LeftFeeBusy -81106630 g F .text 0000004c bMfilSetWrData -8111de58 g F .text 000000e0 vSendLog -81140c28 g F .text 00000054 OSSchedUnlock -8111f03c g F .text 00000010 ucCheckAndApllySPWChannel +81106664 g F .text 0000004c bMfilSetWrData +8111de98 g F .text 000000e0 vSendLog +81140c68 g F .text 00000054 OSSchedUnlock +8111f07c g F .text 00000010 ucCheckAndApllySPWChannel 811029ac g F .text 0000005c bDpktContentErrInjCloseList -8110bffc g F .text 000005f0 vQCmdFeeRMAPinWaitingMemUpdate -81123e74 g F .text 0000005c vFailSDCard -81145698 g F .text 00000010 altera_avalon_jtag_uart_read_fd -8113a100 g F .text 0000024c _strtoul_r -81176fd4 g O .bss 00000040 xFeeQueueTBL5 -81146610 g F .text 00000090 alt_get_fd -81151e20 g O .bss 00000004 OSMemFreeList -811402c8 g F .text 00000064 OSStatInit -811268a8 g F .text 00000004 bClearCounterSync -8113f21c g F .text 00000060 alt_busy_sleep -81141ad4 g F .text 0000005c OSFlagQuery -81107324 g F .text 00000010 bSyncCtrCh1OutEnable -81110f40 g F .text 00001cb8 vFeeTaskV3 -8111f924 g F .text 0000076c bLoadDefaultEthConf -81151c00 g O .rwdata 00000002 OSTaskCreateExtEn -81127728 g F .text 00000028 _split_codec_status -81133ed8 g F .text 00000054 _close_r -811246ac g F .text 00000058 vFailGetxMutexSenderBuffer128 -81106ba4 g F .text 00000010 uliRstcGetResetCounter -81105334 g F .text 000002ac bWindCopyMebWindowingParam -811247b4 g F .text 00000058 vCouldNotSendEthConfUART -81117138 g F .text 0000001c vDebugSyncTimeCode -81105f44 g F .text 00000010 usiFtdiRxBufferUsedBytes -81113164 g F .text 00000a70 vInitialTask -811245a4 g F .text 00000058 vFailGetCountSemaphoreSenderBuffer -81146b20 g F .text 0000007c memcmp -81140448 g F .text 00000004 OS_Dummy -811456b8 g F .text 00000010 altera_avalon_jtag_uart_close_fd -81151b5e g O .rwdata 00000002 cusiSyncFFeeSyncPeriodMs +8110c030 g F .text 000005f0 vQCmdFeeRMAPinWaitingMemUpdate +81123eb4 g F .text 0000005c vFailSDCard +811456d8 g F .text 00000010 altera_avalon_jtag_uart_read_fd +8113a140 g F .text 0000024c _strtoul_r +81177018 g O .bss 00000040 xFeeQueueTBL5 +81146650 g F .text 00000090 alt_get_fd +81151e64 g O .bss 00000004 OSMemFreeList +81140308 g F .text 00000064 OSStatInit +811268e8 g F .text 00000004 bClearCounterSync +8113f25c g F .text 00000060 alt_busy_sleep +81141b14 g F .text 0000005c OSFlagQuery +81107358 g F .text 00000010 bSyncCtrCh1OutEnable +81110f74 g F .text 00001cb8 vFeeTaskV3 +8111f964 g F .text 0000076c bLoadDefaultEthConf +81151c44 g O .rwdata 00000002 OSTaskCreateExtEn +81127768 g F .text 00000028 _split_codec_status +81133f18 g F .text 00000054 _close_r +811246ec g F .text 00000058 vFailGetxMutexSenderBuffer128 +81106bd8 g F .text 00000010 uliRstcGetResetCounter +81105368 g F .text 000002ac bWindCopyMebWindowingParam +811247f4 g F .text 00000058 vCouldNotSendEthConfUART +8111716c g F .text 0000001c vDebugSyncTimeCode +81105f78 g F .text 00000010 usiFtdiRxBufferUsedBytes +81113198 g F .text 00000a70 vInitialTask +811245e4 g F .text 00000058 vFailGetCountSemaphoreSenderBuffer +81146b60 g F .text 0000007c memcmp +81140488 g F .text 00000004 OS_Dummy +811456f8 g F .text 00000010 altera_avalon_jtag_uart_close_fd +81151ba2 g O .rwdata 00000002 cusiSyncFFeeSyncPeriodMs 81104d08 g F .text 00000008 bRmapSetRmapMemCfgArea -8118c004 g *ABS* 00000000 __alt_stack_base -811456c8 g F .text 0000000c altera_avalon_jtag_uart_ioctl_fd -8112bb80 g F .text 000000cc _fwrite_r +8118c048 g *ABS* 00000000 __alt_stack_base +81145708 g F .text 0000000c altera_avalon_jtag_uart_ioctl_fd +8112bbc0 g F .text 000000cc _fwrite_r 811028d0 g F .text 000000dc ucDpktContentErrInjAddEntry -8110667c g F .text 00000044 bMfilResetDma -81133d84 g F .text 00000154 __swsetup_r -811873c0 g O .bss 00000600 OSQTbl -81106b38 g F .text 00000018 vRstcHoldSimucamReset -81125564 g F .text 00000058 vCouldNotGetQueueMaskNfeeCtrl -81183c48 g O .bss 0000001c xConfEth -81151b54 g O .rwdata 00000001 cusiSyncFFeeNumberOfPulses -8112935c g F .text 000008e8 .hidden __divdf3 -81126200 g F .text 00000008 vChangeSyncSource -81105d44 g F .text 0000003c bFtdiRxIrqInit -81135c7c g F .text 00000118 __sfp -8112550c g F .text 00000058 vCouldNotCreateQueueMaskDataCtrl -81105100 g F .text 00000020 bSpwcClearTimecode -8113809c g F .text 00000078 __copybits -811503e8 g O .rwdata 00000408 __malloc_av_ -81151d90 g O .bss 00000004 vuliDeftReceivedDefaultsQtd -81135dc8 g F .text 00000004 __sinit_lock_release -81151c44 g O .bss 00000004 uliInitialState -81106088 g F .text 00000048 i2c_stop -811277a4 g F .text 00000058 Verif_Error -811277fc g F .text 00000008 toInt -81123f88 g F .text 00000030 vFailSendPreAckSenderSemaphore -81123aa8 g F .text 0000008c bDeftGetDefaultValues -81151e24 g O .bss 00000004 OSTCBHighRdy -81129d20 g F .text 00000718 .hidden __muldf3 -811071f0 g F .text 00000010 bSyncSetPreBt -8112d6e4 g F .text 00000054 __sread -811360d4 g F .text 00000034 fread -81151e28 g O .bss 00000004 OSQFreeList -81146564 g F .text 000000ac alt_find_file -81146480 g F .text 0000006c alt_dev_llist_insert -8113fbf8 g F .text 000000ac __malloc_lock -8112b5b8 g F .text 00000028 _fprintf_r -8113f850 g F .text 0000004c sbrk +811066b0 g F .text 00000044 bMfilResetDma +81133dc4 g F .text 00000154 __swsetup_r +81187404 g O .bss 00000600 OSQTbl +81106b6c g F .text 00000018 vRstcHoldSimucamReset +811255a4 g F .text 00000058 vCouldNotGetQueueMaskNfeeCtrl +81183c8c g O .bss 0000001c xConfEth +81151b98 g O .rwdata 00000001 cusiSyncFFeeNumberOfPulses +8112939c g F .text 000008e8 .hidden __divdf3 +81126240 g F .text 00000008 vChangeSyncSource +81105d78 g F .text 0000003c bFtdiRxIrqInit +81135cbc g F .text 00000118 __sfp +8112554c g F .text 00000058 vCouldNotCreateQueueMaskDataCtrl +81105134 g F .text 00000020 bSpwcClearTimecode +811380dc g F .text 00000078 __copybits +8115042c g O .rwdata 00000408 __malloc_av_ +81151dd4 g O .bss 00000004 vuliDeftReceivedDefaultsQtd +81135e08 g F .text 00000004 __sinit_lock_release +81151c88 g O .bss 00000004 uliInitialState +811060bc g F .text 00000048 i2c_stop +811277e4 g F .text 00000058 Verif_Error +8112783c g F .text 00000008 toInt +81123fc8 g F .text 00000030 vFailSendPreAckSenderSemaphore +81123ae8 g F .text 0000008c bDeftGetDefaultValues +81151e68 g O .bss 00000004 OSTCBHighRdy +81129d60 g F .text 00000718 .hidden __muldf3 +81107224 g F .text 00000010 bSyncSetPreBt +8112d724 g F .text 00000054 __sread +81136114 g F .text 00000034 fread +81151e6c g O .bss 00000004 OSQFreeList +811465a4 g F .text 000000ac alt_find_file +811464c0 g F .text 0000006c alt_dev_llist_insert +8113fc38 g F .text 000000ac __malloc_lock +8112b5f8 g F .text 00000028 _fprintf_r +8113f890 g F .text 0000004c sbrk 81102aec g F .text 00000088 bDpktSetHeaderErrInj -8112449c g F .text 00000058 vFailGetCountSemaphorexBuffer64 -8112de98 g F .text 000021d8 ___svfprintf_internal_r -811102b0 g F .text 000005f0 vQCmdFeeRMAPinPreLoadBuffer -8111e784 g F .text 00000058 vTMPusTestConnection -81151d54 g O .bss 00000004 xMebQ -8113fdf4 g F .text 000000e0 OSEventNameSet -811359d0 g F .text 0000005c _fflush_r -8113d314 g F .text 000000c4 _calloc_r -81151e2c g O .bss 00000001 OSRdyGrp -811258c0 g F .text 00000030 vFailSendMsgFeeCTRL +811244dc g F .text 00000058 vFailGetCountSemaphorexBuffer64 +8112ded8 g F .text 000021d8 ___svfprintf_internal_r +811102e4 g F .text 000005f0 vQCmdFeeRMAPinPreLoadBuffer +8111e7c4 g F .text 00000058 vTMPusTestConnection +81151d98 g O .bss 00000004 xMebQ +8113fe34 g F .text 000000e0 OSEventNameSet +81135a10 g F .text 0000005c _fflush_r +8113d354 g F .text 000000c4 _calloc_r +81151e70 g O .bss 00000001 OSRdyGrp +81125900 g F .text 00000030 vFailSendMsgFeeCTRL 81103d60 g F .text 00000004 bFeebClearMachineStatistics -811071c0 g F .text 00000010 ucSyncStatusCycleNumber -8110ef70 g F .text 0000063c vQCmdFEEinReadoutSync +811071f4 g F .text 00000010 ucSyncStatusCycleNumber +8110efa4 g F .text 0000063c vQCmdFEEinReadoutSync 81104bb0 g F .text 00000030 bRmapGetCodecConfig -811451ec g F .text 00000114 OSTmrStart +8114522c g F .text 00000114 OSTmrStart 811034c8 g F .text 00000100 vFeebCh5HandleIrq -8112b5a0 g F .text 00000018 fopen -81151c44 g *ABS* 00000000 __bss_start -8112c790 g F .text 00000128 memset -81151cec g O .bss 00000004 EDeftEthInterfaceParamsID -811167fc g F .text 000000c8 setPreParsedFreePos -81125e78 g F .text 00000028 bMemNewLimits -8110d35c g F .text 00000b48 vQCmdFEEinStandBy -811264e0 g F .text 00000154 pattern_createPattern -8111cd44 g F .text 00000414 main -811240c0 g F .text 00000058 vFailGetMutexReceiverTask -8112433c g F .text 00000058 vFailSetCountSemaphorexBuffer512 -81177014 g O .bss 00002000 vNFeeControlTask_stk -811261d4 g F .text 00000008 vChangeEPValue -81106b18 g F .text 00000020 vRstcReleaseSimucamReset -81151dc8 g O .bss 00000004 alt_envp -81125674 g F .text 00000030 vFailFromFEE -81125920 g F .text 00000030 vFailFlushQueue -81151da8 g O .bss 00000004 __malloc_max_total_mem -81120540 g F .text 00000040 ucCrc8wInit -81124a00 g F .text 00000030 vCouldNotCheckBufferTimeOutFunction -811456a8 g F .text 00000010 altera_avalon_jtag_uart_write_fd +8112b5e0 g F .text 00000018 fopen +81151c88 g *ABS* 00000000 __bss_start +8112c7d0 g F .text 00000128 memset +81151d30 g O .bss 00000004 EDeftEthInterfaceParamsID +81116830 g F .text 000000c8 setPreParsedFreePos +81125eb8 g F .text 00000028 bMemNewLimits +8110d390 g F .text 00000b48 vQCmdFEEinStandBy +81126520 g F .text 00000154 pattern_createPattern +8111cd84 g F .text 00000414 main +81124100 g F .text 00000058 vFailGetMutexReceiverTask +8112437c g F .text 00000058 vFailSetCountSemaphorexBuffer512 +81177058 g O .bss 00002000 vNFeeControlTask_stk +81126214 g F .text 00000008 vChangeEPValue +81106b4c g F .text 00000020 vRstcReleaseSimucamReset +81151e0c g O .bss 00000004 alt_envp +811256b4 g F .text 00000030 vFailFromFEE +81125960 g F .text 00000030 vFailFlushQueue +81151dec g O .bss 00000004 __malloc_max_total_mem +81120580 g F .text 00000040 ucCrc8wInit +81124a40 g F .text 00000030 vCouldNotCheckBufferTimeOutFunction +811456e8 g F .text 00000010 altera_avalon_jtag_uart_write_fd 811017b4 g F .text 000001cc POWER_Read -811062cc g F .text 00000108 i2c_read -81133d0c g F .text 00000018 __swbuf -8114fe76 g O .rodata 00000100 OSUnMapTbl -8113efd8 g F .text 000000c8 .hidden __ltsf2 +81106300 g F .text 00000108 i2c_read +81133d4c g F .text 00000018 __swbuf +8114feba g O .rodata 00000100 OSUnMapTbl +8113f018 g F .text 000000c8 .hidden __ltsf2 81103c38 g F .text 00000068 bFeebSetMachineControl -81135ea8 g F .text 0000022c _fread_r -81123fb8 g F .text 00000058 vFailGetCountSemaphoreSenderTask -81105a68 g F .text 00000028 bDisableIsoDrivers -81151b70 g O .rwdata 00000002 cusiSyncNFeeOneShotTimeMs -81151c06 g O .rwdata 00000002 OSSemEn -81151d14 g O .bss 00000004 vpxImgWinContentErr -8111a980 g F .text 0000021c vPerformActionMebInRunning -811259e0 g F .text 00000030 vFailSyncResetCreate +81135ee8 g F .text 0000022c _fread_r +81123ff8 g F .text 00000058 vFailGetCountSemaphoreSenderTask +81105a9c g F .text 00000028 bDisableIsoDrivers +81151bb4 g O .rwdata 00000002 cusiSyncNFeeOneShotTimeMs +81151c4a g O .rwdata 00000002 OSSemEn +81151d58 g O .bss 00000004 vpxImgWinContentErr +8111a9b4 g F .text 0000021c vPerformActionMebInRunning +81125a20 g F .text 00000030 vFailSyncResetCreate 81103968 g F .text 00000010 bFeebGetCh1RightBufferEmpty -81179014 g O .bss 00000018 xFeeQ +81179058 g O .bss 00000018 xFeeQ 81101d68 g F .text 00000008 bSdmaInitComm2Dmas -811418ec g F .text 000001e8 OSFlagPost -8112d818 g F .text 00000008 __sclose -81124c70 g F .text 00000058 vFailStartTimerRetransmission +8114192c g F .text 000001e8 OSFlagPost +8112d858 g F .text 00000008 __sclose +81124cb0 g F .text 00000058 vFailStartTimerRetransmission 811c0000 g *ABS* 00000000 __alt_heap_limit -81107608 g F .text 000003ec bSyncConfigNFeeSyncPeriod -8113d4c8 g F .text 00000014 fclose -81140590 g F .text 00000074 OS_EventTaskWait +8110763c g F .text 000003ec bSyncConfigNFeeSyncPeriod +8113d508 g F .text 00000014 fclose +811405d0 g F .text 00000074 OS_EventTaskWait 811039b8 g F .text 00000010 bFeebGetCh4LeftBufferEmpty -81107404 g F .text 00000010 bSyncIrqFlagClrBlankPulse -81124b68 g F .text 00000058 vCouldNotRetransmitB32TimeoutTask -8112d948 g F .text 00000238 _strtol_r -8112b048 g F .text 000001a8 .hidden __truncdfsf2 -8117902c g O .bss 00000040 xFeeQueueTBL2 -811097cc g F .text 00000030 uliReturnMaskR -81140ce8 g F .text 00000094 OS_TaskStatStkChk +81107438 g F .text 00000010 bSyncIrqFlagClrBlankPulse +81124ba8 g F .text 00000058 vCouldNotRetransmitB32TimeoutTask +8112d988 g F .text 00000238 _strtol_r +8112b088 g F .text 000001a8 .hidden __truncdfsf2 +81179070 g O .bss 00000040 xFeeQueueTBL2 +81109800 g F .text 00000030 uliReturnMaskR +81140d28 g F .text 00000094 OS_TaskStatStkChk 81104d18 g F .text 00000008 bRmapSetRmapMemHkArea -8114401c g F .text 000000ac OSTaskDelReq +8114405c g F .text 000000ac OSTaskDelReq 81102410 g F .text 00000038 bDpktGetPacketHeader 81103fdc g F .text 00000148 vRmapCh1HandleIrq -81106c18 g F .text 000000bc vScomInit -8113412c g F .text 00001688 _dtoa_r -8112be3c g F .text 0000080c _malloc_r -81151cf0 g O .bss 00000004 EDeftNfeeHkRmapAreaID -8113e49c g F .text 00000030 __ascii_wctomb -81142154 g F .text 00000114 OSMutexCreate -81124b10 g F .text 00000058 vFailCouldNotRetransmitTimeoutTask -81112e0c g F .text 000000b4 bCheckInAck32 -81151bb4 g O .rwdata 00000004 alt_errno -81140258 g F .text 00000070 OSStart -81106800 g F .text 00000318 POWER_SPI_RW -8113ba4c g F .text 000000d4 __submore -8113fbd0 g F .text 00000028 __env_unlock -81114624 g F .text 00000278 vNFeeControlTaskV3 -811250e8 g F .text 00000058 vCoudlNotCreateNFee1Task -811369f8 g F .text 000000c4 _fwalk -81106004 g F .text 0000000c vFtdiIrqTxLutFinishedEn +81106c4c g F .text 000000bc vScomInit +8113416c g F .text 00001688 _dtoa_r +8112be7c g F .text 0000080c _malloc_r +81151d34 g O .bss 00000004 EDeftNfeeHkRmapAreaID +8113e4dc g F .text 00000030 __ascii_wctomb +81142194 g F .text 00000114 OSMutexCreate +81124b50 g F .text 00000058 vFailCouldNotRetransmitTimeoutTask +81112e40 g F .text 000000b4 bCheckInAck32 +81151bf8 g O .rwdata 00000004 alt_errno +81140298 g F .text 00000070 OSStart +81106834 g F .text 00000318 POWER_SPI_RW +8113ba8c g F .text 000000d4 __submore +8113fc10 g F .text 00000028 __env_unlock +81114658 g F .text 00000278 vNFeeControlTaskV3 +81125128 g F .text 00000058 vCoudlNotCreateNFee1Task +81136a38 g F .text 000000c4 _fwalk +81106038 g F .text 0000000c vFtdiIrqTxLutFinishedEn 81103a18 g F .text 00000010 bFeebGetCh1LeftFeeBusy -811442e0 g F .text 00000104 OSTaskResume -81141ef8 g F .text 00000064 OSMemQuery -81151bf4 g O .rwdata 00000002 OSTaskStatEn -81151c74 g O .bss 00000004 ECommSpwCh -81126218 g F .text 00000008 vChangeAutoResetSync -81108364 g F .text 00000948 vDataControlTaskV2 -81136f08 g F .text 0000005c _mbtowc_r -81112ec0 g F .text 00000258 vInAckHandlerTaskV2 -81151c1a g O .rwdata 00000002 OSMemMax -81143024 g F .text 000000c4 OSQPostFront -81123b34 g F .text 0000008c bDeftGetConfigValues -8112c9b8 g F .text 000000c8 putc -8112b254 g F .text 00000084 .hidden __divsi3 -81151e2d g O .bss 00000006 OSRdyTbl -81151c40 g O .rwdata 00000002 OSDebugEn -81136108 g F .text 00000124 _malloc_trim_r -81105c8c g F .text 000000b8 vFtdiTxIrqHandler -81104d28 g F .text 00000214 bRmapInitCh -81151d98 g O .bss 00000008 xSdHandle -81127f4c g F .text 000003fc .hidden __mulsf3 -81151c28 g O .rwdata 00000002 OSFlagNodeSize -81151da0 g O .bss 00000004 pnt_memory -81126224 g F .text 000000e0 vSyncReset -81107200 g F .text 00000010 bSyncSetPer +81144320 g F .text 00000104 OSTaskResume +81141f38 g F .text 00000064 OSMemQuery +81151c38 g O .rwdata 00000002 OSTaskStatEn +81151cb8 g O .bss 00000004 ECommSpwCh +81126258 g F .text 00000008 vChangeAutoResetSync +81108398 g F .text 00000948 vDataControlTaskV2 +81136f48 g F .text 0000005c _mbtowc_r +81112ef4 g F .text 00000258 vInAckHandlerTaskV2 +81151c5e g O .rwdata 00000002 OSMemMax +81143064 g F .text 000000c4 OSQPostFront +81123b74 g F .text 0000008c bDeftGetConfigValues +8112c9f8 g F .text 000000c8 putc +8112b294 g F .text 00000084 .hidden __divsi3 +81151e71 g O .bss 00000006 OSRdyTbl +81151c84 g O .rwdata 00000002 OSDebugEn +81136148 g F .text 00000124 _malloc_trim_r +81105cc0 g F .text 000000b8 vFtdiTxIrqHandler +81104d5c g F .text 00000214 bRmapInitCh +81151ddc g O .bss 00000008 xSdHandle +81127f8c g F .text 000003fc .hidden __mulsf3 +81151c6c g O .rwdata 00000002 OSFlagNodeSize +81151de4 g O .bss 00000004 pnt_memory +81126264 g F .text 000000e0 vSyncReset +81107234 g F .text 00000010 bSyncSetPer 811001c8 g F .text 0000030c bDdr2EepromTest -811073e4 g F .text 00000010 bSyncIrqEnableLastPulse -81151be0 g O .rwdata 00000002 OSTmrCfgMax -811073f4 g F .text 00000010 bSyncIrqFlagClrError -81124864 g F .text 00000058 vFailSetPreAckSenderBuffer -811388b0 g F .text 000000dc strcmp -8111e070 g F .text 00000130 vSendEventLog -81142a14 g F .text 000000ec OSQCreate -811440c8 g F .text 000000fc OSTaskNameGet -8117906c g O .bss 00001800 vFeeTask4_stk -8111dca4 g F .text 000000d8 vSendBufferChar128 -8117a86c g O .bss 00000080 xReceivedACK -811066c0 g F .text 00000140 bMfilDmaTransfer -811430e8 g F .text 00000158 OSQPostOpt -8114032c g F .text 00000114 OSTimeTick -81151bf8 g O .rwdata 00000002 OSTaskMax -81143370 g F .text 00000090 OSSemCreate -81151bd4 g O .rwdata 00000002 OSTmrWheelSize -81124ec8 g F .text 00000058 vFailCreateScheduleQueue -811073b4 g F .text 00000010 bSyncIrqEnableBlankPulse +81107418 g F .text 00000010 bSyncIrqEnableLastPulse +81151c24 g O .rwdata 00000002 OSTmrCfgMax +81107428 g F .text 00000010 bSyncIrqFlagClrError +811248a4 g F .text 00000058 vFailSetPreAckSenderBuffer +811388f0 g F .text 000000dc strcmp +8111e0b0 g F .text 00000130 vSendEventLog +81142a54 g F .text 000000ec OSQCreate +81144108 g F .text 000000fc OSTaskNameGet +811790b0 g O .bss 00001800 vFeeTask4_stk +8111dce4 g F .text 000000d8 vSendBufferChar128 +8117a8b0 g O .bss 00000080 xReceivedACK +811066f4 g F .text 00000140 bMfilDmaTransfer +81143128 g F .text 00000158 OSQPostOpt +8114036c g F .text 00000114 OSTimeTick +81151c3c g O .rwdata 00000002 OSTaskMax +811433b0 g F .text 00000090 OSSemCreate +81151c18 g O .rwdata 00000002 OSTmrWheelSize +81124f08 g F .text 00000058 vFailCreateScheduleQueue +811073e8 g F .text 00000010 bSyncIrqEnableBlankPulse 81103b40 g F .text 00000068 bFeebSetBufferDataControl -8112759c g F .text 0000001c _reg_read -811428bc g F .text 000000b0 OSMutexQuery -8110a09c g F .text 00000004 bSendGiveBackNFeeCtrl +811275dc g F .text 0000001c _reg_read +811428fc g F .text 000000b0 OSMutexQuery +8110a0d0 g F .text 00000004 bSendGiveBackNFeeCtrl 81102b74 g F .text 00000088 bDpktGetHeaderErrInj 81104804 g F .text 0000000c vRmapCh3EnableCodec 811025d8 g F .text 00000040 bDpktGetRmapErrInj 81104d20 g F .text 00000008 bRmapGetRmapMemHkArea -8113f0a0 g F .text 00000088 .hidden __nedf2 -81151bfe g O .rwdata 00000002 OSTaskDelEn -811261c8 g F .text 0000000c vLoadDefaultEPValue -8117a8ec g O .bss 00001800 vFeeTask1_stk +8113f0e0 g F .text 00000088 .hidden __nedf2 +81151c42 g O .rwdata 00000002 OSTaskDelEn +81126208 g F .text 0000000c vLoadDefaultEPValue +8117a930 g O .bss 00001800 vFeeTask1_stk 81101d90 g F .text 00000008 bSdmaInitFtdiRxDma -81107384 g F .text 00000010 bSyncCtrCh7OutEnable -81143840 g F .text 00000078 OSSemQuery -81142b00 g F .text 00000184 OSQDel -81142e64 g F .text 000000f4 OSQPendAbort -81151d58 g O .bss 00000004 xMutexPreParsed -811455ec g F .text 00000020 alt_irq_init +811073b8 g F .text 00000010 bSyncCtrCh7OutEnable +81143880 g F .text 00000078 OSSemQuery +81142b40 g F .text 00000184 OSQDel +81142ea4 g F .text 000000f4 OSQPendAbort +81151d9c g O .bss 00000004 xMutexPreParsed +8114562c g F .text 00000020 alt_irq_init 81102de0 g F .text 00000038 bDpktGetWindowingParams 81101d88 g F .text 00000008 bSdmaInitComm6Dmas -8111f04c g F .text 00000854 bLoadDefaultDebugConf -8113f82c g F .text 00000024 alt_release_fd -81107130 g F .text 00000008 vSyncClearCounter -8110982c g F .text 00000198 bPrepareDoubleBuffer -8112d678 g F .text 0000006c sprintf -8114f728 g O .rodata 00000100 .hidden __clz_tab +8111f08c g F .text 00000854 bLoadDefaultDebugConf +8113f86c g F .text 00000024 alt_release_fd +81107164 g F .text 00000008 vSyncClearCounter +81109860 g F .text 00000198 bPrepareDoubleBuffer +8112d6b8 g F .text 0000006c sprintf +8114f76c g O .rodata 00000100 .hidden __clz_tab 81100b84 g F .text 00000270 bDdr2MemoryWriteTest -81124118 g F .text 00000058 vFailGetMutexTxUARTSenderTask -81151db4 g O .bss 00000004 _PathLocale -81145300 g F .text 00000198 OSTmrStop -81104f3c g F .text 00000024 uliRmapReadReg -8111e2d8 g F .text 00000034 usiGetIdCMD +81124158 g F .text 00000058 vFailGetMutexTxUARTSenderTask +81151df8 g O .bss 00000004 _PathLocale +81145340 g F .text 00000198 OSTmrStop +81104f70 g F .text 00000024 uliRmapReadReg +8111e318 g F .text 00000034 usiGetIdCMD 81103a48 g F .text 00000010 bFeebGetCh2RightFeeBusy -8113a000 g F .text 00000100 strtof -8111b5a0 g F .text 0000026c vSyncResetTask -8110ac4c g F .text 000006a4 vQCmdFEEinOn +8113a040 g F .text 00000100 strtof +8111b5e0 g F .text 0000026c vSyncResetTask +8110ac80 g F .text 000006a4 vQCmdFEEinOn 811032cc g F .text 000000fc vFeebCh3HandleIrq -81127e90 g F .text 000000bc .hidden __gesf2 -8112d820 g F .text 0000004c strcspn -81133d24 g F .text 00000060 _write_r -81106b50 g F .text 0000001c vRstcReleaseDeviceReset -811249cc g F .text 00000004 vFailInAckHandlerTaskCreate +81127ed0 g F .text 000000bc .hidden __gesf2 +8112d860 g F .text 0000004c strcspn +81133d64 g F .text 00000060 _write_r +81106b84 g F .text 0000001c vRstcReleaseDeviceReset +81124a0c g F .text 00000004 vFailInAckHandlerTaskCreate 811039d8 g F .text 00000010 bFeebGetCh5LeftBufferEmpty -81140210 g F .text 00000048 OSSchedLock -81125198 g F .text 00000058 vCoudlNotCreateNFee3Task -81136cbc g F .text 00000018 setlocale -811879c0 g O .bss 00000800 OSTmrTaskStk +81140250 g F .text 00000048 OSSchedLock +811251d8 g F .text 00000058 vCoudlNotCreateNFee3Task +81136cfc g F .text 00000018 setlocale +81187a04 g O .bss 00000800 OSTmrTaskStk 81102638 g F .text 00000050 bDpktSetTransmissionErrInj -8112d5b4 g F .text 0000003c scanf -81117050 g F .text 00000038 iCompareImgWinContent -811253a8 g F .text 00000054 vFailCreateMutexSPUSQueueMeb -81141228 g F .text 00000080 OSFlagCreate -81117a88 g F .text 00000040 vMebInit -811388a8 g F .text 00000008 nanf -81151b88 g O .rwdata 00000004 _impure_ptr -81151dd0 g O .bss 00000004 alt_argc -81124444 g F .text 00000058 vFailGetCountSemaphorexBuffer128 -811357b4 g F .text 0000021c __sflush_r -81136d40 g F .text 000000ac _mbrtowc_r -81151cfc g O .bss 00000004 bDmaBack -81109618 g F .text 000001b4 vWaitUntilBufferEmpty -81136ca8 g F .text 00000008 __locale_cjk_lang +8112d5f4 g F .text 0000003c scanf +81117084 g F .text 00000038 iCompareImgWinContent +811253e8 g F .text 00000054 vFailCreateMutexSPUSQueueMeb +81141268 g F .text 00000080 OSFlagCreate +81117abc g F .text 00000040 vMebInit +811388e8 g F .text 00000008 nanf +81151bcc g O .rwdata 00000004 _impure_ptr +81151e14 g O .bss 00000004 alt_argc +81124484 g F .text 00000058 vFailGetCountSemaphorexBuffer128 +811357f4 g F .text 0000021c __sflush_r +81136d80 g F .text 000000ac _mbrtowc_r +81151d40 g O .bss 00000004 bDmaBack +8110964c g F .text 000001b4 vWaitUntilBufferEmpty +81136ce8 g F .text 00000008 __locale_cjk_lang 81101a20 g F .text 00000340 sense_log -81151c78 g O .bss 00000004 ESdmaBufferSide -81151c2e g O .rwdata 00000002 OSEventMultiEn +81151cbc g O .bss 00000004 ESdmaBufferSide +81151c72 g O .rwdata 00000002 OSEventMultiEn 81102da8 g F .text 00000038 bDpktSetWindowingParams -8112b6a4 g F .text 00000004 _fseek_r -8117c0ec g O .bss 00002000 vParserCommTask_stk -81123bc0 g F .text 00000044 printErrorTask -811261dc g F .text 00000004 vChangeDefaultEPValue -8111c18c g F .text 00000160 vCheckRetransmission32 -81144bc8 g F .text 00000194 OSTmrCreate -8111af6c g F .text 00000634 vStackMonitor -81125734 g F .text 00000030 vFailSendBufferEmptyIRQtoDTC -8112d38c g F .text 000001d4 __srefill_r -81105a90 g F .text 00000024 bEnableLvdsBoard -81151d5c g O .bss 00000004 xMutexBuffer32 +8112b6e4 g F .text 00000004 _fseek_r +8117c130 g O .bss 00002000 vParserCommTask_stk +81123c00 g F .text 00000044 printErrorTask +8112621c g F .text 00000004 vChangeDefaultEPValue +8111c1cc g F .text 00000160 vCheckRetransmission32 +81144c08 g F .text 00000194 OSTmrCreate +8111afac g F .text 00000634 vStackMonitor +81125774 g F .text 00000030 vFailSendBufferEmptyIRQtoDTC +8112d3cc g F .text 000001d4 __srefill_r +81105ac4 g F .text 00000024 bEnableLvdsBoard +81151da0 g O .bss 00000004 xMutexBuffer32 81104124 g F .text 00000148 vRmapCh2HandleIrq 81103a88 g F .text 00000010 bFeebGetCh4RightFeeBusy -811412a8 g F .text 00000168 OSFlagDel -81151e34 g O .bss 00000004 OSEventFreeList -81105adc g F .text 000000cc bSetPreEmphasys -81109af8 g F .text 000005a4 vQCmdFEEinConfig +811412e8 g F .text 00000168 OSFlagDel +81151e78 g O .bss 00000004 OSEventFreeList +81105b10 g F .text 000000cc bSetPreEmphasys +81109b2c g F .text 000005a4 vQCmdFEEinConfig 81100020 g .exceptions 00000000 alt_irq_entry -81124f80 g F .text 00000058 vFailCreateMebQueue -811203f4 g F .text 00000110 bShowSpwConfig -81136ec4 g F .text 00000044 __ascii_mbtowc +81124fc0 g F .text 00000058 vFailCreateMebQueue +81120434 g F .text 00000110 bShowSpwConfig +81136f04 g F .text 00000044 __ascii_mbtowc 81102840 g F .text 00000090 bDpktContentErrInjOpenList 811039f8 g F .text 00000010 bFeebGetCh6LeftBufferEmpty 81103978 g F .text 00000010 bFeebGetCh2LeftBufferEmpty -81151b5c g O .rwdata 00000002 cusiSyncFFeeOneShotTimeMs -81137cc8 g F .text 00000064 __ulp -81125edc g F .text 000000a0 vNFeeControlInit -81117ac8 g F .text 00000024 vSwapMemmory -811415a8 g F .text 00000324 OSFlagPend -81151be2 g O .rwdata 00000002 OSTmrEn -81135de4 g F .text 00000018 __fp_unlock_all -8117e0ec g O .bss 00000010 xDma -81151d60 g O .bss 00000001 SemCount512 -8112b648 g F .text 0000005c fputc -8110a0d8 g F .text 00000044 bEnableRmapIRQ -8111d82c g F .text 00000210 bSendUART32v2 -811169a4 g F .text 00000114 setPreAckReceiverFreePos -81117bd8 g F .text 0000003c vSendHKUpdate -81107284 g F .text 00000010 bSyncErrInj -81151bac g O .rwdata 00000008 alt_fs_list -8117e0fc g O .bss 00001800 vSimMebTask_stk -81125350 g F .text 00000058 vCoudlNotCreateMebTask -8117f8fc g O .bss 00001800 vFeeTask3_stk -81144eb0 g F .text 00000124 OSTmrNameGet -8115235c g O .bss 00000400 xSZData -81140c7c g F .text 00000044 OS_StrCopy -81151c7c g O .bss 00000004 DpktSpwCodecErrId -81151b7c g O .rwdata 00000004 vuliDeftExpectedDefaultsQtd +81151ba0 g O .rwdata 00000002 cusiSyncFFeeOneShotTimeMs +81137d08 g F .text 00000064 __ulp +81125f1c g F .text 000000a0 vNFeeControlInit +81117afc g F .text 00000024 vSwapMemmory +811415e8 g F .text 00000324 OSFlagPend +81151c26 g O .rwdata 00000002 OSTmrEn +81135e24 g F .text 00000018 __fp_unlock_all +8117e130 g O .bss 00000010 xDma +81151da4 g O .bss 00000001 SemCount512 +8112b688 g F .text 0000005c fputc +8110a10c g F .text 00000044 bEnableRmapIRQ +8111d86c g F .text 00000210 bSendUART32v2 +811169d8 g F .text 00000114 setPreAckReceiverFreePos +81117c0c g F .text 0000003c vSendHKUpdate +811072b8 g F .text 00000010 bSyncErrInj +81151bf0 g O .rwdata 00000008 alt_fs_list +8117e140 g O .bss 00001800 vSimMebTask_stk +81125390 g F .text 00000058 vCoudlNotCreateMebTask +8117f940 g O .bss 00001800 vFeeTask3_stk +81144ef0 g F .text 00000124 OSTmrNameGet +811523a0 g O .bss 00000400 xSZData +81140cbc g F .text 00000044 OS_StrCopy +81151cc0 g O .bss 00000004 DpktSpwCodecErrId +81151bc0 g O .rwdata 00000004 vuliDeftExpectedDefaultsQtd 81102c8c g F .text 00000078 ucDpktHeaderErrInjAddEntry -811074d4 g F .text 00000010 bSyncPreIrqFlagClrBlankPulse -81124654 g F .text 00000058 vFailGetxMutexPreParsedParserRxTask -811260b4 g F .text 00000004 vLogWriteNUC -81151c80 g O .bss 00000004 ECommFFeeId -81151d64 g O .bss 00000004 xLutQ +81107508 g F .text 00000010 bSyncPreIrqFlagClrBlankPulse +81124694 g F .text 00000058 vFailGetxMutexPreParsedParserRxTask +811260f4 g F .text 00000004 vLogWriteNUC +81151cc4 g O .bss 00000004 ECommFFeeId +81151da8 g O .bss 00000004 xLutQ 81103a08 g F .text 00000010 bFeebGetCh6RightBufferEmpty -8110b2f0 g F .text 00000630 vQCmdFeeRMAPBeforeSync -81151c18 g O .rwdata 00000002 OSMemNameSize -81125fa0 g F .text 00000050 bInitSimucamCoreHW -81146a68 g F .text 00000004 OSInitHookEnd -81125a1c g F .text 00000184 vUpdateMemMapFEE -81151b60 g O .rwdata 00000002 cusiSyncFFeeNormalPulseDurationMs -81124914 g F .text 00000058 vFailSetPreAckReceiverBuffer -81151bec g O .rwdata 00000002 OSTCBPrioTblMax -81136cd4 g F .text 0000000c localeconv -811252f8 g F .text 00000058 vCoudlNotCreateDataControllerTask -81151d68 g O .bss 00000004 xTimerRetransmission -81116d08 g F .text 00000158 vReceiverUartTask -81151bf0 g O .rwdata 00000002 OSTaskStatStkChkEn -81151d6c g O .bss 00000004 xMutexBuffer128 -811810fc g O .bss 00001800 vFeeTask2_stk +8110b324 g F .text 00000630 vQCmdFeeRMAPBeforeSync +81151c5c g O .rwdata 00000002 OSMemNameSize +81125fe0 g F .text 00000050 bInitSimucamCoreHW +81146aa8 g F .text 00000004 OSInitHookEnd +81125a5c g F .text 00000184 vUpdateMemMapFEE +81151ba4 g O .rwdata 00000002 cusiSyncFFeeNormalPulseDurationMs +81124954 g F .text 00000058 vFailSetPreAckReceiverBuffer +81151c30 g O .rwdata 00000002 OSTCBPrioTblMax +81136d14 g F .text 0000000c localeconv +81125338 g F .text 00000058 vCoudlNotCreateDataControllerTask +81151dac g O .bss 00000004 xTimerRetransmission +81116d3c g F .text 00000158 vReceiverUartTask +81151c34 g O .rwdata 00000002 OSTaskStatStkChkEn +81151db0 g O .bss 00000004 xMutexBuffer128 +81181140 g O .bss 00001800 vFeeTask2_stk 811030c0 g F .text 00000100 vFeebCh1HandleIrq -8112679c g F .text 00000018 bStartSync -811267b4 g F .text 00000004 bStopSync -81151c84 g O .bss 00000004 ECommBufferSide -811466a0 g F .text 00000004 alt_ic_isr_register -81151c1c g O .rwdata 00000002 OSMemEn +811267dc g F .text 00000018 bStartSync +811267f4 g F .text 00000004 bStopSync +81151cc8 g O .bss 00000004 ECommBufferSide +811466e0 g F .text 00000004 alt_ic_isr_register +81151c60 g O .rwdata 00000002 OSMemEn 8110426c g F .text 00000148 vRmapCh3HandleIrq -81151d70 g O .bss 00000004 xMutexDMAFTDI -811175cc g F .text 000004bc vPusType252run -81107df8 g F .text 00000040 usiRegCalcTimeMs -81125890 g F .text 00000030 vFailSendMsgMasterSyncMeb -81125704 g F .text 00000030 vFailFtdiErrorIRQtoLUT -8112bc4c g F .text 00000034 fwrite -811072f4 g F .text 00000010 bSyncCtrHoldBlankPulse -81151c12 g O .rwdata 00000002 OSMutexEn -811255bc g F .text 00000058 vCouldNotGetQueueMaskDataCtrl -81106bb4 g F .text 00000010 vScomClearTimecode -81151c44 g *ABS* 00000000 _edata -81145e54 g F .text 00000010 altera_avalon_uart_read_fd -81125f8c g F .text 00000008 vLoadDefaultIdNFEEMaster +81151db4 g O .bss 00000004 xMutexDMAFTDI +81117600 g F .text 000004bc vPusType252run +81107e2c g F .text 00000040 usiRegCalcTimeMs +811258d0 g F .text 00000030 vFailSendMsgMasterSyncMeb +81125744 g F .text 00000030 vFailFtdiErrorIRQtoLUT +8112bc8c g F .text 00000034 fwrite +81107328 g F .text 00000010 bSyncCtrHoldBlankPulse +81151c56 g O .rwdata 00000002 OSMutexEn +811255fc g F .text 00000058 vCouldNotGetQueueMaskDataCtrl +81106be8 g F .text 00000010 vScomClearTimecode +81151c88 g *ABS* 00000000 _edata +81145e94 g F .text 00000010 altera_avalon_uart_read_fd +81125fcc g F .text 00000008 vLoadDefaultIdNFEEMaster 811039e8 g F .text 00000010 bFeebGetCh5RightBufferEmpty -8118c004 g *ABS* 00000000 _end -81151e38 g O .bss 00000001 OSIntNesting -811241a0 g F .text 0000005c vFailInitialization +8118c048 g *ABS* 00000000 _end +81151e7c g O .bss 00000001 OSIntNesting +811241e0 g F .text 0000005c vFailInitialization 81100b08 g F .text 0000007c bDdr2SwitchMemory -81151d74 g O .bss 00000004 xSemCountBuffer32 -8113d4dc g F .text 00000164 __fputwc -81151d78 g O .bss 00000004 xQMaskFeeCtrl -81133afc g F .text 00000068 vfscanf -811459d0 g F .text 00000074 altera_avalon_jtag_uart_ioctl -81125768 g F .text 00000004 vFailSendBufferLastIRQtoDTC -81105f98 g F .text 00000010 vFtdiStopModule -811448b8 g F .text 000000fc OSTimeDlyResume -8110a11c g F .text 00000048 bDisableSPWChannel -81151d00 g O .bss 00000001 ucWhoGetDMA -811414cc g F .text 000000dc OSFlagNameSet -8110a238 g F .text 00000050 bDisAndClrDbBuffer -81151d7c g O .bss 00000004 xMutexBuffer64 +81151db8 g O .bss 00000004 xSemCountBuffer32 +8113d51c g F .text 00000164 __fputwc +81151dbc g O .bss 00000004 xQMaskFeeCtrl +81133b3c g F .text 00000068 vfscanf +81145a10 g F .text 00000074 altera_avalon_jtag_uart_ioctl +811257a8 g F .text 00000004 vFailSendBufferLastIRQtoDTC +81105fcc g F .text 00000010 vFtdiStopModule +811448f8 g F .text 000000fc OSTimeDlyResume +8110a150 g F .text 00000048 bDisableSPWChannel +81151d44 g O .bss 00000001 ucWhoGetDMA +8114150c g F .text 000000dc OSFlagNameSet +8110a26c g F .text 00000050 bDisAndClrDbBuffer +81151dc0 g O .bss 00000004 xMutexBuffer64 81102d04 g F .text 00000030 bDpktHeaderErrInjCloseList 81104c30 g F .text 00000050 bRmapGetCodecError -81105f24 g F .text 00000010 ucFtdiGetRxErrorCode -8110a618 g F .text 00000098 vActivateDataPacketErrInj -81108cac g F .text 000000b4 vInitialConfig_RMAPCodecConfig -811443e4 g F .text 0000010c OSTaskStkChk -811466dc g F .text 00000038 alt_ic_irq_disable -81151c16 g O .rwdata 00000002 OSMemSize -81117170 g F .text 000002f0 vPusType252conf -8112428c g F .text 00000058 vFailSetCountSemaphorexBuffer32 -8112d740 g F .text 0000007c __swrite -8111a77c g F .text 00000204 vTimeCodeMissCounter -81151b90 g O .rwdata 00000004 __malloc_trim_threshold -811828fc g O .bss 00000800 vLUT_stk -81136c9c g F .text 0000000c __locale_msgcharset -811830fc g O .bss 00000040 xFeeQueueTBL1 -81151e3c g O .bss 00000004 OSTCBCur -81124bc0 g F .text 00000058 vCouldNotRetransmitB64TimeoutTask -81146ae8 g F .text 00000038 exit -8114274c g F .text 00000170 OSMutexPost -81107444 g F .text 00000010 bSyncIrqFlagError +81105f58 g F .text 00000010 ucFtdiGetRxErrorCode +8110a64c g F .text 00000098 vActivateDataPacketErrInj +81108ce0 g F .text 000000b4 vInitialConfig_RMAPCodecConfig +81144424 g F .text 0000010c OSTaskStkChk +8114671c g F .text 00000038 alt_ic_irq_disable +81151c5a g O .rwdata 00000002 OSMemSize +811171a4 g F .text 000002f0 vPusType252conf +811242cc g F .text 00000058 vFailSetCountSemaphorexBuffer32 +8112d780 g F .text 0000007c __swrite +8111a7b0 g F .text 00000204 vTimeCodeMissCounter +81151bd4 g O .rwdata 00000004 __malloc_trim_threshold +81182940 g O .bss 00000800 vLUT_stk +81136cdc g F .text 0000000c __locale_msgcharset +81183140 g O .bss 00000040 xFeeQueueTBL1 +81151e80 g O .bss 00000004 OSTCBCur +81124c00 g F .text 00000058 vCouldNotRetransmitB64TimeoutTask +81146b28 g F .text 00000038 exit +8114278c g F .text 00000170 OSMutexPost +81107478 g F .text 00000010 bSyncIrqFlagError 81101da0 g F .text 000000bc bSdmaResetCommDma 81103aa8 g F .text 00000010 bFeebGetCh5RightFeeBusy -81151c14 g O .rwdata 00000002 OSMemTblSize -81136abc g F .text 000000c4 _fwalk_reent -8111e7dc g F .text 00000068 vLoadHardcodedChannelsConf -811289c0 g F .text 000000f0 .hidden __floatunsisf -81137acc g F .text 000001fc __mdiff -81125090 g F .text 00000058 vCoudlNotCreateNFee0Task +81151c58 g O .rwdata 00000002 OSMemTblSize +81136afc g F .text 000000c4 _fwalk_reent +8111e81c g F .text 00000068 vLoadHardcodedChannelsConf +81128a00 g F .text 000000f0 .hidden __floatunsisf +81137b0c g F .text 000001fc __mdiff +811250d0 g F .text 00000058 vCoudlNotCreateNFee0Task 811036c8 g F .text 000001a0 vFeebInitIrq 81102360 g F .text 00000038 bDpktSetPacketConfig -8111a640 g F .text 0000013c vPerformActionMebInConfig -8112422c g F .text 00000030 vFailSenderCreate -8112b2d8 g F .text 00000074 .hidden __modsi3 -81125248 g F .text 00000058 vCoudlNotCreateNFee5Task -81107264 g F .text 00000010 uliSyncGetPer +8111a674 g F .text 0000013c vPerformActionMebInConfig +8112426c g F .text 00000030 vFailSenderCreate +8112b318 g F .text 00000074 .hidden __modsi3 +81125288 g F .text 00000058 vCoudlNotCreateNFee5Task +81107298 g F .text 00000010 uliSyncGetPer 81104644 g F .text 00000148 vRmapCh6HandleIrq -81151d80 g O .bss 00000004 xMutexSenderACK -81124a60 g F .text 00000058 vFailGetBlockingSemTimeoutTask -81151b80 g O .rwdata 00000004 __ctype_ptr__ -811248bc g F .text 00000058 vFailSetPreParsedBuffer +81151dc4 g O .bss 00000004 xMutexSenderACK +81124aa0 g F .text 00000058 vFailGetBlockingSemTimeoutTask +81151bc4 g O .rwdata 00000004 __ctype_ptr__ +811248fc g F .text 00000058 vFailSetPreParsedBuffer 811c0000 g *ABS* 00000000 __alt_data_end -8111e018 g F .text 00000058 vLogSendErrorChars +8111e058 g F .text 00000058 vLogSendErrorChars 81100020 g F .exceptions 00000000 alt_exception -81135dc0 g F .text 00000004 __sfp_lock_release +81135e00 g F .text 00000004 __sfp_lock_release 81103890 g F .text 00000028 bFeebGetIrqControl -81124cc8 g F .text 00000058 vCouldNotSendTurnOff -8113fed4 g F .text 0000022c OSInit -811065dc g F .text 0000003c bSetPainelLeds -81151e40 g O .bss 00000004 OSTmrTime +81124d08 g F .text 00000058 vCouldNotSendTurnOff +8113ff14 g F .text 0000022c OSInit +81106610 g F .text 0000003c bSetPainelLeds +81151e84 g O .bss 00000004 OSTmrTime 81100df4 g F .text 00000380 bDdr2MemoryReadTest -81144610 g F .text 000000e4 OSTaskQuery -811073a4 g F .text 00000010 bSyncIrqEnableError -81151d84 g O .bss 00000004 xMutexPus -81147e10 g O .rodata 00000154 cxDefaultsRmapMemAreaConfig -8110e99c g F .text 000005d4 vQCmdFeeRMAPReadoutSync -811432e0 g F .text 0000004c OS_QInit -811387d8 g F .text 000000d0 __sccl -8112b408 g F .text 0000000c atoi -811190e8 g F .text 000000ec vEnterConfigRoutine -81140cc0 g F .text 00000028 OS_StrLen -811259b0 g F .text 00000030 vFailFlushNFEEQueue -81106bec g F .text 0000002c vScomSoftRstMemAreaHk -81120580 g F .text 000000f0 vDataControllerInit -81113bec g F .text 000001a8 vQCmdLUTCmd -81141dd8 g F .text 000000c0 OSMemNameSet -8114f828 g O .rodata 00000101 _ctype_ -81107254 g F .text 00000010 uliSyncGetBt +81144650 g F .text 000000e4 OSTaskQuery +811073d8 g F .text 00000010 bSyncIrqEnableError +81151dc8 g O .bss 00000004 xMutexPus +81147e50 g O .rodata 00000154 cxDefaultsRmapMemAreaConfig +8110e9d0 g F .text 000005d4 vQCmdFeeRMAPReadoutSync +81143320 g F .text 0000004c OS_QInit +81138818 g F .text 000000d0 __sccl +8112b448 g F .text 0000000c atoi +8111911c g F .text 000000ec vEnterConfigRoutine +81140d00 g F .text 00000028 OS_StrLen +811259f0 g F .text 00000030 vFailFlushNFEEQueue +81106c20 g F .text 0000002c vScomSoftRstMemAreaHk +811205c0 g F .text 000000f0 vDataControllerInit +81113c20 g F .text 000001a8 vQCmdLUTCmd +81141e18 g F .text 000000c0 OSMemNameSet +8114f86c g O .rodata 00000101 _ctype_ +81107288 g F .text 00000010 uliSyncGetBt 84000000 g *ABS* 00000000 __alt_mem_ext_flash -81124394 g F .text 00000058 vFailSetCountSemaphorexBuffer128 -81105090 g F .text 00000048 bSpwcGetTimecodeConfig -81123d04 g F .text 00000030 vFailFTDIDMASchedule -81151bfa g O .rwdata 00000002 OSTaskProfileEn -81125a10 g F .text 00000004 vEvtChangeMebMode -81151d88 g O .bss 00000004 xTxUARTMutex -8111e844 g F .text 00000674 bLoadDefaultChannelsConf -81151e44 g O .bss 00000004 OSTime -81151b98 g O .rwdata 00000004 __mbtowc -811460f0 g F .text 00000028 altera_avalon_uart_close -81127904 g F .text 00000060 .hidden __fixunssfsi -8110e3fc g F .text 000005a0 vQCmdFEEinWaitingSync -81151e48 g O .bss 00000004 OSTmrSem -81117154 g F .text 0000001c vPusType251conf -81108308 g F .text 0000005c bSendMSGtoSimMebTaskDTC -811881c0 g O .bss 00001000 OSTaskIdleStk -8112b4ac g F .text 000000f4 _fopen_r -81151d0c g O .bss 00000004 pdata -81151c88 g O .bss 00000004 ERmapCcdMode -81146d1c g F .text 00000018 _exit +811243d4 g F .text 00000058 vFailSetCountSemaphorexBuffer128 +811050c4 g F .text 00000048 bSpwcGetTimecodeConfig +81123d44 g F .text 00000030 vFailFTDIDMASchedule +81151c3e g O .rwdata 00000002 OSTaskProfileEn +81125a50 g F .text 00000004 vEvtChangeMebMode +81151dcc g O .bss 00000004 xTxUARTMutex +8111e884 g F .text 00000674 bLoadDefaultChannelsConf +81151e88 g O .bss 00000004 OSTime +81151bdc g O .rwdata 00000004 __mbtowc +81146130 g F .text 00000028 altera_avalon_uart_close +81127944 g F .text 00000060 .hidden __fixunssfsi +8110e430 g F .text 000005a0 vQCmdFEEinWaitingSync +81151e8c g O .bss 00000004 OSTmrSem +81117188 g F .text 0000001c vPusType251conf +8110833c g F .text 0000005c bSendMSGtoSimMebTaskDTC +81188204 g O .bss 00001000 OSTaskIdleStk +8112b4ec g F .text 000000f4 _fopen_r +81151d50 g O .bss 00000004 pdata +81151ccc g O .bss 00000004 ERmapCcdMode +81146d5c g F .text 00000018 _exit 81103928 g F .text 00000018 bFeebGetLeftBufferEmpty -81146400 g F .text 00000080 alt_alarm_start -81141410 g F .text 000000bc OSFlagNameGet -81151c48 g O .bss 00000004 EUartDdrMemId -811449b4 g F .text 0000001c OSTimeGet -81151c8c g O .bss 00000004 ESdmaChBufferId -81105fe0 g F .text 0000000c vFtdiIrqGlobalEn -8112bc80 g F .text 000001bc __smakebuf_r -81105f64 g F .text 00000034 vFtdiResetModule -81151d8c g O .bss 00000001 SemCount64 -8111d62c g F .text 00000200 bSendUART64v2 -81109520 g F .text 000000f8 vSendEventLogToNUC -81125950 g F .text 00000030 vFailFlushQueueData -8112d86c g F .text 00000098 strlen -81125614 g F .text 00000030 vFailSendMsgAccessDMA -811256a4 g F .text 00000030 vFailSendMSGMebTask -81146a34 g F .text 00000004 OSTaskSwHook -8113f5ec g F .text 00000178 open -811891c0 g O .bss 00001a00 OSEventTbl -81107504 g F .text 00000010 bSyncPreIrqFlagClrLastPulse -81129c44 g F .text 000000dc .hidden __gedf2 -811074f4 g F .text 00000010 bSyncPreIrqFlagClrNormalPulse -81125800 g F .text 00000030 vFailSendMsgSyncRMAPTRIGGER -8118313c g O .bss 00000080 xSenderACK -8112b420 g F .text 0000000c atoll -8118abc0 g O .bss 00001290 OSTCBTbl -8113d7e4 g F .text 00000830 __gethex +81146440 g F .text 00000080 alt_alarm_start +81141450 g F .text 000000bc OSFlagNameGet +81151c8c g O .bss 00000004 EUartDdrMemId +811449f4 g F .text 0000001c OSTimeGet +81151cd0 g O .bss 00000004 ESdmaChBufferId +81106014 g F .text 0000000c vFtdiIrqGlobalEn +8112bcc0 g F .text 000001bc __smakebuf_r +81105f98 g F .text 00000034 vFtdiResetModule +81151dd0 g O .bss 00000001 SemCount64 +8111d66c g F .text 00000200 bSendUART64v2 +81109554 g F .text 000000f8 vSendEventLogToNUC +81125990 g F .text 00000030 vFailFlushQueueData +8112d8ac g F .text 00000098 strlen +81125654 g F .text 00000030 vFailSendMsgAccessDMA +811256e4 g F .text 00000030 vFailSendMSGMebTask +81146a74 g F .text 00000004 OSTaskSwHook +8113f62c g F .text 00000178 open +81189204 g O .bss 00001a00 OSEventTbl +81107538 g F .text 00000010 bSyncPreIrqFlagClrLastPulse +81129c84 g F .text 000000dc .hidden __gedf2 +81107528 g F .text 00000010 bSyncPreIrqFlagClrNormalPulse +81125840 g F .text 00000030 vFailSendMsgSyncRMAPTRIGGER +81183180 g O .bss 00000080 xSenderACK +8112b460 g F .text 0000000c atoll +8118ac04 g O .bss 00001290 OSTCBTbl +8113d824 g F .text 00000830 __gethex 81101ed8 g F .text 000001c4 bSdmaCommDmaTransfer -811438b8 g F .text 00000068 OSSemSet -81105324 g F .text 00000010 uliTimecodeCalcDelayMs -8111bd80 g F .text 00000064 vTimeoutCheckerTaskv2 -81107554 g F .text 000000b4 bSyncTestConnection -81151b9c g O .rwdata 00000004 __wctomb -8111c7c0 g F .text 0000030c vVariablesInitialization -8112b438 g F .text 00000074 div -81151d18 g O .bss 00000004 poweron -8113bdc8 g F .text 00000018 __sprint_r -81107494 g F .text 00000010 bSyncPreIrqEnableBlankPulse -81151d04 g O .bss 00000004 bCmdSent +811438f8 g F .text 00000068 OSSemSet +81105358 g F .text 00000010 uliTimecodeCalcDelayMs +8111bdc0 g F .text 00000064 vTimeoutCheckerTaskv2 +81107588 g F .text 000000b4 bSyncTestConnection +81151be0 g O .rwdata 00000004 __wctomb +8111c800 g F .text 0000030c vVariablesInitialization +8112b478 g F .text 00000074 div +81151d5c g O .bss 00000004 poweron +8113be08 g F .text 00000018 __sprint_r +811074c8 g F .text 00000010 bSyncPreIrqEnableBlankPulse +81151d48 g O .bss 00000004 bCmdSent 811038b8 g F .text 00000028 bFeebGetIrqFlags 81104828 g F .text 0000000c vRmapCh6EnableCodec 81102d34 g F .text 00000044 bDpktHeaderErrInjStartInj -81123de8 g F .text 00000030 vFailCreateSemaphoreResources -81151bb8 g O .rwdata 00000004 alt_priority_mask -81105ab4 g F .text 00000028 bDisableLvdsBoard -81143400 g F .text 00000164 OSSemDel -8114d480 g O .rodata 0000001c cxDefaultsEthInterfaceParams -81106fb4 g F .text 0000017c vSyncPreHandleIrq -81151e4c g O .bss 00000004 OSFlagFreeList -81106cd4 g F .text 000000d4 bSSDisplayConfig +81123e28 g F .text 00000030 vFailCreateSemaphoreResources +81151bfc g O .rwdata 00000004 alt_priority_mask +81105ae8 g F .text 00000028 bDisableLvdsBoard +81143440 g F .text 00000164 OSSemDel +8114d4c4 g O .rodata 0000001c cxDefaultsEthInterfaceParams +81106fe8 g F .text 0000017c vSyncPreHandleIrq +81151e90 g O .bss 00000004 OSFlagFreeList +81106d08 g F .text 000000d4 bSSDisplayConfig 81102c44 g F .text 00000048 bDpktHeaderErrInjOpenList -811466a4 g F .text 00000038 alt_ic_irq_enable -81151c34 g O .rwdata 00000002 OSEventNameSize -81132268 g F .text 0000001c __vfprintf_internal -81151e50 g O .bss 00000001 OSStatRdy -8111bde4 g F .text 00000138 vCheckRetransmission512 -81107334 g F .text 00000010 bSyncCtrCh2OutEnable -8118be50 g O .bss 000000b4 OSTCBPrioTbl -81146118 g F .text 00000180 altera_avalon_uart_read -8113e4cc g F .text 0000005c _wctomb_r -8113fb40 g F .text 00000090 __env_lock -81151bee g O .rwdata 00000002 OSTaskSwHookEn -8112a438 g F .text 000008fc .hidden __subdf3 -811831bc g O .bss 00000980 xPreParsed -81107274 g F .text 00000010 uliSyncGetOst -811260b8 g F .text 00000058 vLutInit -81151d8d g O .bss 00000001 SemCount128 -8111da3c g F .text 0000018c vSendEthConf +811466e4 g F .text 00000038 alt_ic_irq_enable +81151c78 g O .rwdata 00000002 OSEventNameSize +811322a8 g F .text 0000001c __vfprintf_internal +81151e94 g O .bss 00000001 OSStatRdy +8111be24 g F .text 00000138 vCheckRetransmission512 +81107368 g F .text 00000010 bSyncCtrCh2OutEnable +8118be94 g O .bss 000000b4 OSTCBPrioTbl +81146158 g F .text 00000180 altera_avalon_uart_read +8113e50c g F .text 0000005c _wctomb_r +8113fb80 g F .text 00000090 __env_lock +81151c32 g O .rwdata 00000002 OSTaskSwHookEn +8112a478 g F .text 000008fc .hidden __subdf3 +81183200 g O .bss 00000980 xPreParsed +811072a8 g F .text 00000010 uliSyncGetOst +811260f8 g F .text 00000058 vLutInit +81151dd1 g O .bss 00000001 SemCount128 +8111da7c g F .text 0000018c vSendEthConf 811022b0 g F .text 000000b0 bCommInitCh -81137500 g F .text 000000b0 __lo0bits -81132340 g F .text 000017bc __svfscanf_r -81151b72 g O .rwdata 00000002 cusiSyncNFeeNormalPulseDurationMs -81151bc0 g O .rwdata 00000008 alt_alarm_list -8113bb20 g F .text 00000194 _ungetc_r -81151c26 g O .rwdata 00000002 OSFlagWidth -81151b66 g O .rwdata 00000002 cusiSyncFFeeMasterBlankTimeMs -8113e3dc g F .text 000000c0 wcrtomb +81137540 g F .text 000000b0 __lo0bits +81132380 g F .text 000017bc __svfscanf_r +81151bb6 g O .rwdata 00000002 cusiSyncNFeeNormalPulseDurationMs +81151c04 g O .rwdata 00000008 alt_alarm_list +8113bb60 g F .text 00000194 _ungetc_r +81151c6a g O .rwdata 00000002 OSFlagWidth +81151baa g O .rwdata 00000002 cusiSyncFFeeMasterBlankTimeMs +8113e41c g F .text 000000c0 wcrtomb 81102448 g F .text 00000038 bDpktSetPixelDelay 81102a08 g F .text 00000088 bDpktContentErrInjStartInj -8111d158 g F .text 000000c0 vCCDLoadDefaultValues -8113f27c g F .text 000000bc close -81105fec g F .text 0000000c vFtdiIrqRxHccdReceivedEn -81125a18 g F .text 00000004 vEvtChangeDataControllerMode -81151de0 g O .bss 00000004 alt_envsem -81151e54 g O .bss 00000004 OSIdleCtrRun -81140440 g F .text 00000008 OSVersion -811256d4 g F .text 00000030 vFailSendBufferLastIRQtoLUT -81151bdc g O .rwdata 00000002 OSTmrCfgWheelSize +8111d198 g F .text 000000c0 vCCDLoadDefaultValues +8113f2bc g F .text 000000bc close +81106020 g F .text 0000000c vFtdiIrqRxHccdReceivedEn +81125a58 g F .text 00000004 vEvtChangeDataControllerMode +81151e24 g O .bss 00000004 alt_envsem +81151e98 g O .bss 00000004 OSIdleCtrRun +81140480 g F .text 00000008 OSVersion +81125714 g F .text 00000030 vFailSendBufferLastIRQtoLUT +81151c20 g O .rwdata 00000002 OSTmrCfgWheelSize 8110209c g F .text 00000170 bSdmaFtdiDmaTransfer -811446f4 g F .text 00000024 OS_TaskStkClr -81126678 g F .text 00000008 siOpenFile -81151c02 g O .rwdata 00000002 OSTaskCreateEn -81151cf4 g O .bss 00000004 EDeftFeeOperationTypeID +81144734 g F .text 00000024 OS_TaskStkClr +811266b8 g F .text 00000008 siOpenFile +81151c46 g O .rwdata 00000002 OSTaskCreateEn +81151d38 g O .bss 00000004 EDeftFeeOperationTypeID 81103d00 g F .text 00000020 bFeebStartCh -8111dbc8 g F .text 000000dc vSendTurnOff -81107164 g F .text 0000002c vSyncPreInitIrq +8111dc08 g F .text 000000dc vSendTurnOff +81107198 g F .text 0000002c vSyncPreInitIrq 81102688 g F .text 00000050 bDpktGetTransmissionErrInj -81123f28 g F .text 00000030 vFailSendPreParsedSemaphore -81151c90 g O .bss 00000004 ERmapConfigRegsAddr -81107434 g F .text 00000010 bSyncIrqFlagClrLastPulse -81106010 g F .text 0000000c vFtdiIrqTxLutCommErrEn -8114072c g F .text 0000001c OS_EventWaitListInit -8113d664 g F .text 00000080 fputwc -81183b3c g O .bss 00000040 xFeeQueueTBL0 -81123ed0 g F .text 00000058 vFailSendxSemCommInit -81146a6c g F .text 00000004 OSTaskIdleHook -8111dd7c g F .text 000000dc vSendReset -81135dc4 g F .text 00000004 __sinit_lock_acquire -81137274 g F .text 00000120 __multadd -811071a0 g F .text 00000010 ucSyncStatusState -8113724c g F .text 00000028 _Bfree -811444f0 g F .text 00000120 OSTaskSuspend -81107244 g F .text 00000010 uliSyncGetMbt -8113fcf4 g F .text 00000034 OS_TaskIdle -81151bd6 g O .rwdata 00000002 OSTmrTblSize -81143240 g F .text 000000a0 OSQQuery +81123f68 g F .text 00000030 vFailSendPreParsedSemaphore +81151cd4 g O .bss 00000004 ERmapConfigRegsAddr +81107468 g F .text 00000010 bSyncIrqFlagClrLastPulse +81106044 g F .text 0000000c vFtdiIrqTxLutCommErrEn +8114076c g F .text 0000001c OS_EventWaitListInit +8113d6a4 g F .text 00000080 fputwc +81183b80 g O .bss 00000040 xFeeQueueTBL0 +81123f10 g F .text 00000058 vFailSendxSemCommInit +81146aac g F .text 00000004 OSTaskIdleHook +8111ddbc g F .text 000000dc vSendReset +81135e04 g F .text 00000004 __sinit_lock_acquire +811372b4 g F .text 00000120 __multadd +811071d4 g F .text 00000010 ucSyncStatusState +8113728c g F .text 00000028 _Bfree +81144530 g F .text 00000120 OSTaskSuspend +81107278 g F .text 00000010 uliSyncGetMbt +8113fd34 g F .text 00000034 OS_TaskIdle +81151c1a g O .rwdata 00000002 OSTmrTblSize +81143280 g F .text 000000a0 OSQQuery @@ -1893,10 +1894,10 @@ Disassembly of section .exceptions: 811000fc: defffe04 addi sp,sp,-8 81100100: dfc00115 stw ra,4(sp) 81100104: dc000015 stw r16,0(sp) -81100108: 11401000 call 81140100 +81100108: 11401400 call 81140140 8110010c: 000b313a rdctl r5,ipending 81100110: 04204674 movhi r16,33049 -81100114: 842fc104 addi r16,r16,-16636 +81100114: 842fd204 addi r16,r16,-16568 81100118: 2880004c andi r2,r5,1 8110011c: 0007883a mov r3,zero 81100120: 1000051e bne r2,zero,81100138 @@ -1915,7 +1916,7 @@ Disassembly of section .exceptions: 81100154: dfc00117 ldw ra,4(sp) 81100158: dc000017 ldw r16,0(sp) 8110015c: dec00204 addi sp,sp,8 -81100160: 114012c1 jmpi 8114012c +81100160: 114016c1 jmpi 8114016c 81100164 : 81100164: 200b883a mov r5,r4 @@ -1945,7 +1946,7 @@ Disassembly of section .text: movhi gp, %hi(_gp) 81100198: 06a04574 movhi gp,33045 ori gp, gp, %lo(_gp) -8110019c: d6a6d314 ori gp,gp,39756 +8110019c: d6a6e414 ori gp,gp,39824 */ #ifndef ALT_SIM_OPTIMIZE /* Log that the BSS is about to be cleared. */ @@ -1954,12 +1955,12 @@ Disassembly of section .text: movhi r2, %hi(__bss_start) 811001a0: 00a04574 movhi r2,33045 ori r2, r2, %lo(__bss_start) -811001a4: 10871114 ori r2,r2,7236 +811001a4: 10872214 ori r2,r2,7304 movhi r3, %hi(__bss_end) 811001a8: 00e04634 movhi r3,33048 ori r3, r3, %lo(__bss_end) -811001ac: 18f00114 ori r3,r3,49156 +811001ac: 18f01214 ori r3,r3,49224 beq r2, r3, 1f 811001b0: 10c00326 beq r2,r3,811001c0 <_start+0x30> @@ -1977,7 +1978,7 @@ Disassembly of section .text: /* Call the C entry point. It should never return. */ call alt_main -811001c0: 113f5680 call 8113f568 +811001c0: 113f5a80 call 8113f5a8 811001c4 : @@ -1990,10 +1991,10 @@ alt_after_alt_main: 811001c8: defff704 addi sp,sp,-36 811001cc: dd400715 stw r21,28(sp) 811001d0: 05604574 movhi r21,33045 -811001d4: ad489704 addi r21,r21,8796 +811001d4: ad48a804 addi r21,r21,8864 811001d8: 01604534 movhi r5,33044 811001dc: dc000215 stw r16,8(sp) -811001e0: 295b4d04 addi r5,r5,27956 +811001e0: 295b5d04 addi r5,r5,28020 811001e4: 2021883a mov r16,r4 811001e8: 01800884 movi r6,34 811001ec: a809883a mov r4,r21 @@ -2002,10 +2003,10 @@ alt_after_alt_main: 811001f8: dcc00515 stw r19,20(sp) 811001fc: dc800415 stw r18,16(sp) 81100200: dc400315 stw r17,12(sp) -81100204: 112c6480 call 8112c648 +81100204: 112c6880 call 8112c688 81100208: d1207917 ldw r4,-32284(gp) 8110020c: a80b883a mov r5,r21 -81100210: 112b5e00 call 8112b5e0 +81100210: 112b6200 call 8112b620 81100214: 81003fcc andi r4,r16,255 81100218: 20008726 beq r4,zero,81100438 8110021c: 00800044 movi r2,1 @@ -2016,53 +2017,53 @@ alt_after_alt_main: 81100230: 8c425804 addi r17,r17,2400 81100234: 01604534 movhi r5,33044 81100238: 01204574 movhi r4,33045 -8110023c: 295b6204 addi r5,r5,28040 -81100240: 21089704 addi r4,r4,8796 +8110023c: 295b7204 addi r5,r5,28104 +81100240: 2108a804 addi r4,r4,8864 81100244: 018005c4 movi r6,23 -81100248: 112c6480 call 8112c648 +81100248: 112c6880 call 8112c688 8110024c: d1207917 ldw r4,-32284(gp) 81100250: 01604574 movhi r5,33045 -81100254: 29489704 addi r5,r5,8796 -81100258: 112b5e00 call 8112b5e0 +81100254: 2948a804 addi r5,r5,8864 +81100258: 112b6200 call 8112b620 8110025c: 01138804 movi r4,20000 81100260: 0021883a mov r16,zero 81100264: dcc00144 addi r19,sp,5 81100268: 05004004 movi r20,256 -8110026c: 113f9c40 call 8113f9c4 +8110026c: 113fa040 call 8113fa04 81100270: 800f883a mov r7,r16 81100274: 01bfe804 movi r6,-96 81100278: 900b883a mov r5,r18 8110027c: 8809883a mov r4,r17 81100280: dcc00015 stw r19,0(sp) -81100284: 11063d40 call 811063d4 +81100284: 11064080 call 81106408 81100288: 01604534 movhi r5,33044 8110028c: 01204574 movhi r4,33045 81100290: 800d883a mov r6,r16 -81100294: 295b6804 addi r5,r5,28064 -81100298: 21089704 addi r4,r4,8796 +81100294: 295b7804 addi r5,r5,28128 +81100298: 2108a804 addi r4,r4,8864 8110029c: 84000044 addi r16,r16,1 811002a0: 10001f26 beq r2,zero,81100320 811002a4: d9c00143 ldbu r7,5(sp) -811002a8: 112d6780 call 8112d678 +811002a8: 112d6b80 call 8112d6b8 811002ac: d1207917 ldw r4,-32284(gp) 811002b0: 01604574 movhi r5,33045 -811002b4: 29489704 addi r5,r5,8796 -811002b8: 112b5e00 call 8112b5e0 +811002b4: 2948a804 addi r5,r5,8864 +811002b8: 112b6200 call 8112b620 811002bc: 853fec1e bne r16,r20,81100270 <__reset+0xfb0e0270> 811002c0: 01604534 movhi r5,33044 811002c4: 01204574 movhi r4,33045 -811002c8: 295b6d04 addi r5,r5,28084 -811002cc: 21089704 addi r4,r4,8796 +811002c8: 295b7d04 addi r5,r5,28148 +811002cc: 2108a804 addi r4,r4,8864 811002d0: 01800884 movi r6,34 811002d4: 00002106 br 8110035c 811002d8: 01604534 movhi r5,33044 -811002dc: 295b5604 addi r5,r5,27992 +811002dc: 295b6604 addi r5,r5,28056 811002e0: 01800bc4 movi r6,47 811002e4: a809883a mov r4,r21 -811002e8: 112c6480 call 8112c648 +811002e8: 112c6880 call 8112c688 811002ec: d1207917 ldw r4,-32284(gp) 811002f0: a80b883a mov r5,r21 -811002f4: 112b5e00 call 8112b5e0 +811002f4: 112b6200 call 8112b620 811002f8: 0005883a mov r2,zero 811002fc: dfc00817 ldw ra,32(sp) 81100300: dd400717 ldw r21,28(sp) @@ -2076,71 +2077,71 @@ alt_after_alt_main: 81100320: 01604534 movhi r5,33044 81100324: 01204574 movhi r4,33045 81100328: 018005c4 movi r6,23 -8110032c: 295b7604 addi r5,r5,28120 -81100330: 21089704 addi r4,r4,8796 -81100334: 112c6480 call 8112c648 +8110032c: 295b8604 addi r5,r5,28184 +81100330: 2108a804 addi r4,r4,8864 +81100334: 112c6880 call 8112c688 81100338: d1207917 ldw r4,-32284(gp) 8110033c: 01604574 movhi r5,33045 -81100340: 29489704 addi r5,r5,8796 -81100344: 112b5e00 call 8112b5e0 +81100340: 2948a804 addi r5,r5,8864 +81100344: 112b6200 call 8112b620 81100348: 01604534 movhi r5,33044 8110034c: 01204574 movhi r4,33045 -81100350: 295b7c04 addi r5,r5,28144 -81100354: 21089704 addi r4,r4,8796 +81100350: 295b8c04 addi r5,r5,28208 +81100354: 2108a804 addi r4,r4,8864 81100358: 018007c4 movi r6,31 -8110035c: 112c6480 call 8112c648 +8110035c: 112c6880 call 8112c688 81100360: d1207917 ldw r4,-32284(gp) 81100364: 01604574 movhi r5,33045 -81100368: 29489704 addi r5,r5,8796 -8110036c: 112b5e00 call 8112b5e0 +81100368: 2948a804 addi r5,r5,8864 +8110036c: 112b6200 call 8112b620 81100370: 01604534 movhi r5,33044 81100374: 01204574 movhi r4,33045 81100378: 01800604 movi r6,24 -8110037c: 295b8404 addi r5,r5,28176 -81100380: 21089704 addi r4,r4,8796 -81100384: 112c6480 call 8112c648 +8110037c: 295b9404 addi r5,r5,28240 +81100380: 2108a804 addi r4,r4,8864 +81100384: 112c6880 call 8112c688 81100388: d1207917 ldw r4,-32284(gp) 8110038c: 01604574 movhi r5,33045 -81100390: 29489704 addi r5,r5,8796 -81100394: 112b5e00 call 8112b5e0 +81100390: 2948a804 addi r5,r5,8864 +81100394: 112b6200 call 8112b620 81100398: 01138804 movi r4,20000 -8110039c: 113f9c40 call 8113f9c4 +8110039c: 113fa040 call 8113fa04 811003a0: 04c00484 movi r19,18 811003a4: 01c02004 movi r7,128 811003a8: dcc00015 stw r19,0(sp) 811003ac: 01bfe804 movi r6,-96 811003b0: 900b883a mov r5,r18 811003b4: 8809883a mov r4,r17 -811003b8: 110621c0 call 8110621c +811003b8: 11062500 call 81106250 811003bc: 1000231e bne r2,zero,8110044c 811003c0: 01604534 movhi r5,33044 811003c4: 01204574 movhi r4,33045 -811003c8: 295b8a04 addi r5,r5,28200 -811003cc: 21089704 addi r4,r4,8796 +811003c8: 295b9a04 addi r5,r5,28264 +811003cc: 2108a804 addi r4,r4,8864 811003d0: 01800604 movi r6,24 -811003d4: 112c6480 call 8112c648 +811003d4: 112c6880 call 8112c688 811003d8: d1207917 ldw r4,-32284(gp) 811003dc: 01604574 movhi r5,33045 -811003e0: 29489704 addi r5,r5,8796 -811003e4: 112b5e00 call 8112b5e0 +811003e0: 2948a804 addi r5,r5,8864 +811003e4: 112b6200 call 8112b620 811003e8: 01604534 movhi r5,33044 811003ec: 01204574 movhi r4,33045 -811003f0: 295bb104 addi r5,r5,28356 -811003f4: 21089704 addi r4,r4,8796 +811003f0: 295bc104 addi r5,r5,28420 +811003f4: 2108a804 addi r4,r4,8864 811003f8: 01800804 movi r6,32 811003fc: 0021883a mov r16,zero -81100400: 112c6480 call 8112c648 +81100400: 112c6880 call 8112c688 81100404: d1207917 ldw r4,-32284(gp) 81100408: 01604574 movhi r5,33045 -8110040c: 29489704 addi r5,r5,8796 -81100410: 112b5e00 call 8112b5e0 +8110040c: 2948a804 addi r5,r5,8864 +81100410: 112b6200 call 8112b620 81100414: d1207917 ldw r4,-32284(gp) 81100418: 01604574 movhi r5,33045 8110041c: 00800284 movi r2,10 -81100420: 29489704 addi r5,r5,8796 +81100420: 2948a804 addi r5,r5,8864 81100424: a8800005 stb r2,0(r21) 81100428: a8000045 stb zero,1(r21) -8110042c: 112b5e00 call 8112b5e0 +8110042c: 112b6200 call 8112b620 81100430: 8005883a mov r2,r16 81100434: 003fb106 br 811002fc <__reset+0xfb0e02fc> 81100438: 04a00034 movhi r18,32768 @@ -2154,32 +2155,32 @@ alt_after_alt_main: 81100458: 01bfe804 movi r6,-96 8110045c: 900b883a mov r5,r18 81100460: 8809883a mov r4,r17 -81100464: 11063d40 call 811063d4 +81100464: 11064080 call 81106408 81100468: 1021883a mov r16,r2 8110046c: 10001326 beq r2,zero,811004bc 81100470: d9800103 ldbu r6,4(sp) 81100474: 34c00b26 beq r6,r19,811004a4 81100478: 01604534 movhi r5,33044 8110047c: 01204574 movhi r4,33045 -81100480: 295b9904 addi r5,r5,28260 -81100484: 21089704 addi r4,r4,8796 +81100480: 295ba904 addi r5,r5,28324 +81100484: 2108a804 addi r4,r4,8864 81100488: 980f883a mov r7,r19 -8110048c: 112d6780 call 8112d678 +8110048c: 112d6b80 call 8112d6b8 81100490: d1207917 ldw r4,-32284(gp) 81100494: 01604574 movhi r5,33045 -81100498: 29489704 addi r5,r5,8796 -8110049c: 112b5e00 call 8112b5e0 +81100498: 2948a804 addi r5,r5,8864 +8110049c: 112b6200 call 8112b620 811004a0: 003fd106 br 811003e8 <__reset+0xfb0e03e8> 811004a4: 01604534 movhi r5,33044 811004a8: 01204574 movhi r4,33045 -811004ac: 295ba804 addi r5,r5,28320 -811004b0: 21089704 addi r4,r4,8796 +811004ac: 295bb804 addi r5,r5,28384 +811004b0: 2108a804 addi r4,r4,8864 811004b4: 018008c4 movi r6,35 811004b8: 003fd106 br 81100400 <__reset+0xfb0e0400> 811004bc: 01604534 movhi r5,33044 811004c0: 01204574 movhi r4,33045 -811004c4: 295b9004 addi r5,r5,28224 -811004c8: 21089704 addi r4,r4,8796 +811004c4: 295ba004 addi r5,r5,28288 +811004c8: 2108a804 addi r4,r4,8864 811004cc: 01800884 movi r6,34 811004d0: 003fc006 br 811003d4 <__reset+0xfb0e03d4> @@ -2187,20 +2188,20 @@ alt_after_alt_main: 811004d4: deffba04 addi sp,sp,-280 811004d8: dc804315 stw r18,268(sp) 811004dc: 04a04574 movhi r18,33045 -811004e0: 94889704 addi r18,r18,8796 +811004e0: 9488a804 addi r18,r18,8864 811004e4: 01604534 movhi r5,33044 811004e8: dc004115 stw r16,260(sp) -811004ec: 295bb904 addi r5,r5,28388 +811004ec: 295bc904 addi r5,r5,28452 811004f0: 2021883a mov r16,r4 811004f4: 01800884 movi r6,34 811004f8: 9009883a mov r4,r18 811004fc: dfc04515 stw ra,276(sp) 81100500: dcc04415 stw r19,272(sp) 81100504: dc404215 stw r17,264(sp) -81100508: 112c6480 call 8112c648 +81100508: 112c6880 call 8112c688 8110050c: d1207917 ldw r4,-32284(gp) 81100510: 900b883a mov r5,r18 -81100514: 112b5e00 call 8112b5e0 +81100514: 112b6200 call 8112b620 81100518: 81003fcc andi r4,r16,255 8110051c: 20008326 beq r4,zero,8110072c 81100520: 00800044 movi r2,1 @@ -2213,7 +2214,7 @@ alt_after_alt_main: 8110053c: d8800015 stw r2,0(sp) 81100540: d9c00104 addi r7,sp,4 81100544: 01bfe804 movi r6,-96 -81100548: 11064a40 call 811064a4 +81100548: 11064d80 call 811064d8 8110054c: 1027883a mov r19,r2 81100550: 10006326 beq r2,zero,811006e0 81100554: 04400044 movi r17,1 @@ -2290,23 +2291,23 @@ alt_after_alt_main: 81100670: d1207917 ldw r4,-32284(gp) 81100674: 01604574 movhi r5,33045 81100678: 00800284 movi r2,10 -8110067c: 29489704 addi r5,r5,8796 +8110067c: 2948a804 addi r5,r5,8864 81100680: 90800005 stb r2,0(r18) 81100684: 90000045 stb zero,1(r18) -81100688: 112b5e00 call 8112b5e0 +81100688: 112b6200 call 8112b620 8110068c: 00804004 movi r2,256 81100690: 88801d26 beq r17,r2,81100708 81100694: 84000044 addi r16,r16,1 81100698: 8c400044 addi r17,r17,1 8110069c: 003faf06 br 8110055c <__reset+0xfb0e055c> 811006a0: 01604534 movhi r5,33044 -811006a4: 295bc204 addi r5,r5,28424 +811006a4: 295bd204 addi r5,r5,28488 811006a8: 01800bc4 movi r6,47 811006ac: 9009883a mov r4,r18 -811006b0: 112c6480 call 8112c648 +811006b0: 112c6880 call 8112c688 811006b4: d1207917 ldw r4,-32284(gp) 811006b8: 900b883a mov r5,r18 -811006bc: 112b5e00 call 8112b5e0 +811006bc: 112b6200 call 8112b620 811006c0: 0005883a mov r2,zero 811006c4: dfc04517 ldw ra,276(sp) 811006c8: dcc04417 ldw r19,272(sp) @@ -2317,21 +2318,21 @@ alt_after_alt_main: 811006dc: f800283a ret 811006e0: 01604534 movhi r5,33044 811006e4: 01204574 movhi r4,33045 -811006e8: 295d3004 addi r5,r5,29888 -811006ec: 21089704 addi r4,r4,8796 +811006e8: 295d4004 addi r5,r5,29952 +811006ec: 2108a804 addi r4,r4,8864 811006f0: 018005c4 movi r6,23 -811006f4: 112c6480 call 8112c648 +811006f4: 112c6880 call 8112c688 811006f8: d1207917 ldw r4,-32284(gp) 811006fc: 01604574 movhi r5,33045 -81100700: 29489704 addi r5,r5,8796 -81100704: 112b5e00 call 8112b5e0 +81100700: 2948a804 addi r5,r5,8864 +81100704: 112b6200 call 8112b620 81100708: d1207917 ldw r4,-32284(gp) 8110070c: 01604574 movhi r5,33045 81100710: 00800284 movi r2,10 -81100714: 29489704 addi r5,r5,8796 +81100714: 2948a804 addi r5,r5,8864 81100718: 90800005 stb r2,0(r18) 8110071c: 90000045 stb zero,1(r18) -81100720: 112b5e00 call 8112b5e0 +81100720: 112b6200 call 8112b620 81100724: 9805883a mov r2,r19 81100728: 003fe606 br 811006c4 <__reset+0xfb0e06c4> 8110072c: 01600034 movhi r5,32768 @@ -2341,175 +2342,175 @@ alt_after_alt_main: 8110073c: 003f7e06 br 81100538 <__reset+0xfb0e0538> 81100740: 01604534 movhi r5,33044 81100744: 01204574 movhi r4,33045 -81100748: 295d2604 addi r5,r5,29848 -8110074c: 21089704 addi r4,r4,8796 +81100748: 295d3604 addi r5,r5,29912 +8110074c: 2108a804 addi r4,r4,8864 81100750: 01800984 movi r6,38 -81100754: 112c6480 call 8112c648 +81100754: 112c6880 call 8112c688 81100758: d1207917 ldw r4,-32284(gp) 8110075c: 01604574 movhi r5,33045 -81100760: 29489704 addi r5,r5,8796 -81100764: 112b5e00 call 8112b5e0 +81100760: 2948a804 addi r5,r5,8864 +81100764: 112b6200 call 8112b620 81100768: 003fca06 br 81100694 <__reset+0xfb0e0694> 8110076c: d9c00103 ldbu r7,4(sp) 81100770: 01604534 movhi r5,33044 81100774: 01204574 movhi r4,33045 -81100778: 295bce04 addi r5,r5,28472 -8110077c: 21089704 addi r4,r4,8796 +81100778: 295bde04 addi r5,r5,28536 +8110077c: 2108a804 addi r4,r4,8864 81100780: 000d883a mov r6,zero -81100784: 112d6780 call 8112d678 +81100784: 112d6b80 call 8112d6b8 81100788: d1207917 ldw r4,-32284(gp) 8110078c: 01604574 movhi r5,33045 -81100790: 29489704 addi r5,r5,8796 -81100794: 112b5e00 call 8112b5e0 +81100790: 2948a804 addi r5,r5,8864 +81100794: 112b6200 call 8112b620 81100798: 003fbe06 br 81100694 <__reset+0xfb0e0694> 8110079c: 01604534 movhi r5,33044 811007a0: 01204574 movhi r4,33045 -811007a4: 295bda04 addi r5,r5,28520 -811007a8: 21089704 addi r4,r4,8796 +811007a4: 295bea04 addi r5,r5,28584 +811007a8: 2108a804 addi r4,r4,8864 811007ac: 01800c04 movi r6,48 811007b0: 003fe806 br 81100754 <__reset+0xfb0e0754> 811007b4: 01604534 movhi r5,33044 811007b8: 01204574 movhi r4,33045 -811007bc: 295c6e04 addi r5,r5,29112 -811007c0: 21089704 addi r4,r4,8796 +811007bc: 295c7e04 addi r5,r5,29176 +811007c0: 2108a804 addi r4,r4,8864 811007c4: 01800cc4 movi r6,51 811007c8: 003fe206 br 81100754 <__reset+0xfb0e0754> 811007cc: 01604534 movhi r5,33044 811007d0: 01204574 movhi r4,33045 -811007d4: 295c7b04 addi r5,r5,29164 -811007d8: 21089704 addi r4,r4,8796 +811007d4: 295c8b04 addi r5,r5,29228 +811007d8: 2108a804 addi r4,r4,8864 811007dc: 018009c4 movi r6,39 811007e0: 003fdc06 br 81100754 <__reset+0xfb0e0754> 811007e4: 01604534 movhi r5,33044 811007e8: 01204574 movhi r4,33045 -811007ec: 295d1504 addi r5,r5,29780 -811007f0: 21089704 addi r4,r4,8796 +811007ec: 295d2504 addi r5,r5,29844 +811007f0: 2108a804 addi r4,r4,8864 811007f4: 018006c4 movi r6,27 811007f8: 003fd606 br 81100754 <__reset+0xfb0e0754> 811007fc: 01604534 movhi r5,33044 81100800: 01204574 movhi r4,33045 -81100804: 295d1c04 addi r5,r5,29808 -81100808: 21089704 addi r4,r4,8796 +81100804: 295d2c04 addi r5,r5,29872 +81100808: 2108a804 addi r4,r4,8864 8110080c: 01800a04 movi r6,40 81100810: 003fd006 br 81100754 <__reset+0xfb0e0754> 81100814: 01604534 movhi r5,33044 81100818: 01204574 movhi r4,33045 -8110081c: 295c0f04 addi r5,r5,28732 -81100820: 21089704 addi r4,r4,8796 +8110081c: 295c1f04 addi r5,r5,28796 +81100820: 2108a804 addi r4,r4,8864 81100824: 01800544 movi r6,21 81100828: 003fca06 br 81100754 <__reset+0xfb0e0754> 8110082c: 01604534 movhi r5,33044 81100830: 01204574 movhi r4,33045 -81100834: 295c1504 addi r5,r5,28756 -81100838: 21089704 addi r4,r4,8796 +81100834: 295c2504 addi r5,r5,28820 +81100838: 2108a804 addi r4,r4,8864 8110083c: 01800804 movi r6,32 81100840: 003fc406 br 81100754 <__reset+0xfb0e0754> 81100844: 01604534 movhi r5,33044 81100848: 01204574 movhi r4,33045 -8110084c: 295be604 addi r5,r5,28568 -81100850: 21089704 addi r4,r4,8796 +8110084c: 295bf604 addi r5,r5,28632 +81100850: 2108a804 addi r4,r4,8864 81100854: 018007c4 movi r6,31 81100858: 003fbe06 br 81100754 <__reset+0xfb0e0754> 8110085c: 01604534 movhi r5,33044 81100860: 01204574 movhi r4,33045 -81100864: 295bee04 addi r5,r5,28600 -81100868: 21089704 addi r4,r4,8796 +81100864: 295bfe04 addi r5,r5,28664 +81100868: 2108a804 addi r4,r4,8864 8110086c: 018009c4 movi r6,39 81100870: 003fb806 br 81100754 <__reset+0xfb0e0754> 81100874: 01604534 movhi r5,33044 81100878: 01204574 movhi r4,33045 -8110087c: 295c3004 addi r5,r5,28864 -81100880: 21089704 addi r4,r4,8796 +8110087c: 295c4004 addi r5,r5,28928 +81100880: 2108a804 addi r4,r4,8864 81100884: 018004c4 movi r6,19 81100888: 003fb206 br 81100754 <__reset+0xfb0e0754> 8110088c: 01604534 movhi r5,33044 81100890: 01204574 movhi r4,33045 -81100894: 295c3504 addi r5,r5,28884 -81100898: 21089704 addi r4,r4,8796 +81100894: 295c4504 addi r5,r5,28948 +81100898: 2108a804 addi r4,r4,8864 8110089c: 01800684 movi r6,26 811008a0: 003fac06 br 81100754 <__reset+0xfb0e0754> 811008a4: 01604534 movhi r5,33044 811008a8: 01204574 movhi r4,33045 -811008ac: 295c3c04 addi r5,r5,28912 -811008b0: 21089704 addi r4,r4,8796 +811008ac: 295c4c04 addi r5,r5,28976 +811008b0: 2108a804 addi r4,r4,8864 811008b4: 01800d44 movi r6,53 811008b8: 003fa606 br 81100754 <__reset+0xfb0e0754> 811008bc: 01604534 movhi r5,33044 811008c0: 01204574 movhi r4,33045 -811008c4: 295c4a04 addi r5,r5,28968 -811008c8: 21089704 addi r4,r4,8796 +811008c4: 295c5a04 addi r5,r5,29032 +811008c8: 2108a804 addi r4,r4,8864 811008cc: 01801204 movi r6,72 811008d0: 003fa006 br 81100754 <__reset+0xfb0e0754> 811008d4: 01604534 movhi r5,33044 811008d8: 01204574 movhi r4,33045 -811008dc: 295c5c04 addi r5,r5,29040 -811008e0: 21089704 addi r4,r4,8796 +811008dc: 295c6c04 addi r5,r5,29104 +811008e0: 2108a804 addi r4,r4,8864 811008e4: 01800784 movi r6,30 811008e8: 003f9a06 br 81100754 <__reset+0xfb0e0754> 811008ec: 01604534 movhi r5,33044 811008f0: 01204574 movhi r4,33045 -811008f4: 295c6404 addi r5,r5,29072 -811008f8: 21089704 addi r4,r4,8796 +811008f4: 295c7404 addi r5,r5,29136 +811008f8: 2108a804 addi r4,r4,8864 811008fc: 01800a04 movi r6,40 81100900: 003f9406 br 81100754 <__reset+0xfb0e0754> 81100904: 01604534 movhi r5,33044 81100908: 01204574 movhi r4,33045 -8110090c: 295bf804 addi r5,r5,28640 -81100910: 21089704 addi r4,r4,8796 +8110090c: 295c0804 addi r5,r5,28704 +81100910: 2108a804 addi r4,r4,8864 81100914: 01800a84 movi r6,42 81100918: 003f8e06 br 81100754 <__reset+0xfb0e0754> 8110091c: 01604534 movhi r5,33044 81100920: 01204574 movhi r4,33045 -81100924: 295c0304 addi r5,r5,28684 -81100928: 21089704 addi r4,r4,8796 +81100924: 295c1304 addi r5,r5,28748 +81100928: 2108a804 addi r4,r4,8864 8110092c: 01800c04 movi r6,48 81100930: 003f8806 br 81100754 <__reset+0xfb0e0754> 81100934: 01604534 movhi r5,33044 81100938: 01204574 movhi r4,33045 -8110093c: 295c8504 addi r5,r5,29204 -81100940: 21089704 addi r4,r4,8796 +8110093c: 295c9504 addi r5,r5,29268 +81100940: 2108a804 addi r4,r4,8864 81100944: 01800b04 movi r6,44 81100948: 003f8206 br 81100754 <__reset+0xfb0e0754> 8110094c: 01604534 movhi r5,33044 81100950: 01204574 movhi r4,33045 -81100954: 295c9004 addi r5,r5,29248 -81100958: 21089704 addi r4,r4,8796 +81100954: 295ca004 addi r5,r5,29312 +81100958: 2108a804 addi r4,r4,8864 8110095c: 018010c4 movi r6,67 81100960: 003f7c06 br 81100754 <__reset+0xfb0e0754> 81100964: 01604534 movhi r5,33044 81100968: 01204574 movhi r4,33045 -8110096c: 295ca104 addi r5,r5,29316 -81100970: 21089704 addi r4,r4,8796 +8110096c: 295cb104 addi r5,r5,29380 +81100970: 2108a804 addi r4,r4,8864 81100974: 01800a84 movi r6,42 81100978: 003f7606 br 81100754 <__reset+0xfb0e0754> 8110097c: 01604534 movhi r5,33044 81100980: 01204574 movhi r4,33045 -81100984: 295cac04 addi r5,r5,29360 -81100988: 21089704 addi r4,r4,8796 +81100984: 295cbc04 addi r5,r5,29424 +81100988: 2108a804 addi r4,r4,8864 8110098c: 01800cc4 movi r6,51 81100990: 003f7006 br 81100754 <__reset+0xfb0e0754> 81100994: 01604534 movhi r5,33044 81100998: 01204574 movhi r4,33045 -8110099c: 295cb904 addi r5,r5,29412 -811009a0: 21089704 addi r4,r4,8796 +8110099c: 295cc904 addi r5,r5,29476 +811009a0: 2108a804 addi r4,r4,8864 811009a4: 01800dc4 movi r6,55 811009a8: 003f6a06 br 81100754 <__reset+0xfb0e0754> 811009ac: 01604534 movhi r5,33044 811009b0: 01204574 movhi r4,33045 -811009b4: 295cc704 addi r5,r5,29468 -811009b8: 21089704 addi r4,r4,8796 +811009b4: 295cd704 addi r5,r5,29532 +811009b8: 2108a804 addi r4,r4,8864 811009bc: 01800c84 movi r6,50 811009c0: 003f6406 br 81100754 <__reset+0xfb0e0754> 811009c4: 01604534 movhi r5,33044 811009c8: 01204574 movhi r4,33045 -811009cc: 295cd404 addi r5,r5,29520 -811009d0: 21089704 addi r4,r4,8796 +811009cc: 295ce404 addi r5,r5,29584 +811009d0: 2108a804 addi r4,r4,8864 811009d4: 01800cc4 movi r6,51 811009d8: 003f5e06 br 81100754 <__reset+0xfb0e0754> 811009dc: 01604534 movhi r5,33044 811009e0: 01204574 movhi r4,33045 -811009e4: 295ce104 addi r5,r5,29572 -811009e8: 21089704 addi r4,r4,8796 +811009e4: 295cf104 addi r5,r5,29636 +811009e8: 2108a804 addi r4,r4,8864 811009ec: 01800404 movi r6,16 811009f0: 003f5806 br 81100754 <__reset+0xfb0e0754> 811009f4: 00c00a04 movi r3,40 @@ -2532,53 +2533,53 @@ alt_after_alt_main: 81100a38: 90c001c5 stb r3,7(r18) 81100a3c: 90800245 stb r2,9(r18) 81100a40: 00c01b44 movi r3,109 -81100a44: 29489704 addi r5,r5,8796 +81100a44: 2948a804 addi r5,r5,8864 81100a48: 00800284 movi r2,10 81100a4c: 90c00205 stb r3,8(r18) 81100a50: 90800285 stb r2,10(r18) 81100a54: 900002c5 stb zero,11(r18) -81100a58: 112b5e00 call 8112b5e0 +81100a58: 112b6200 call 8112b620 81100a5c: 003f0d06 br 81100694 <__reset+0xfb0e0694> 81100a60: 01604534 movhi r5,33044 81100a64: 01204574 movhi r4,33045 -81100a68: 295ce504 addi r5,r5,29588 -81100a6c: 21089704 addi r4,r4,8796 +81100a68: 295cf504 addi r5,r5,29652 +81100a6c: 2108a804 addi r4,r4,8864 81100a70: 01800804 movi r6,32 81100a74: 003f3706 br 81100754 <__reset+0xfb0e0754> 81100a78: 01604534 movhi r5,33044 81100a7c: 01204574 movhi r4,33045 -81100a80: 295ced04 addi r5,r5,29620 -81100a84: 21089704 addi r4,r4,8796 +81100a80: 295cfd04 addi r5,r5,29684 +81100a84: 2108a804 addi r4,r4,8864 81100a88: 01800dc4 movi r6,55 81100a8c: 003f3106 br 81100754 <__reset+0xfb0e0754> 81100a90: 01604534 movhi r5,33044 81100a94: 01204574 movhi r4,33045 -81100a98: 295cfb04 addi r5,r5,29676 -81100a9c: 21089704 addi r4,r4,8796 +81100a98: 295d0b04 addi r5,r5,29740 +81100a9c: 2108a804 addi r4,r4,8864 81100aa0: 01800784 movi r6,30 81100aa4: 003f2b06 br 81100754 <__reset+0xfb0e0754> 81100aa8: 01604534 movhi r5,33044 81100aac: 01204574 movhi r4,33045 -81100ab0: 295d0304 addi r5,r5,29708 -81100ab4: 21089704 addi r4,r4,8796 +81100ab0: 295d1304 addi r5,r5,29772 +81100ab4: 2108a804 addi r4,r4,8864 81100ab8: 01800804 movi r6,32 81100abc: 003f2506 br 81100754 <__reset+0xfb0e0754> 81100ac0: 01604534 movhi r5,33044 81100ac4: 01204574 movhi r4,33045 -81100ac8: 295d0b04 addi r5,r5,29740 -81100acc: 21089704 addi r4,r4,8796 +81100ac8: 295d1b04 addi r5,r5,29804 +81100acc: 2108a804 addi r4,r4,8864 81100ad0: 018009c4 movi r6,39 81100ad4: 003f1f06 br 81100754 <__reset+0xfb0e0754> 81100ad8: 01604534 movhi r5,33044 81100adc: 01204574 movhi r4,33045 -81100ae0: 295c1d04 addi r5,r5,28788 -81100ae4: 21089704 addi r4,r4,8796 +81100ae0: 295c2d04 addi r5,r5,28852 +81100ae4: 2108a804 addi r4,r4,8864 81100ae8: 01800d04 movi r6,52 81100aec: 003f1906 br 81100754 <__reset+0xfb0e0754> 81100af0: 01604534 movhi r5,33044 81100af4: 01204574 movhi r4,33045 -81100af8: 295c2a04 addi r5,r5,28840 -81100afc: 21089704 addi r4,r4,8796 +81100af8: 295c3a04 addi r5,r5,28904 +81100afc: 2108a804 addi r4,r4,8864 81100b00: 018005c4 movi r6,23 81100b04: 003f1306 br 81100754 <__reset+0xfb0e0754> @@ -2597,14 +2598,14 @@ alt_after_alt_main: 81100b34: 01204574 movhi r4,33045 81100b38: deffff04 addi sp,sp,-4 81100b3c: 01800f04 movi r6,60 -81100b40: 295d3604 addi r5,r5,29912 -81100b44: 21089704 addi r4,r4,8796 +81100b40: 295d4604 addi r5,r5,29976 +81100b44: 2108a804 addi r4,r4,8864 81100b48: dfc00015 stw ra,0(sp) -81100b4c: 112c6480 call 8112c648 +81100b4c: 112c6880 call 8112c688 81100b50: d1207917 ldw r4,-32284(gp) 81100b54: 01604574 movhi r5,33045 -81100b58: 29489704 addi r5,r5,8796 -81100b5c: 112b5e00 call 8112b5e0 +81100b58: 2948a804 addi r5,r5,8864 +81100b5c: 112b6200 call 8112b620 81100b60: 0005883a mov r2,zero 81100b64: dfc00017 ldw ra,0(sp) 81100b68: dec00104 addi sp,sp,4 @@ -2619,19 +2620,19 @@ alt_after_alt_main: 81100b84: defff104 addi sp,sp,-60 81100b88: dc000b15 stw r16,44(sp) 81100b8c: 04204574 movhi r16,33045 -81100b90: 84089704 addi r16,r16,8796 +81100b90: 8408a804 addi r16,r16,8864 81100b94: 01604534 movhi r5,33044 81100b98: dc400c15 stw r17,48(sp) -81100b9c: 295d4504 addi r5,r5,29972 +81100b9c: 295d5504 addi r5,r5,30036 81100ba0: 2023883a mov r17,r4 81100ba4: 01800a04 movi r6,40 81100ba8: 8009883a mov r4,r16 81100bac: dfc00e15 stw ra,56(sp) 81100bb0: dc800d15 stw r18,52(sp) -81100bb4: 112c6480 call 8112c648 +81100bb4: 112c6880 call 8112c688 81100bb8: d1207917 ldw r4,-32284(gp) 81100bbc: 800b883a mov r5,r16 -81100bc0: 112b5e00 call 8112b5e0 +81100bc0: 112b6200 call 8112b620 81100bc4: 89003fcc andi r4,r17,255 81100bc8: 20008526 beq r4,zero,81100de0 81100bcc: 00800044 movi r2,1 @@ -2639,14 +2640,14 @@ alt_after_alt_main: 81100bd4: 1100b080 call 81100b08 81100bd8: 01604534 movhi r5,33044 81100bdc: 01204574 movhi r4,33045 -81100be0: 295d4f04 addi r5,r5,30012 -81100be4: 21089704 addi r4,r4,8796 +81100be0: 295d5f04 addi r5,r5,30076 +81100be4: 2108a804 addi r4,r4,8864 81100be8: 01820004 movi r6,2048 -81100bec: 112d6780 call 8112d678 +81100bec: 112d6b80 call 8112d6b8 81100bf0: d1207917 ldw r4,-32284(gp) 81100bf4: 01604574 movhi r5,33045 -81100bf8: 29489704 addi r5,r5,8796 -81100bfc: 112b5e00 call 8112b5e0 +81100bf8: 2948a804 addi r5,r5,8864 +81100bfc: 112b6200 call 8112b620 81100c00: 00833374 movhi r2,3277 81100c04: 10b33304 addi r2,r2,-13108 81100c08: 01633374 movhi r5,36045 @@ -2658,7 +2659,7 @@ alt_after_alt_main: 81100c20: 18c00104 addi r3,r3,4 81100c24: 117ffc1e bne r2,r5,81100c18 <__reset+0xfb0e0c18> 81100c28: 01204574 movhi r4,33045 -81100c2c: 2108d604 addi r4,r4,9048 +81100c2c: 2108e704 addi r4,r4,9116 81100c30: d260a217 ldw r9,-32120(gp) 81100c34: 00800044 movi r2,1 81100c38: 22000104 addi r8,r4,4 @@ -2675,13 +2676,13 @@ alt_after_alt_main: 81100c64: 29400104 addi r5,r5,4 81100c68: 003ff706 br 81100c48 <__reset+0xfb0e0c48> 81100c6c: 01604534 movhi r5,33044 -81100c70: 295b5604 addi r5,r5,27992 +81100c70: 295b6604 addi r5,r5,28056 81100c74: 01800bc4 movi r6,47 81100c78: 8009883a mov r4,r16 -81100c7c: 112c6480 call 8112c648 +81100c7c: 112c6880 call 8112c688 81100c80: d1207917 ldw r4,-32284(gp) 81100c84: 800b883a mov r5,r16 -81100c88: 112b5e00 call 8112b5e0 +81100c88: 112b6200 call 8112b620 81100c8c: 0005883a mov r2,zero 81100c90: dfc00e17 ldw ra,56(sp) 81100c94: dc800d17 ldw r18,52(sp) @@ -2698,74 +2699,74 @@ alt_after_alt_main: 81100cc0: 01204574 movhi r4,33045 81100cc4: 4080fe15 stw r2,1016(r8) 81100cc8: 01800444 movi r6,17 -81100ccc: 295d5504 addi r5,r5,30036 -81100cd0: 21089704 addi r4,r4,8796 +81100ccc: 295d6504 addi r5,r5,30100 +81100cd0: 2108a804 addi r4,r4,8864 81100cd4: 00bfffc4 movi r2,-1 81100cd8: 4000fd15 stw zero,1012(r8) 81100cdc: 4080fc15 stw r2,1008(r8) -81100ce0: 112c6480 call 8112c648 +81100ce0: 112c6880 call 8112c688 81100ce4: d1207917 ldw r4,-32284(gp) 81100ce8: 01604574 movhi r5,33045 -81100cec: 29489704 addi r5,r5,8796 -81100cf0: 112b5e00 call 8112b5e0 +81100cec: 2948a804 addi r5,r5,8864 +81100cf0: 112b6200 call 8112b620 81100cf4: 04600034 movhi r17,32768 81100cf8: 01604574 movhi r5,33045 -81100cfc: 2948d704 addi r5,r5,9052 +81100cfc: 2948e804 addi r5,r5,9120 81100d00: 880d883a mov r6,r17 81100d04: 0009883a mov r4,zero 81100d08: d4a0a217 ldw r18,-32120(gp) -81100d0c: 112c6480 call 8112c648 +81100d0c: 112c6880 call 8112c688 81100d10: d8800117 ldw r2,4(sp) 81100d14: 8880272e bgeu r17,r2,81100db4 -81100d18: 113f3380 call 8113f338 +81100d18: 113f3780 call 8113f378 81100d1c: d1207917 ldw r4,-32284(gp) 81100d20: 01604574 movhi r5,33045 -81100d24: 29489704 addi r5,r5,8796 +81100d24: 2948a804 addi r5,r5,8864 81100d28: 04400284 movi r17,10 81100d2c: 84400005 stb r17,0(r16) 81100d30: 80000045 stb zero,1(r16) -81100d34: 112b5e00 call 8112b5e0 +81100d34: 112b6200 call 8112b620 81100d38: d120a217 ldw r4,-32120(gp) 81100d3c: 2489c83a sub r4,r4,r18 -81100d40: 112889c0 call 8112889c <__floatsisf> +81100d40: 11288dc0 call 811288dc <__floatsisf> 81100d44: d120a317 ldw r4,-32116(gp) 81100d48: 1025883a mov r18,r2 -81100d4c: 11289c00 call 811289c0 <__floatunsisf> +81100d4c: 1128a000 call 81128a00 <__floatunsisf> 81100d50: 100b883a mov r5,r2 81100d54: 9009883a mov r4,r18 -81100d58: 11279d80 call 811279d8 <__divsf3> +81100d58: 1127a180 call 81127a18 <__divsf3> 81100d5c: 1009883a mov r4,r2 -81100d60: 112af380 call 8112af38 <__extendsfdf2> +81100d60: 112af780 call 8112af78 <__extendsfdf2> 81100d64: 01604534 movhi r5,33044 81100d68: 01204574 movhi r4,33045 81100d6c: d8c00015 stw r3,0(sp) 81100d70: 100f883a mov r7,r2 81100d74: 01a00034 movhi r6,32768 -81100d78: 295d5c04 addi r5,r5,30064 -81100d7c: 21089704 addi r4,r4,8796 -81100d80: 112d6780 call 8112d678 +81100d78: 295d6c04 addi r5,r5,30128 +81100d7c: 2108a804 addi r4,r4,8864 +81100d80: 112d6b80 call 8112d6b8 81100d84: d1207917 ldw r4,-32284(gp) 81100d88: 01604574 movhi r5,33045 -81100d8c: 29489704 addi r5,r5,8796 -81100d90: 112b5e00 call 8112b5e0 +81100d8c: 2948a804 addi r5,r5,8864 +81100d90: 112b6200 call 8112b620 81100d94: d1207917 ldw r4,-32284(gp) 81100d98: 01604574 movhi r5,33045 -81100d9c: 29489704 addi r5,r5,8796 +81100d9c: 2948a804 addi r5,r5,8864 81100da0: 84400005 stb r17,0(r16) 81100da4: 80000045 stb zero,1(r16) -81100da8: 112b5e00 call 8112b5e0 +81100da8: 112b6200 call 8112b620 81100dac: 00800044 movi r2,1 81100db0: 003fb706 br 81100c90 <__reset+0xfb0e0c90> 81100db4: 01604534 movhi r5,33044 81100db8: 01204574 movhi r4,33045 -81100dbc: 295d5a04 addi r5,r5,30056 -81100dc0: 21089704 addi r4,r4,8796 +81100dbc: 295d6a04 addi r5,r5,30120 +81100dc0: 2108a804 addi r4,r4,8864 81100dc4: 01800284 movi r6,10 -81100dc8: 112d6780 call 8112d678 +81100dc8: 112d6b80 call 8112d6b8 81100dcc: d1207917 ldw r4,-32284(gp) 81100dd0: 01604574 movhi r5,33045 -81100dd4: 29489704 addi r5,r5,8796 -81100dd8: 112b5e00 call 8112b5e0 +81100dd4: 2948a804 addi r5,r5,8864 +81100dd8: 112b6200 call 8112b620 81100ddc: 003fce06 br 81100d18 <__reset+0xfb0e0d18> 81100de0: 0009883a mov r4,zero 81100de4: 1100b080 call 81100b08 @@ -2779,9 +2780,9 @@ alt_after_alt_main: 81100dfc: 01604534 movhi r5,33044 81100e00: 2021883a mov r16,r4 81100e04: 01204574 movhi r4,33045 -81100e08: 295d6804 addi r5,r5,30112 +81100e08: 295d7804 addi r5,r5,30176 81100e0c: 018009c4 movi r6,39 -81100e10: 21089704 addi r4,r4,8796 +81100e10: 2108a804 addi r4,r4,8864 81100e14: dfc01515 stw ra,84(sp) 81100e18: df001415 stw fp,80(sp) 81100e1c: ddc01315 stw r23,76(sp) @@ -2791,11 +2792,11 @@ alt_after_alt_main: 81100e2c: dcc00f15 stw r19,60(sp) 81100e30: dc800e15 stw r18,56(sp) 81100e34: dc400d15 stw r17,52(sp) -81100e38: 112c6480 call 8112c648 +81100e38: 112c6880 call 8112c688 81100e3c: d1207917 ldw r4,-32284(gp) 81100e40: 01604574 movhi r5,33045 -81100e44: 29489704 addi r5,r5,8796 -81100e48: 112b5e00 call 8112b5e0 +81100e44: 2948a804 addi r5,r5,8864 +81100e48: 112b6200 call 8112b620 81100e4c: 81003fcc andi r4,r16,255 81100e50: 2000a426 beq r4,zero,811010e4 81100e54: 00800044 movi r2,1 @@ -2803,14 +2804,14 @@ alt_after_alt_main: 81100e5c: 1100b080 call 81100b08 81100e60: 01604534 movhi r5,33044 81100e64: 01204574 movhi r4,33045 -81100e68: 295d7204 addi r5,r5,30152 -81100e6c: 21089704 addi r4,r4,8796 +81100e68: 295d8204 addi r5,r5,30216 +81100e6c: 2108a804 addi r4,r4,8864 81100e70: 01820004 movi r6,2048 -81100e74: 112d6780 call 8112d678 +81100e74: 112d6b80 call 8112d6b8 81100e78: d1207917 ldw r4,-32284(gp) 81100e7c: 01604574 movhi r5,33045 -81100e80: 29489704 addi r5,r5,8796 -81100e84: 112b5e00 call 8112b5e0 +81100e80: 2948a804 addi r5,r5,8864 +81100e84: 112b6200 call 8112b620 81100e88: 00833374 movhi r2,3277 81100e8c: 10b33304 addi r2,r2,-13108 81100e90: 01633374 movhi r5,36045 @@ -2823,14 +2824,14 @@ alt_after_alt_main: 81100eac: 117ffc1e bne r2,r5,81100ea0 <__reset+0xfb0e0ea0> 81100eb0: 01604534 movhi r5,33044 81100eb4: 01204574 movhi r4,33045 -81100eb8: 295d7804 addi r5,r5,30176 -81100ebc: 21089704 addi r4,r4,8796 +81100eb8: 295d8804 addi r5,r5,30240 +81100ebc: 2108a804 addi r4,r4,8864 81100ec0: 018006c4 movi r6,27 -81100ec4: 112c6480 call 8112c648 +81100ec4: 112c6880 call 8112c688 81100ec8: d1207917 ldw r4,-32284(gp) 81100ecc: 01604574 movhi r5,33045 -81100ed0: 29489704 addi r5,r5,8796 -81100ed4: 112b5e00 call 8112b5e0 +81100ed0: 2948a804 addi r5,r5,8864 +81100ed4: 112b6200 call 8112b620 81100ed8: d0e0a217 ldw r3,-32120(gp) 81100edc: 04604574 movhi r17,33045 81100ee0: 0027883a mov r19,zero @@ -2841,7 +2842,7 @@ alt_after_alt_main: 81100ef4: 04810004 movi r18,1024 81100ef8: 05200034 movhi r20,32768 81100efc: 05400244 movi r21,9 -81100f00: 8c48d704 addi r17,r17,9052 +81100f00: 8c48e804 addi r17,r17,9120 81100f04: b5007a2e bgeu r22,r20,811010f0 81100f08: a585c83a sub r2,r20,r22 81100f0c: 1480040e bge r2,r18,81100f20 @@ -2851,7 +2852,7 @@ alt_after_alt_main: 81100f1c: 1025883a mov r18,r2 81100f20: 05c0680e bge zero,r23,811010c4 81100f24: 00a04574 movhi r2,33045 -81100f28: 1088d704 addi r2,r2,9052 +81100f28: 1088e804 addi r2,r2,9120 81100f2c: e009883a mov r4,fp 81100f30: 0021883a mov r16,zero 81100f34: 10c00017 ldw r3,0(r2) @@ -2869,14 +2870,14 @@ alt_after_alt_main: 81100f64: 01604534 movhi r5,33044 81100f68: 1c07883a add r3,r3,r16 81100f6c: 01204574 movhi r4,33045 -81100f70: 295d7f04 addi r5,r5,30204 -81100f74: 21089704 addi r4,r4,8796 +81100f70: 295d8f04 addi r5,r5,30268 +81100f74: 2108a804 addi r4,r4,8864 81100f78: d8c00015 stw r3,0(sp) -81100f7c: 112d6780 call 8112d678 +81100f7c: 112d6b80 call 8112d6b8 81100f80: d1207917 ldw r4,-32284(gp) 81100f84: 01604574 movhi r5,33045 -81100f88: 29489704 addi r5,r5,8796 -81100f8c: 112b5e00 call 8112b5e0 +81100f88: 2948a804 addi r5,r5,8864 +81100f8c: 112b6200 call 8112b620 81100f90: b4ad883a add r22,r22,r18 81100f94: acc00816 blt r21,r19,81100fb8 81100f98: 0021883a mov r16,zero @@ -2889,42 +2890,42 @@ alt_after_alt_main: 81100fb4: 803fd31e bne r16,zero,81100f04 <__reset+0xfb0e0f04> 81100fb8: d1207917 ldw r4,-32284(gp) 81100fbc: 01604574 movhi r5,33045 -81100fc0: 29489704 addi r5,r5,8796 +81100fc0: 2948a804 addi r5,r5,8864 81100fc4: 00800284 movi r2,10 81100fc8: 28800005 stb r2,0(r5) 81100fcc: 28000045 stb zero,1(r5) -81100fd0: 112b5e00 call 8112b5e0 +81100fd0: 112b6200 call 8112b620 81100fd4: 01604534 movhi r5,33044 81100fd8: 01204574 movhi r4,33045 81100fdc: d0a0a217 ldw r2,-32120(gp) -81100fe0: 295d9904 addi r5,r5,30308 -81100fe4: 21089704 addi r4,r4,8796 +81100fe0: 295da904 addi r5,r5,30372 +81100fe4: 2108a804 addi r4,r4,8864 81100fe8: 01800544 movi r6,21 -81100fec: 112c6480 call 8112c648 +81100fec: 112c6880 call 8112c688 81100ff0: d1207917 ldw r4,-32284(gp) 81100ff4: 01604574 movhi r5,33045 -81100ff8: 29489704 addi r5,r5,8796 +81100ff8: 2948a804 addi r5,r5,8864 81100ffc: 0021883a mov r16,zero -81101000: 112b5e00 call 8112b5e0 +81101000: 112b6200 call 8112b620 81101004: 01604574 movhi r5,33045 81101008: d1207917 ldw r4,-32284(gp) -8110100c: 29489704 addi r5,r5,8796 +8110100c: 2948a804 addi r5,r5,8864 81101010: 00800284 movi r2,10 81101014: 28800005 stb r2,0(r5) 81101018: 28000045 stb zero,1(r5) -8110101c: 112b5e00 call 8112b5e0 +8110101c: 112b6200 call 8112b620 81101020: 8005883a mov r2,r16 81101024: 00000b06 br 81101054 81101028: 01604534 movhi r5,33044 8110102c: 01204574 movhi r4,33045 -81101030: 295b5604 addi r5,r5,27992 +81101030: 295b6604 addi r5,r5,28056 81101034: 01800bc4 movi r6,47 -81101038: 21089704 addi r4,r4,8796 -8110103c: 112c6480 call 8112c648 +81101038: 2108a804 addi r4,r4,8864 +8110103c: 112c6880 call 8112c688 81101040: d1207917 ldw r4,-32284(gp) 81101044: 01604574 movhi r5,33045 -81101048: 29489704 addi r5,r5,8796 -8110104c: 112b5e00 call 8112b5e0 +81101048: 2948a804 addi r5,r5,8864 +8110104c: 112b6200 call 8112b620 81101050: 0005883a mov r2,zero 81101054: dfc01517 ldw ra,84(sp) 81101058: df001417 ldw fp,80(sp) @@ -2942,13 +2943,13 @@ alt_after_alt_main: 81101088: 998002a4 muli r6,r19,10 8110108c: 01604534 movhi r5,33044 81101090: 01204574 movhi r4,33045 -81101094: 295d5a04 addi r5,r5,30056 -81101098: 21089704 addi r4,r4,8796 -8110109c: 112d6780 call 8112d678 +81101094: 295d6a04 addi r5,r5,30120 +81101098: 2108a804 addi r4,r4,8864 +8110109c: 112d6b80 call 8112d6b8 811010a0: d1207917 ldw r4,-32284(gp) 811010a4: 01604574 movhi r5,33045 -811010a8: 29489704 addi r5,r5,8796 -811010ac: 112b5e00 call 8112b5e0 +811010a8: 2948a804 addi r5,r5,8864 +811010ac: 112b6200 call 8112b620 811010b0: 803f941e bne r16,zero,81100f04 <__reset+0xfb0e0f04> 811010b4: 003fc006 br 81100fb8 <__reset+0xfb0e0fb8> 811010b8: 84000044 addi r16,r16,1 @@ -2967,36 +2968,36 @@ alt_after_alt_main: 811010ec: 003f5c06 br 81100e60 <__reset+0xfb0e0e60> 811010f0: d1207917 ldw r4,-32284(gp) 811010f4: 01604574 movhi r5,33045 -811010f8: 29489704 addi r5,r5,8796 +811010f8: 2948a804 addi r5,r5,8864 811010fc: 00800284 movi r2,10 81101100: 28800005 stb r2,0(r5) 81101104: 28000045 stb zero,1(r5) -81101108: 112b5e00 call 8112b5e0 +81101108: 112b6200 call 8112b620 8110110c: d8c00b17 ldw r3,44(sp) 81101110: d120a217 ldw r4,-32120(gp) 81101114: 04000044 movi r16,1 81101118: 20c9c83a sub r4,r4,r3 -8110111c: 112889c0 call 8112889c <__floatsisf> +8110111c: 11288dc0 call 811288dc <__floatsisf> 81101120: d120a317 ldw r4,-32116(gp) 81101124: 1023883a mov r17,r2 -81101128: 11289c00 call 811289c0 <__floatunsisf> +81101128: 1128a000 call 81128a00 <__floatunsisf> 8110112c: 100b883a mov r5,r2 81101130: 8809883a mov r4,r17 -81101134: 11279d80 call 811279d8 <__divsf3> +81101134: 1127a180 call 81127a18 <__divsf3> 81101138: 1009883a mov r4,r2 -8110113c: 112af380 call 8112af38 <__extendsfdf2> +8110113c: 112af780 call 8112af78 <__extendsfdf2> 81101140: 01604534 movhi r5,33044 81101144: 01204574 movhi r4,33045 -81101148: 295d8d04 addi r5,r5,30260 -8110114c: 21089704 addi r4,r4,8796 +81101148: 295d9d04 addi r5,r5,30324 +8110114c: 2108a804 addi r4,r4,8864 81101150: d8c00015 stw r3,0(sp) 81101154: 100f883a mov r7,r2 81101158: 01a00034 movhi r6,32768 -8110115c: 112d6780 call 8112d678 +8110115c: 112d6b80 call 8112d6b8 81101160: d1207917 ldw r4,-32284(gp) 81101164: 01604574 movhi r5,33045 -81101168: 29489704 addi r5,r5,8796 -8110116c: 112b5e00 call 8112b5e0 +81101168: 2948a804 addi r5,r5,8864 +8110116c: 112b6200 call 8112b620 81101170: 003fa406 br 81101004 <__reset+0xfb0e1004> 81101174 : @@ -3004,11 +3005,11 @@ alt_after_alt_main: 81101178: dcc00615 stw r19,24(sp) 8110117c: 04e04574 movhi r19,33045 81101180: dd000715 stw r20,28(sp) -81101184: 9cc89704 addi r19,r19,8796 +81101184: 9cc8a804 addi r19,r19,8864 81101188: 2829883a mov r20,r5 8110118c: 01604534 movhi r5,33044 81101190: dc000315 stw r16,12(sp) -81101194: 295d9f04 addi r5,r5,30332 +81101194: 295daf04 addi r5,r5,30396 81101198: 2021883a mov r16,r4 8110119c: d9800115 stw r6,4(sp) 811011a0: 9809883a mov r4,r19 @@ -3020,10 +3021,10 @@ alt_after_alt_main: 811011b8: dd400815 stw r21,32(sp) 811011bc: dc800515 stw r18,20(sp) 811011c0: dc400415 stw r17,16(sp) -811011c4: 112c6480 call 8112c648 +811011c4: 112c6880 call 8112c688 811011c8: d1207917 ldw r4,-32284(gp) 811011cc: 980b883a mov r5,r19 -811011d0: 112b5e00 call 8112b5e0 +811011d0: 112b6200 call 8112b620 811011d4: 81003fcc andi r4,r16,255 811011d8: 20006b26 beq r4,zero,81101388 811011dc: 00800044 movi r2,1 @@ -3032,25 +3033,25 @@ alt_after_alt_main: 811011e8: 01604534 movhi r5,33044 811011ec: 01204574 movhi r4,33045 811011f0: 01820004 movi r6,2048 -811011f4: 295d4f04 addi r5,r5,30012 -811011f8: 21089704 addi r4,r4,8796 -811011fc: 112d6780 call 8112d678 +811011f4: 295d5f04 addi r5,r5,30076 +811011f8: 2108a804 addi r4,r4,8864 +811011fc: 112d6b80 call 8112d6b8 81101200: d1207917 ldw r4,-32284(gp) 81101204: 01604574 movhi r5,33045 -81101208: 29489704 addi r5,r5,8796 -8110120c: 112b5e00 call 8112b5e0 +81101208: 2948a804 addi r5,r5,8864 +8110120c: 112b6200 call 8112b620 81101210: d420a217 ldw r16,-32120(gp) 81101214: 01604534 movhi r5,33044 81101218: 01204574 movhi r4,33045 -8110121c: 295dab04 addi r5,r5,30380 -81101220: 21089704 addi r4,r4,8796 +8110121c: 295dbb04 addi r5,r5,30444 +81101220: 2108a804 addi r4,r4,8864 81101224: 01800584 movi r6,22 81101228: d4203e15 stw r16,-32520(gp) -8110122c: 112c6480 call 8112c648 +8110122c: 112c6880 call 8112c688 81101230: d1207917 ldw r4,-32284(gp) 81101234: 01604574 movhi r5,33045 -81101238: 29489704 addi r5,r5,8796 -8110123c: 112b5e00 call 8112b5e0 +81101238: 2948a804 addi r5,r5,8864 +8110123c: 112b6200 call 8112b620 81101240: 00800044 movi r2,1 81101244: a0807626 beq r20,r2,81101420 81101248: d0e0a217 ldw r3,-32120(gp) @@ -3074,20 +3075,20 @@ alt_after_alt_main: 81101290: bc400d2e bgeu r23,r17,811012c8 81101294: 01604534 movhi r5,33044 81101298: 01204574 movhi r4,33045 -8110129c: 295db304 addi r5,r5,30412 -811012a0: 21089704 addi r4,r4,8796 +8110129c: 295dc304 addi r5,r5,30476 +811012a0: 2108a804 addi r4,r4,8864 811012a4: e1803fcc andi r6,fp,255 811012a8: 18000726 beq r3,zero,811012c8 -811012ac: 112d6780 call 8112d678 +811012ac: 112d6b80 call 8112d6b8 811012b0: d1207917 ldw r4,-32284(gp) 811012b4: 01604574 movhi r5,33045 -811012b8: 29489704 addi r5,r5,8796 +811012b8: 2948a804 addi r5,r5,8864 811012bc: bcaf883a add r23,r23,r18 811012c0: e7000144 addi fp,fp,5 -811012c4: 112b5e00 call 8112b5e0 +811012c4: 112b6200 call 8112b620 811012c8: 8c400104 addi r17,r17,4 811012cc: 8dbfe81e bne r17,r22,81101270 <__reset+0xfb0e1270> -811012d0: 113f3380 call 8113f338 +811012d0: 113f3780 call 8113f378 811012d4: 00800044 movi r2,1 811012d8: a0804726 beq r20,r2,811013f8 811012dc: d8c00117 ldw r3,4(sp) @@ -3095,31 +3096,31 @@ alt_after_alt_main: 811012e4: 18802b26 beq r3,r2,81101394 811012e8: 01604534 movhi r5,33044 811012ec: 01204574 movhi r4,33045 -811012f0: 295dc504 addi r5,r5,30484 -811012f4: 21089704 addi r4,r4,8796 +811012f0: 295dd504 addi r5,r5,30548 +811012f4: 2108a804 addi r4,r4,8864 811012f8: 01a00034 movhi r6,32768 -811012fc: 112d6780 call 8112d678 +811012fc: 112d6b80 call 8112d6b8 81101300: d1207917 ldw r4,-32284(gp) 81101304: 01604574 movhi r5,33045 -81101308: 29489704 addi r5,r5,8796 -8110130c: 112b5e00 call 8112b5e0 +81101308: 2948a804 addi r5,r5,8864 +8110130c: 112b6200 call 8112b620 81101310: d1207917 ldw r4,-32284(gp) 81101314: 01604574 movhi r5,33045 81101318: 00800284 movi r2,10 -8110131c: 29489704 addi r5,r5,8796 +8110131c: 2948a804 addi r5,r5,8864 81101320: 98800005 stb r2,0(r19) 81101324: 98000045 stb zero,1(r19) -81101328: 112b5e00 call 8112b5e0 +81101328: 112b6200 call 8112b620 8110132c: 00800044 movi r2,1 81101330: 00000906 br 81101358 81101334: 01604534 movhi r5,33044 -81101338: 295b5604 addi r5,r5,27992 +81101338: 295b6604 addi r5,r5,28056 8110133c: 01800bc4 movi r6,47 81101340: 9809883a mov r4,r19 -81101344: 112c6480 call 8112c648 +81101344: 112c6880 call 8112c688 81101348: d1207917 ldw r4,-32284(gp) 8110134c: 980b883a mov r5,r19 -81101350: 112b5e00 call 8112b5e0 +81101350: 112b6200 call 8112b620 81101354: 0005883a mov r2,zero 81101358: dfc00c17 ldw ra,48(sp) 8110135c: df000b17 ldw fp,44(sp) @@ -3139,47 +3140,47 @@ alt_after_alt_main: 81101394: d8c00217 ldw r3,8(sp) 81101398: d120a217 ldw r4,-32120(gp) 8110139c: 20c9c83a sub r4,r4,r3 -811013a0: 112889c0 call 8112889c <__floatsisf> +811013a0: 11288dc0 call 811288dc <__floatsisf> 811013a4: d120a317 ldw r4,-32116(gp) 811013a8: 1021883a mov r16,r2 -811013ac: 11289c00 call 811289c0 <__floatunsisf> +811013ac: 1128a000 call 81128a00 <__floatunsisf> 811013b0: 100b883a mov r5,r2 811013b4: 8009883a mov r4,r16 -811013b8: 11279d80 call 811279d8 <__divsf3> +811013b8: 1127a180 call 81127a18 <__divsf3> 811013bc: 1009883a mov r4,r2 -811013c0: 112af380 call 8112af38 <__extendsfdf2> +811013c0: 112af780 call 8112af78 <__extendsfdf2> 811013c4: 01604534 movhi r5,33044 811013c8: 01204574 movhi r4,33045 -811013cc: 295db904 addi r5,r5,30436 -811013d0: 21089704 addi r4,r4,8796 +811013cc: 295dc904 addi r5,r5,30500 +811013d0: 2108a804 addi r4,r4,8864 811013d4: d8c00015 stw r3,0(sp) 811013d8: 100f883a mov r7,r2 811013dc: 01a00034 movhi r6,32768 -811013e0: 112d6780 call 8112d678 +811013e0: 112d6b80 call 8112d6b8 811013e4: d1207917 ldw r4,-32284(gp) 811013e8: 01604574 movhi r5,33045 -811013ec: 29489704 addi r5,r5,8796 -811013f0: 112b5e00 call 8112b5e0 +811013ec: 2948a804 addi r5,r5,8864 +811013f0: 112b6200 call 8112b620 811013f4: 003fc606 br 81101310 <__reset+0xfb0e1310> 811013f8: 01604534 movhi r5,33044 811013fc: 01204574 movhi r4,33045 -81101400: 295db604 addi r5,r5,30424 -81101404: 21089704 addi r4,r4,8796 -81101408: 112d6780 call 8112d678 +81101400: 295dc604 addi r5,r5,30488 +81101404: 2108a804 addi r4,r4,8864 +81101408: 112d6b80 call 8112d6b8 8110140c: d1207917 ldw r4,-32284(gp) 81101410: 01604574 movhi r5,33045 -81101414: 29489704 addi r5,r5,8796 -81101418: 112b5e00 call 8112b5e0 +81101414: 2948a804 addi r5,r5,8864 +81101418: 112b6200 call 8112b620 8110141c: 003faf06 br 811012dc <__reset+0xfb0e12dc> 81101420: 01604534 movhi r5,33044 81101424: 01204574 movhi r4,33045 -81101428: 295db104 addi r5,r5,30404 -8110142c: 21089704 addi r4,r4,8796 -81101430: 112d6780 call 8112d678 +81101428: 295dc104 addi r5,r5,30468 +8110142c: 2108a804 addi r4,r4,8864 +81101430: 112d6b80 call 8112d6b8 81101434: d1207917 ldw r4,-32284(gp) 81101438: 01604574 movhi r5,33045 -8110143c: 29489704 addi r5,r5,8796 -81101440: 112b5e00 call 8112b5e0 +8110143c: 2948a804 addi r5,r5,8864 +81101440: 112b6200 call 8112b620 81101444: 003f8006 br 81101248 <__reset+0xfb0e1248> 81101448 : @@ -3190,9 +3191,9 @@ alt_after_alt_main: 81101458: 2021883a mov r16,r4 8110145c: 01604534 movhi r5,33044 81101460: 01204574 movhi r4,33045 -81101464: 295dcf04 addi r5,r5,30524 +81101464: 295ddf04 addi r5,r5,30588 81101468: d9800115 stw r6,4(sp) -8110146c: 21089704 addi r4,r4,8796 +8110146c: 2108a804 addi r4,r4,8864 81101470: 01800b84 movi r6,46 81101474: dfc00c15 stw ra,48(sp) 81101478: df000b15 stw fp,44(sp) @@ -3202,11 +3203,11 @@ alt_after_alt_main: 81101488: dcc00615 stw r19,24(sp) 8110148c: dc800515 stw r18,20(sp) 81101490: dc400415 stw r17,16(sp) -81101494: 112c6480 call 8112c648 +81101494: 112c6880 call 8112c688 81101498: d1207917 ldw r4,-32284(gp) 8110149c: 01604574 movhi r5,33045 -811014a0: 29489704 addi r5,r5,8796 -811014a4: 112b5e00 call 8112b5e0 +811014a0: 2948a804 addi r5,r5,8864 +811014a4: 112b6200 call 8112b620 811014a8: 81003fcc andi r4,r16,255 811014ac: 20007d26 beq r4,zero,811016a4 811014b0: 00800044 movi r2,1 @@ -3215,24 +3216,24 @@ alt_after_alt_main: 811014bc: 01604534 movhi r5,33044 811014c0: 01204574 movhi r4,33045 811014c4: 01820004 movi r6,2048 -811014c8: 295d4f04 addi r5,r5,30012 -811014cc: 21089704 addi r4,r4,8796 -811014d0: 112d6780 call 8112d678 +811014c8: 295d5f04 addi r5,r5,30076 +811014cc: 2108a804 addi r4,r4,8864 +811014d0: 112d6b80 call 8112d6b8 811014d4: d1207917 ldw r4,-32284(gp) 811014d8: 01604574 movhi r5,33045 -811014dc: 29489704 addi r5,r5,8796 -811014e0: 112b5e00 call 8112b5e0 +811014dc: 2948a804 addi r5,r5,8864 +811014e0: 112b6200 call 8112b620 811014e4: 01604534 movhi r5,33044 811014e8: 01204574 movhi r4,33045 -811014ec: 295ddb04 addi r5,r5,30572 -811014f0: 21089704 addi r4,r4,8796 +811014ec: 295deb04 addi r5,r5,30636 +811014f0: 2108a804 addi r4,r4,8864 811014f4: 01800604 movi r6,24 811014f8: d4203e17 ldw r16,-32520(gp) -811014fc: 112c6480 call 8112c648 +811014fc: 112c6880 call 8112c688 81101500: d1207917 ldw r4,-32284(gp) 81101504: 01604574 movhi r5,33045 -81101508: 29489704 addi r5,r5,8796 -8110150c: 112b5e00 call 8112b5e0 +81101508: 2948a804 addi r5,r5,8864 +8110150c: 112b6200 call 8112b620 81101510: 00800044 movi r2,1 81101514: b8809426 beq r23,r2,81101768 81101518: d0e0a217 ldw r3,-32120(gp) @@ -3263,16 +3264,16 @@ alt_after_alt_main: 8110157c: 01604534 movhi r5,33044 81101580: 01204574 movhi r4,33045 81101584: 89803fcc andi r6,r17,255 -81101588: 295db304 addi r5,r5,30412 -8110158c: 21089704 addi r4,r4,8796 -81101590: 112d6780 call 8112d678 +81101588: 295dc304 addi r5,r5,30476 +8110158c: 2108a804 addi r4,r4,8864 +81101590: 112d6b80 call 8112d6b8 81101594: d1207917 ldw r4,-32284(gp) 81101598: 01604574 movhi r5,33045 -8110159c: 29489704 addi r5,r5,8796 +8110159c: 2948a804 addi r5,r5,8864 811015a0: b5800104 addi r22,r22,4 811015a4: 9ca7883a add r19,r19,r18 811015a8: 8c400144 addi r17,r17,5 -811015ac: 112b5e00 call 8112b5e0 +811015ac: 112b6200 call 8112b620 811015b0: b73fe81e bne r22,fp,81101554 <__reset+0xfb0e1554> 811015b4: 00800044 movi r2,1 811015b8: b8803d26 beq r23,r2,811016b0 @@ -3282,33 +3283,33 @@ alt_after_alt_main: 811015c8: 18804e26 beq r3,r2,81101704 811015cc: 01604534 movhi r5,33044 811015d0: 01204574 movhi r4,33045 -811015d4: 295df504 addi r5,r5,30676 -811015d8: 21089704 addi r4,r4,8796 +811015d4: 295e0504 addi r5,r5,30740 +811015d8: 2108a804 addi r4,r4,8864 811015dc: 01a00034 movhi r6,32768 -811015e0: 112d6780 call 8112d678 +811015e0: 112d6b80 call 8112d6b8 811015e4: d1207917 ldw r4,-32284(gp) 811015e8: 01604574 movhi r5,33045 -811015ec: 29489704 addi r5,r5,8796 -811015f0: 112b5e00 call 8112b5e0 +811015ec: 2948a804 addi r5,r5,8864 +811015f0: 112b6200 call 8112b620 811015f4: 01604574 movhi r5,33045 811015f8: d1207917 ldw r4,-32284(gp) -811015fc: 29489704 addi r5,r5,8796 +811015fc: 2948a804 addi r5,r5,8864 81101600: 00800284 movi r2,10 81101604: 28800005 stb r2,0(r5) 81101608: 28000045 stb zero,1(r5) -8110160c: 112b5e00 call 8112b5e0 +8110160c: 112b6200 call 8112b620 81101610: a005883a mov r2,r20 81101614: 00000b06 br 81101644 81101618: 01604534 movhi r5,33044 8110161c: 01204574 movhi r4,33045 -81101620: 295b5604 addi r5,r5,27992 +81101620: 295b6604 addi r5,r5,28056 81101624: 01800bc4 movi r6,47 -81101628: 21089704 addi r4,r4,8796 -8110162c: 112c6480 call 8112c648 +81101628: 2108a804 addi r4,r4,8864 +8110162c: 112c6880 call 8112c688 81101630: d1207917 ldw r4,-32284(gp) 81101634: 01604574 movhi r5,33045 -81101638: 29489704 addi r5,r5,8796 -8110163c: 112b5e00 call 8112b5e0 +81101638: 2948a804 addi r5,r5,8864 +8110163c: 112b6200 call 8112b620 81101640: 0005883a mov r2,zero 81101644: dfc00c17 ldw ra,48(sp) 81101648: df000b17 ldw fp,44(sp) @@ -3324,74 +3325,74 @@ alt_after_alt_main: 81101670: f800283a ret 81101674: 01604534 movhi r5,33044 81101678: 01204574 movhi r4,33045 -8110167c: 295de104 addi r5,r5,30596 -81101680: 21089704 addi r4,r4,8796 +8110167c: 295df104 addi r5,r5,30660 +81101680: 2108a804 addi r4,r4,8864 81101684: b00d883a mov r6,r22 -81101688: 112d6780 call 8112d678 +81101688: 112d6b80 call 8112d6b8 8110168c: d1207917 ldw r4,-32284(gp) 81101690: 01604574 movhi r5,33045 -81101694: 29489704 addi r5,r5,8796 +81101694: 2948a804 addi r5,r5,8864 81101698: 0029883a mov r20,zero -8110169c: 112b5e00 call 8112b5e0 +8110169c: 112b6200 call 8112b620 811016a0: 003fb506 br 81101578 <__reset+0xfb0e1578> 811016a4: 0009883a mov r4,zero 811016a8: 1100b080 call 81100b08 811016ac: 003f8306 br 811014bc <__reset+0xfb0e14bc> 811016b0: 01604534 movhi r5,33044 811016b4: 01204574 movhi r4,33045 -811016b8: 295db604 addi r5,r5,30424 -811016bc: 21089704 addi r4,r4,8796 -811016c0: 112d6780 call 8112d678 +811016b8: 295dc604 addi r5,r5,30488 +811016bc: 2108a804 addi r4,r4,8864 +811016c0: 112d6b80 call 8112d6b8 811016c4: d1207917 ldw r4,-32284(gp) 811016c8: 01604574 movhi r5,33045 -811016cc: 29489704 addi r5,r5,8796 -811016d0: 112b5e00 call 8112b5e0 +811016cc: 2948a804 addi r5,r5,8864 +811016d0: 112b6200 call 8112b620 811016d4: a03fba1e bne r20,zero,811015c0 <__reset+0xfb0e15c0> 811016d8: 01604534 movhi r5,33044 811016dc: 01204574 movhi r4,33045 -811016e0: 295d9904 addi r5,r5,30308 -811016e4: 21089704 addi r4,r4,8796 +811016e0: 295da904 addi r5,r5,30372 +811016e4: 2108a804 addi r4,r4,8864 811016e8: 01800544 movi r6,21 -811016ec: 112c6480 call 8112c648 +811016ec: 112c6880 call 8112c688 811016f0: d1207917 ldw r4,-32284(gp) 811016f4: 01604574 movhi r5,33045 -811016f8: 29489704 addi r5,r5,8796 -811016fc: 112b5e00 call 8112b5e0 +811016f8: 2948a804 addi r5,r5,8864 +811016fc: 112b6200 call 8112b620 81101700: 003fbc06 br 811015f4 <__reset+0xfb0e15f4> 81101704: d8c00217 ldw r3,8(sp) 81101708: d120a217 ldw r4,-32120(gp) 8110170c: 20c9c83a sub r4,r4,r3 -81101710: 112889c0 call 8112889c <__floatsisf> +81101710: 11288dc0 call 811288dc <__floatsisf> 81101714: d120a317 ldw r4,-32116(gp) 81101718: 1021883a mov r16,r2 -8110171c: 11289c00 call 811289c0 <__floatunsisf> +8110171c: 1128a000 call 81128a00 <__floatunsisf> 81101720: 100b883a mov r5,r2 81101724: 8009883a mov r4,r16 -81101728: 11279d80 call 811279d8 <__divsf3> +81101728: 1127a180 call 81127a18 <__divsf3> 8110172c: 1009883a mov r4,r2 -81101730: 112af380 call 8112af38 <__extendsfdf2> +81101730: 112af780 call 8112af78 <__extendsfdf2> 81101734: 01604534 movhi r5,33044 81101738: 01204574 movhi r4,33045 -8110173c: 295de904 addi r5,r5,30628 -81101740: 21089704 addi r4,r4,8796 +8110173c: 295df904 addi r5,r5,30692 +81101740: 2108a804 addi r4,r4,8864 81101744: d8c00015 stw r3,0(sp) 81101748: 100f883a mov r7,r2 8110174c: 01a00034 movhi r6,32768 -81101750: 112d6780 call 8112d678 +81101750: 112d6b80 call 8112d6b8 81101754: d1207917 ldw r4,-32284(gp) 81101758: 01604574 movhi r5,33045 -8110175c: 29489704 addi r5,r5,8796 -81101760: 112b5e00 call 8112b5e0 +8110175c: 2948a804 addi r5,r5,8864 +81101760: 112b6200 call 8112b620 81101764: 003fa306 br 811015f4 <__reset+0xfb0e15f4> 81101768: 01604534 movhi r5,33044 8110176c: 01204574 movhi r4,33045 -81101770: 295db104 addi r5,r5,30404 -81101774: 21089704 addi r4,r4,8796 -81101778: 112d6780 call 8112d678 +81101770: 295dc104 addi r5,r5,30468 +81101774: 2108a804 addi r4,r4,8864 +81101778: 112d6b80 call 8112d6b8 8110177c: d1207917 ldw r4,-32284(gp) 81101780: 01604574 movhi r5,33045 -81101784: 29489704 addi r5,r5,8796 -81101788: 112b5e00 call 8112b5e0 +81101784: 2948a804 addi r5,r5,8864 +81101788: 112b6200 call 8112b620 8110178c: 003f6206 br 81101518 <__reset+0xfb0e1518> 81101790 : @@ -3431,7 +3432,7 @@ alt_after_alt_main: 8110180c: 380d883a mov r6,r7 81101810: 000b883a mov r5,zero 81101814: 8809883a mov r4,r17 -81101818: 11068000 call 81106800 +81101818: 11068340 call 81106834 8110181c: 0500530e bge zero,r20,8110196c 81101820: 10002026 beq r2,zero,811018a4 81101824: 94a1883a add r16,r18,r18 @@ -3445,7 +3446,7 @@ alt_after_alt_main: 81101844: dcc00115 stw r19,4(sp) 81101848: d8000015 stw zero,0(sp) 8110184c: 8809883a mov r4,r17 -81101850: 11068000 call 81106800 +81101850: 11068340 call 81106834 81101854: b9ffffc4 addi r7,r23,-1 81101858: 10001e26 beq r2,zero,811018d4 8110185c: d9000417 ldw r4,16(sp) @@ -3457,15 +3458,15 @@ alt_after_alt_main: 81101874: 31c02226 beq r6,r7,81101900 81101878: 01604534 movhi r5,33044 8110187c: 01204574 movhi r4,33045 -81101880: 295e0504 addi r5,r5,30740 -81101884: 21089704 addi r4,r4,8796 +81101880: 295e1504 addi r5,r5,30804 +81101884: 2108a804 addi r4,r4,8864 81101888: d9800015 stw r6,0(sp) 8110188c: 380d883a mov r6,r7 -81101890: 112d6780 call 8112d678 +81101890: 112d6b80 call 8112d6b8 81101894: d1207917 ldw r4,-32284(gp) 81101898: 01604574 movhi r5,33045 -8110189c: 29489704 addi r5,r5,8796 -811018a0: 112b5e00 call 8112b5e0 +8110189c: 2948a804 addi r5,r5,8864 +811018a0: 112b6200 call 8112b620 811018a4: 0005883a mov r2,zero 811018a8: dfc00d17 ldw ra,52(sp) 811018ac: ddc00c17 ldw r23,48(sp) @@ -3480,27 +3481,27 @@ alt_after_alt_main: 811018d0: f800283a ret 811018d4: 01604534 movhi r5,33044 811018d8: 01204574 movhi r4,33045 -811018dc: 295e1704 addi r5,r5,30812 -811018e0: 21089704 addi r4,r4,8796 +811018dc: 295e2704 addi r5,r5,30876 +811018e0: 2108a804 addi r4,r4,8864 811018e4: 01800444 movi r6,17 -811018e8: 112c6480 call 8112c648 +811018e8: 112c6880 call 8112c688 811018ec: d1207917 ldw r4,-32284(gp) 811018f0: 01604574 movhi r5,33045 -811018f4: 29489704 addi r5,r5,8796 -811018f8: 112b5e00 call 8112b5e0 +811018f4: 2948a804 addi r5,r5,8864 +811018f8: 112b6200 call 8112b620 811018fc: 003fe906 br 811018a4 <__reset+0xfb0e18a4> 81101900: 2940004c andi r5,r5,1 81101904: 94800044 addi r18,r18,1 81101908: 2d400a26 beq r5,r21,81101934 8110190c: 01604534 movhi r5,33044 81101910: 01204574 movhi r4,33045 -81101914: 295e1104 addi r5,r5,30788 -81101918: 21089704 addi r4,r4,8796 -8110191c: 112d6780 call 8112d678 +81101914: 295e2104 addi r5,r5,30852 +81101918: 2108a804 addi r4,r4,8864 +8110191c: 112d6b80 call 8112d6b8 81101920: d1207917 ldw r4,-32284(gp) 81101924: 01604574 movhi r5,33045 -81101928: 29489704 addi r5,r5,8796 -8110192c: 112b5e00 call 8112b5e0 +81101928: 2948a804 addi r5,r5,8864 +8110192c: 112b6200 call 8112b620 81101930: 003fdc06 br 811018a4 <__reset+0xfb0e18a4> 81101934: 81000015 stw r4,0(r16) 81101938: bdc00044 addi r23,r23,1 @@ -3512,8 +3513,8 @@ alt_after_alt_main: 81101950: 003fab06 br 81101800 <__reset+0xfb0e1800> 81101954: 01604534 movhi r5,33044 81101958: 01204574 movhi r4,33045 -8110195c: 295dff04 addi r5,r5,30716 -81101960: 21089704 addi r4,r4,8796 +8110195c: 295e0f04 addi r5,r5,30780 +81101960: 2108a804 addi r4,r4,8864 81101964: 380d883a mov r6,r7 81101968: 003fec06 br 8110191c <__reset+0xfb0e191c> 8110196c: 8d7fce26 beq r17,r21,811018a8 <__reset+0xfb0e18a8> @@ -3538,7 +3539,7 @@ alt_after_alt_main: 811019b0: 01800c04 movi r6,48 811019b4: dfc00515 stw ra,20(sp) 811019b8: dc800415 stw r18,16(sp) -811019bc: 11063d40 call 811063d4 +811019bc: 11064080 call 81106408 811019c0: 10000b26 beq r2,zero,811019f0 811019c4: 01600034 movhi r5,32768 811019c8: 01200034 movhi r4,32768 @@ -3549,7 +3550,7 @@ alt_after_alt_main: 811019dc: 01c00044 movi r7,1 811019e0: 01800c04 movi r6,48 811019e4: dc800103 ldbu r18,4(sp) -811019e8: 11063d40 call 811063d4 +811019e8: 11064080 call 81106408 811019ec: 1000071e bne r2,zero,81101a0c 811019f0: 0005883a mov r2,zero 811019f4: dfc00517 ldw ra,20(sp) @@ -3618,11 +3619,11 @@ alt_after_alt_main: 81101ae0: da00cc15 stw r8,816(sp) 81101ae4: d8c0cd15 stw r3,820(sp) 81101ae8: 108cccc4 addi r2,r2,13107 -81101aec: 295e4e04 addi r5,r5,31032 +81101aec: 295e5e04 addi r5,r5,31096 81101af0: 0180c004 movi r6,768 81101af4: d880d015 stw r2,832(sp) 81101af8: d8c0d115 stw r3,836(sp) -81101afc: 112c6480 call 8112c648 +81101afc: 112c6880 call 8112c688 81101b00: d900de04 addi r4,sp,888 81101b04: 11017b40 call 811017b4 81101b08: 10008226 beq r2,zero,81101d14 @@ -3635,25 +3636,25 @@ alt_after_alt_main: 81101b24: 05900134 movhi r22,16388 81101b28: a53fffc4 addi r20,r20,-1 81101b2c: 00001806 br 81101b90 -81101b30: 11289c00 call 811289c0 <__floatunsisf> +81101b30: 1128a000 call 81128a00 <__floatunsisf> 81101b34: 014d2034 movhi r5,13440 81101b38: 1009883a mov r4,r2 -81101b3c: 1127f4c0 call 81127f4c <__mulsf3> +81101b3c: 1127f8c0 call 81127f8c <__mulsf3> 81101b40: 01604534 movhi r5,33044 81101b44: 01204574 movhi r4,33045 -81101b48: 295e4504 addi r5,r5,30996 -81101b4c: 21089704 addi r4,r4,8796 +81101b48: 295e5504 addi r5,r5,31060 +81101b4c: 2108a804 addi r4,r4,8864 81101b50: 800f883a mov r7,r16 81101b54: 880d883a mov r6,r17 81101b58: b800521e bne r23,zero,81101ca4 81101b5c: 00b00134 movhi r2,49156 81101b60: d8000015 stw zero,0(sp) 81101b64: d8800115 stw r2,4(sp) -81101b68: 112d6780 call 8112d678 +81101b68: 112d6b80 call 8112d6b8 81101b6c: d1207917 ldw r4,-32284(gp) 81101b70: 01604574 movhi r5,33045 -81101b74: 29489704 addi r5,r5,8796 -81101b78: 112b5e00 call 8112b5e0 +81101b74: 2948a804 addi r5,r5,8864 +81101b78: 112b6200 call 8112b620 81101b7c: 8c401004 addi r17,r17,64 81101b80: e7000104 addi fp,fp,4 81101b84: 9cc00104 addi r19,r19,4 @@ -3670,44 +3671,44 @@ alt_after_alt_main: 81101bb0: 0009883a mov r4,zero 81101bb4: b8001c1e bne r23,zero,81101c28 81101bb8: 99400017 ldw r5,0(r19) -81101bbc: 11279d80 call 811279d8 <__divsf3> +81101bbc: 1127a180 call 81127a18 <__divsf3> 81101bc0: 91400017 ldw r5,0(r18) 81101bc4: 1009883a mov r4,r2 81101bc8: 102f883a mov r23,r2 -81101bcc: 1127f4c0 call 81127f4c <__mulsf3> +81101bcc: 1127f8c0 call 81127f8c <__mulsf3> 81101bd0: 1009883a mov r4,r2 -81101bd4: 112af380 call 8112af38 <__extendsfdf2> +81101bd4: 112af780 call 8112af78 <__extendsfdf2> 81101bd8: b809883a mov r4,r23 81101bdc: d8800415 stw r2,16(sp) 81101be0: d8c00515 stw r3,20(sp) -81101be4: 112af380 call 8112af38 <__extendsfdf2> +81101be4: 112af780 call 8112af78 <__extendsfdf2> 81101be8: 01604534 movhi r5,33044 81101bec: 01204574 movhi r4,33045 -81101bf0: 295e3504 addi r5,r5,30932 -81101bf4: 21089704 addi r4,r4,8796 +81101bf0: 295e4504 addi r5,r5,30996 +81101bf4: 2108a804 addi r4,r4,8864 81101bf8: d8800215 stw r2,8(sp) 81101bfc: d8c00315 stw r3,12(sp) 81101c00: d8000015 stw zero,0(sp) 81101c04: d8000115 stw zero,4(sp) 81101c08: 800f883a mov r7,r16 81101c0c: 880d883a mov r6,r17 -81101c10: 112d6780 call 8112d678 +81101c10: 112d6b80 call 8112d6b8 81101c14: d1207917 ldw r4,-32284(gp) 81101c18: 01604574 movhi r5,33045 -81101c1c: 29489704 addi r5,r5,8796 -81101c20: 112b5e00 call 8112b5e0 +81101c1c: 2948a804 addi r5,r5,8864 +81101c20: 112b6200 call 8112b620 81101c24: 003fd506 br 81101b7c <__reset+0xfb0e1b7c> 81101c28: 01604534 movhi r5,33044 81101c2c: 01204574 movhi r4,33045 -81101c30: 295e1c04 addi r5,r5,30832 -81101c34: 21089704 addi r4,r4,8796 +81101c30: 295e2c04 addi r5,r5,30896 +81101c34: 2108a804 addi r4,r4,8864 81101c38: d8000015 stw zero,0(sp) 81101c3c: dd800115 stw r22,4(sp) 81101c40: 800f883a mov r7,r16 81101c44: 880d883a mov r6,r17 81101c48: 003fc706 br 81101b68 <__reset+0xfb0e1b68> 81101c4c: 00a04574 movhi r2,33045 -81101c50: 10889704 addi r2,r2,8796 +81101c50: 1088a804 addi r2,r2,8864 81101c54: 00c00344 movi r3,13 81101c58: d1207917 ldw r4,-32284(gp) 81101c5c: 10c00005 stb r3,0(r2) @@ -3715,7 +3716,7 @@ alt_after_alt_main: 81101c64: 100b883a mov r5,r2 81101c68: 10c00045 stb r3,1(r2) 81101c6c: 10000085 stb zero,2(r2) -81101c70: 112b5e00 call 8112b5e0 +81101c70: 112b6200 call 8112b620 81101c74: dfc0f417 ldw ra,976(sp) 81101c78: df00f317 ldw fp,972(sp) 81101c7c: ddc0f217 ldw r23,968(sp) @@ -3731,33 +3732,33 @@ alt_after_alt_main: 81101ca4: 99400017 ldw r5,0(r19) 81101ca8: 1009883a mov r4,r2 81101cac: d880ea15 stw r2,936(sp) -81101cb0: 11279d80 call 811279d8 <__divsf3> +81101cb0: 1127a180 call 81127a18 <__divsf3> 81101cb4: 91400017 ldw r5,0(r18) 81101cb8: 1009883a mov r4,r2 81101cbc: 102f883a mov r23,r2 -81101cc0: 1127f4c0 call 81127f4c <__mulsf3> +81101cc0: 1127f8c0 call 81127f8c <__mulsf3> 81101cc4: 1009883a mov r4,r2 -81101cc8: 112af380 call 8112af38 <__extendsfdf2> +81101cc8: 112af780 call 8112af78 <__extendsfdf2> 81101ccc: b809883a mov r4,r23 81101cd0: d8800415 stw r2,16(sp) 81101cd4: d8c00515 stw r3,20(sp) -81101cd8: 112af380 call 8112af38 <__extendsfdf2> +81101cd8: 112af780 call 8112af78 <__extendsfdf2> 81101cdc: da00ea17 ldw r8,936(sp) 81101ce0: d8800215 stw r2,8(sp) 81101ce4: d8c00315 stw r3,12(sp) 81101ce8: 4009883a mov r4,r8 -81101cec: 112af380 call 8112af38 <__extendsfdf2> +81101cec: 112af780 call 8112af78 <__extendsfdf2> 81101cf0: 01604534 movhi r5,33044 81101cf4: 01204574 movhi r4,33045 -81101cf8: 295e2504 addi r5,r5,30868 -81101cfc: 21089704 addi r4,r4,8796 +81101cf8: 295e3504 addi r5,r5,30932 +81101cfc: 2108a804 addi r4,r4,8864 81101d00: 880d883a mov r6,r17 81101d04: d8800015 stw r2,0(sp) 81101d08: d8c00115 stw r3,4(sp) 81101d0c: 800f883a mov r7,r16 81101d10: 003fbf06 br 81101c10 <__reset+0xfb0e1c10> 81101d14: 00a04574 movhi r2,33045 -81101d18: 10889704 addi r2,r2,8796 +81101d18: 1088a804 addi r2,r2,8864 81101d1c: 00c01c84 movi r3,114 81101d20: 01801144 movi r6,69 81101d24: d1207917 ldw r4,-32284(gp) @@ -3773,7 +3774,7 @@ alt_after_alt_main: 81101d4c: 118000c5 stb r6,3(r2) 81101d50: 10c00185 stb r3,6(r2) 81101d54: 100001c5 stb zero,7(r2) -81101d58: 112b5e00 call 8112b5e0 +81101d58: 112b6200 call 8112b620 81101d5c: 003fc506 br 81101c74 <__reset+0xfb0e1c74> 81101d60 : @@ -3815,7 +3816,7 @@ alt_after_alt_main: 81101dac: 2109883a add r4,r4,r4 81101db0: 00a04534 movhi r2,33044 81101db4: 2109883a add r4,r4,r4 -81101db8: 109f0e04 addi r2,r2,31800 +81101db8: 109f1e04 addi r2,r2,31864 81101dbc: defffe04 addi sp,sp,-8 81101dc0: 1109883a add r4,r2,r4 81101dc4: dc000015 stw r16,0(sp) @@ -3838,7 +3839,7 @@ alt_after_alt_main: 81101e08: 80803b17 ldw r2,236(r16) 81101e0c: 10000426 beq r2,zero,81101e20 81101e10: 01000044 movi r4,1 -81101e14: 113f21c0 call 8113f21c +81101e14: 113f25c0 call 8113f25c 81101e18: 80803b17 ldw r2,236(r16) 81101e1c: 103ffc1e bne r2,zero,81101e10 <__reset+0xfb0e1e10> 81101e20: 00800044 movi r2,1 @@ -3851,7 +3852,7 @@ alt_after_alt_main: 81101e3c: 80803c17 ldw r2,240(r16) 81101e40: 103ff726 beq r2,zero,81101e20 <__reset+0xfb0e1e20> 81101e44: 01000044 movi r4,1 -81101e48: 113f21c0 call 8113f21c +81101e48: 113f25c0 call 8113f25c 81101e4c: 80803c17 ldw r2,240(r16) 81101e50: 103ffc1e bne r2,zero,81101e44 <__reset+0xfb0e1e44> 81101e54: 00800044 movi r2,1 @@ -3869,7 +3870,7 @@ alt_after_alt_main: 81101e7c: 80803f17 ldw r2,252(r16) 81101e80: 10000426 beq r2,zero,81101e94 81101e84: 01000044 movi r4,1 -81101e88: 113f21c0 call 8113f21c +81101e88: 113f25c0 call 8113f25c 81101e8c: 80803f17 ldw r2,252(r16) 81101e90: 103ffc1e bne r2,zero,81101e84 <__reset+0xfb0e1e84> 81101e94: 042040b4 movhi r16,33026 @@ -3879,7 +3880,7 @@ alt_after_alt_main: 81101ea4: 80803917 ldw r2,228(r16) 81101ea8: 10000426 beq r2,zero,81101ebc 81101eac: 01000044 movi r4,1 -81101eb0: 113f21c0 call 8113f21c +81101eb0: 113f25c0 call 8113f25c 81101eb4: 80803917 ldw r2,228(r16) 81101eb8: 103ffc1e bne r2,zero,81101eac <__reset+0xfb0e1eac> 81101ebc: 00800044 movi r2,1 @@ -3914,7 +3915,7 @@ alt_after_alt_main: 81101f28: 18d1883a add r8,r3,r3 81101f2c: 00a04534 movhi r2,33044 81101f30: 4211883a add r8,r8,r8 -81101f34: 109f0e04 addi r2,r2,31800 +81101f34: 109f1e04 addi r2,r2,31864 81101f38: 1211883a add r8,r2,r8 81101f3c: 3c403fcc andi r17,r7,255 81101f40: 45400017 ldw r21,0(r8) @@ -4152,7 +4153,7 @@ alt_after_alt_main: 811022c8: 21000204 addi r4,r4,8 811022cc: dc400115 stw r17,4(sp) 811022d0: dfc00315 stw ra,12(sp) -811022d4: 110513c0 call 8110513c +811022d4: 11051700 call 81105170 811022d8: 8009883a mov r4,r16 811022dc: 1023883a mov r17,r2 811022e0: 11036c80 call 811036c8 @@ -4165,7 +4166,7 @@ alt_after_alt_main: 811022fc: 04400044 movi r17,1 81102300: 800b883a mov r5,r16 81102304: 91004404 addi r4,r18,272 -81102308: 1104d280 call 81104d28 +81102308: 1104d5c0 call 81104d5c 8110230c: 1000011e bne r2,zero,81102314 81102310: 04400044 movi r17,1 81102314: 8009883a mov r4,r16 @@ -4196,7 +4197,7 @@ alt_after_alt_main: 81102370: 01801104 movi r6,68 81102374: 11006704 addi r4,r2,412 81102378: dfc00015 stw ra,0(sp) -8110237c: 112c6480 call 8112c648 +8110237c: 112c6880 call 8112c688 81102380: 00800044 movi r2,1 81102384: dfc00017 ldw ra,0(sp) 81102388: dec00104 addi sp,sp,4 @@ -4212,7 +4213,7 @@ alt_after_alt_main: 811023a8: 21000104 addi r4,r4,4 811023ac: 29406704 addi r5,r5,412 811023b0: dfc00015 stw ra,0(sp) -811023b4: 112c6480 call 8112c648 +811023b4: 112c6880 call 8112c688 811023b8: 00800044 movi r2,1 811023bc: dfc00017 ldw ra,0(sp) 811023c0: dec00104 addi sp,sp,4 @@ -4450,7 +4451,7 @@ alt_after_alt_main: 811026e8: 01800f04 movi r6,60 811026ec: 11009504 addi r4,r2,596 811026f0: dfc00015 stw ra,0(sp) -811026f4: 112c6480 call 8112c648 +811026f4: 112c6880 call 8112c688 811026f8: 00800044 movi r2,1 811026fc: dfc00017 ldw ra,0(sp) 81102700: dec00104 addi sp,sp,4 @@ -4466,7 +4467,7 @@ alt_after_alt_main: 81102720: 21002f04 addi r4,r4,188 81102724: 29409504 addi r5,r5,596 81102728: dfc00015 stw ra,0(sp) -8110272c: 112c6480 call 8112c648 +8110272c: 112c6880 call 8112c688 81102730: 00800044 movi r2,1 81102734: dfc00017 ldw ra,0(sp) 81102738: dec00104 addi sp,sp,4 @@ -4482,7 +4483,7 @@ alt_after_alt_main: 81102758: 01800f04 movi r6,60 8110275c: 1100a404 addi r4,r2,656 81102760: dfc00015 stw ra,0(sp) -81102764: 112c6480 call 8112c648 +81102764: 112c6880 call 8112c688 81102768: 00800044 movi r2,1 8110276c: dfc00017 ldw ra,0(sp) 81102770: dec00104 addi sp,sp,4 @@ -4498,7 +4499,7 @@ alt_after_alt_main: 81102790: 21003e04 addi r4,r4,248 81102794: 2940a404 addi r5,r5,656 81102798: dfc00015 stw ra,0(sp) -8110279c: 112c6480 call 8112c648 +8110279c: 112c6880 call 8112c688 811027a0: 00800044 movi r2,1 811027a4: dfc00017 ldw ra,0(sp) 811027a8: dec00104 addi sp,sp,4 @@ -4612,7 +4613,7 @@ alt_after_alt_main: 81102940: 8080ae15 stw r2,696(r16) 81102944: 8140a715 stw r5,668(r16) 81102948: 01000284 movi r4,10 -8110294c: 113f9c40 call 8113f9c4 +8110294c: 113fa040 call 8113fa04 81102950: 8080b217 ldw r2,712(r16) 81102954: dfc00117 ldw ra,4(sp) 81102958: dc000017 ldw r16,0(sp) @@ -4633,7 +4634,7 @@ alt_after_alt_main: 81102994: 00800044 movi r2,1 81102998: 80809815 stw r2,608(r16) 8110299c: 01000284 movi r4,10 -811029a0: 113f9c40 call 8113f9c4 +811029a0: 113fa040 call 8113fa04 811029a4: 8080a317 ldw r2,652(r16) 811029a8: 003fd606 br 81102904 <__reset+0xfb0e2904> @@ -4860,7 +4861,7 @@ alt_after_alt_main: 81102ce0: 00800044 movi r2,1 81102ce4: 8080b615 stw r2,728(r16) 81102ce8: 01000284 movi r4,10 -81102cec: 113f9c40 call 8113f9c4 +81102cec: 113fa040 call 8113fa04 81102cf0: 8080c017 ldw r2,768(r16) 81102cf4: dfc00117 ldw ra,4(sp) 81102cf8: dc000017 ldw r16,0(sp) @@ -4922,7 +4923,7 @@ alt_after_alt_main: 81102db8: 01801404 movi r6,80 81102dbc: 1100c104 addi r4,r2,772 81102dc0: dfc00015 stw ra,0(sp) -81102dc4: 112c6480 call 8112c648 +81102dc4: 112c6880 call 8112c688 81102dc8: 00800044 movi r2,1 81102dcc: dfc00017 ldw ra,0(sp) 81102dd0: dec00104 addi sp,sp,4 @@ -4938,7 +4939,7 @@ alt_after_alt_main: 81102df0: 21005b04 addi r4,r4,364 81102df4: 2940c104 addi r5,r5,772 81102df8: dfc00015 stw ra,0(sp) -81102dfc: 112c6480 call 8112c648 +81102dfc: 112c6880 call 8112c688 81102e00: 00800044 movi r2,1 81102e04: dfc00017 ldw ra,0(sp) 81102e08: dec00104 addi sp,sp,4 @@ -4976,7 +4977,7 @@ alt_after_alt_main: 81102e80: 01801104 movi r6,68 81102e84: 89406704 addi r5,r17,412 81102e88: 21000104 addi r4,r4,4 -81102e8c: 112c6480 call 8112c648 +81102e8c: 112c6880 call 8112c688 81102e90: 88c07817 ldw r3,480(r17) 81102e94: 88807917 ldw r2,484(r17) 81102e98: 89409504 addi r5,r17,596 @@ -5038,11 +5039,11 @@ alt_after_alt_main: 81102f78: 80802d15 stw r2,180(r16) 81102f7c: 88809417 ldw r2,592(r17) 81102f80: 80802e15 stw r2,184(r16) -81102f84: 112c6480 call 8112c648 +81102f84: 112c6880 call 8112c688 81102f88: 8940a404 addi r5,r17,656 81102f8c: 81003e04 addi r4,r16,248 81102f90: 01800f04 movi r6,60 -81102f94: 112c6480 call 8112c648 +81102f94: 112c6880 call 8112c688 81102f98: 8880b317 ldw r2,716(r17) 81102f9c: 01801404 movi r6,80 81102fa0: 8940c104 addi r5,r17,772 @@ -5074,7 +5075,7 @@ alt_after_alt_main: 81103008: 80805915 stw r2,356(r16) 8110300c: 8880c017 ldw r2,768(r17) 81103010: 80805a15 stw r2,360(r16) -81103014: 112c6480 call 8112c648 +81103014: 112c6880 call 8112c688 81103018: 00800044 movi r2,1 8110301c: dfc00217 ldw ra,8(sp) 81103020: dc400117 ldw r17,4(sp) @@ -5125,7 +5126,7 @@ alt_after_alt_main: 811030c0 : 811030c0: defffe04 addi sp,sp,-8 811030c4: 00e04634 movhi r3,33048 -811030c8: 18cedf04 addi r3,r3,15228 +811030c8: 18cef004 addi r3,r3,15296 811030cc: dfc00115 stw ra,4(sp) 811030d0: dc000015 stw r16,0(sp) 811030d4: 00a040b4 movhi r2,33026 @@ -5138,7 +5139,7 @@ alt_after_alt_main: 811030f0: 10b70004 addi r2,r2,-9216 811030f4: 1800111e bne r3,zero,8110313c 811030f8: 00a04634 movhi r2,33048 -811030fc: 108f0804 addi r2,r2,15392 +811030fc: 108f1904 addi r2,r2,15460 81103100: 10800803 ldbu r2,32(r2) 81103104: 00c00084 movi r3,2 81103108: 1880042e bgeu r3,r2,8110311c @@ -5148,50 +5149,50 @@ alt_after_alt_main: 81103118: f800283a ret 8110311c: d1207917 ldw r4,-32284(gp) 81103120: 01604534 movhi r5,33044 -81103124: 295f1404 addi r5,r5,31824 +81103124: 295f2404 addi r5,r5,31888 81103128: 000d883a mov r6,zero 8110312c: dfc00117 ldw ra,4(sp) 81103130: dc000017 ldw r16,0(sp) 81103134: dec00204 addi sp,sp,8 -81103138: 112b5e01 jmpi 8112b5e0 +81103138: 112b6201 jmpi 8112b620 8110313c: 00c00044 movi r3,1 81103140: 017fc074 movhi r5,65281 81103144: 10c04115 stw r3,260(r2) 81103148: 297fffc4 addi r5,r5,-1 8110314c: 00a04634 movhi r2,33048 81103150: 8160703a and r16,r16,r5 -81103154: 10a40504 addi r2,r2,-28652 +81103154: 10a41604 addi r2,r2,-28584 81103158: 017ffff4 movhi r5,65535 8110315c: 840003b4 orhi r16,r16,14 81103160: 11000017 ldw r4,0(r2) 81103164: 29403fc4 addi r5,r5,255 81103168: 814a703a and r5,r16,r5 8110316c: 29404014 ori r5,r5,256 -81103170: 1142f580 call 81142f58 +81103170: 1142f980 call 81142f98 81103174: 10803fcc andi r2,r2,255 81103178: 103fdf26 beq r2,zero,811030f8 <__reset+0xfb0e30f8> 8110317c: 0009883a mov r4,zero -81103180: 11257700 call 81125770 +81103180: 11257b00 call 811257b0 81103184: 003fdc06 br 811030f8 <__reset+0xfb0e30f8> 81103188: 10b70004 addi r2,r2,-9216 8110318c: 00c00044 movi r3,1 81103190: 10c04215 stw r3,264(r2) 81103194: 00a04634 movhi r2,33048 -81103198: 10a40504 addi r2,r2,-28652 +81103198: 10a41604 addi r2,r2,-28584 8110319c: 11000017 ldw r4,0(r2) 811031a0: 84000374 orhi r16,r16,13 811031a4: 800b883a mov r5,r16 -811031a8: 1142f580 call 81142f58 +811031a8: 1142f980 call 81142f98 811031ac: 10803fcc andi r2,r2,255 811031b0: 103fcd26 beq r2,zero,811030e8 <__reset+0xfb0e30e8> 811031b4: 0009883a mov r4,zero -811031b8: 11257700 call 81125770 +811031b8: 11257b00 call 811257b0 811031bc: 003fca06 br 811030e8 <__reset+0xfb0e30e8> 811031c0 : 811031c0: defffd04 addi sp,sp,-12 811031c4: 00e04634 movhi r3,33048 -811031c8: 18cedf04 addi r3,r3,15228 +811031c8: 18cef004 addi r3,r3,15296 811031cc: dfc00215 stw ra,8(sp) 811031d0: dc400115 stw r17,4(sp) 811031d4: dc000015 stw r16,0(sp) @@ -5205,7 +5206,7 @@ alt_after_alt_main: 811031f4: 10b60004 addi r2,r2,-10240 811031f8: 1800131e bne r3,zero,81103248 811031fc: 00a04634 movhi r2,33048 -81103200: 108f0804 addi r2,r2,15392 +81103200: 108f1904 addi r2,r2,15460 81103204: 10800803 ldbu r2,32(r2) 81103208: 00c00084 movi r3,2 8110320c: 1880052e bgeu r3,r2,81103224 @@ -5216,18 +5217,18 @@ alt_after_alt_main: 81103220: f800283a ret 81103224: d1207917 ldw r4,-32284(gp) 81103228: 01604534 movhi r5,33044 -8110322c: 295f1404 addi r5,r5,31824 +8110322c: 295f2404 addi r5,r5,31888 81103230: 01800044 movi r6,1 81103234: dfc00217 ldw ra,8(sp) 81103238: dc400117 ldw r17,4(sp) 8110323c: dc000017 ldw r16,0(sp) 81103240: dec00304 addi sp,sp,12 -81103244: 112b5e01 jmpi 8112b5e0 +81103244: 112b6201 jmpi 8112b620 81103248: 017fc074 movhi r5,65281 8110324c: 297fffc4 addi r5,r5,-1 81103250: 00e04634 movhi r3,33048 81103254: 8160703a and r16,r16,r5 -81103258: 18e40504 addi r3,r3,-28652 +81103258: 18e41604 addi r3,r3,-28584 8110325c: 017ffff4 movhi r5,65535 81103260: 840003b4 orhi r16,r16,14 81103264: 19000117 ldw r4,4(r3) @@ -5236,31 +5237,31 @@ alt_after_alt_main: 81103270: 04000044 movi r16,1 81103274: 14004115 stw r16,260(r2) 81103278: 29404014 ori r5,r5,256 -8110327c: 1142f580 call 81142f58 +8110327c: 1142f980 call 81142f98 81103280: 10803fcc andi r2,r2,255 81103284: 103fdd26 beq r2,zero,811031fc <__reset+0xfb0e31fc> 81103288: 8009883a mov r4,r16 -8110328c: 11257700 call 81125770 +8110328c: 11257b00 call 811257b0 81103290: 003fda06 br 811031fc <__reset+0xfb0e31fc> 81103294: 00e04634 movhi r3,33048 -81103298: 18e40504 addi r3,r3,-28652 +81103298: 18e41604 addi r3,r3,-28584 8110329c: 19000117 ldw r4,4(r3) 811032a0: 10b60004 addi r2,r2,-10240 811032a4: 04400044 movi r17,1 811032a8: 84000374 orhi r16,r16,13 811032ac: 14404215 stw r17,264(r2) 811032b0: 800b883a mov r5,r16 -811032b4: 1142f580 call 81142f58 +811032b4: 1142f980 call 81142f98 811032b8: 10803fcc andi r2,r2,255 811032bc: 103fcb26 beq r2,zero,811031ec <__reset+0xfb0e31ec> 811032c0: 8809883a mov r4,r17 -811032c4: 11257700 call 81125770 +811032c4: 11257b00 call 811257b0 811032c8: 003fc806 br 811031ec <__reset+0xfb0e31ec> 811032cc : 811032cc: defffe04 addi sp,sp,-8 811032d0: 00e04634 movhi r3,33048 -811032d4: 18cedf04 addi r3,r3,15228 +811032d4: 18cef004 addi r3,r3,15296 811032d8: dfc00115 stw ra,4(sp) 811032dc: dc000015 stw r16,0(sp) 811032e0: 00a040b4 movhi r2,33026 @@ -5273,7 +5274,7 @@ alt_after_alt_main: 811032fc: 10b50004 addi r2,r2,-11264 81103300: 1800101e bne r3,zero,81103344 81103304: 00a04634 movhi r2,33048 -81103308: 108f0804 addi r2,r2,15392 +81103308: 108f1904 addi r2,r2,15460 8110330c: 10800803 ldbu r2,32(r2) 81103310: 01800084 movi r6,2 81103314: 3080042e bgeu r6,r2,81103328 @@ -5283,16 +5284,16 @@ alt_after_alt_main: 81103324: f800283a ret 81103328: d1207917 ldw r4,-32284(gp) 8110332c: 01604534 movhi r5,33044 -81103330: 295f1404 addi r5,r5,31824 +81103330: 295f2404 addi r5,r5,31888 81103334: dfc00117 ldw ra,4(sp) 81103338: dc000017 ldw r16,0(sp) 8110333c: dec00204 addi sp,sp,8 -81103340: 112b5e01 jmpi 8112b5e0 +81103340: 112b6201 jmpi 8112b620 81103344: 017fc074 movhi r5,65281 81103348: 297fffc4 addi r5,r5,-1 8110334c: 00e04634 movhi r3,33048 81103350: 8160703a and r16,r16,r5 -81103354: 18e40504 addi r3,r3,-28652 +81103354: 18e41604 addi r3,r3,-28584 81103358: 017ffff4 movhi r5,65535 8110335c: 19000217 ldw r4,8(r3) 81103360: 840003b4 orhi r16,r16,14 @@ -5301,31 +5302,31 @@ alt_after_alt_main: 8110336c: 814a703a and r5,r16,r5 81103370: 10c04115 stw r3,260(r2) 81103374: 29404014 ori r5,r5,256 -81103378: 1142f580 call 81142f58 +81103378: 1142f980 call 81142f98 8110337c: 10803fcc andi r2,r2,255 81103380: 103fe026 beq r2,zero,81103304 <__reset+0xfb0e3304> 81103384: 01000084 movi r4,2 -81103388: 11257700 call 81125770 +81103388: 11257b00 call 811257b0 8110338c: 003fdd06 br 81103304 <__reset+0xfb0e3304> 81103390: 00e04634 movhi r3,33048 -81103394: 18e40504 addi r3,r3,-28652 +81103394: 18e41604 addi r3,r3,-28584 81103398: 19000217 ldw r4,8(r3) 8110339c: 10b50004 addi r2,r2,-11264 811033a0: 00c00044 movi r3,1 811033a4: 84000374 orhi r16,r16,13 811033a8: 10c04215 stw r3,264(r2) 811033ac: 800b883a mov r5,r16 -811033b0: 1142f580 call 81142f58 +811033b0: 1142f980 call 81142f98 811033b4: 10803fcc andi r2,r2,255 811033b8: 103fce26 beq r2,zero,811032f4 <__reset+0xfb0e32f4> 811033bc: 01000084 movi r4,2 -811033c0: 11257700 call 81125770 +811033c0: 11257b00 call 811257b0 811033c4: 003fcb06 br 811032f4 <__reset+0xfb0e32f4> 811033c8 : 811033c8: defffe04 addi sp,sp,-8 811033cc: 00e04634 movhi r3,33048 -811033d0: 18cedf04 addi r3,r3,15228 +811033d0: 18cef004 addi r3,r3,15296 811033d4: dfc00115 stw ra,4(sp) 811033d8: dc000015 stw r16,0(sp) 811033dc: 00a040b4 movhi r2,33026 @@ -5338,7 +5339,7 @@ alt_after_alt_main: 811033f8: 10b40004 addi r2,r2,-12288 811033fc: 1800111e bne r3,zero,81103444 81103400: 00a04634 movhi r2,33048 -81103404: 108f0804 addi r2,r2,15392 +81103404: 108f1904 addi r2,r2,15460 81103408: 10800803 ldbu r2,32(r2) 8110340c: 00c00084 movi r3,2 81103410: 1880042e bgeu r3,r2,81103424 @@ -5348,17 +5349,17 @@ alt_after_alt_main: 81103420: f800283a ret 81103424: d1207917 ldw r4,-32284(gp) 81103428: 01604534 movhi r5,33044 -8110342c: 295f1404 addi r5,r5,31824 +8110342c: 295f2404 addi r5,r5,31888 81103430: 018000c4 movi r6,3 81103434: dfc00117 ldw ra,4(sp) 81103438: dc000017 ldw r16,0(sp) 8110343c: dec00204 addi sp,sp,8 -81103440: 112b5e01 jmpi 8112b5e0 +81103440: 112b6201 jmpi 8112b620 81103444: 017fc074 movhi r5,65281 81103448: 297fffc4 addi r5,r5,-1 8110344c: 00e04634 movhi r3,33048 81103450: 8160703a and r16,r16,r5 -81103454: 18e40504 addi r3,r3,-28652 +81103454: 18e41604 addi r3,r3,-28584 81103458: 017ffff4 movhi r5,65535 8110345c: 19000317 ldw r4,12(r3) 81103460: 840003b4 orhi r16,r16,14 @@ -5367,31 +5368,31 @@ alt_after_alt_main: 8110346c: 814a703a and r5,r16,r5 81103470: 10c04115 stw r3,260(r2) 81103474: 29404014 ori r5,r5,256 -81103478: 1142f580 call 81142f58 +81103478: 1142f980 call 81142f98 8110347c: 10803fcc andi r2,r2,255 81103480: 103fdf26 beq r2,zero,81103400 <__reset+0xfb0e3400> 81103484: 010000c4 movi r4,3 -81103488: 11257700 call 81125770 +81103488: 11257b00 call 811257b0 8110348c: 003fdc06 br 81103400 <__reset+0xfb0e3400> 81103490: 00e04634 movhi r3,33048 -81103494: 18e40504 addi r3,r3,-28652 +81103494: 18e41604 addi r3,r3,-28584 81103498: 19000317 ldw r4,12(r3) 8110349c: 10b40004 addi r2,r2,-12288 811034a0: 00c00044 movi r3,1 811034a4: 84000374 orhi r16,r16,13 811034a8: 10c04215 stw r3,264(r2) 811034ac: 800b883a mov r5,r16 -811034b0: 1142f580 call 81142f58 +811034b0: 1142f980 call 81142f98 811034b4: 10803fcc andi r2,r2,255 811034b8: 103fcd26 beq r2,zero,811033f0 <__reset+0xfb0e33f0> 811034bc: 010000c4 movi r4,3 -811034c0: 11257700 call 81125770 +811034c0: 11257b00 call 811257b0 811034c4: 003fca06 br 811033f0 <__reset+0xfb0e33f0> 811034c8 : 811034c8: defffe04 addi sp,sp,-8 811034cc: 00e04634 movhi r3,33048 -811034d0: 18cedf04 addi r3,r3,15228 +811034d0: 18cef004 addi r3,r3,15296 811034d4: dfc00115 stw ra,4(sp) 811034d8: dc000015 stw r16,0(sp) 811034dc: 00a040b4 movhi r2,33026 @@ -5404,7 +5405,7 @@ alt_after_alt_main: 811034f8: 10b30004 addi r2,r2,-13312 811034fc: 1800111e bne r3,zero,81103544 81103500: 00a04634 movhi r2,33048 -81103504: 108f0804 addi r2,r2,15392 +81103504: 108f1904 addi r2,r2,15460 81103508: 10800803 ldbu r2,32(r2) 8110350c: 00c00084 movi r3,2 81103510: 1880042e bgeu r3,r2,81103524 @@ -5414,17 +5415,17 @@ alt_after_alt_main: 81103520: f800283a ret 81103524: d1207917 ldw r4,-32284(gp) 81103528: 01604534 movhi r5,33044 -8110352c: 295f1404 addi r5,r5,31824 +8110352c: 295f2404 addi r5,r5,31888 81103530: 01800104 movi r6,4 81103534: dfc00117 ldw ra,4(sp) 81103538: dc000017 ldw r16,0(sp) 8110353c: dec00204 addi sp,sp,8 -81103540: 112b5e01 jmpi 8112b5e0 +81103540: 112b6201 jmpi 8112b620 81103544: 017fc074 movhi r5,65281 81103548: 297fffc4 addi r5,r5,-1 8110354c: 00e04634 movhi r3,33048 81103550: 8160703a and r16,r16,r5 -81103554: 18e40504 addi r3,r3,-28652 +81103554: 18e41604 addi r3,r3,-28584 81103558: 017ffff4 movhi r5,65535 8110355c: 19000417 ldw r4,16(r3) 81103560: 840003b4 orhi r16,r16,14 @@ -5433,31 +5434,31 @@ alt_after_alt_main: 8110356c: 814a703a and r5,r16,r5 81103570: 10c04115 stw r3,260(r2) 81103574: 29404014 ori r5,r5,256 -81103578: 1142f580 call 81142f58 +81103578: 1142f980 call 81142f98 8110357c: 10803fcc andi r2,r2,255 81103580: 103fdf26 beq r2,zero,81103500 <__reset+0xfb0e3500> 81103584: 01000104 movi r4,4 -81103588: 11257700 call 81125770 +81103588: 11257b00 call 811257b0 8110358c: 003fdc06 br 81103500 <__reset+0xfb0e3500> 81103590: 00e04634 movhi r3,33048 -81103594: 18e40504 addi r3,r3,-28652 +81103594: 18e41604 addi r3,r3,-28584 81103598: 19000417 ldw r4,16(r3) 8110359c: 10b30004 addi r2,r2,-13312 811035a0: 00c00044 movi r3,1 811035a4: 84000374 orhi r16,r16,13 811035a8: 10c04215 stw r3,264(r2) 811035ac: 800b883a mov r5,r16 -811035b0: 1142f580 call 81142f58 +811035b0: 1142f980 call 81142f98 811035b4: 10803fcc andi r2,r2,255 811035b8: 103fcd26 beq r2,zero,811034f0 <__reset+0xfb0e34f0> 811035bc: 01000104 movi r4,4 -811035c0: 11257700 call 81125770 +811035c0: 11257b00 call 811257b0 811035c4: 003fca06 br 811034f0 <__reset+0xfb0e34f0> 811035c8 : 811035c8: defffe04 addi sp,sp,-8 811035cc: 00e04634 movhi r3,33048 -811035d0: 18cedf04 addi r3,r3,15228 +811035d0: 18cef004 addi r3,r3,15296 811035d4: dfc00115 stw ra,4(sp) 811035d8: dc000015 stw r16,0(sp) 811035dc: 00a040b4 movhi r2,33026 @@ -5470,7 +5471,7 @@ alt_after_alt_main: 811035f8: 10b20004 addi r2,r2,-14336 811035fc: 1800111e bne r3,zero,81103644 81103600: 00a04634 movhi r2,33048 -81103604: 108f0804 addi r2,r2,15392 +81103604: 108f1904 addi r2,r2,15460 81103608: 10800803 ldbu r2,32(r2) 8110360c: 00c00084 movi r3,2 81103610: 1880042e bgeu r3,r2,81103624 @@ -5480,17 +5481,17 @@ alt_after_alt_main: 81103620: f800283a ret 81103624: d1207917 ldw r4,-32284(gp) 81103628: 01604534 movhi r5,33044 -8110362c: 295f1404 addi r5,r5,31824 +8110362c: 295f2404 addi r5,r5,31888 81103630: 01800144 movi r6,5 81103634: dfc00117 ldw ra,4(sp) 81103638: dc000017 ldw r16,0(sp) 8110363c: dec00204 addi sp,sp,8 -81103640: 112b5e01 jmpi 8112b5e0 +81103640: 112b6201 jmpi 8112b620 81103644: 017fc074 movhi r5,65281 81103648: 297fffc4 addi r5,r5,-1 8110364c: 00e04634 movhi r3,33048 81103650: 8160703a and r16,r16,r5 -81103654: 18e40504 addi r3,r3,-28652 +81103654: 18e41604 addi r3,r3,-28584 81103658: 017ffff4 movhi r5,65535 8110365c: 19000517 ldw r4,20(r3) 81103660: 840003b4 orhi r16,r16,14 @@ -5499,25 +5500,25 @@ alt_after_alt_main: 8110366c: 814a703a and r5,r16,r5 81103670: 10c04115 stw r3,260(r2) 81103674: 29404014 ori r5,r5,256 -81103678: 1142f580 call 81142f58 +81103678: 1142f980 call 81142f98 8110367c: 10803fcc andi r2,r2,255 81103680: 103fdf26 beq r2,zero,81103600 <__reset+0xfb0e3600> 81103684: 01000144 movi r4,5 -81103688: 11257700 call 81125770 +81103688: 11257b00 call 811257b0 8110368c: 003fdc06 br 81103600 <__reset+0xfb0e3600> 81103690: 00e04634 movhi r3,33048 -81103694: 18e40504 addi r3,r3,-28652 +81103694: 18e41604 addi r3,r3,-28584 81103698: 19000517 ldw r4,20(r3) 8110369c: 10b20004 addi r2,r2,-14336 811036a0: 00c00044 movi r3,1 811036a4: 84000374 orhi r16,r16,13 811036a8: 10c04215 stw r3,264(r2) 811036ac: 800b883a mov r5,r16 -811036b0: 1142f580 call 81142f58 +811036b0: 1142f980 call 81142f98 811036b4: 10803fcc andi r2,r2,255 811036b8: 103fcd26 beq r2,zero,811035f0 <__reset+0xfb0e35f0> 811036bc: 01000144 movi r4,5 -811036c0: 11257700 call 81125770 +811036c0: 11257b00 call 811257b0 811036c4: 003fca06 br 811035f0 <__reset+0xfb0e35f0> 811036c8 : @@ -5547,7 +5548,7 @@ alt_after_alt_main: 81103724: 14334115 stw r16,-13052(r2) 81103728: d1605304 addi r5,gp,-32436 8110372c: 01000244 movi r4,9 -81103730: 113f3bc0 call 8113f3bc +81103730: 113f3fc0 call 8113f3fc 81103734: 8005883a mov r2,r16 81103738: dfc00117 ldw ra,4(sp) 8110373c: dc000017 ldw r16,0(sp) @@ -5561,7 +5562,7 @@ alt_after_alt_main: 8110375c: 14324115 stw r16,-14076(r2) 81103760: d1605204 addi r5,gp,-32440 81103764: 01000284 movi r4,10 -81103768: 113f3bc0 call 8113f3bc +81103768: 113f3fc0 call 8113f3fc 8110376c: 8005883a mov r2,r16 81103770: dfc00117 ldw ra,4(sp) 81103774: dc000017 ldw r16,0(sp) @@ -5575,7 +5576,7 @@ alt_after_alt_main: 81103794: 14374115 stw r16,-8956(r2) 81103798: d1605704 addi r5,gp,-32420 8110379c: 01000144 movi r4,5 -811037a0: 113f3bc0 call 8113f3bc +811037a0: 113f3fc0 call 8113f3fc 811037a4: 8005883a mov r2,r16 811037a8: dfc00117 ldw ra,4(sp) 811037ac: dc000017 ldw r16,0(sp) @@ -5589,7 +5590,7 @@ alt_after_alt_main: 811037cc: 14364115 stw r16,-9980(r2) 811037d0: d1605604 addi r5,gp,-32424 811037d4: 01000184 movi r4,6 -811037d8: 113f3bc0 call 8113f3bc +811037d8: 113f3fc0 call 8113f3fc 811037dc: 8005883a mov r2,r16 811037e0: dfc00117 ldw ra,4(sp) 811037e4: dc000017 ldw r16,0(sp) @@ -5603,7 +5604,7 @@ alt_after_alt_main: 81103804: 14354115 stw r16,-11004(r2) 81103808: d1605504 addi r5,gp,-32428 8110380c: 010001c4 movi r4,7 -81103810: 113f3bc0 call 8113f3bc +81103810: 113f3fc0 call 8113f3fc 81103814: 8005883a mov r2,r16 81103818: dfc00117 ldw ra,4(sp) 8110381c: dc000017 ldw r16,0(sp) @@ -5617,7 +5618,7 @@ alt_after_alt_main: 8110383c: 14344115 stw r16,-12028(r2) 81103840: d1605404 addi r5,gp,-32432 81103844: 01000204 movi r4,8 -81103848: 113f3bc0 call 8113f3bc +81103848: 113f3fc0 call 8113f3fc 8110384c: 8005883a mov r2,r16 81103850: dfc00117 ldw ra,4(sp) 81103854: dc000017 ldw r16,0(sp) @@ -6199,24 +6200,24 @@ alt_after_alt_main: 81103ffc: 10001626 beq r2,zero,81104058 81104000: 04a04634 movhi r18,33048 81104004: 01400044 movi r5,1 -81104008: 948f0804 addi r18,r18,15392 +81104008: 948f1904 addi r18,r18,15460 8110400c: 81406315 stw r5,396(r16) 81104010: 90800803 ldbu r2,32(r18) 81104014: 04400084 movi r17,2 81104018: 88802a2e bgeu r17,r2,811040c4 8110401c: 80805817 ldw r2,352(r16) 81104020: 00e04634 movhi r3,33048 -81104024: 18cedf04 addi r3,r3,15228 +81104024: 18cef004 addi r3,r3,15296 81104028: 10803fcc andi r2,r2,255 8110402c: 1004923a slli r2,r2,8 81104030: 1c000003 ldbu r16,0(r3) 81104034: 10847c34 orhi r2,r2,4592 81104038: 1420b03a or r16,r2,r16 8110403c: 00a04634 movhi r2,33048 -81104040: 10a40504 addi r2,r2,-28652 +81104040: 10a41604 addi r2,r2,-28584 81104044: 11000017 ldw r4,0(r2) 81104048: 800b883a mov r5,r16 -8110404c: 11430240 call 81143024 +8110404c: 11430640 call 81143064 81104050: 10803fcc andi r2,r2,255 81104054: 1000301e bne r2,zero,81104118 81104058: 00a040b4 movhi r2,33026 @@ -6232,11 +6233,11 @@ alt_after_alt_main: 81104080: 00c00044 movi r3,1 81104084: 10c06415 stw r3,400(r2) 81104088: 00a04634 movhi r2,33048 -8110408c: 108edf04 addi r2,r2,15228 +8110408c: 108ef004 addi r2,r2,15296 81104090: 11400003 ldbu r5,0(r2) 81104094: d1208617 ldw r4,-32232(gp) 81104098: 29503c34 orhi r5,r5,16624 -8110409c: 11430240 call 81143024 +8110409c: 11430640 call 81143064 811040a0: 10803fcc andi r2,r2,255 811040a4: 103ff026 beq r2,zero,81104068 <__reset+0xfb0e4068> 811040a8: 0009883a mov r4,zero @@ -6245,15 +6246,15 @@ alt_after_alt_main: 811040b4: dc400117 ldw r17,4(sp) 811040b8: dc000017 ldw r16,0(sp) 811040bc: dec00404 addi sp,sp,16 -811040c0: 11257a01 jmpi 811257a0 +811040c0: 11257e01 jmpi 811257e0 811040c4: d1e07917 ldw r7,-32284(gp) 811040c8: 01204534 movhi r4,33044 -811040cc: 211f1604 addi r4,r4,31832 +811040cc: 211f2604 addi r4,r4,31896 811040d0: 01800284 movi r6,10 -811040d4: 112bc4c0 call 8112bc4c +811040d4: 112bc8c0 call 8112bc8c 811040d8: 81805817 ldw r6,352(r16) 811040dc: 00e04634 movhi r3,33048 -811040e0: 18cedf04 addi r3,r3,15228 +811040e0: 18cef004 addi r3,r3,15296 811040e4: 30803fcc andi r2,r6,255 811040e8: 1004923a slli r2,r2,8 811040ec: 18c00003 ldbu r3,0(r3) @@ -6263,12 +6264,12 @@ alt_after_alt_main: 811040fc: 893fcf36 bltu r17,r4,8110403c <__reset+0xfb0e403c> 81104100: d1207917 ldw r4,-32284(gp) 81104104: 01604534 movhi r5,33044 -81104108: 295f1904 addi r5,r5,31844 +81104108: 295f2904 addi r5,r5,31908 8110410c: 31803fcc andi r6,r6,255 -81104110: 112b5e00 call 8112b5e0 +81104110: 112b6200 call 8112b620 81104114: 003fc906 br 8110403c <__reset+0xfb0e403c> 81104118: 0009883a mov r4,zero -8110411c: 11257a00 call 811257a0 +8110411c: 11257e00 call 811257e0 81104120: 003fcd06 br 81104058 <__reset+0xfb0e4058> 81104124 : @@ -6283,24 +6284,24 @@ alt_after_alt_main: 81104144: 10001626 beq r2,zero,811041a0 81104148: 04a04634 movhi r18,33048 8110414c: 01400044 movi r5,1 -81104150: 948f0804 addi r18,r18,15392 +81104150: 948f1904 addi r18,r18,15460 81104154: 81406315 stw r5,396(r16) 81104158: 90800803 ldbu r2,32(r18) 8110415c: 04400084 movi r17,2 81104160: 88802a2e bgeu r17,r2,8110420c 81104164: 80805817 ldw r2,352(r16) 81104168: 00e04634 movhi r3,33048 -8110416c: 18cedf04 addi r3,r3,15228 +8110416c: 18cef004 addi r3,r3,15296 81104170: 10803fcc andi r2,r2,255 81104174: 1004923a slli r2,r2,8 81104178: 1c000043 ldbu r16,1(r3) 8110417c: 1084bc34 orhi r2,r2,4848 81104180: 1420b03a or r16,r2,r16 81104184: 00a04634 movhi r2,33048 -81104188: 10a40504 addi r2,r2,-28652 +81104188: 10a41604 addi r2,r2,-28584 8110418c: 11000117 ldw r4,4(r2) 81104190: 800b883a mov r5,r16 -81104194: 11430240 call 81143024 +81104194: 11430640 call 81143064 81104198: 10803fcc andi r2,r2,255 8110419c: 1000301e bne r2,zero,81104260 811041a0: 00a040b4 movhi r2,33026 @@ -6316,11 +6317,11 @@ alt_after_alt_main: 811041c8: 04000044 movi r16,1 811041cc: 14006415 stw r16,400(r2) 811041d0: 00a04634 movhi r2,33048 -811041d4: 108edf04 addi r2,r2,15228 +811041d4: 108ef004 addi r2,r2,15296 811041d8: 11400043 ldbu r5,1(r2) 811041dc: d1208617 ldw r4,-32232(gp) 811041e0: 29503c34 orhi r5,r5,16624 -811041e4: 11430240 call 81143024 +811041e4: 11430640 call 81143064 811041e8: 10803fcc andi r2,r2,255 811041ec: 103ff026 beq r2,zero,811041b0 <__reset+0xfb0e41b0> 811041f0: 8009883a mov r4,r16 @@ -6329,15 +6330,15 @@ alt_after_alt_main: 811041fc: dc400117 ldw r17,4(sp) 81104200: dc000017 ldw r16,0(sp) 81104204: dec00404 addi sp,sp,16 -81104208: 11257a01 jmpi 811257a0 +81104208: 11257e01 jmpi 811257e0 8110420c: d1e07917 ldw r7,-32284(gp) 81104210: 01204534 movhi r4,33044 -81104214: 211f1604 addi r4,r4,31832 +81104214: 211f2604 addi r4,r4,31896 81104218: 01800284 movi r6,10 -8110421c: 112bc4c0 call 8112bc4c +8110421c: 112bc8c0 call 8112bc8c 81104220: 81805817 ldw r6,352(r16) 81104224: 00e04634 movhi r3,33048 -81104228: 18cedf04 addi r3,r3,15228 +81104228: 18cef004 addi r3,r3,15296 8110422c: 30803fcc andi r2,r6,255 81104230: 1004923a slli r2,r2,8 81104234: 18c00043 ldbu r3,1(r3) @@ -6347,12 +6348,12 @@ alt_after_alt_main: 81104244: 893fcf36 bltu r17,r4,81104184 <__reset+0xfb0e4184> 81104248: d1207917 ldw r4,-32284(gp) 8110424c: 01604534 movhi r5,33044 -81104250: 295f1904 addi r5,r5,31844 +81104250: 295f2904 addi r5,r5,31908 81104254: 31803fcc andi r6,r6,255 -81104258: 112b5e00 call 8112b5e0 +81104258: 112b6200 call 8112b620 8110425c: 003fc906 br 81104184 <__reset+0xfb0e4184> 81104260: 01000044 movi r4,1 -81104264: 11257a00 call 811257a0 +81104264: 11257e00 call 811257e0 81104268: 003fcd06 br 811041a0 <__reset+0xfb0e41a0> 8110426c : @@ -6367,24 +6368,24 @@ alt_after_alt_main: 8110428c: 10001626 beq r2,zero,811042e8 81104290: 04a04634 movhi r18,33048 81104294: 01400044 movi r5,1 -81104298: 948f0804 addi r18,r18,15392 +81104298: 948f1904 addi r18,r18,15460 8110429c: 81406315 stw r5,396(r16) 811042a0: 90800803 ldbu r2,32(r18) 811042a4: 04400084 movi r17,2 811042a8: 88802a2e bgeu r17,r2,81104354 811042ac: 80805817 ldw r2,352(r16) 811042b0: 00e04634 movhi r3,33048 -811042b4: 18cedf04 addi r3,r3,15228 +811042b4: 18cef004 addi r3,r3,15296 811042b8: 10803fcc andi r2,r2,255 811042bc: 1004923a slli r2,r2,8 811042c0: 1c000083 ldbu r16,2(r3) 811042c4: 1084fc34 orhi r2,r2,5104 811042c8: 1420b03a or r16,r2,r16 811042cc: 00a04634 movhi r2,33048 -811042d0: 10a40504 addi r2,r2,-28652 +811042d0: 10a41604 addi r2,r2,-28584 811042d4: 11000217 ldw r4,8(r2) 811042d8: 800b883a mov r5,r16 -811042dc: 11430240 call 81143024 +811042dc: 11430640 call 81143064 811042e0: 10803fcc andi r2,r2,255 811042e4: 1000301e bne r2,zero,811043a8 811042e8: 00a040b4 movhi r2,33026 @@ -6400,11 +6401,11 @@ alt_after_alt_main: 81104310: 00c00044 movi r3,1 81104314: 10c06415 stw r3,400(r2) 81104318: 00a04634 movhi r2,33048 -8110431c: 108edf04 addi r2,r2,15228 +8110431c: 108ef004 addi r2,r2,15296 81104320: 11400083 ldbu r5,2(r2) 81104324: d1208617 ldw r4,-32232(gp) 81104328: 29503c34 orhi r5,r5,16624 -8110432c: 11430240 call 81143024 +8110432c: 11430640 call 81143064 81104330: 10803fcc andi r2,r2,255 81104334: 103ff026 beq r2,zero,811042f8 <__reset+0xfb0e42f8> 81104338: 01000084 movi r4,2 @@ -6413,15 +6414,15 @@ alt_after_alt_main: 81104344: dc400117 ldw r17,4(sp) 81104348: dc000017 ldw r16,0(sp) 8110434c: dec00404 addi sp,sp,16 -81104350: 11257a01 jmpi 811257a0 +81104350: 11257e01 jmpi 811257e0 81104354: d1e07917 ldw r7,-32284(gp) 81104358: 01204534 movhi r4,33044 -8110435c: 211f1604 addi r4,r4,31832 +8110435c: 211f2604 addi r4,r4,31896 81104360: 01800284 movi r6,10 -81104364: 112bc4c0 call 8112bc4c +81104364: 112bc8c0 call 8112bc8c 81104368: 81805817 ldw r6,352(r16) 8110436c: 00e04634 movhi r3,33048 -81104370: 18cedf04 addi r3,r3,15228 +81104370: 18cef004 addi r3,r3,15296 81104374: 30803fcc andi r2,r6,255 81104378: 1004923a slli r2,r2,8 8110437c: 18c00083 ldbu r3,2(r3) @@ -6431,12 +6432,12 @@ alt_after_alt_main: 8110438c: 893fcf36 bltu r17,r4,811042cc <__reset+0xfb0e42cc> 81104390: d1207917 ldw r4,-32284(gp) 81104394: 01604534 movhi r5,33044 -81104398: 295f1904 addi r5,r5,31844 +81104398: 295f2904 addi r5,r5,31908 8110439c: 31803fcc andi r6,r6,255 -811043a0: 112b5e00 call 8112b5e0 +811043a0: 112b6200 call 8112b620 811043a4: 003fc906 br 811042cc <__reset+0xfb0e42cc> 811043a8: 01000084 movi r4,2 -811043ac: 11257a00 call 811257a0 +811043ac: 11257e00 call 811257e0 811043b0: 003fcd06 br 811042e8 <__reset+0xfb0e42e8> 811043b4 : @@ -6451,24 +6452,24 @@ alt_after_alt_main: 811043d4: 10001626 beq r2,zero,81104430 811043d8: 04a04634 movhi r18,33048 811043dc: 01400044 movi r5,1 -811043e0: 948f0804 addi r18,r18,15392 +811043e0: 948f1904 addi r18,r18,15460 811043e4: 81406315 stw r5,396(r16) 811043e8: 90800803 ldbu r2,32(r18) 811043ec: 04400084 movi r17,2 811043f0: 88802a2e bgeu r17,r2,8110449c 811043f4: 80805817 ldw r2,352(r16) 811043f8: 00e04634 movhi r3,33048 -811043fc: 18cedf04 addi r3,r3,15228 +811043fc: 18cef004 addi r3,r3,15296 81104400: 10803fcc andi r2,r2,255 81104404: 1004923a slli r2,r2,8 81104408: 1c0000c3 ldbu r16,3(r3) 8110440c: 10853c34 orhi r2,r2,5360 81104410: 1420b03a or r16,r2,r16 81104414: 00a04634 movhi r2,33048 -81104418: 10a40504 addi r2,r2,-28652 +81104418: 10a41604 addi r2,r2,-28584 8110441c: 11000317 ldw r4,12(r2) 81104420: 800b883a mov r5,r16 -81104424: 11430240 call 81143024 +81104424: 11430640 call 81143064 81104428: 10803fcc andi r2,r2,255 8110442c: 1000301e bne r2,zero,811044f0 81104430: 00a040b4 movhi r2,33026 @@ -6484,11 +6485,11 @@ alt_after_alt_main: 81104458: 00c00044 movi r3,1 8110445c: 10c06415 stw r3,400(r2) 81104460: 00a04634 movhi r2,33048 -81104464: 108edf04 addi r2,r2,15228 +81104464: 108ef004 addi r2,r2,15296 81104468: 114000c3 ldbu r5,3(r2) 8110446c: d1208617 ldw r4,-32232(gp) 81104470: 29503c34 orhi r5,r5,16624 -81104474: 11430240 call 81143024 +81104474: 11430640 call 81143064 81104478: 10803fcc andi r2,r2,255 8110447c: 103ff026 beq r2,zero,81104440 <__reset+0xfb0e4440> 81104480: 010000c4 movi r4,3 @@ -6497,15 +6498,15 @@ alt_after_alt_main: 8110448c: dc400117 ldw r17,4(sp) 81104490: dc000017 ldw r16,0(sp) 81104494: dec00404 addi sp,sp,16 -81104498: 11257a01 jmpi 811257a0 +81104498: 11257e01 jmpi 811257e0 8110449c: d1e07917 ldw r7,-32284(gp) 811044a0: 01204534 movhi r4,33044 -811044a4: 211f1604 addi r4,r4,31832 +811044a4: 211f2604 addi r4,r4,31896 811044a8: 01800284 movi r6,10 -811044ac: 112bc4c0 call 8112bc4c +811044ac: 112bc8c0 call 8112bc8c 811044b0: 81805817 ldw r6,352(r16) 811044b4: 00e04634 movhi r3,33048 -811044b8: 18cedf04 addi r3,r3,15228 +811044b8: 18cef004 addi r3,r3,15296 811044bc: 30803fcc andi r2,r6,255 811044c0: 1004923a slli r2,r2,8 811044c4: 18c000c3 ldbu r3,3(r3) @@ -6515,12 +6516,12 @@ alt_after_alt_main: 811044d4: 893fcf36 bltu r17,r4,81104414 <__reset+0xfb0e4414> 811044d8: d1207917 ldw r4,-32284(gp) 811044dc: 01604534 movhi r5,33044 -811044e0: 295f1904 addi r5,r5,31844 +811044e0: 295f2904 addi r5,r5,31908 811044e4: 31803fcc andi r6,r6,255 -811044e8: 112b5e00 call 8112b5e0 +811044e8: 112b6200 call 8112b620 811044ec: 003fc906 br 81104414 <__reset+0xfb0e4414> 811044f0: 010000c4 movi r4,3 -811044f4: 11257a00 call 811257a0 +811044f4: 11257e00 call 811257e0 811044f8: 003fcd06 br 81104430 <__reset+0xfb0e4430> 811044fc : @@ -6535,24 +6536,24 @@ alt_after_alt_main: 8110451c: 10001626 beq r2,zero,81104578 81104520: 04a04634 movhi r18,33048 81104524: 01400044 movi r5,1 -81104528: 948f0804 addi r18,r18,15392 +81104528: 948f1904 addi r18,r18,15460 8110452c: 81406315 stw r5,396(r16) 81104530: 90800803 ldbu r2,32(r18) 81104534: 04400084 movi r17,2 81104538: 88802a2e bgeu r17,r2,811045e4 8110453c: 80805817 ldw r2,352(r16) 81104540: 00e04634 movhi r3,33048 -81104544: 18cedf04 addi r3,r3,15228 +81104544: 18cef004 addi r3,r3,15296 81104548: 10803fcc andi r2,r2,255 8110454c: 1004923a slli r2,r2,8 81104550: 1c000103 ldbu r16,4(r3) 81104554: 10857c34 orhi r2,r2,5616 81104558: 1420b03a or r16,r2,r16 8110455c: 00a04634 movhi r2,33048 -81104560: 10a40504 addi r2,r2,-28652 +81104560: 10a41604 addi r2,r2,-28584 81104564: 11000417 ldw r4,16(r2) 81104568: 800b883a mov r5,r16 -8110456c: 11430240 call 81143024 +8110456c: 11430640 call 81143064 81104570: 10803fcc andi r2,r2,255 81104574: 1000301e bne r2,zero,81104638 81104578: 00a040b4 movhi r2,33026 @@ -6568,11 +6569,11 @@ alt_after_alt_main: 811045a0: 00c00044 movi r3,1 811045a4: 10c06415 stw r3,400(r2) 811045a8: 00a04634 movhi r2,33048 -811045ac: 108edf04 addi r2,r2,15228 +811045ac: 108ef004 addi r2,r2,15296 811045b0: 11400103 ldbu r5,4(r2) 811045b4: d1208617 ldw r4,-32232(gp) 811045b8: 29503c34 orhi r5,r5,16624 -811045bc: 11430240 call 81143024 +811045bc: 11430640 call 81143064 811045c0: 10803fcc andi r2,r2,255 811045c4: 103ff026 beq r2,zero,81104588 <__reset+0xfb0e4588> 811045c8: 01000104 movi r4,4 @@ -6581,15 +6582,15 @@ alt_after_alt_main: 811045d4: dc400117 ldw r17,4(sp) 811045d8: dc000017 ldw r16,0(sp) 811045dc: dec00404 addi sp,sp,16 -811045e0: 11257a01 jmpi 811257a0 +811045e0: 11257e01 jmpi 811257e0 811045e4: d1e07917 ldw r7,-32284(gp) 811045e8: 01204534 movhi r4,33044 -811045ec: 211f1604 addi r4,r4,31832 +811045ec: 211f2604 addi r4,r4,31896 811045f0: 01800284 movi r6,10 -811045f4: 112bc4c0 call 8112bc4c +811045f4: 112bc8c0 call 8112bc8c 811045f8: 81805817 ldw r6,352(r16) 811045fc: 00e04634 movhi r3,33048 -81104600: 18cedf04 addi r3,r3,15228 +81104600: 18cef004 addi r3,r3,15296 81104604: 30803fcc andi r2,r6,255 81104608: 1004923a slli r2,r2,8 8110460c: 18c00103 ldbu r3,4(r3) @@ -6599,12 +6600,12 @@ alt_after_alt_main: 8110461c: 893fcf36 bltu r17,r4,8110455c <__reset+0xfb0e455c> 81104620: d1207917 ldw r4,-32284(gp) 81104624: 01604534 movhi r5,33044 -81104628: 295f1904 addi r5,r5,31844 +81104628: 295f2904 addi r5,r5,31908 8110462c: 31803fcc andi r6,r6,255 -81104630: 112b5e00 call 8112b5e0 +81104630: 112b6200 call 8112b620 81104634: 003fc906 br 8110455c <__reset+0xfb0e455c> 81104638: 01000104 movi r4,4 -8110463c: 11257a00 call 811257a0 +8110463c: 11257e00 call 811257e0 81104640: 003fcd06 br 81104578 <__reset+0xfb0e4578> 81104644 : @@ -6619,24 +6620,24 @@ alt_after_alt_main: 81104664: 10001626 beq r2,zero,811046c0 81104668: 04a04634 movhi r18,33048 8110466c: 01400044 movi r5,1 -81104670: 948f0804 addi r18,r18,15392 +81104670: 948f1904 addi r18,r18,15460 81104674: 81406315 stw r5,396(r16) 81104678: 90800803 ldbu r2,32(r18) 8110467c: 04400084 movi r17,2 81104680: 88802a2e bgeu r17,r2,8110472c 81104684: 80805817 ldw r2,352(r16) 81104688: 00e04634 movhi r3,33048 -8110468c: 18cedf04 addi r3,r3,15228 +8110468c: 18cef004 addi r3,r3,15296 81104690: 10803fcc andi r2,r2,255 81104694: 1004923a slli r2,r2,8 81104698: 1c000143 ldbu r16,5(r3) 8110469c: 1085bc34 orhi r2,r2,5872 811046a0: 1420b03a or r16,r2,r16 811046a4: 00a04634 movhi r2,33048 -811046a8: 10a40504 addi r2,r2,-28652 +811046a8: 10a41604 addi r2,r2,-28584 811046ac: 11000517 ldw r4,20(r2) 811046b0: 800b883a mov r5,r16 -811046b4: 11430240 call 81143024 +811046b4: 11430640 call 81143064 811046b8: 10803fcc andi r2,r2,255 811046bc: 1000301e bne r2,zero,81104780 811046c0: 00a040b4 movhi r2,33026 @@ -6652,11 +6653,11 @@ alt_after_alt_main: 811046e8: 00c00044 movi r3,1 811046ec: 10c06415 stw r3,400(r2) 811046f0: 00a04634 movhi r2,33048 -811046f4: 108edf04 addi r2,r2,15228 +811046f4: 108ef004 addi r2,r2,15296 811046f8: 11400143 ldbu r5,5(r2) 811046fc: d1208617 ldw r4,-32232(gp) 81104700: 29503c34 orhi r5,r5,16624 -81104704: 11430240 call 81143024 +81104704: 11430640 call 81143064 81104708: 10803fcc andi r2,r2,255 8110470c: 103ff026 beq r2,zero,811046d0 <__reset+0xfb0e46d0> 81104710: 01000144 movi r4,5 @@ -6665,15 +6666,15 @@ alt_after_alt_main: 8110471c: dc400117 ldw r17,4(sp) 81104720: dc000017 ldw r16,0(sp) 81104724: dec00404 addi sp,sp,16 -81104728: 11257a01 jmpi 811257a0 +81104728: 11257e01 jmpi 811257e0 8110472c: d1e07917 ldw r7,-32284(gp) 81104730: 01204534 movhi r4,33044 -81104734: 211f1604 addi r4,r4,31832 +81104734: 211f2604 addi r4,r4,31896 81104738: 01800284 movi r6,10 -8110473c: 112bc4c0 call 8112bc4c +8110473c: 112bc8c0 call 8112bc8c 81104740: 81805817 ldw r6,352(r16) 81104744: 00e04634 movhi r3,33048 -81104748: 18cedf04 addi r3,r3,15228 +81104748: 18cef004 addi r3,r3,15296 8110474c: 30803fcc andi r2,r6,255 81104750: 1004923a slli r2,r2,8 81104754: 18c00143 ldbu r3,5(r3) @@ -6683,12 +6684,12 @@ alt_after_alt_main: 81104764: 893fcf36 bltu r17,r4,811046a4 <__reset+0xfb0e46a4> 81104768: d1207917 ldw r4,-32284(gp) 8110476c: 01604534 movhi r5,33044 -81104770: 295f1904 addi r5,r5,31844 +81104770: 295f2904 addi r5,r5,31908 81104774: 31803fcc andi r6,r6,255 -81104778: 112b5e00 call 8112b5e0 +81104778: 112b6200 call 8112b620 8110477c: 003fc906 br 811046a4 <__reset+0xfb0e46a4> 81104780: 01000144 movi r4,5 -81104784: 11257a00 call 811257a0 +81104784: 11257e00 call 811257e0 81104788: 003fcd06 br 811046c0 <__reset+0xfb0e46c0> 8110478c : @@ -6765,7 +6766,7 @@ alt_after_alt_main: 81104844: f800283a ret 81104848: 2109883a add r4,r4,r4 8110484c: 00a04534 movhi r2,33044 -81104850: 109f2304 addi r2,r2,31884 +81104850: 109f3304 addi r2,r2,31948 81104854: 2109883a add r4,r4,r4 81104858: 1109883a add r4,r2,r4 8110485c: 20c00017 ldw r3,0(r4) @@ -6800,7 +6801,7 @@ alt_after_alt_main: 811048c8: 14336415 stw r16,-12912(r2) 811048cc: d1605904 addi r5,gp,-32412 811048d0: 010004c4 movi r4,19 -811048d4: 113f3bc0 call 8113f3bc +811048d4: 113f3fc0 call 8113f3fc 811048d8: 8005883a mov r2,r16 811048dc: dfc00117 ldw ra,4(sp) 811048e0: dc000017 ldw r16,0(sp) @@ -6814,7 +6815,7 @@ alt_after_alt_main: 81104900: 14326415 stw r16,-13936(r2) 81104904: d1605804 addi r5,gp,-32416 81104908: 01000504 movi r4,20 -8110490c: 113f3bc0 call 8113f3bc +8110490c: 113f3fc0 call 8113f3fc 81104910: 8005883a mov r2,r16 81104914: dfc00117 ldw ra,4(sp) 81104918: dc000017 ldw r16,0(sp) @@ -6828,7 +6829,7 @@ alt_after_alt_main: 81104938: 14376415 stw r16,-8816(r2) 8110493c: d1605d04 addi r5,gp,-32396 81104940: 010003c4 movi r4,15 -81104944: 113f3bc0 call 8113f3bc +81104944: 113f3fc0 call 8113f3fc 81104948: 8005883a mov r2,r16 8110494c: dfc00117 ldw ra,4(sp) 81104950: dc000017 ldw r16,0(sp) @@ -6842,7 +6843,7 @@ alt_after_alt_main: 81104970: 14366415 stw r16,-9840(r2) 81104974: d1605c04 addi r5,gp,-32400 81104978: 01000404 movi r4,16 -8110497c: 113f3bc0 call 8113f3bc +8110497c: 113f3fc0 call 8113f3fc 81104980: 8005883a mov r2,r16 81104984: dfc00117 ldw ra,4(sp) 81104988: dc000017 ldw r16,0(sp) @@ -6856,7 +6857,7 @@ alt_after_alt_main: 811049a8: 14356415 stw r16,-10864(r2) 811049ac: d1605b04 addi r5,gp,-32404 811049b0: 01000444 movi r4,17 -811049b4: 113f3bc0 call 8113f3bc +811049b4: 113f3fc0 call 8113f3fc 811049b8: 8005883a mov r2,r16 811049bc: dfc00117 ldw ra,4(sp) 811049c0: dc000017 ldw r16,0(sp) @@ -6870,7 +6871,7 @@ alt_after_alt_main: 811049e0: 14346415 stw r16,-11888(r2) 811049e4: d1605a04 addi r5,gp,-32408 811049e8: 01000484 movi r4,18 -811049ec: 113f3bc0 call 8113f3bc +811049ec: 113f3fc0 call 8113f3fc 811049f0: 8005883a mov r2,r16 811049f4: dfc00117 ldw ra,4(sp) 811049f8: dc000017 ldw r16,0(sp) @@ -6887,16 +6888,16 @@ alt_after_alt_main: 81104a1c: f800283a ret 81104a20: 2109883a add r4,r4,r4 81104a24: 00a04534 movhi r2,33044 -81104a28: 109f1d04 addi r2,r2,31860 +81104a28: 109f2d04 addi r2,r2,31924 81104a2c: 2109883a add r4,r4,r4 81104a30: 1109883a add r4,r2,r4 81104a34: 21000017 ldw r4,0(r4) 81104a38: 01604534 movhi r5,33044 81104a3c: deffff04 addi sp,sp,-4 -81104a40: 295f8404 addi r5,r5,32272 +81104a40: 295f9404 addi r5,r5,32336 81104a44: 01805504 movi r6,340 81104a48: dfc00015 stw ra,0(sp) -81104a4c: 112c6480 call 8112c648 +81104a4c: 112c6880 call 8112c688 81104a50: 00800044 movi r2,1 81104a54: dfc00017 ldw ra,0(sp) 81104a58: dec00104 addi sp,sp,4 @@ -6910,17 +6911,17 @@ alt_after_alt_main: 81104a70: f800283a ret 81104a74: 2109883a add r4,r4,r4 81104a78: 00a04534 movhi r2,33044 -81104a7c: 109f1d04 addi r2,r2,31860 +81104a7c: 109f2d04 addi r2,r2,31924 81104a80: 2109883a add r4,r4,r4 81104a84: 1109883a add r4,r2,r4 81104a88: 21000017 ldw r4,0(r4) 81104a8c: 01604534 movhi r5,33044 81104a90: deffff04 addi sp,sp,-4 -81104a94: 295f2904 addi r5,r5,31908 +81104a94: 295f3904 addi r5,r5,31972 81104a98: 01805b04 movi r6,364 81104a9c: 21005504 addi r4,r4,340 81104aa0: dfc00015 stw ra,0(sp) -81104aa4: 112c6480 call 8112c648 +81104aa4: 112c6880 call 8112c688 81104aa8: 00800044 movi r2,1 81104aac: dfc00017 ldw ra,0(sp) 81104ab0: dec00104 addi sp,sp,4 @@ -7114,30595 +7115,30597 @@ alt_after_alt_main: 81104d20: 00800044 movi r2,1 81104d24: f800283a ret -81104d28 : -81104d28: 20008226 beq r4,zero,81104f34 -81104d2c: 29403fcc andi r5,r5,255 -81104d30: 00800144 movi r2,5 -81104d34: 11407f36 bltu r2,r5,81104f34 -81104d38: 280690ba slli r3,r5,2 -81104d3c: 00a04434 movhi r2,33040 -81104d40: 10935904 addi r2,r2,19812 -81104d44: 1885883a add r2,r3,r2 -81104d48: 10800017 ldw r2,0(r2) -81104d4c: defffc04 addi sp,sp,-16 -81104d50: dfc00315 stw ra,12(sp) -81104d54: dc800215 stw r18,8(sp) -81104d58: dc400115 stw r17,4(sp) -81104d5c: dc000015 stw r16,0(sp) -81104d60: 1000683a jmp r2 -81104d64: 81104ed0 cmplti r4,r16,16699 -81104d68: 81104ee0 cmpeqi r4,r16,16699 -81104d6c: 81104ef0 cmpltui r4,r16,16699 -81104d70: 81104f10 cmplti r4,r16,16700 -81104d74: 81104d7c xorhi r4,r16,16693 -81104d78: 81104eac andhi r4,r16,16698 -81104d7c: 00a040b4 movhi r2,33026 -81104d80: 10b30004 addi r2,r2,-13312 -81104d84: 00e04074 movhi r3,33025 -81104d88: 18e00004 addi r3,r3,-32768 -81104d8c: 20800015 stw r2,0(r4) -81104d90: 20c01a15 stw r3,104(r4) -81104d94: 10804415 stw r2,272(r2) -81104d98: 10c05e15 stw r3,376(r2) -81104d9c: 24400017 ldw r17,0(r4) -81104da0: 2021883a mov r16,r4 -81104da4: 294b883a add r5,r5,r5 -81104da8: 88c05f17 ldw r3,380(r17) -81104dac: 00a04534 movhi r2,33044 -81104db0: 2965883a add r18,r5,r5 -81104db4: 20c01b15 stw r3,108(r4) -81104db8: 89006017 ldw r4,384(r17) -81104dbc: 88c04717 ldw r3,284(r17) -81104dc0: 109f1d04 addi r2,r2,31860 -81104dc4: 81001c15 stw r4,112(r16) -81104dc8: 80c00315 stw r3,12(r16) -81104dcc: 88c04817 ldw r3,288(r17) -81104dd0: 1485883a add r2,r2,r18 -81104dd4: 01604534 movhi r5,33044 -81104dd8: 80c00415 stw r3,16(r16) -81104ddc: 89004917 ldw r4,292(r17) -81104de0: 88c04a17 ldw r3,296(r17) -81104de4: 295f8404 addi r5,r5,32272 -81104de8: 81000515 stw r4,20(r16) -81104dec: 80c00615 stw r3,24(r16) -81104df0: 88c04b17 ldw r3,300(r17) -81104df4: 01805504 movi r6,340 -81104df8: 80c00715 stw r3,28(r16) -81104dfc: 88c04c17 ldw r3,304(r17) -81104e00: 80c00815 stw r3,32(r16) -81104e04: 88c04d17 ldw r3,308(r17) -81104e08: 80c00915 stw r3,36(r16) -81104e0c: 88c04e17 ldw r3,312(r17) -81104e10: 80c00a15 stw r3,40(r16) -81104e14: 88c04f17 ldw r3,316(r17) -81104e18: 80c00b15 stw r3,44(r16) -81104e1c: 88c05017 ldw r3,320(r17) -81104e20: 80c00c15 stw r3,48(r16) -81104e24: 88c05c17 ldw r3,368(r17) -81104e28: 80c01815 stw r3,96(r16) -81104e2c: 89005d17 ldw r4,372(r17) -81104e30: 88c05817 ldw r3,352(r17) -81104e34: 81001915 stw r4,100(r16) -81104e38: 80c01415 stw r3,80(r16) -81104e3c: 88c05917 ldw r3,356(r17) -81104e40: 80c01515 stw r3,84(r16) -81104e44: 88c05a17 ldw r3,360(r17) -81104e48: 11000017 ldw r4,0(r2) -81104e4c: 80c01615 stw r3,88(r16) -81104e50: 88805b17 ldw r2,364(r17) -81104e54: 80801715 stw r2,92(r16) -81104e58: 112c6480 call 8112c648 -81104e5c: 00a04534 movhi r2,33044 -81104e60: 109f1d04 addi r2,r2,31860 -81104e64: 14a5883a add r18,r2,r18 -81104e68: 91000017 ldw r4,0(r18) -81104e6c: 01604534 movhi r5,33044 -81104e70: 295f2904 addi r5,r5,31908 -81104e74: 01805b04 movi r6,364 -81104e78: 21005504 addi r4,r4,340 -81104e7c: 112c6480 call 8112c648 -81104e80: 88c04517 ldw r3,276(r17) -81104e84: 00800044 movi r2,1 -81104e88: 80c00115 stw r3,4(r16) -81104e8c: 88c04617 ldw r3,280(r17) -81104e90: 80c00215 stw r3,8(r16) -81104e94: dfc00317 ldw ra,12(sp) -81104e98: dc800217 ldw r18,8(sp) -81104e9c: dc400117 ldw r17,4(sp) -81104ea0: dc000017 ldw r16,0(sp) -81104ea4: dec00404 addi sp,sp,16 -81104ea8: f800283a ret -81104eac: 00a040b4 movhi r2,33026 -81104eb0: 10b20004 addi r2,r2,-14336 -81104eb4: 00e04034 movhi r3,33024 -81104eb8: 18d00004 addi r3,r3,16384 -81104ebc: 20800015 stw r2,0(r4) -81104ec0: 20c01a15 stw r3,104(r4) -81104ec4: 10804415 stw r2,272(r2) -81104ec8: 10c05e15 stw r3,376(r2) -81104ecc: 003fb306 br 81104d9c <__reset+0xfb0e4d9c> -81104ed0: 00a040b4 movhi r2,33026 -81104ed4: 10b70004 addi r2,r2,-9216 -81104ed8: 00e040b4 movhi r3,33026 -81104edc: 003faa06 br 81104d88 <__reset+0xfb0e4d88> +81104d28 : +81104d28: defffe04 addi sp,sp,-8 +81104d2c: dc000015 stw r16,0(sp) +81104d30: 04200034 movhi r16,32768 +81104d34: dfc00115 stw ra,4(sp) +81104d38: 84030004 addi r16,r16,3072 +81104d3c: 00800044 movi r2,1 +81104d40: 80800035 stwio r2,0(r16) +81104d44: 113fa040 call 8113fa04 +81104d48: 80000035 stwio zero,0(r16) +81104d4c: dfc00117 ldw ra,4(sp) +81104d50: dc000017 ldw r16,0(sp) +81104d54: dec00204 addi sp,sp,8 +81104d58: f800283a ret + +81104d5c : +81104d5c: 20008226 beq r4,zero,81104f68 +81104d60: 29403fcc andi r5,r5,255 +81104d64: 00800144 movi r2,5 +81104d68: 11407f36 bltu r2,r5,81104f68 +81104d6c: 280690ba slli r3,r5,2 +81104d70: 00a04434 movhi r2,33040 +81104d74: 10936604 addi r2,r2,19864 +81104d78: 1885883a add r2,r3,r2 +81104d7c: 10800017 ldw r2,0(r2) +81104d80: defffc04 addi sp,sp,-16 +81104d84: dfc00315 stw ra,12(sp) +81104d88: dc800215 stw r18,8(sp) +81104d8c: dc400115 stw r17,4(sp) +81104d90: dc000015 stw r16,0(sp) +81104d94: 1000683a jmp r2 +81104d98: 81104f04 addi r4,r16,16700 +81104d9c: 81104f14 ori r4,r16,16700 +81104da0: 81104f24 muli r4,r16,16700 +81104da4: 81104f44 addi r4,r16,16701 +81104da8: 81104db0 cmpltui r4,r16,16694 +81104dac: 81104ee0 cmpeqi r4,r16,16699 +81104db0: 00a040b4 movhi r2,33026 +81104db4: 10b30004 addi r2,r2,-13312 +81104db8: 00e04074 movhi r3,33025 +81104dbc: 18e00004 addi r3,r3,-32768 +81104dc0: 20800015 stw r2,0(r4) +81104dc4: 20c01a15 stw r3,104(r4) +81104dc8: 10804415 stw r2,272(r2) +81104dcc: 10c05e15 stw r3,376(r2) +81104dd0: 24400017 ldw r17,0(r4) +81104dd4: 2021883a mov r16,r4 +81104dd8: 294b883a add r5,r5,r5 +81104ddc: 88c05f17 ldw r3,380(r17) +81104de0: 00a04534 movhi r2,33044 +81104de4: 2965883a add r18,r5,r5 +81104de8: 20c01b15 stw r3,108(r4) +81104dec: 89006017 ldw r4,384(r17) +81104df0: 88c04717 ldw r3,284(r17) +81104df4: 109f2d04 addi r2,r2,31924 +81104df8: 81001c15 stw r4,112(r16) +81104dfc: 80c00315 stw r3,12(r16) +81104e00: 88c04817 ldw r3,288(r17) +81104e04: 1485883a add r2,r2,r18 +81104e08: 01604534 movhi r5,33044 +81104e0c: 80c00415 stw r3,16(r16) +81104e10: 89004917 ldw r4,292(r17) +81104e14: 88c04a17 ldw r3,296(r17) +81104e18: 295f9404 addi r5,r5,32336 +81104e1c: 81000515 stw r4,20(r16) +81104e20: 80c00615 stw r3,24(r16) +81104e24: 88c04b17 ldw r3,300(r17) +81104e28: 01805504 movi r6,340 +81104e2c: 80c00715 stw r3,28(r16) +81104e30: 88c04c17 ldw r3,304(r17) +81104e34: 80c00815 stw r3,32(r16) +81104e38: 88c04d17 ldw r3,308(r17) +81104e3c: 80c00915 stw r3,36(r16) +81104e40: 88c04e17 ldw r3,312(r17) +81104e44: 80c00a15 stw r3,40(r16) +81104e48: 88c04f17 ldw r3,316(r17) +81104e4c: 80c00b15 stw r3,44(r16) +81104e50: 88c05017 ldw r3,320(r17) +81104e54: 80c00c15 stw r3,48(r16) +81104e58: 88c05c17 ldw r3,368(r17) +81104e5c: 80c01815 stw r3,96(r16) +81104e60: 89005d17 ldw r4,372(r17) +81104e64: 88c05817 ldw r3,352(r17) +81104e68: 81001915 stw r4,100(r16) +81104e6c: 80c01415 stw r3,80(r16) +81104e70: 88c05917 ldw r3,356(r17) +81104e74: 80c01515 stw r3,84(r16) +81104e78: 88c05a17 ldw r3,360(r17) +81104e7c: 11000017 ldw r4,0(r2) +81104e80: 80c01615 stw r3,88(r16) +81104e84: 88805b17 ldw r2,364(r17) +81104e88: 80801715 stw r2,92(r16) +81104e8c: 112c6880 call 8112c688 +81104e90: 00a04534 movhi r2,33044 +81104e94: 109f2d04 addi r2,r2,31924 +81104e98: 14a5883a add r18,r2,r18 +81104e9c: 91000017 ldw r4,0(r18) +81104ea0: 01604534 movhi r5,33044 +81104ea4: 295f3904 addi r5,r5,31972 +81104ea8: 01805b04 movi r6,364 +81104eac: 21005504 addi r4,r4,340 +81104eb0: 112c6880 call 8112c688 +81104eb4: 88c04517 ldw r3,276(r17) +81104eb8: 00800044 movi r2,1 +81104ebc: 80c00115 stw r3,4(r16) +81104ec0: 88c04617 ldw r3,280(r17) +81104ec4: 80c00215 stw r3,8(r16) +81104ec8: dfc00317 ldw ra,12(sp) +81104ecc: dc800217 ldw r18,8(sp) +81104ed0: dc400117 ldw r17,4(sp) +81104ed4: dc000017 ldw r16,0(sp) +81104ed8: dec00404 addi sp,sp,16 +81104edc: f800283a ret 81104ee0: 00a040b4 movhi r2,33026 -81104ee4: 10b60004 addi r2,r2,-10240 -81104ee8: 00e04074 movhi r3,33025 -81104eec: 003ff206 br 81104eb8 <__reset+0xfb0e4eb8> -81104ef0: 00a040b4 movhi r2,33026 -81104ef4: 10b50004 addi r2,r2,-11264 -81104ef8: 00e04074 movhi r3,33025 -81104efc: 20c01a15 stw r3,104(r4) -81104f00: 20800015 stw r2,0(r4) -81104f04: 10804415 stw r2,272(r2) -81104f08: 10c05e15 stw r3,376(r2) -81104f0c: 003fa306 br 81104d9c <__reset+0xfb0e4d9c> -81104f10: 00a040b4 movhi r2,33026 -81104f14: 00e04074 movhi r3,33025 -81104f18: 10b40004 addi r2,r2,-12288 -81104f1c: 18f00004 addi r3,r3,-16384 -81104f20: 20800015 stw r2,0(r4) -81104f24: 20c01a15 stw r3,104(r4) -81104f28: 10804415 stw r2,272(r2) -81104f2c: 10c05e15 stw r3,376(r2) -81104f30: 003f9a06 br 81104d9c <__reset+0xfb0e4d9c> -81104f34: 0005883a mov r2,zero -81104f38: f800283a ret - -81104f3c : -81104f3c: 294b883a add r5,r5,r5 -81104f40: 294b883a add r5,r5,r5 -81104f44: 2149883a add r4,r4,r5 -81104f48: 20800017 ldw r2,0(r4) -81104f4c: deffff04 addi sp,sp,-4 -81104f50: d8800015 stw r2,0(sp) -81104f54: d8800017 ldw r2,0(sp) -81104f58: dec00104 addi sp,sp,4 -81104f5c: f800283a ret - -81104f60 : -81104f60: 20000d26 beq r4,zero,81104f98 -81104f64: 21400117 ldw r5,4(r4) -81104f68: 20c00017 ldw r3,0(r4) -81104f6c: 00800044 movi r2,1 -81104f70: 19400315 stw r5,12(r3) -81104f74: 21400217 ldw r5,8(r4) -81104f78: 19400415 stw r5,16(r3) -81104f7c: 21400317 ldw r5,12(r4) -81104f80: 19400515 stw r5,20(r3) -81104f84: 21400417 ldw r5,16(r4) -81104f88: 19400615 stw r5,24(r3) -81104f8c: 21000517 ldw r4,20(r4) -81104f90: 19000715 stw r4,28(r3) -81104f94: f800283a ret -81104f98: 0005883a mov r2,zero -81104f9c: f800283a ret - -81104fa0 : -81104fa0: 20000d26 beq r4,zero,81104fd8 -81104fa4: 20c00017 ldw r3,0(r4) -81104fa8: 00800044 movi r2,1 -81104fac: 19400317 ldw r5,12(r3) -81104fb0: 21400115 stw r5,4(r4) -81104fb4: 19400417 ldw r5,16(r3) -81104fb8: 21400215 stw r5,8(r4) -81104fbc: 19400517 ldw r5,20(r3) -81104fc0: 21400315 stw r5,12(r4) -81104fc4: 19400617 ldw r5,24(r3) -81104fc8: 21400415 stw r5,16(r4) -81104fcc: 18c00717 ldw r3,28(r3) -81104fd0: 20c00515 stw r3,20(r4) -81104fd4: f800283a ret -81104fd8: 0005883a mov r2,zero -81104fdc: f800283a ret - -81104fe0 : -81104fe0: 20000926 beq r4,zero,81105008 -81104fe4: 20c00017 ldw r3,0(r4) -81104fe8: 00800044 movi r2,1 -81104fec: 19400817 ldw r5,32(r3) -81104ff0: 21400615 stw r5,24(r4) -81104ff4: 19400917 ldw r5,36(r3) -81104ff8: 21400715 stw r5,28(r4) -81104ffc: 18c00a17 ldw r3,40(r3) -81105000: 20c00815 stw r3,32(r4) -81105004: f800283a ret -81105008: 0005883a mov r2,zero -8110500c: f800283a ret - -81105010 : -81105010: 20000b26 beq r4,zero,81105040 -81105014: 20c00017 ldw r3,0(r4) -81105018: 00800044 movi r2,1 -8110501c: 19400b17 ldw r5,44(r3) -81105020: 21400915 stw r5,36(r4) -81105024: 19400c17 ldw r5,48(r3) -81105028: 21400a15 stw r5,40(r4) -8110502c: 19400d17 ldw r5,52(r3) -81105030: 21400b15 stw r5,44(r4) -81105034: 18c00e17 ldw r3,56(r3) -81105038: 20c00c15 stw r3,48(r4) -8110503c: f800283a ret -81105040: 0005883a mov r2,zero -81105044: f800283a ret - -81105048 : -81105048: 20000f26 beq r4,zero,81105088 -8110504c: 21400d17 ldw r5,52(r4) -81105050: 20c00017 ldw r3,0(r4) -81105054: 00800044 movi r2,1 -81105058: 19400f15 stw r5,60(r3) -8110505c: 21400e17 ldw r5,56(r4) -81105060: 19401015 stw r5,64(r3) -81105064: 21400f17 ldw r5,60(r4) -81105068: 19401115 stw r5,68(r3) -8110506c: 21401017 ldw r5,64(r4) -81105070: 19401215 stw r5,72(r3) -81105074: 21401117 ldw r5,68(r4) -81105078: 19401315 stw r5,76(r3) -8110507c: 21001217 ldw r4,72(r4) -81105080: 19001415 stw r4,80(r3) -81105084: f800283a ret -81105088: 0005883a mov r2,zero -8110508c: f800283a ret - -81105090 : -81105090: 20000f26 beq r4,zero,811050d0 -81105094: 20c00017 ldw r3,0(r4) -81105098: 00800044 movi r2,1 -8110509c: 19400f17 ldw r5,60(r3) -811050a0: 21400d15 stw r5,52(r4) -811050a4: 19401017 ldw r5,64(r3) -811050a8: 21400e15 stw r5,56(r4) -811050ac: 19401117 ldw r5,68(r3) -811050b0: 21400f15 stw r5,60(r4) -811050b4: 19401217 ldw r5,72(r3) -811050b8: 21401015 stw r5,64(r4) -811050bc: 19401317 ldw r5,76(r3) -811050c0: 21401115 stw r5,68(r4) -811050c4: 18c01417 ldw r3,80(r3) -811050c8: 20c01215 stw r3,72(r4) -811050cc: f800283a ret -811050d0: 0005883a mov r2,zero -811050d4: f800283a ret - -811050d8 : -811050d8: 20000726 beq r4,zero,811050f8 -811050dc: 20c00017 ldw r3,0(r4) -811050e0: 00800044 movi r2,1 -811050e4: 19401517 ldw r5,84(r3) -811050e8: 21401315 stw r5,76(r4) -811050ec: 18c01617 ldw r3,88(r3) -811050f0: 20c01415 stw r3,80(r4) -811050f4: f800283a ret -811050f8: 0005883a mov r2,zero -811050fc: f800283a ret - -81105100 : -81105100: 20000526 beq r4,zero,81105118 -81105104: 21000017 ldw r4,0(r4) -81105108: 00c00044 movi r3,1 -8110510c: 1805883a mov r2,r3 -81105110: 20c00f15 stw r3,60(r4) -81105114: f800283a ret -81105118: 0005883a mov r2,zero -8110511c: f800283a ret - -81105120 : -81105120: 20000426 beq r4,zero,81105134 -81105124: 20c00017 ldw r3,0(r4) -81105128: 00800044 movi r2,1 -8110512c: 19401015 stw r5,64(r3) +81104ee4: 10b20004 addi r2,r2,-14336 +81104ee8: 00e04034 movhi r3,33024 +81104eec: 18d00004 addi r3,r3,16384 +81104ef0: 20800015 stw r2,0(r4) +81104ef4: 20c01a15 stw r3,104(r4) +81104ef8: 10804415 stw r2,272(r2) +81104efc: 10c05e15 stw r3,376(r2) +81104f00: 003fb306 br 81104dd0 <__reset+0xfb0e4dd0> +81104f04: 00a040b4 movhi r2,33026 +81104f08: 10b70004 addi r2,r2,-9216 +81104f0c: 00e040b4 movhi r3,33026 +81104f10: 003faa06 br 81104dbc <__reset+0xfb0e4dbc> +81104f14: 00a040b4 movhi r2,33026 +81104f18: 10b60004 addi r2,r2,-10240 +81104f1c: 00e04074 movhi r3,33025 +81104f20: 003ff206 br 81104eec <__reset+0xfb0e4eec> +81104f24: 00a040b4 movhi r2,33026 +81104f28: 10b50004 addi r2,r2,-11264 +81104f2c: 00e04074 movhi r3,33025 +81104f30: 20c01a15 stw r3,104(r4) +81104f34: 20800015 stw r2,0(r4) +81104f38: 10804415 stw r2,272(r2) +81104f3c: 10c05e15 stw r3,376(r2) +81104f40: 003fa306 br 81104dd0 <__reset+0xfb0e4dd0> +81104f44: 00a040b4 movhi r2,33026 +81104f48: 00e04074 movhi r3,33025 +81104f4c: 10b40004 addi r2,r2,-12288 +81104f50: 18f00004 addi r3,r3,-16384 +81104f54: 20800015 stw r2,0(r4) +81104f58: 20c01a15 stw r3,104(r4) +81104f5c: 10804415 stw r2,272(r2) +81104f60: 10c05e15 stw r3,376(r2) +81104f64: 003f9a06 br 81104dd0 <__reset+0xfb0e4dd0> +81104f68: 0005883a mov r2,zero +81104f6c: f800283a ret + +81104f70 : +81104f70: 294b883a add r5,r5,r5 +81104f74: 294b883a add r5,r5,r5 +81104f78: 2149883a add r4,r4,r5 +81104f7c: 20800017 ldw r2,0(r4) +81104f80: deffff04 addi sp,sp,-4 +81104f84: d8800015 stw r2,0(sp) +81104f88: d8800017 ldw r2,0(sp) +81104f8c: dec00104 addi sp,sp,4 +81104f90: f800283a ret + +81104f94 : +81104f94: 20000d26 beq r4,zero,81104fcc +81104f98: 21400117 ldw r5,4(r4) +81104f9c: 20c00017 ldw r3,0(r4) +81104fa0: 00800044 movi r2,1 +81104fa4: 19400315 stw r5,12(r3) +81104fa8: 21400217 ldw r5,8(r4) +81104fac: 19400415 stw r5,16(r3) +81104fb0: 21400317 ldw r5,12(r4) +81104fb4: 19400515 stw r5,20(r3) +81104fb8: 21400417 ldw r5,16(r4) +81104fbc: 19400615 stw r5,24(r3) +81104fc0: 21000517 ldw r4,20(r4) +81104fc4: 19000715 stw r4,28(r3) +81104fc8: f800283a ret +81104fcc: 0005883a mov r2,zero +81104fd0: f800283a ret + +81104fd4 : +81104fd4: 20000d26 beq r4,zero,8110500c +81104fd8: 20c00017 ldw r3,0(r4) +81104fdc: 00800044 movi r2,1 +81104fe0: 19400317 ldw r5,12(r3) +81104fe4: 21400115 stw r5,4(r4) +81104fe8: 19400417 ldw r5,16(r3) +81104fec: 21400215 stw r5,8(r4) +81104ff0: 19400517 ldw r5,20(r3) +81104ff4: 21400315 stw r5,12(r4) +81104ff8: 19400617 ldw r5,24(r3) +81104ffc: 21400415 stw r5,16(r4) +81105000: 18c00717 ldw r3,28(r3) +81105004: 20c00515 stw r3,20(r4) +81105008: f800283a ret +8110500c: 0005883a mov r2,zero +81105010: f800283a ret + +81105014 : +81105014: 20000926 beq r4,zero,8110503c +81105018: 20c00017 ldw r3,0(r4) +8110501c: 00800044 movi r2,1 +81105020: 19400817 ldw r5,32(r3) +81105024: 21400615 stw r5,24(r4) +81105028: 19400917 ldw r5,36(r3) +8110502c: 21400715 stw r5,28(r4) +81105030: 18c00a17 ldw r3,40(r3) +81105034: 20c00815 stw r3,32(r4) +81105038: f800283a ret +8110503c: 0005883a mov r2,zero +81105040: f800283a ret + +81105044 : +81105044: 20000b26 beq r4,zero,81105074 +81105048: 20c00017 ldw r3,0(r4) +8110504c: 00800044 movi r2,1 +81105050: 19400b17 ldw r5,44(r3) +81105054: 21400915 stw r5,36(r4) +81105058: 19400c17 ldw r5,48(r3) +8110505c: 21400a15 stw r5,40(r4) +81105060: 19400d17 ldw r5,52(r3) +81105064: 21400b15 stw r5,44(r4) +81105068: 18c00e17 ldw r3,56(r3) +8110506c: 20c00c15 stw r3,48(r4) +81105070: f800283a ret +81105074: 0005883a mov r2,zero +81105078: f800283a ret + +8110507c : +8110507c: 20000f26 beq r4,zero,811050bc +81105080: 21400d17 ldw r5,52(r4) +81105084: 20c00017 ldw r3,0(r4) +81105088: 00800044 movi r2,1 +8110508c: 19400f15 stw r5,60(r3) +81105090: 21400e17 ldw r5,56(r4) +81105094: 19401015 stw r5,64(r3) +81105098: 21400f17 ldw r5,60(r4) +8110509c: 19401115 stw r5,68(r3) +811050a0: 21401017 ldw r5,64(r4) +811050a4: 19401215 stw r5,72(r3) +811050a8: 21401117 ldw r5,68(r4) +811050ac: 19401315 stw r5,76(r3) +811050b0: 21001217 ldw r4,72(r4) +811050b4: 19001415 stw r4,80(r3) +811050b8: f800283a ret +811050bc: 0005883a mov r2,zero +811050c0: f800283a ret + +811050c4 : +811050c4: 20000f26 beq r4,zero,81105104 +811050c8: 20c00017 ldw r3,0(r4) +811050cc: 00800044 movi r2,1 +811050d0: 19400f17 ldw r5,60(r3) +811050d4: 21400d15 stw r5,52(r4) +811050d8: 19401017 ldw r5,64(r3) +811050dc: 21400e15 stw r5,56(r4) +811050e0: 19401117 ldw r5,68(r3) +811050e4: 21400f15 stw r5,60(r4) +811050e8: 19401217 ldw r5,72(r3) +811050ec: 21401015 stw r5,64(r4) +811050f0: 19401317 ldw r5,76(r3) +811050f4: 21401115 stw r5,68(r4) +811050f8: 18c01417 ldw r3,80(r3) +811050fc: 20c01215 stw r3,72(r4) +81105100: f800283a ret +81105104: 0005883a mov r2,zero +81105108: f800283a ret + +8110510c : +8110510c: 20000726 beq r4,zero,8110512c +81105110: 20c00017 ldw r3,0(r4) +81105114: 00800044 movi r2,1 +81105118: 19401517 ldw r5,84(r3) +8110511c: 21401315 stw r5,76(r4) +81105120: 18c01617 ldw r3,88(r3) +81105124: 20c01415 stw r3,80(r4) +81105128: f800283a ret +8110512c: 0005883a mov r2,zero 81105130: f800283a ret -81105134: 0005883a mov r2,zero -81105138: f800283a ret - -8110513c : -8110513c: 20005726 beq r4,zero,8110529c -81105140: 29403fcc andi r5,r5,255 -81105144: 00800144 movi r2,5 -81105148: 11405436 bltu r2,r5,8110529c -8110514c: 280a90ba slli r5,r5,2 -81105150: 00a04434 movhi r2,33040 -81105154: 10945904 addi r2,r2,20836 -81105158: 288b883a add r5,r5,r2 -8110515c: 28800017 ldw r2,0(r5) -81105160: 1000683a jmp r2 -81105164: 8110524c andi r4,r16,16713 -81105168: 81105260 cmpeqi r4,r16,16713 -8110516c: 81105274 orhi r4,r16,16713 -81105170: 81105288 cmpgei r4,r16,16714 -81105174: 8110517c xorhi r4,r16,16709 -81105178: 81105238 rdprs r4,r16,16712 -8110517c: 00a040b4 movhi r2,33026 -81105180: 10b30004 addi r2,r2,-13312 -81105184: 20800015 stw r2,0(r4) -81105188: 10800215 stw r2,8(r2) -8110518c: 20c00017 ldw r3,0(r4) -81105190: 00800044 movi r2,1 -81105194: 19400317 ldw r5,12(r3) -81105198: 21400115 stw r5,4(r4) -8110519c: 19400417 ldw r5,16(r3) -811051a0: 21400215 stw r5,8(r4) -811051a4: 19400517 ldw r5,20(r3) -811051a8: 21400315 stw r5,12(r4) -811051ac: 19400617 ldw r5,24(r3) -811051b0: 21400415 stw r5,16(r4) -811051b4: 19800717 ldw r6,28(r3) -811051b8: 19400817 ldw r5,32(r3) -811051bc: 21800515 stw r6,20(r4) -811051c0: 21400615 stw r5,24(r4) -811051c4: 19400917 ldw r5,36(r3) -811051c8: 21400715 stw r5,28(r4) -811051cc: 19800a17 ldw r6,40(r3) -811051d0: 19400b17 ldw r5,44(r3) -811051d4: 21800815 stw r6,32(r4) -811051d8: 21400915 stw r5,36(r4) -811051dc: 19400c17 ldw r5,48(r3) -811051e0: 21400a15 stw r5,40(r4) -811051e4: 19400d17 ldw r5,52(r3) -811051e8: 21400b15 stw r5,44(r4) -811051ec: 19800e17 ldw r6,56(r3) -811051f0: 19400f17 ldw r5,60(r3) -811051f4: 21800c15 stw r6,48(r4) -811051f8: 21400d15 stw r5,52(r4) -811051fc: 19401017 ldw r5,64(r3) -81105200: 21400e15 stw r5,56(r4) -81105204: 19401117 ldw r5,68(r3) -81105208: 21400f15 stw r5,60(r4) -8110520c: 19401217 ldw r5,72(r3) -81105210: 21401015 stw r5,64(r4) -81105214: 19401317 ldw r5,76(r3) -81105218: 21401115 stw r5,68(r4) -8110521c: 19401417 ldw r5,80(r3) -81105220: 21401215 stw r5,72(r4) -81105224: 19401517 ldw r5,84(r3) -81105228: 21401315 stw r5,76(r4) -8110522c: 18c01617 ldw r3,88(r3) -81105230: 20c01415 stw r3,80(r4) -81105234: f800283a ret -81105238: 00a040b4 movhi r2,33026 -8110523c: 10b20004 addi r2,r2,-14336 -81105240: 20800015 stw r2,0(r4) -81105244: 10800215 stw r2,8(r2) -81105248: 003fd006 br 8110518c <__reset+0xfb0e518c> -8110524c: 00a040b4 movhi r2,33026 -81105250: 10b70004 addi r2,r2,-9216 -81105254: 20800015 stw r2,0(r4) -81105258: 10800215 stw r2,8(r2) -8110525c: 003fcb06 br 8110518c <__reset+0xfb0e518c> -81105260: 00a040b4 movhi r2,33026 -81105264: 10b60004 addi r2,r2,-10240 -81105268: 20800015 stw r2,0(r4) -8110526c: 10800215 stw r2,8(r2) -81105270: 003fc606 br 8110518c <__reset+0xfb0e518c> -81105274: 00a040b4 movhi r2,33026 -81105278: 10b50004 addi r2,r2,-11264 -8110527c: 20800015 stw r2,0(r4) -81105280: 10800215 stw r2,8(r2) -81105284: 003fc106 br 8110518c <__reset+0xfb0e518c> -81105288: 00a040b4 movhi r2,33026 -8110528c: 10b40004 addi r2,r2,-12288 -81105290: 20800015 stw r2,0(r4) -81105294: 10800215 stw r2,8(r2) -81105298: 003fbc06 br 8110518c <__reset+0xfb0e518c> -8110529c: 0005883a mov r2,zero -811052a0: f800283a ret - -811052a4 : -811052a4: 21003fcc andi r4,r4,255 -811052a8: 2100201c xori r4,r4,128 -811052ac: 213fe004 addi r4,r4,-128 -811052b0: 008018c4 movi r2,99 -811052b4: 11001416 blt r2,r4,81105308 -811052b8: deffff04 addi sp,sp,-4 -811052bc: dfc00015 stw ra,0(sp) -811052c0: 112889c0 call 8112889c <__floatsisf> -811052c4: 1009883a mov r4,r2 -811052c8: 112af380 call 8112af38 <__extendsfdf2> -811052cc: 100d883a mov r6,r2 -811052d0: 180f883a mov r7,r3 -811052d4: 0009883a mov r4,zero -811052d8: 01501a74 movhi r5,16489 -811052dc: 112935c0 call 8112935c <__divdf3> -811052e0: 1009883a mov r4,r2 -811052e4: 180b883a mov r5,r3 -811052e8: 11278040 call 81127804 -811052ec: 1009883a mov r4,r2 -811052f0: 180b883a mov r5,r3 -811052f4: 11279640 call 81127964 <__fixunsdfsi> -811052f8: 10bfffc4 addi r2,r2,-1 -811052fc: dfc00017 ldw ra,0(sp) -81105300: dec00104 addi sp,sp,4 -81105304: f800283a ret -81105308: 00800044 movi r2,1 -8110530c: f800283a ret - -81105310 : -81105310: 00b33374 movhi r2,52429 -81105314: 10b33344 addi r2,r2,-13107 -81105318: 2084383a mulxuu r2,r4,r2 -8110531c: 1004d0fa srli r2,r2,3 -81105320: f800283a ret - -81105324 : -81105324: 008000b4 movhi r2,2 -81105328: 10a1a804 addi r2,r2,-31072 -8110532c: 2085383a mul r2,r4,r2 -81105330: f800283a ret - -81105334 : -81105334: defffd04 addi sp,sp,-12 -81105338: dc400115 stw r17,4(sp) -8110533c: 2023883a mov r17,r4 -81105340: 29003fcc andi r4,r5,255 -81105344: dc000015 stw r16,0(sp) -81105348: dfc00215 stw ra,8(sp) -8110534c: 3021883a mov r16,r6 -81105350: 1100b080 call 81100b08 -81105354: 84003fcc andi r16,r16,255 -81105358: 00c00144 movi r3,5 -8110535c: 1c009e36 bltu r3,r16,811055d8 -81105360: 800890ba slli r4,r16,2 -81105364: 00e04434 movhi r3,33040 -81105368: 18d4df04 addi r3,r3,21372 -8110536c: 20c7883a add r3,r4,r3 -81105370: 18c00017 ldw r3,0(r3) -81105374: 012040b4 movhi r4,33026 -81105378: 1800683a jmp r3 -8110537c: 811053e8 cmpgeui r4,r16,16719 -81105380: 811053f0 cmpltui r4,r16,16719 -81105384: 811053f8 rdprs r4,r16,16719 -81105388: 81105400 call 88110540 <__reset+0x20f0540> -8110538c: 81105394 ori r4,r16,16718 -81105390: 811053b0 cmpltui r4,r16,16718 -81105394: 21330004 addi r4,r4,-13312 -81105398: 1000071e bne r2,zero,811053b8 -8110539c: dfc00217 ldw ra,8(sp) -811053a0: dc400117 ldw r17,4(sp) -811053a4: dc000017 ldw r16,0(sp) -811053a8: dec00304 addi sp,sp,12 -811053ac: f800283a ret -811053b0: 21320004 addi r4,r4,-14336 -811053b4: 103ff926 beq r2,zero,8110539c <__reset+0xfb0e539c> -811053b8: 88008716 blt r17,zero,811055d8 -811053bc: 01801404 movi r6,80 -811053c0: 880b883a mov r5,r17 -811053c4: 2100c104 addi r4,r4,772 -811053c8: 112c6480 call 8112c648 -811053cc: 00a04634 movhi r2,33048 -811053d0: 108f0804 addi r2,r2,15392 -811053d4: 10800803 ldbu r2,32(r2) -811053d8: 00c00084 movi r3,2 -811053dc: 18800a2e bgeu r3,r2,81105408 -811053e0: 00800044 movi r2,1 -811053e4: 003fed06 br 8110539c <__reset+0xfb0e539c> -811053e8: 21370004 addi r4,r4,-9216 -811053ec: 003fea06 br 81105398 <__reset+0xfb0e5398> -811053f0: 21360004 addi r4,r4,-10240 -811053f4: 003fe806 br 81105398 <__reset+0xfb0e5398> -811053f8: 21350004 addi r4,r4,-11264 -811053fc: 003fe606 br 81105398 <__reset+0xfb0e5398> -81105400: 21340004 addi r4,r4,-12288 -81105404: 003fe406 br 81105398 <__reset+0xfb0e5398> -81105408: d1207917 ldw r4,-32284(gp) -8110540c: 01604534 movhi r5,33044 -81105410: 295fd904 addi r5,r5,32612 -81105414: 800d883a mov r6,r16 -81105418: 112b5e00 call 8112b5e0 -8110541c: d1e07917 ldw r7,-32284(gp) -81105420: 01204534 movhi r4,33044 -81105424: 211fe204 addi r4,r4,32648 -81105428: 01800a44 movi r6,41 -8110542c: 01400044 movi r5,1 -81105430: 112bc4c0 call 8112bc4c -81105434: 89800017 ldw r6,0(r17) -81105438: d1207917 ldw r4,-32284(gp) -8110543c: 01604534 movhi r5,33044 -81105440: 295fed04 addi r5,r5,32692 -81105444: 112b5e00 call 8112b5e0 -81105448: 89800117 ldw r6,4(r17) -8110544c: d1207917 ldw r4,-32284(gp) -81105450: 01604534 movhi r5,33044 -81105454: 295fed04 addi r5,r5,32692 -81105458: 112b5e00 call 8112b5e0 -8110545c: 89800217 ldw r6,8(r17) -81105460: d1207917 ldw r4,-32284(gp) -81105464: 01604534 movhi r5,33044 -81105468: 295fed04 addi r5,r5,32692 -8110546c: 112b5e00 call 8112b5e0 -81105470: 89800317 ldw r6,12(r17) -81105474: d1207917 ldw r4,-32284(gp) -81105478: 01604534 movhi r5,33044 -8110547c: 295fed04 addi r5,r5,32692 -81105480: 112b5e00 call 8112b5e0 -81105484: 89800417 ldw r6,16(r17) -81105488: d1207917 ldw r4,-32284(gp) -8110548c: 01604534 movhi r5,33044 -81105490: 295fed04 addi r5,r5,32692 -81105494: 112b5e00 call 8112b5e0 -81105498: 89800517 ldw r6,20(r17) -8110549c: d1207917 ldw r4,-32284(gp) -811054a0: 01604534 movhi r5,33044 -811054a4: 295fed04 addi r5,r5,32692 -811054a8: 112b5e00 call 8112b5e0 -811054ac: 89800617 ldw r6,24(r17) -811054b0: d1207917 ldw r4,-32284(gp) -811054b4: 01604534 movhi r5,33044 -811054b8: 295fed04 addi r5,r5,32692 -811054bc: 112b5e00 call 8112b5e0 -811054c0: 89800717 ldw r6,28(r17) -811054c4: d1207917 ldw r4,-32284(gp) -811054c8: 01604534 movhi r5,33044 -811054cc: 295fed04 addi r5,r5,32692 -811054d0: 112b5e00 call 8112b5e0 -811054d4: 89800817 ldw r6,32(r17) -811054d8: d1207917 ldw r4,-32284(gp) -811054dc: 01604534 movhi r5,33044 -811054e0: 295fed04 addi r5,r5,32692 -811054e4: 112b5e00 call 8112b5e0 -811054e8: 89800917 ldw r6,36(r17) -811054ec: d1207917 ldw r4,-32284(gp) -811054f0: 01604534 movhi r5,33044 -811054f4: 295fed04 addi r5,r5,32692 -811054f8: 112b5e00 call 8112b5e0 -811054fc: 89800a17 ldw r6,40(r17) -81105500: d1207917 ldw r4,-32284(gp) -81105504: 01604534 movhi r5,33044 -81105508: 295fed04 addi r5,r5,32692 -8110550c: 112b5e00 call 8112b5e0 -81105510: 89800b17 ldw r6,44(r17) -81105514: d1207917 ldw r4,-32284(gp) -81105518: 01604534 movhi r5,33044 -8110551c: 295fed04 addi r5,r5,32692 -81105520: 112b5e00 call 8112b5e0 -81105524: 89800c17 ldw r6,48(r17) -81105528: d1207917 ldw r4,-32284(gp) -8110552c: 01604534 movhi r5,33044 -81105530: 295fed04 addi r5,r5,32692 -81105534: 112b5e00 call 8112b5e0 -81105538: 89800d17 ldw r6,52(r17) -8110553c: d1207917 ldw r4,-32284(gp) -81105540: 01604534 movhi r5,33044 -81105544: 295fed04 addi r5,r5,32692 -81105548: 112b5e00 call 8112b5e0 -8110554c: 89800e17 ldw r6,56(r17) -81105550: d1207917 ldw r4,-32284(gp) -81105554: 01604534 movhi r5,33044 -81105558: 295fed04 addi r5,r5,32692 -8110555c: 112b5e00 call 8112b5e0 -81105560: 89800f17 ldw r6,60(r17) -81105564: d1207917 ldw r4,-32284(gp) -81105568: 01604534 movhi r5,33044 -8110556c: 295fed04 addi r5,r5,32692 -81105570: 112b5e00 call 8112b5e0 -81105574: d1607917 ldw r5,-32284(gp) -81105578: 01000284 movi r4,10 -8110557c: 112b6480 call 8112b648 -81105580: 89801017 ldw r6,64(r17) + +81105134 : +81105134: 20000526 beq r4,zero,8110514c +81105138: 21000017 ldw r4,0(r4) +8110513c: 00c00044 movi r3,1 +81105140: 1805883a mov r2,r3 +81105144: 20c00f15 stw r3,60(r4) +81105148: f800283a ret +8110514c: 0005883a mov r2,zero +81105150: f800283a ret + +81105154 : +81105154: 20000426 beq r4,zero,81105168 +81105158: 20c00017 ldw r3,0(r4) +8110515c: 00800044 movi r2,1 +81105160: 19401015 stw r5,64(r3) +81105164: f800283a ret +81105168: 0005883a mov r2,zero +8110516c: f800283a ret + +81105170 : +81105170: 20005726 beq r4,zero,811052d0 +81105174: 29403fcc andi r5,r5,255 +81105178: 00800144 movi r2,5 +8110517c: 11405436 bltu r2,r5,811052d0 +81105180: 280a90ba slli r5,r5,2 +81105184: 00a04434 movhi r2,33040 +81105188: 10946604 addi r2,r2,20888 +8110518c: 288b883a add r5,r5,r2 +81105190: 28800017 ldw r2,0(r5) +81105194: 1000683a jmp r2 +81105198: 81105280 call 88110528 <__reset+0x20f0528> +8110519c: 81105294 ori r4,r16,16714 +811051a0: 811052a8 cmpgeui r4,r16,16714 +811051a4: 811052bc xorhi r4,r16,16714 +811051a8: 811051b0 cmpltui r4,r16,16710 +811051ac: 8110526c andhi r4,r16,16713 +811051b0: 00a040b4 movhi r2,33026 +811051b4: 10b30004 addi r2,r2,-13312 +811051b8: 20800015 stw r2,0(r4) +811051bc: 10800215 stw r2,8(r2) +811051c0: 20c00017 ldw r3,0(r4) +811051c4: 00800044 movi r2,1 +811051c8: 19400317 ldw r5,12(r3) +811051cc: 21400115 stw r5,4(r4) +811051d0: 19400417 ldw r5,16(r3) +811051d4: 21400215 stw r5,8(r4) +811051d8: 19400517 ldw r5,20(r3) +811051dc: 21400315 stw r5,12(r4) +811051e0: 19400617 ldw r5,24(r3) +811051e4: 21400415 stw r5,16(r4) +811051e8: 19800717 ldw r6,28(r3) +811051ec: 19400817 ldw r5,32(r3) +811051f0: 21800515 stw r6,20(r4) +811051f4: 21400615 stw r5,24(r4) +811051f8: 19400917 ldw r5,36(r3) +811051fc: 21400715 stw r5,28(r4) +81105200: 19800a17 ldw r6,40(r3) +81105204: 19400b17 ldw r5,44(r3) +81105208: 21800815 stw r6,32(r4) +8110520c: 21400915 stw r5,36(r4) +81105210: 19400c17 ldw r5,48(r3) +81105214: 21400a15 stw r5,40(r4) +81105218: 19400d17 ldw r5,52(r3) +8110521c: 21400b15 stw r5,44(r4) +81105220: 19800e17 ldw r6,56(r3) +81105224: 19400f17 ldw r5,60(r3) +81105228: 21800c15 stw r6,48(r4) +8110522c: 21400d15 stw r5,52(r4) +81105230: 19401017 ldw r5,64(r3) +81105234: 21400e15 stw r5,56(r4) +81105238: 19401117 ldw r5,68(r3) +8110523c: 21400f15 stw r5,60(r4) +81105240: 19401217 ldw r5,72(r3) +81105244: 21401015 stw r5,64(r4) +81105248: 19401317 ldw r5,76(r3) +8110524c: 21401115 stw r5,68(r4) +81105250: 19401417 ldw r5,80(r3) +81105254: 21401215 stw r5,72(r4) +81105258: 19401517 ldw r5,84(r3) +8110525c: 21401315 stw r5,76(r4) +81105260: 18c01617 ldw r3,88(r3) +81105264: 20c01415 stw r3,80(r4) +81105268: f800283a ret +8110526c: 00a040b4 movhi r2,33026 +81105270: 10b20004 addi r2,r2,-14336 +81105274: 20800015 stw r2,0(r4) +81105278: 10800215 stw r2,8(r2) +8110527c: 003fd006 br 811051c0 <__reset+0xfb0e51c0> +81105280: 00a040b4 movhi r2,33026 +81105284: 10b70004 addi r2,r2,-9216 +81105288: 20800015 stw r2,0(r4) +8110528c: 10800215 stw r2,8(r2) +81105290: 003fcb06 br 811051c0 <__reset+0xfb0e51c0> +81105294: 00a040b4 movhi r2,33026 +81105298: 10b60004 addi r2,r2,-10240 +8110529c: 20800015 stw r2,0(r4) +811052a0: 10800215 stw r2,8(r2) +811052a4: 003fc606 br 811051c0 <__reset+0xfb0e51c0> +811052a8: 00a040b4 movhi r2,33026 +811052ac: 10b50004 addi r2,r2,-11264 +811052b0: 20800015 stw r2,0(r4) +811052b4: 10800215 stw r2,8(r2) +811052b8: 003fc106 br 811051c0 <__reset+0xfb0e51c0> +811052bc: 00a040b4 movhi r2,33026 +811052c0: 10b40004 addi r2,r2,-12288 +811052c4: 20800015 stw r2,0(r4) +811052c8: 10800215 stw r2,8(r2) +811052cc: 003fbc06 br 811051c0 <__reset+0xfb0e51c0> +811052d0: 0005883a mov r2,zero +811052d4: f800283a ret + +811052d8 : +811052d8: 21003fcc andi r4,r4,255 +811052dc: 2100201c xori r4,r4,128 +811052e0: 213fe004 addi r4,r4,-128 +811052e4: 008018c4 movi r2,99 +811052e8: 11001416 blt r2,r4,8110533c +811052ec: deffff04 addi sp,sp,-4 +811052f0: dfc00015 stw ra,0(sp) +811052f4: 11288dc0 call 811288dc <__floatsisf> +811052f8: 1009883a mov r4,r2 +811052fc: 112af780 call 8112af78 <__extendsfdf2> +81105300: 100d883a mov r6,r2 +81105304: 180f883a mov r7,r3 +81105308: 0009883a mov r4,zero +8110530c: 01501a74 movhi r5,16489 +81105310: 112939c0 call 8112939c <__divdf3> +81105314: 1009883a mov r4,r2 +81105318: 180b883a mov r5,r3 +8110531c: 11278440 call 81127844 +81105320: 1009883a mov r4,r2 +81105324: 180b883a mov r5,r3 +81105328: 11279a40 call 811279a4 <__fixunsdfsi> +8110532c: 10bfffc4 addi r2,r2,-1 +81105330: dfc00017 ldw ra,0(sp) +81105334: dec00104 addi sp,sp,4 +81105338: f800283a ret +8110533c: 00800044 movi r2,1 +81105340: f800283a ret + +81105344 : +81105344: 00b33374 movhi r2,52429 +81105348: 10b33344 addi r2,r2,-13107 +8110534c: 2084383a mulxuu r2,r4,r2 +81105350: 1004d0fa srli r2,r2,3 +81105354: f800283a ret + +81105358 : +81105358: 008000b4 movhi r2,2 +8110535c: 10a1a804 addi r2,r2,-31072 +81105360: 2085383a mul r2,r4,r2 +81105364: f800283a ret + +81105368 : +81105368: defffd04 addi sp,sp,-12 +8110536c: dc400115 stw r17,4(sp) +81105370: 2023883a mov r17,r4 +81105374: 29003fcc andi r4,r5,255 +81105378: dc000015 stw r16,0(sp) +8110537c: dfc00215 stw ra,8(sp) +81105380: 3021883a mov r16,r6 +81105384: 1100b080 call 81100b08 +81105388: 84003fcc andi r16,r16,255 +8110538c: 00c00144 movi r3,5 +81105390: 1c009e36 bltu r3,r16,8110560c +81105394: 800890ba slli r4,r16,2 +81105398: 00e04434 movhi r3,33040 +8110539c: 18d4ec04 addi r3,r3,21424 +811053a0: 20c7883a add r3,r4,r3 +811053a4: 18c00017 ldw r3,0(r3) +811053a8: 012040b4 movhi r4,33026 +811053ac: 1800683a jmp r3 +811053b0: 8110541c xori r4,r16,16720 +811053b4: 81105424 muli r4,r16,16720 +811053b8: 8110542c andhi r4,r16,16720 +811053bc: 81105434 orhi r4,r16,16720 +811053c0: 811053c8 cmpgei r4,r16,16719 +811053c4: 811053e4 muli r4,r16,16719 +811053c8: 21330004 addi r4,r4,-13312 +811053cc: 1000071e bne r2,zero,811053ec +811053d0: dfc00217 ldw ra,8(sp) +811053d4: dc400117 ldw r17,4(sp) +811053d8: dc000017 ldw r16,0(sp) +811053dc: dec00304 addi sp,sp,12 +811053e0: f800283a ret +811053e4: 21320004 addi r4,r4,-14336 +811053e8: 103ff926 beq r2,zero,811053d0 <__reset+0xfb0e53d0> +811053ec: 88008716 blt r17,zero,8110560c +811053f0: 01801404 movi r6,80 +811053f4: 880b883a mov r5,r17 +811053f8: 2100c104 addi r4,r4,772 +811053fc: 112c6880 call 8112c688 +81105400: 00a04634 movhi r2,33048 +81105404: 108f1904 addi r2,r2,15460 +81105408: 10800803 ldbu r2,32(r2) +8110540c: 00c00084 movi r3,2 +81105410: 18800a2e bgeu r3,r2,8110543c +81105414: 00800044 movi r2,1 +81105418: 003fed06 br 811053d0 <__reset+0xfb0e53d0> +8110541c: 21370004 addi r4,r4,-9216 +81105420: 003fea06 br 811053cc <__reset+0xfb0e53cc> +81105424: 21360004 addi r4,r4,-10240 +81105428: 003fe806 br 811053cc <__reset+0xfb0e53cc> +8110542c: 21350004 addi r4,r4,-11264 +81105430: 003fe606 br 811053cc <__reset+0xfb0e53cc> +81105434: 21340004 addi r4,r4,-12288 +81105438: 003fe406 br 811053cc <__reset+0xfb0e53cc> +8110543c: d1207917 ldw r4,-32284(gp) +81105440: 01604534 movhi r5,33044 +81105444: 295fe904 addi r5,r5,32676 +81105448: 800d883a mov r6,r16 +8110544c: 112b6200 call 8112b620 +81105450: d1e07917 ldw r7,-32284(gp) +81105454: 01204534 movhi r4,33044 +81105458: 211ff204 addi r4,r4,32712 +8110545c: 01800a44 movi r6,41 +81105460: 01400044 movi r5,1 +81105464: 112bc8c0 call 8112bc8c +81105468: 89800017 ldw r6,0(r17) +8110546c: d1207917 ldw r4,-32284(gp) +81105470: 01604534 movhi r5,33044 +81105474: 295ffd04 addi r5,r5,32756 +81105478: 112b6200 call 8112b620 +8110547c: 89800117 ldw r6,4(r17) +81105480: d1207917 ldw r4,-32284(gp) +81105484: 01604534 movhi r5,33044 +81105488: 295ffd04 addi r5,r5,32756 +8110548c: 112b6200 call 8112b620 +81105490: 89800217 ldw r6,8(r17) +81105494: d1207917 ldw r4,-32284(gp) +81105498: 01604534 movhi r5,33044 +8110549c: 295ffd04 addi r5,r5,32756 +811054a0: 112b6200 call 8112b620 +811054a4: 89800317 ldw r6,12(r17) +811054a8: d1207917 ldw r4,-32284(gp) +811054ac: 01604534 movhi r5,33044 +811054b0: 295ffd04 addi r5,r5,32756 +811054b4: 112b6200 call 8112b620 +811054b8: 89800417 ldw r6,16(r17) +811054bc: d1207917 ldw r4,-32284(gp) +811054c0: 01604534 movhi r5,33044 +811054c4: 295ffd04 addi r5,r5,32756 +811054c8: 112b6200 call 8112b620 +811054cc: 89800517 ldw r6,20(r17) +811054d0: d1207917 ldw r4,-32284(gp) +811054d4: 01604534 movhi r5,33044 +811054d8: 295ffd04 addi r5,r5,32756 +811054dc: 112b6200 call 8112b620 +811054e0: 89800617 ldw r6,24(r17) +811054e4: d1207917 ldw r4,-32284(gp) +811054e8: 01604534 movhi r5,33044 +811054ec: 295ffd04 addi r5,r5,32756 +811054f0: 112b6200 call 8112b620 +811054f4: 89800717 ldw r6,28(r17) +811054f8: d1207917 ldw r4,-32284(gp) +811054fc: 01604534 movhi r5,33044 +81105500: 295ffd04 addi r5,r5,32756 +81105504: 112b6200 call 8112b620 +81105508: 89800817 ldw r6,32(r17) +8110550c: d1207917 ldw r4,-32284(gp) +81105510: 01604534 movhi r5,33044 +81105514: 295ffd04 addi r5,r5,32756 +81105518: 112b6200 call 8112b620 +8110551c: 89800917 ldw r6,36(r17) +81105520: d1207917 ldw r4,-32284(gp) +81105524: 01604534 movhi r5,33044 +81105528: 295ffd04 addi r5,r5,32756 +8110552c: 112b6200 call 8112b620 +81105530: 89800a17 ldw r6,40(r17) +81105534: d1207917 ldw r4,-32284(gp) +81105538: 01604534 movhi r5,33044 +8110553c: 295ffd04 addi r5,r5,32756 +81105540: 112b6200 call 8112b620 +81105544: 89800b17 ldw r6,44(r17) +81105548: d1207917 ldw r4,-32284(gp) +8110554c: 01604534 movhi r5,33044 +81105550: 295ffd04 addi r5,r5,32756 +81105554: 112b6200 call 8112b620 +81105558: 89800c17 ldw r6,48(r17) +8110555c: d1207917 ldw r4,-32284(gp) +81105560: 01604534 movhi r5,33044 +81105564: 295ffd04 addi r5,r5,32756 +81105568: 112b6200 call 8112b620 +8110556c: 89800d17 ldw r6,52(r17) +81105570: d1207917 ldw r4,-32284(gp) +81105574: 01604534 movhi r5,33044 +81105578: 295ffd04 addi r5,r5,32756 +8110557c: 112b6200 call 8112b620 +81105580: 89800e17 ldw r6,56(r17) 81105584: d1207917 ldw r4,-32284(gp) 81105588: 01604534 movhi r5,33044 -8110558c: 295fef04 addi r5,r5,32700 -81105590: 112b5e00 call 8112b5e0 -81105594: 89801117 ldw r6,68(r17) +8110558c: 295ffd04 addi r5,r5,32756 +81105590: 112b6200 call 8112b620 +81105594: 89800f17 ldw r6,60(r17) 81105598: d1207917 ldw r4,-32284(gp) 8110559c: 01604534 movhi r5,33044 -811055a0: 295ffa04 addi r5,r5,32744 -811055a4: 112b5e00 call 8112b5e0 -811055a8: 89801217 ldw r6,72(r17) -811055ac: d1207917 ldw r4,-32284(gp) -811055b0: 01604574 movhi r5,33045 -811055b4: 29600504 addi r5,r5,-32748 -811055b8: 112b5e00 call 8112b5e0 -811055bc: 89801317 ldw r6,76(r17) -811055c0: d1207917 ldw r4,-32284(gp) -811055c4: 01604574 movhi r5,33045 -811055c8: 29601104 addi r5,r5,-32700 -811055cc: 112b5e00 call 8112b5e0 -811055d0: 00800044 movi r2,1 -811055d4: 003f7106 br 8110539c <__reset+0xfb0e539c> -811055d8: 0005883a mov r2,zero -811055dc: 003f6f06 br 8110539c <__reset+0xfb0e539c> - -811055e0 : -811055e0: 21003fcc andi r4,r4,255 -811055e4: 00800144 movi r2,5 -811055e8: 1100022e bgeu r2,r4,811055f4 -811055ec: 0005883a mov r2,zero -811055f0: f800283a ret -811055f4: 2109883a add r4,r4,r4 -811055f8: 00a04574 movhi r2,33045 -811055fc: 10a01d04 addi r2,r2,-32652 -81105600: 2109883a add r4,r4,r4 -81105604: 1109883a add r4,r2,r4 -81105608: 20800017 ldw r2,0(r4) -8110560c: 01002034 movhi r4,128 -81105610: 213fffc4 addi r4,r4,-1 -81105614: 10c05e17 ldw r3,376(r2) -81105618: 18c01a17 ldw r3,104(r3) -8110561c: 20c09836 bltu r4,r3,81105880 -81105620: 00e040b4 movhi r3,33026 -81105624: 18314015 stw zero,-15104(r3) -81105628: 18314115 stw zero,-15100(r3) -8110562c: 18314215 stw zero,-15096(r3) -81105630: 18314315 stw zero,-15092(r3) -81105634: 18314415 stw zero,-15088(r3) -81105638: 18314515 stw zero,-15084(r3) -8110563c: 18314615 stw zero,-15080(r3) -81105640: 00e040b4 movhi r3,33026 -81105644: 19314017 ldw r4,-15104(r3) -81105648: 01402034 movhi r5,128 -8110564c: 18f10004 addi r3,r3,-15360 -81105650: 2900022e bgeu r5,r4,8110565c -81105654: 01001034 movhi r4,64 -81105658: 19004015 stw r4,256(r3) -8110565c: 00e040b4 movhi r3,33026 -81105660: 19714217 ldw r5,-15096(r3) -81105664: 012c2094 movui r4,45186 -81105668: 18f10004 addi r3,r3,-15360 -8110566c: 2140012e bgeu r4,r5,81105674 -81105670: 19004215 stw r4,264(r3) -81105674: 10c05e17 ldw r3,376(r2) -81105678: 01002034 movhi r4,128 -8110567c: 213fffc4 addi r4,r4,-1 -81105680: 18c02017 ldw r3,128(r3) -81105684: 20c0aa2e bgeu r4,r3,81105930 -81105688: 11405e17 ldw r5,376(r2) -8110568c: 013fe034 movhi r4,65408 +811055a0: 295ffd04 addi r5,r5,32756 +811055a4: 112b6200 call 8112b620 +811055a8: d1607917 ldw r5,-32284(gp) +811055ac: 01000284 movi r4,10 +811055b0: 112b6880 call 8112b688 +811055b4: 89801017 ldw r6,64(r17) +811055b8: d1207917 ldw r4,-32284(gp) +811055bc: 01604534 movhi r5,33044 +811055c0: 295fff04 addi r5,r5,32764 +811055c4: 112b6200 call 8112b620 +811055c8: 89801117 ldw r6,68(r17) +811055cc: d1207917 ldw r4,-32284(gp) +811055d0: 01604574 movhi r5,33045 +811055d4: 29600a04 addi r5,r5,-32728 +811055d8: 112b6200 call 8112b620 +811055dc: 89801217 ldw r6,72(r17) +811055e0: d1207917 ldw r4,-32284(gp) +811055e4: 01604574 movhi r5,33045 +811055e8: 29601504 addi r5,r5,-32684 +811055ec: 112b6200 call 8112b620 +811055f0: 89801317 ldw r6,76(r17) +811055f4: d1207917 ldw r4,-32284(gp) +811055f8: 01604574 movhi r5,33045 +811055fc: 29602104 addi r5,r5,-32636 +81105600: 112b6200 call 8112b620 +81105604: 00800044 movi r2,1 +81105608: 003f7106 br 811053d0 <__reset+0xfb0e53d0> +8110560c: 0005883a mov r2,zero +81105610: 003f6f06 br 811053d0 <__reset+0xfb0e53d0> + +81105614 : +81105614: 21003fcc andi r4,r4,255 +81105618: 00800144 movi r2,5 +8110561c: 1100022e bgeu r2,r4,81105628 +81105620: 0005883a mov r2,zero +81105624: f800283a ret +81105628: 2109883a add r4,r4,r4 +8110562c: 00a04574 movhi r2,33045 +81105630: 10a02d04 addi r2,r2,-32588 +81105634: 2109883a add r4,r4,r4 +81105638: 1109883a add r4,r2,r4 +8110563c: 20800017 ldw r2,0(r4) +81105640: 01002034 movhi r4,128 +81105644: 213fffc4 addi r4,r4,-1 +81105648: 10c05e17 ldw r3,376(r2) +8110564c: 18c01a17 ldw r3,104(r3) +81105650: 20c09836 bltu r4,r3,811058b4 +81105654: 00e040b4 movhi r3,33026 +81105658: 18314015 stw zero,-15104(r3) +8110565c: 18314115 stw zero,-15100(r3) +81105660: 18314215 stw zero,-15096(r3) +81105664: 18314315 stw zero,-15092(r3) +81105668: 18314415 stw zero,-15088(r3) +8110566c: 18314515 stw zero,-15084(r3) +81105670: 18314615 stw zero,-15080(r3) +81105674: 00e040b4 movhi r3,33026 +81105678: 19314017 ldw r4,-15104(r3) +8110567c: 01402034 movhi r5,128 +81105680: 18f10004 addi r3,r3,-15360 +81105684: 2900022e bgeu r5,r4,81105690 +81105688: 01001034 movhi r4,64 +8110568c: 19004015 stw r4,256(r3) 81105690: 00e040b4 movhi r3,33026 -81105694: 29402017 ldw r5,128(r5) -81105698: 290b883a add r5,r5,r4 -8110569c: 19714715 stw r5,-15076(r3) -811056a0: 11405e17 ldw r5,376(r2) -811056a4: 29402117 ldw r5,132(r5) -811056a8: 2909883a add r4,r5,r4 -811056ac: 19314815 stw r4,-15072(r3) -811056b0: 11005e17 ldw r4,376(r2) -811056b4: 21002217 ldw r4,136(r4) -811056b8: 19314915 stw r4,-15068(r3) -811056bc: 11005e17 ldw r4,376(r2) -811056c0: 21002317 ldw r4,140(r4) -811056c4: 19314a15 stw r4,-15064(r3) -811056c8: 11005e17 ldw r4,376(r2) -811056cc: 21002417 ldw r4,144(r4) -811056d0: 19314b15 stw r4,-15060(r3) -811056d4: 11005e17 ldw r4,376(r2) -811056d8: 21004917 ldw r4,292(r4) -811056dc: 19314c15 stw r4,-15056(r3) -811056e0: 11005e17 ldw r4,376(r2) -811056e4: 21004b17 ldw r4,300(r4) -811056e8: 19314d15 stw r4,-15052(r3) -811056ec: 00e040b4 movhi r3,33026 -811056f0: 19314717 ldw r4,-15076(r3) -811056f4: 01402034 movhi r5,128 -811056f8: 18f10004 addi r3,r3,-15360 -811056fc: 2900022e bgeu r5,r4,81105708 -81105700: 01001034 movhi r4,64 -81105704: 19004715 stw r4,284(r3) -81105708: 00e040b4 movhi r3,33026 -8110570c: 19714917 ldw r5,-15068(r3) -81105710: 012c2094 movui r4,45186 -81105714: 18f10004 addi r3,r3,-15360 -81105718: 2140012e bgeu r4,r5,81105720 -8110571c: 19004915 stw r4,292(r3) -81105720: 10c05e17 ldw r3,376(r2) -81105724: 01002034 movhi r4,128 -81105728: 213fffc4 addi r4,r4,-1 -8110572c: 18c02617 ldw r3,152(r3) -81105730: 20c0762e bgeu r4,r3,8110590c -81105734: 11405e17 ldw r5,376(r2) -81105738: 013fe034 movhi r4,65408 +81105694: 19714217 ldw r5,-15096(r3) +81105698: 012c2094 movui r4,45186 +8110569c: 18f10004 addi r3,r3,-15360 +811056a0: 2140012e bgeu r4,r5,811056a8 +811056a4: 19004215 stw r4,264(r3) +811056a8: 10c05e17 ldw r3,376(r2) +811056ac: 01002034 movhi r4,128 +811056b0: 213fffc4 addi r4,r4,-1 +811056b4: 18c02017 ldw r3,128(r3) +811056b8: 20c0aa2e bgeu r4,r3,81105964 +811056bc: 11405e17 ldw r5,376(r2) +811056c0: 013fe034 movhi r4,65408 +811056c4: 00e040b4 movhi r3,33026 +811056c8: 29402017 ldw r5,128(r5) +811056cc: 290b883a add r5,r5,r4 +811056d0: 19714715 stw r5,-15076(r3) +811056d4: 11405e17 ldw r5,376(r2) +811056d8: 29402117 ldw r5,132(r5) +811056dc: 2909883a add r4,r5,r4 +811056e0: 19314815 stw r4,-15072(r3) +811056e4: 11005e17 ldw r4,376(r2) +811056e8: 21002217 ldw r4,136(r4) +811056ec: 19314915 stw r4,-15068(r3) +811056f0: 11005e17 ldw r4,376(r2) +811056f4: 21002317 ldw r4,140(r4) +811056f8: 19314a15 stw r4,-15064(r3) +811056fc: 11005e17 ldw r4,376(r2) +81105700: 21002417 ldw r4,144(r4) +81105704: 19314b15 stw r4,-15060(r3) +81105708: 11005e17 ldw r4,376(r2) +8110570c: 21004917 ldw r4,292(r4) +81105710: 19314c15 stw r4,-15056(r3) +81105714: 11005e17 ldw r4,376(r2) +81105718: 21004b17 ldw r4,300(r4) +8110571c: 19314d15 stw r4,-15052(r3) +81105720: 00e040b4 movhi r3,33026 +81105724: 19314717 ldw r4,-15076(r3) +81105728: 01402034 movhi r5,128 +8110572c: 18f10004 addi r3,r3,-15360 +81105730: 2900022e bgeu r5,r4,8110573c +81105734: 01001034 movhi r4,64 +81105738: 19004715 stw r4,284(r3) 8110573c: 00e040b4 movhi r3,33026 -81105740: 29402617 ldw r5,152(r5) -81105744: 290b883a add r5,r5,r4 -81105748: 19714e15 stw r5,-15048(r3) -8110574c: 11405e17 ldw r5,376(r2) -81105750: 29402717 ldw r5,156(r5) -81105754: 2909883a add r4,r5,r4 -81105758: 19314f15 stw r4,-15044(r3) -8110575c: 11005e17 ldw r4,376(r2) -81105760: 21002817 ldw r4,160(r4) -81105764: 19315015 stw r4,-15040(r3) -81105768: 11005e17 ldw r4,376(r2) -8110576c: 21002917 ldw r4,164(r4) -81105770: 19315115 stw r4,-15036(r3) -81105774: 11005e17 ldw r4,376(r2) -81105778: 21002a17 ldw r4,168(r4) -8110577c: 19315215 stw r4,-15032(r3) -81105780: 11005e17 ldw r4,376(r2) -81105784: 21004c17 ldw r4,304(r4) -81105788: 19315315 stw r4,-15028(r3) -8110578c: 11005e17 ldw r4,376(r2) -81105790: 21004d17 ldw r4,308(r4) -81105794: 19315415 stw r4,-15024(r3) -81105798: 00e040b4 movhi r3,33026 -8110579c: 19314e17 ldw r4,-15048(r3) -811057a0: 01402034 movhi r5,128 -811057a4: 18f10004 addi r3,r3,-15360 -811057a8: 2900022e bgeu r5,r4,811057b4 -811057ac: 01001034 movhi r4,64 -811057b0: 19004e15 stw r4,312(r3) -811057b4: 00e040b4 movhi r3,33026 -811057b8: 19715017 ldw r5,-15040(r3) -811057bc: 012c2094 movui r4,45186 -811057c0: 18f10004 addi r3,r3,-15360 -811057c4: 2140012e bgeu r4,r5,811057cc -811057c8: 19005015 stw r4,320(r3) -811057cc: 10c05e17 ldw r3,376(r2) -811057d0: 01002034 movhi r4,128 -811057d4: 213fffc4 addi r4,r4,-1 -811057d8: 18c02c17 ldw r3,176(r3) -811057dc: 20c0422e bgeu r4,r3,811058e8 -811057e0: 11405e17 ldw r5,376(r2) -811057e4: 013fe034 movhi r4,65408 +81105740: 19714917 ldw r5,-15068(r3) +81105744: 012c2094 movui r4,45186 +81105748: 18f10004 addi r3,r3,-15360 +8110574c: 2140012e bgeu r4,r5,81105754 +81105750: 19004915 stw r4,292(r3) +81105754: 10c05e17 ldw r3,376(r2) +81105758: 01002034 movhi r4,128 +8110575c: 213fffc4 addi r4,r4,-1 +81105760: 18c02617 ldw r3,152(r3) +81105764: 20c0762e bgeu r4,r3,81105940 +81105768: 11405e17 ldw r5,376(r2) +8110576c: 013fe034 movhi r4,65408 +81105770: 00e040b4 movhi r3,33026 +81105774: 29402617 ldw r5,152(r5) +81105778: 290b883a add r5,r5,r4 +8110577c: 19714e15 stw r5,-15048(r3) +81105780: 11405e17 ldw r5,376(r2) +81105784: 29402717 ldw r5,156(r5) +81105788: 2909883a add r4,r5,r4 +8110578c: 19314f15 stw r4,-15044(r3) +81105790: 11005e17 ldw r4,376(r2) +81105794: 21002817 ldw r4,160(r4) +81105798: 19315015 stw r4,-15040(r3) +8110579c: 11005e17 ldw r4,376(r2) +811057a0: 21002917 ldw r4,164(r4) +811057a4: 19315115 stw r4,-15036(r3) +811057a8: 11005e17 ldw r4,376(r2) +811057ac: 21002a17 ldw r4,168(r4) +811057b0: 19315215 stw r4,-15032(r3) +811057b4: 11005e17 ldw r4,376(r2) +811057b8: 21004c17 ldw r4,304(r4) +811057bc: 19315315 stw r4,-15028(r3) +811057c0: 11005e17 ldw r4,376(r2) +811057c4: 21004d17 ldw r4,308(r4) +811057c8: 19315415 stw r4,-15024(r3) +811057cc: 00e040b4 movhi r3,33026 +811057d0: 19314e17 ldw r4,-15048(r3) +811057d4: 01402034 movhi r5,128 +811057d8: 18f10004 addi r3,r3,-15360 +811057dc: 2900022e bgeu r5,r4,811057e8 +811057e0: 01001034 movhi r4,64 +811057e4: 19004e15 stw r4,312(r3) 811057e8: 00e040b4 movhi r3,33026 -811057ec: 29402c17 ldw r5,176(r5) -811057f0: 290b883a add r5,r5,r4 -811057f4: 19715515 stw r5,-15020(r3) -811057f8: 11405e17 ldw r5,376(r2) -811057fc: 29402d17 ldw r5,180(r5) -81105800: 2909883a add r4,r5,r4 -81105804: 19315615 stw r4,-15016(r3) -81105808: 11005e17 ldw r4,376(r2) -8110580c: 21002e17 ldw r4,184(r4) -81105810: 19315715 stw r4,-15012(r3) -81105814: 11005e17 ldw r4,376(r2) -81105818: 21002f17 ldw r4,188(r4) -8110581c: 19315815 stw r4,-15008(r3) -81105820: 11005e17 ldw r4,376(r2) -81105824: 21003017 ldw r4,192(r4) -81105828: 19315915 stw r4,-15004(r3) -8110582c: 11005e17 ldw r4,376(r2) -81105830: 21004f17 ldw r4,316(r4) -81105834: 19315a15 stw r4,-15000(r3) -81105838: 10805e17 ldw r2,376(r2) -8110583c: 10805017 ldw r2,320(r2) -81105840: 18b15b15 stw r2,-14996(r3) -81105844: 00a040b4 movhi r2,33026 -81105848: 10f15517 ldw r3,-15020(r2) -8110584c: 01002034 movhi r4,128 -81105850: 10b10004 addi r2,r2,-15360 -81105854: 20c0022e bgeu r4,r3,81105860 -81105858: 00c01034 movhi r3,64 -8110585c: 10c05515 stw r3,340(r2) -81105860: 00a040b4 movhi r2,33026 -81105864: 11315717 ldw r4,-15012(r2) -81105868: 00ec2094 movui r3,45186 -8110586c: 10b10004 addi r2,r2,-15360 -81105870: 1900382e bgeu r3,r4,81105954 -81105874: 10c05715 stw r3,348(r2) -81105878: 00800044 movi r2,1 -8110587c: f800283a ret -81105880: 11405e17 ldw r5,376(r2) -81105884: 013fe034 movhi r4,65408 -81105888: 00e040b4 movhi r3,33026 -8110588c: 29401a17 ldw r5,104(r5) -81105890: 290b883a add r5,r5,r4 -81105894: 19714015 stw r5,-15104(r3) -81105898: 11405e17 ldw r5,376(r2) -8110589c: 29401b17 ldw r5,108(r5) -811058a0: 2909883a add r4,r5,r4 -811058a4: 19314115 stw r4,-15100(r3) -811058a8: 11005e17 ldw r4,376(r2) -811058ac: 21001c17 ldw r4,112(r4) -811058b0: 19314215 stw r4,-15096(r3) -811058b4: 11005e17 ldw r4,376(r2) -811058b8: 21001d17 ldw r4,116(r4) -811058bc: 19314315 stw r4,-15092(r3) -811058c0: 11005e17 ldw r4,376(r2) -811058c4: 21001e17 ldw r4,120(r4) -811058c8: 19314415 stw r4,-15088(r3) -811058cc: 11005e17 ldw r4,376(r2) -811058d0: 21004717 ldw r4,284(r4) -811058d4: 19314515 stw r4,-15084(r3) -811058d8: 11005e17 ldw r4,376(r2) -811058dc: 21004817 ldw r4,288(r4) -811058e0: 19314615 stw r4,-15080(r3) -811058e4: 003f5606 br 81105640 <__reset+0xfb0e5640> -811058e8: 00a040b4 movhi r2,33026 -811058ec: 10315515 stw zero,-15020(r2) -811058f0: 10315615 stw zero,-15016(r2) -811058f4: 10315715 stw zero,-15012(r2) -811058f8: 10315815 stw zero,-15008(r2) -811058fc: 10315915 stw zero,-15004(r2) -81105900: 10315a15 stw zero,-15000(r2) -81105904: 10315b15 stw zero,-14996(r2) -81105908: 003fce06 br 81105844 <__reset+0xfb0e5844> -8110590c: 00e040b4 movhi r3,33026 -81105910: 18314e15 stw zero,-15048(r3) -81105914: 18314f15 stw zero,-15044(r3) -81105918: 18315015 stw zero,-15040(r3) -8110591c: 18315115 stw zero,-15036(r3) -81105920: 18315215 stw zero,-15032(r3) -81105924: 18315315 stw zero,-15028(r3) -81105928: 18315415 stw zero,-15024(r3) -8110592c: 003f9a06 br 81105798 <__reset+0xfb0e5798> -81105930: 00e040b4 movhi r3,33026 -81105934: 18314715 stw zero,-15076(r3) -81105938: 18314815 stw zero,-15072(r3) -8110593c: 18314915 stw zero,-15068(r3) -81105940: 18314a15 stw zero,-15064(r3) -81105944: 18314b15 stw zero,-15060(r3) -81105948: 18314c15 stw zero,-15056(r3) -8110594c: 18314d15 stw zero,-15052(r3) -81105950: 003f6606 br 811056ec <__reset+0xfb0e56ec> -81105954: 00800044 movi r2,1 -81105958: f800283a ret - -8110595c : -8110595c: defffd04 addi sp,sp,-12 -81105960: 21003fcc andi r4,r4,255 -81105964: dc400115 stw r17,4(sp) -81105968: dc000015 stw r16,0(sp) -8110596c: dfc00215 stw ra,8(sp) -81105970: 2821883a mov r16,r5 -81105974: 3023883a mov r17,r6 -81105978: 1100b080 call 81100b08 -8110597c: 10001026 beq r2,zero,811059c0 -81105980: 80000f16 blt r16,zero,811059c0 -81105984: 00802034 movhi r2,128 -81105988: 14400d36 bltu r2,r17,811059c0 -8110598c: 888000cc andi r2,r17,3 -81105990: 8822d0ba srli r17,r17,2 -81105994: 1000101e bne r2,zero,811059d8 -81105998: 88000726 beq r17,zero,811059b8 -8110599c: 8c63883a add r17,r17,r17 -811059a0: 8c63883a add r17,r17,r17 -811059a4: 8c05883a add r2,r17,r16 -811059a8: 800b883a mov r5,r16 -811059ac: 28000015 stw zero,0(r5) -811059b0: 29400104 addi r5,r5,4 -811059b4: 28bffd1e bne r5,r2,811059ac <__reset+0xfb0e59ac> -811059b8: 00800044 movi r2,1 -811059bc: 00000106 br 811059c4 -811059c0: 0005883a mov r2,zero -811059c4: dfc00217 ldw ra,8(sp) -811059c8: dc400117 ldw r17,4(sp) -811059cc: dc000017 ldw r16,0(sp) -811059d0: dec00304 addi sp,sp,12 -811059d4: f800283a ret -811059d8: 8c400044 addi r17,r17,1 -811059dc: 003fef06 br 8110599c <__reset+0xfb0e599c> - -811059e0 : -811059e0: 21003fcc andi r4,r4,255 -811059e4: 00800144 movi r2,5 -811059e8: 11001036 bltu r2,r4,81105a2c -811059ec: 30000f16 blt r6,zero,81105a2c -811059f0: 2109883a add r4,r4,r4 -811059f4: 00a04574 movhi r2,33045 -811059f8: 10a01d04 addi r2,r2,-32652 -811059fc: 2109883a add r4,r4,r4 -81105a00: 1109883a add r4,r2,r4 -81105a04: 28803fcc andi r2,r5,255 -81105a08: 20c00017 ldw r3,0(r4) -81105a0c: 10000926 beq r2,zero,81105a34 -81105a10: 01000044 movi r4,1 -81105a14: 1100051e bne r2,r4,81105a2c -81105a18: 01200034 movhi r4,32768 -81105a1c: 18005c15 stw zero,368(r3) -81105a20: 310d883a add r6,r6,r4 -81105a24: 19805d15 stw r6,372(r3) -81105a28: f800283a ret -81105a2c: 0005883a mov r2,zero -81105a30: f800283a ret -81105a34: 18005c15 stw zero,368(r3) -81105a38: 19805d15 stw r6,372(r3) -81105a3c: 00800044 movi r2,1 -81105a40: f800283a ret - -81105a44 : -81105a44: d0a00003 ldbu r2,-32768(gp) -81105a48: 00e00034 movhi r3,32768 -81105a4c: 18c22804 addi r3,r3,2208 -81105a50: 10800214 ori r2,r2,8 -81105a54: d0a00005 stb r2,-32768(gp) -81105a58: 10803fcc andi r2,r2,255 -81105a5c: 18800035 stwio r2,0(r3) -81105a60: 00800044 movi r2,1 +811057ec: 19715017 ldw r5,-15040(r3) +811057f0: 012c2094 movui r4,45186 +811057f4: 18f10004 addi r3,r3,-15360 +811057f8: 2140012e bgeu r4,r5,81105800 +811057fc: 19005015 stw r4,320(r3) +81105800: 10c05e17 ldw r3,376(r2) +81105804: 01002034 movhi r4,128 +81105808: 213fffc4 addi r4,r4,-1 +8110580c: 18c02c17 ldw r3,176(r3) +81105810: 20c0422e bgeu r4,r3,8110591c +81105814: 11405e17 ldw r5,376(r2) +81105818: 013fe034 movhi r4,65408 +8110581c: 00e040b4 movhi r3,33026 +81105820: 29402c17 ldw r5,176(r5) +81105824: 290b883a add r5,r5,r4 +81105828: 19715515 stw r5,-15020(r3) +8110582c: 11405e17 ldw r5,376(r2) +81105830: 29402d17 ldw r5,180(r5) +81105834: 2909883a add r4,r5,r4 +81105838: 19315615 stw r4,-15016(r3) +8110583c: 11005e17 ldw r4,376(r2) +81105840: 21002e17 ldw r4,184(r4) +81105844: 19315715 stw r4,-15012(r3) +81105848: 11005e17 ldw r4,376(r2) +8110584c: 21002f17 ldw r4,188(r4) +81105850: 19315815 stw r4,-15008(r3) +81105854: 11005e17 ldw r4,376(r2) +81105858: 21003017 ldw r4,192(r4) +8110585c: 19315915 stw r4,-15004(r3) +81105860: 11005e17 ldw r4,376(r2) +81105864: 21004f17 ldw r4,316(r4) +81105868: 19315a15 stw r4,-15000(r3) +8110586c: 10805e17 ldw r2,376(r2) +81105870: 10805017 ldw r2,320(r2) +81105874: 18b15b15 stw r2,-14996(r3) +81105878: 00a040b4 movhi r2,33026 +8110587c: 10f15517 ldw r3,-15020(r2) +81105880: 01002034 movhi r4,128 +81105884: 10b10004 addi r2,r2,-15360 +81105888: 20c0022e bgeu r4,r3,81105894 +8110588c: 00c01034 movhi r3,64 +81105890: 10c05515 stw r3,340(r2) +81105894: 00a040b4 movhi r2,33026 +81105898: 11315717 ldw r4,-15012(r2) +8110589c: 00ec2094 movui r3,45186 +811058a0: 10b10004 addi r2,r2,-15360 +811058a4: 1900382e bgeu r3,r4,81105988 +811058a8: 10c05715 stw r3,348(r2) +811058ac: 00800044 movi r2,1 +811058b0: f800283a ret +811058b4: 11405e17 ldw r5,376(r2) +811058b8: 013fe034 movhi r4,65408 +811058bc: 00e040b4 movhi r3,33026 +811058c0: 29401a17 ldw r5,104(r5) +811058c4: 290b883a add r5,r5,r4 +811058c8: 19714015 stw r5,-15104(r3) +811058cc: 11405e17 ldw r5,376(r2) +811058d0: 29401b17 ldw r5,108(r5) +811058d4: 2909883a add r4,r5,r4 +811058d8: 19314115 stw r4,-15100(r3) +811058dc: 11005e17 ldw r4,376(r2) +811058e0: 21001c17 ldw r4,112(r4) +811058e4: 19314215 stw r4,-15096(r3) +811058e8: 11005e17 ldw r4,376(r2) +811058ec: 21001d17 ldw r4,116(r4) +811058f0: 19314315 stw r4,-15092(r3) +811058f4: 11005e17 ldw r4,376(r2) +811058f8: 21001e17 ldw r4,120(r4) +811058fc: 19314415 stw r4,-15088(r3) +81105900: 11005e17 ldw r4,376(r2) +81105904: 21004717 ldw r4,284(r4) +81105908: 19314515 stw r4,-15084(r3) +8110590c: 11005e17 ldw r4,376(r2) +81105910: 21004817 ldw r4,288(r4) +81105914: 19314615 stw r4,-15080(r3) +81105918: 003f5606 br 81105674 <__reset+0xfb0e5674> +8110591c: 00a040b4 movhi r2,33026 +81105920: 10315515 stw zero,-15020(r2) +81105924: 10315615 stw zero,-15016(r2) +81105928: 10315715 stw zero,-15012(r2) +8110592c: 10315815 stw zero,-15008(r2) +81105930: 10315915 stw zero,-15004(r2) +81105934: 10315a15 stw zero,-15000(r2) +81105938: 10315b15 stw zero,-14996(r2) +8110593c: 003fce06 br 81105878 <__reset+0xfb0e5878> +81105940: 00e040b4 movhi r3,33026 +81105944: 18314e15 stw zero,-15048(r3) +81105948: 18314f15 stw zero,-15044(r3) +8110594c: 18315015 stw zero,-15040(r3) +81105950: 18315115 stw zero,-15036(r3) +81105954: 18315215 stw zero,-15032(r3) +81105958: 18315315 stw zero,-15028(r3) +8110595c: 18315415 stw zero,-15024(r3) +81105960: 003f9a06 br 811057cc <__reset+0xfb0e57cc> +81105964: 00e040b4 movhi r3,33026 +81105968: 18314715 stw zero,-15076(r3) +8110596c: 18314815 stw zero,-15072(r3) +81105970: 18314915 stw zero,-15068(r3) +81105974: 18314a15 stw zero,-15064(r3) +81105978: 18314b15 stw zero,-15060(r3) +8110597c: 18314c15 stw zero,-15056(r3) +81105980: 18314d15 stw zero,-15052(r3) +81105984: 003f6606 br 81105720 <__reset+0xfb0e5720> +81105988: 00800044 movi r2,1 +8110598c: f800283a ret + +81105990 : +81105990: defffd04 addi sp,sp,-12 +81105994: 21003fcc andi r4,r4,255 +81105998: dc400115 stw r17,4(sp) +8110599c: dc000015 stw r16,0(sp) +811059a0: dfc00215 stw ra,8(sp) +811059a4: 2821883a mov r16,r5 +811059a8: 3023883a mov r17,r6 +811059ac: 1100b080 call 81100b08 +811059b0: 10001026 beq r2,zero,811059f4 +811059b4: 80000f16 blt r16,zero,811059f4 +811059b8: 00802034 movhi r2,128 +811059bc: 14400d36 bltu r2,r17,811059f4 +811059c0: 888000cc andi r2,r17,3 +811059c4: 8822d0ba srli r17,r17,2 +811059c8: 1000101e bne r2,zero,81105a0c +811059cc: 88000726 beq r17,zero,811059ec +811059d0: 8c63883a add r17,r17,r17 +811059d4: 8c63883a add r17,r17,r17 +811059d8: 8c05883a add r2,r17,r16 +811059dc: 800b883a mov r5,r16 +811059e0: 28000015 stw zero,0(r5) +811059e4: 29400104 addi r5,r5,4 +811059e8: 28bffd1e bne r5,r2,811059e0 <__reset+0xfb0e59e0> +811059ec: 00800044 movi r2,1 +811059f0: 00000106 br 811059f8 +811059f4: 0005883a mov r2,zero +811059f8: dfc00217 ldw ra,8(sp) +811059fc: dc400117 ldw r17,4(sp) +81105a00: dc000017 ldw r16,0(sp) +81105a04: dec00304 addi sp,sp,12 +81105a08: f800283a ret +81105a0c: 8c400044 addi r17,r17,1 +81105a10: 003fef06 br 811059d0 <__reset+0xfb0e59d0> + +81105a14 : +81105a14: 21003fcc andi r4,r4,255 +81105a18: 00800144 movi r2,5 +81105a1c: 11001036 bltu r2,r4,81105a60 +81105a20: 30000f16 blt r6,zero,81105a60 +81105a24: 2109883a add r4,r4,r4 +81105a28: 00a04574 movhi r2,33045 +81105a2c: 10a02d04 addi r2,r2,-32588 +81105a30: 2109883a add r4,r4,r4 +81105a34: 1109883a add r4,r2,r4 +81105a38: 28803fcc andi r2,r5,255 +81105a3c: 20c00017 ldw r3,0(r4) +81105a40: 10000926 beq r2,zero,81105a68 +81105a44: 01000044 movi r4,1 +81105a48: 1100051e bne r2,r4,81105a60 +81105a4c: 01200034 movhi r4,32768 +81105a50: 18005c15 stw zero,368(r3) +81105a54: 310d883a add r6,r6,r4 +81105a58: 19805d15 stw r6,372(r3) +81105a5c: f800283a ret +81105a60: 0005883a mov r2,zero 81105a64: f800283a ret - -81105a68 : -81105a68: d0e00003 ldbu r3,-32768(gp) -81105a6c: 00bffdc4 movi r2,-9 -81105a70: 1884703a and r2,r3,r2 -81105a74: 00e00034 movhi r3,32768 -81105a78: d0a00005 stb r2,-32768(gp) -81105a7c: 18c22804 addi r3,r3,2208 -81105a80: 10803fcc andi r2,r2,255 -81105a84: 18800035 stwio r2,0(r3) -81105a88: 00800044 movi r2,1 -81105a8c: f800283a ret - -81105a90 : -81105a90: d0a00003 ldbu r2,-32768(gp) -81105a94: 00e00034 movhi r3,32768 -81105a98: 18c22804 addi r3,r3,2208 -81105a9c: 10800114 ori r2,r2,4 -81105aa0: d0a00005 stb r2,-32768(gp) -81105aa4: 10803fcc andi r2,r2,255 -81105aa8: 18800035 stwio r2,0(r3) -81105aac: 00800044 movi r2,1 -81105ab0: f800283a ret - -81105ab4 : -81105ab4: d0e00003 ldbu r3,-32768(gp) -81105ab8: 00bffec4 movi r2,-5 -81105abc: 1884703a and r2,r3,r2 -81105ac0: 00e00034 movhi r3,32768 -81105ac4: d0a00005 stb r2,-32768(gp) -81105ac8: 18c22804 addi r3,r3,2208 -81105acc: 10803fcc andi r2,r2,255 -81105ad0: 18800035 stwio r2,0(r3) -81105ad4: 00800044 movi r2,1 -81105ad8: f800283a ret - -81105adc : -81105adc: 21003fcc andi r4,r4,255 +81105a68: 18005c15 stw zero,368(r3) +81105a6c: 19805d15 stw r6,372(r3) +81105a70: 00800044 movi r2,1 +81105a74: f800283a ret + +81105a78 : +81105a78: d0a00003 ldbu r2,-32768(gp) +81105a7c: 00e00034 movhi r3,32768 +81105a80: 18c22804 addi r3,r3,2208 +81105a84: 10800214 ori r2,r2,8 +81105a88: d0a00005 stb r2,-32768(gp) +81105a8c: 10803fcc andi r2,r2,255 +81105a90: 18800035 stwio r2,0(r3) +81105a94: 00800044 movi r2,1 +81105a98: f800283a ret + +81105a9c : +81105a9c: d0e00003 ldbu r3,-32768(gp) +81105aa0: 00bffdc4 movi r2,-9 +81105aa4: 1884703a and r2,r3,r2 +81105aa8: 00e00034 movhi r3,32768 +81105aac: d0a00005 stb r2,-32768(gp) +81105ab0: 18c22804 addi r3,r3,2208 +81105ab4: 10803fcc andi r2,r2,255 +81105ab8: 18800035 stwio r2,0(r3) +81105abc: 00800044 movi r2,1 +81105ac0: f800283a ret + +81105ac4 : +81105ac4: d0a00003 ldbu r2,-32768(gp) +81105ac8: 00e00034 movhi r3,32768 +81105acc: 18c22804 addi r3,r3,2208 +81105ad0: 10800114 ori r2,r2,4 +81105ad4: d0a00005 stb r2,-32768(gp) +81105ad8: 10803fcc andi r2,r2,255 +81105adc: 18800035 stwio r2,0(r3) 81105ae0: 00800044 movi r2,1 -81105ae4: 20802126 beq r4,r2,81105b6c -81105ae8: 20001c26 beq r4,zero,81105b5c -81105aec: 00800084 movi r2,2 -81105af0: 20800b26 beq r4,r2,81105b20 -81105af4: 008000c4 movi r2,3 -81105af8: 2080071e bne r4,r2,81105b18 -81105afc: d0a00003 ldbu r2,-32768(gp) -81105b00: 108000d4 ori r2,r2,3 -81105b04: 00e00034 movhi r3,32768 -81105b08: d0a00005 stb r2,-32768(gp) -81105b0c: 18c22804 addi r3,r3,2208 -81105b10: 10803fcc andi r2,r2,255 -81105b14: 18800035 stwio r2,0(r3) -81105b18: 00800044 movi r2,1 -81105b1c: f800283a ret -81105b20: d1200003 ldbu r4,-32768(gp) -81105b24: 00bfff84 movi r2,-2 -81105b28: 00e00034 movhi r3,32768 -81105b2c: 2084703a and r2,r4,r2 -81105b30: d0a00005 stb r2,-32768(gp) -81105b34: 18c22804 addi r3,r3,2208 -81105b38: 10803fcc andi r2,r2,255 -81105b3c: 18800035 stwio r2,0(r3) -81105b40: d0a00003 ldbu r2,-32768(gp) -81105b44: 10800094 ori r2,r2,2 -81105b48: d0a00005 stb r2,-32768(gp) -81105b4c: 10803fcc andi r2,r2,255 -81105b50: 18800035 stwio r2,0(r3) -81105b54: 00800044 movi r2,1 -81105b58: f800283a ret -81105b5c: d0e00003 ldbu r3,-32768(gp) -81105b60: 00bfff04 movi r2,-4 -81105b64: 1884703a and r2,r3,r2 -81105b68: 003fe606 br 81105b04 <__reset+0xfb0e5b04> -81105b6c: d1200003 ldbu r4,-32768(gp) -81105b70: 00bfff44 movi r2,-3 -81105b74: 00e00034 movhi r3,32768 -81105b78: 2084703a and r2,r4,r2 +81105ae4: f800283a ret + +81105ae8 : +81105ae8: d0e00003 ldbu r3,-32768(gp) +81105aec: 00bffec4 movi r2,-5 +81105af0: 1884703a and r2,r3,r2 +81105af4: 00e00034 movhi r3,32768 +81105af8: d0a00005 stb r2,-32768(gp) +81105afc: 18c22804 addi r3,r3,2208 +81105b00: 10803fcc andi r2,r2,255 +81105b04: 18800035 stwio r2,0(r3) +81105b08: 00800044 movi r2,1 +81105b0c: f800283a ret + +81105b10 : +81105b10: 21003fcc andi r4,r4,255 +81105b14: 00800044 movi r2,1 +81105b18: 20802126 beq r4,r2,81105ba0 +81105b1c: 20001c26 beq r4,zero,81105b90 +81105b20: 00800084 movi r2,2 +81105b24: 20800b26 beq r4,r2,81105b54 +81105b28: 008000c4 movi r2,3 +81105b2c: 2080071e bne r4,r2,81105b4c +81105b30: d0a00003 ldbu r2,-32768(gp) +81105b34: 108000d4 ori r2,r2,3 +81105b38: 00e00034 movhi r3,32768 +81105b3c: d0a00005 stb r2,-32768(gp) +81105b40: 18c22804 addi r3,r3,2208 +81105b44: 10803fcc andi r2,r2,255 +81105b48: 18800035 stwio r2,0(r3) +81105b4c: 00800044 movi r2,1 +81105b50: f800283a ret +81105b54: d1200003 ldbu r4,-32768(gp) +81105b58: 00bfff84 movi r2,-2 +81105b5c: 00e00034 movhi r3,32768 +81105b60: 2084703a and r2,r4,r2 +81105b64: d0a00005 stb r2,-32768(gp) +81105b68: 18c22804 addi r3,r3,2208 +81105b6c: 10803fcc andi r2,r2,255 +81105b70: 18800035 stwio r2,0(r3) +81105b74: d0a00003 ldbu r2,-32768(gp) +81105b78: 10800094 ori r2,r2,2 81105b7c: d0a00005 stb r2,-32768(gp) -81105b80: 18c22804 addi r3,r3,2208 -81105b84: 10803fcc andi r2,r2,255 -81105b88: 18800035 stwio r2,0(r3) -81105b8c: d0a00003 ldbu r2,-32768(gp) -81105b90: 10800054 ori r2,r2,1 -81105b94: d0a00005 stb r2,-32768(gp) -81105b98: 10803fcc andi r2,r2,255 -81105b9c: 18800035 stwio r2,0(r3) -81105ba0: 00800044 movi r2,1 -81105ba4: f800283a ret - -81105ba8 : -81105ba8: 00a00034 movhi r2,32768 -81105bac: 1082c404 addi r2,r2,2832 -81105bb0: 00c00044 movi r3,1 -81105bb4: 10c00035 stwio r3,0(r2) -81105bb8: 0005883a mov r2,zero -81105bbc: f800283a ret - -81105bc0 : -81105bc0: 00a00034 movhi r2,32768 -81105bc4: 1082c404 addi r2,r2,2832 -81105bc8: 10000035 stwio zero,0(r2) -81105bcc: 0005883a mov r2,zero -81105bd0: f800283a ret - -81105bd4 : -81105bd4: 00a040b4 movhi r2,33026 -81105bd8: 10f10617 ldw r3,-15336(r2) -81105bdc: deffff04 addi sp,sp,-4 -81105be0: dfc00015 stw ra,0(sp) -81105be4: 18001d1e bne r3,zero,81105c5c -81105be8: 00a040b4 movhi r2,33026 -81105bec: 10f10717 ldw r3,-15332(r2) -81105bf0: 10b10004 addi r2,r2,-15360 -81105bf4: 1800031e bne r3,zero,81105c04 -81105bf8: dfc00017 ldw ra,0(sp) -81105bfc: dec00104 addi sp,sp,4 -81105c00: f800283a ret -81105c04: 00c00044 movi r3,1 -81105c08: 10c00915 stw r3,36(r2) -81105c0c: 11405d17 ldw r5,372(r2) -81105c10: d1207617 ldw r4,-32296(gp) -81105c14: 29403fcc andi r5,r5,255 -81105c18: 29483074 orhi r5,r5,8385 -81105c1c: 1142f580 call 81142f58 -81105c20: 10803fcc andi r2,r2,255 -81105c24: 1000171e bne r2,zero,81105c84 -81105c28: 00a04634 movhi r2,33048 -81105c2c: 108f0804 addi r2,r2,15392 -81105c30: 10800803 ldbu r2,32(r2) -81105c34: 00c00104 movi r3,4 -81105c38: 18bfef36 bltu r3,r2,81105bf8 <__reset+0xfb0e5bf8> -81105c3c: d1e07917 ldw r7,-32284(gp) -81105c40: 01204574 movhi r4,33045 -81105c44: 21202304 addi r4,r4,-32628 -81105c48: 01800444 movi r6,17 -81105c4c: 01400044 movi r5,1 -81105c50: dfc00017 ldw ra,0(sp) -81105c54: dec00104 addi sp,sp,4 -81105c58: 112bc4c1 jmpi 8112bc4c -81105c5c: d1207617 ldw r4,-32296(gp) -81105c60: 10b10004 addi r2,r2,-15360 -81105c64: 00c00044 movi r3,1 -81105c68: 10c00815 stw r3,32(r2) -81105c6c: 01482d34 movhi r5,8372 -81105c70: 1142f580 call 81142f58 -81105c74: 10803fcc andi r2,r2,255 -81105c78: 103fdb26 beq r2,zero,81105be8 <__reset+0xfb0e5be8> -81105c7c: 11257340 call 81125734 -81105c80: 003fd906 br 81105be8 <__reset+0xfb0e5be8> -81105c84: 11257640 call 81125764 -81105c88: 003fe706 br 81105c28 <__reset+0xfb0e5c28> - -81105c8c : -81105c8c: 00a040b4 movhi r2,33026 -81105c90: 10f10c17 ldw r3,-15312(r2) -81105c94: deffff04 addi sp,sp,-4 -81105c98: dfc00015 stw ra,0(sp) -81105c9c: 18001d1e bne r3,zero,81105d14 -81105ca0: 00a040b4 movhi r2,33026 -81105ca4: 10f10d17 ldw r3,-15308(r2) -81105ca8: 10b10004 addi r2,r2,-15360 -81105cac: 1800031e bne r3,zero,81105cbc -81105cb0: dfc00017 ldw ra,0(sp) -81105cb4: dec00104 addi sp,sp,4 -81105cb8: f800283a ret -81105cbc: 00c00044 movi r3,1 -81105cc0: 10c00f15 stw r3,60(r2) -81105cc4: 11405d17 ldw r5,372(r2) -81105cc8: d1208617 ldw r4,-32232(gp) -81105ccc: 29403fcc andi r5,r5,255 -81105cd0: 29503074 orhi r5,r5,16577 -81105cd4: 1142f580 call 81142f58 -81105cd8: 10803fcc andi r2,r2,255 -81105cdc: 1000171e bne r2,zero,81105d3c -81105ce0: 00a04634 movhi r2,33048 -81105ce4: 108f0804 addi r2,r2,15392 -81105ce8: 10800803 ldbu r2,32(r2) -81105cec: 00c00104 movi r3,4 -81105cf0: 18bfef36 bltu r3,r2,81105cb0 <__reset+0xfb0e5cb0> -81105cf4: d1e07917 ldw r7,-32284(gp) -81105cf8: 01204574 movhi r4,33045 -81105cfc: 21202804 addi r4,r4,-32608 -81105d00: 01800444 movi r6,17 -81105d04: 01400044 movi r5,1 -81105d08: dfc00017 ldw ra,0(sp) -81105d0c: dec00104 addi sp,sp,4 -81105d10: 112bc4c1 jmpi 8112bc4c -81105d14: d1208617 ldw r4,-32232(gp) -81105d18: 10b10004 addi r2,r2,-15360 -81105d1c: 00c00044 movi r3,1 -81105d20: 10c00e15 stw r3,56(r2) -81105d24: 01502cb4 movhi r5,16562 -81105d28: 1142f580 call 81142f58 -81105d2c: 10803fcc andi r2,r2,255 -81105d30: 103fdb26 beq r2,zero,81105ca0 <__reset+0xfb0e5ca0> -81105d34: 11256d40 call 811256d4 -81105d38: 003fd906 br 81105ca0 <__reset+0xfb0e5ca0> -81105d3c: 11257040 call 81125704 -81105d40: 003fe706 br 81105ce0 <__reset+0xfb0e5ce0> - -81105d44 : -81105d44: deffff04 addi sp,sp,-4 -81105d48: dfc00015 stw ra,0(sp) -81105d4c: 00c00044 movi r3,1 -81105d50: 00a040b4 movhi r2,33026 -81105d54: 10f10815 stw r3,-15328(r2) -81105d58: 01a04434 movhi r6,33040 -81105d5c: 3196f504 addi r6,r6,23508 -81105d60: 10f10915 stw r3,-15324(r2) -81105d64: d1605f04 addi r5,gp,-32388 -81105d68: 01000084 movi r4,2 -81105d6c: 113f3bc0 call 8113f3bc -81105d70: 1005003a cmpeq r2,r2,zero -81105d74: dfc00017 ldw ra,0(sp) -81105d78: dec00104 addi sp,sp,4 -81105d7c: f800283a ret - -81105d80 : -81105d80: deffff04 addi sp,sp,-4 -81105d84: dfc00015 stw ra,0(sp) -81105d88: 00c00044 movi r3,1 -81105d8c: 00a040b4 movhi r2,33026 -81105d90: 10f10e15 stw r3,-15304(r2) -81105d94: 01a04434 movhi r6,33040 -81105d98: 31972304 addi r6,r6,23692 -81105d9c: 10f10f15 stw r3,-15300(r2) -81105da0: d1605e04 addi r5,gp,-32392 -81105da4: 010000c4 movi r4,3 -81105da8: 113f3bc0 call 8113f3bc -81105dac: 1005003a cmpeq r2,r2,zero -81105db0: dfc00017 ldw ra,0(sp) -81105db4: dec00104 addi sp,sp,4 -81105db8: f800283a ret - -81105dbc : -81105dbc: d8800217 ldw r2,8(sp) -81105dc0: 02010034 movhi r8,1024 -81105dc4: 423ff804 addi r8,r8,-32 -81105dc8: 10fff804 addi r3,r2,-32 -81105dcc: da400017 ldw r9,0(sp) -81105dd0: da800117 ldw r10,4(sp) -81105dd4: 40c00d36 bltu r8,r3,81105e0c -81105dd8: 10c007cc andi r3,r2,31 -81105ddc: 18002926 beq r3,zero,81105e84 -81105de0: 00fff804 movi r3,-32 -81105de4: 10c4703a and r2,r2,r3 -81105de8: 10800804 addi r2,r2,32 -81105dec: 00e040b4 movhi r3,33026 -81105df0: 18b13115 stw r2,-15164(r3) -81105df4: 21003fcc andi r4,r4,255 -81105df8: 00800144 movi r2,5 -81105dfc: 00c00044 movi r3,1 -81105e00: 1100062e bgeu r2,r4,81105e1c -81105e04: 0005883a mov r2,zero -81105e08: f800283a ret -81105e0c: 0007883a mov r3,zero -81105e10: 21003fcc andi r4,r4,255 -81105e14: 00800144 movi r2,5 -81105e18: 113ffa36 bltu r2,r4,81105e04 <__reset+0xfb0e5e04> -81105e1c: 29403fcc andi r5,r5,255 -81105e20: 008000c4 movi r2,3 -81105e24: 117ff736 bltu r2,r5,81105e04 <__reset+0xfb0e5e04> -81105e28: 31803fcc andi r6,r6,255 -81105e2c: 00800044 movi r2,1 -81105e30: 11bff436 bltu r2,r6,81105e04 <__reset+0xfb0e5e04> -81105e34: 4a7fffcc andi r9,r9,65535 -81105e38: 02023dc4 movi r8,2295 -81105e3c: 427ff136 bltu r8,r9,81105e04 <__reset+0xfb0e5e04> -81105e40: 52bfffcc andi r10,r10,65535 -81105e44: 0204b284 movi r8,4810 -81105e48: 42bfee36 bltu r8,r10,81105e04 <__reset+0xfb0e5e04> -81105e4c: 183fed26 beq r3,zero,81105e04 <__reset+0xfb0e5e04> -81105e50: 00e040b4 movhi r3,33026 -81105e54: 19311115 stw r4,-15292(r3) -81105e58: 19711215 stw r5,-15288(r3) -81105e5c: 19b11315 stw r6,-15284(r3) -81105e60: 39ffffcc andi r7,r7,65535 -81105e64: 19f11615 stw r7,-15272(r3) -81105e68: 1a711515 stw r9,-15276(r3) -81105e6c: 1ab11415 stw r10,-15280(r3) -81105e70: 0103e804 movi r4,4000 -81105e74: 19311015 stw r4,-15296(r3) -81105e78: 18313215 stw zero,-15160(r3) -81105e7c: 18b11715 stw r2,-15268(r3) -81105e80: f800283a ret -81105e84: 022040b4 movhi r8,33026 -81105e88: 00c00044 movi r3,1 -81105e8c: 40b13115 stw r2,-15164(r8) -81105e90: 003fdf06 br 81105e10 <__reset+0xfb0e5e10> - -81105e94 : -81105e94: 21003fcc andi r4,r4,255 -81105e98: 00800144 movi r2,5 -81105e9c: 11001736 bltu r2,r4,81105efc -81105ea0: 297fffcc andi r5,r5,65535 -81105ea4: 00823dc4 movi r2,2295 -81105ea8: 11401436 bltu r2,r5,81105efc -81105eac: 31bfffcc andi r6,r6,65535 -81105eb0: 0084b284 movi r2,4810 -81105eb4: 11801136 bltu r2,r6,81105efc -81105eb8: 00802034 movhi r2,128 -81105ebc: 11c00f36 bltu r2,r7,81105efc -81105ec0: 00e040b4 movhi r3,33026 -81105ec4: 19312415 stw r4,-15216(r3) -81105ec8: 18312515 stw zero,-15212(r3) -81105ecc: 18312615 stw zero,-15208(r3) -81105ed0: 18312915 stw zero,-15196(r3) -81105ed4: 19712815 stw r5,-15200(r3) -81105ed8: 19b12715 stw r6,-15204(r3) -81105edc: 0083e804 movi r2,4000 -81105ee0: 18b12b15 stw r2,-15188(r3) -81105ee4: 39c08004 addi r7,r7,512 -81105ee8: 19f12a15 stw r7,-15192(r3) -81105eec: 00800044 movi r2,1 -81105ef0: 18313315 stw zero,-15156(r3) -81105ef4: 18b12c15 stw r2,-15184(r3) -81105ef8: f800283a ret -81105efc: 0005883a mov r2,zero -81105f00: f800283a ret - -81105f04 : -81105f04: 00c00044 movi r3,1 -81105f08: 00a040b4 movhi r2,33026 -81105f0c: 10f11915 stw r3,-15260(r2) -81105f10: f800283a ret - -81105f14 : -81105f14: 00c00044 movi r3,1 -81105f18: 00a040b4 movhi r2,33026 -81105f1c: 10f12e15 stw r3,-15176(r2) -81105f20: f800283a ret - -81105f24 : -81105f24: 00a040b4 movhi r2,33026 -81105f28: 10b10004 addi r2,r2,-15360 -81105f2c: 10805d17 ldw r2,372(r2) -81105f30: f800283a ret - -81105f34 : -81105f34: 00a040b4 movhi r2,33026 -81105f38: 10b10004 addi r2,r2,-15360 -81105f3c: 10806717 ldw r2,412(r2) -81105f40: f800283a ret - -81105f44 : -81105f44: 00a040b4 movhi r2,33026 -81105f48: 10b10004 addi r2,r2,-15360 -81105f4c: 10807017 ldw r2,448(r2) -81105f50: f800283a ret - -81105f54 : -81105f54: 00a040b4 movhi r2,33026 -81105f58: 10b10004 addi r2,r2,-15360 -81105f5c: 10807417 ldw r2,464(r2) -81105f60: f800283a ret - -81105f64 : -81105f64: defffe04 addi sp,sp,-8 -81105f68: dc000015 stw r16,0(sp) -81105f6c: 04200034 movhi r16,32768 -81105f70: dfc00115 stw ra,4(sp) -81105f74: 8402c004 addi r16,r16,2816 -81105f78: 00800044 movi r2,1 -81105f7c: 80800035 stwio r2,0(r16) -81105f80: 113f9c40 call 8113f9c4 -81105f84: 80000035 stwio zero,0(r16) -81105f88: dfc00117 ldw ra,4(sp) -81105f8c: dc000017 ldw r16,0(sp) -81105f90: dec00204 addi sp,sp,8 +81105b80: 10803fcc andi r2,r2,255 +81105b84: 18800035 stwio r2,0(r3) +81105b88: 00800044 movi r2,1 +81105b8c: f800283a ret +81105b90: d0e00003 ldbu r3,-32768(gp) +81105b94: 00bfff04 movi r2,-4 +81105b98: 1884703a and r2,r3,r2 +81105b9c: 003fe606 br 81105b38 <__reset+0xfb0e5b38> +81105ba0: d1200003 ldbu r4,-32768(gp) +81105ba4: 00bfff44 movi r2,-3 +81105ba8: 00e00034 movhi r3,32768 +81105bac: 2084703a and r2,r4,r2 +81105bb0: d0a00005 stb r2,-32768(gp) +81105bb4: 18c22804 addi r3,r3,2208 +81105bb8: 10803fcc andi r2,r2,255 +81105bbc: 18800035 stwio r2,0(r3) +81105bc0: d0a00003 ldbu r2,-32768(gp) +81105bc4: 10800054 ori r2,r2,1 +81105bc8: d0a00005 stb r2,-32768(gp) +81105bcc: 10803fcc andi r2,r2,255 +81105bd0: 18800035 stwio r2,0(r3) +81105bd4: 00800044 movi r2,1 +81105bd8: f800283a ret + +81105bdc : +81105bdc: 00a00034 movhi r2,32768 +81105be0: 1082c404 addi r2,r2,2832 +81105be4: 00c00044 movi r3,1 +81105be8: 10c00035 stwio r3,0(r2) +81105bec: 0005883a mov r2,zero +81105bf0: f800283a ret + +81105bf4 : +81105bf4: 00a00034 movhi r2,32768 +81105bf8: 1082c404 addi r2,r2,2832 +81105bfc: 10000035 stwio zero,0(r2) +81105c00: 0005883a mov r2,zero +81105c04: f800283a ret + +81105c08 : +81105c08: 00a040b4 movhi r2,33026 +81105c0c: 10f10617 ldw r3,-15336(r2) +81105c10: deffff04 addi sp,sp,-4 +81105c14: dfc00015 stw ra,0(sp) +81105c18: 18001d1e bne r3,zero,81105c90 +81105c1c: 00a040b4 movhi r2,33026 +81105c20: 10f10717 ldw r3,-15332(r2) +81105c24: 10b10004 addi r2,r2,-15360 +81105c28: 1800031e bne r3,zero,81105c38 +81105c2c: dfc00017 ldw ra,0(sp) +81105c30: dec00104 addi sp,sp,4 +81105c34: f800283a ret +81105c38: 00c00044 movi r3,1 +81105c3c: 10c00915 stw r3,36(r2) +81105c40: 11405d17 ldw r5,372(r2) +81105c44: d1207617 ldw r4,-32296(gp) +81105c48: 29403fcc andi r5,r5,255 +81105c4c: 29483074 orhi r5,r5,8385 +81105c50: 1142f980 call 81142f98 +81105c54: 10803fcc andi r2,r2,255 +81105c58: 1000171e bne r2,zero,81105cb8 +81105c5c: 00a04634 movhi r2,33048 +81105c60: 108f1904 addi r2,r2,15460 +81105c64: 10800803 ldbu r2,32(r2) +81105c68: 00c00104 movi r3,4 +81105c6c: 18bfef36 bltu r3,r2,81105c2c <__reset+0xfb0e5c2c> +81105c70: d1e07917 ldw r7,-32284(gp) +81105c74: 01204574 movhi r4,33045 +81105c78: 21203304 addi r4,r4,-32564 +81105c7c: 01800444 movi r6,17 +81105c80: 01400044 movi r5,1 +81105c84: dfc00017 ldw ra,0(sp) +81105c88: dec00104 addi sp,sp,4 +81105c8c: 112bc8c1 jmpi 8112bc8c +81105c90: d1207617 ldw r4,-32296(gp) +81105c94: 10b10004 addi r2,r2,-15360 +81105c98: 00c00044 movi r3,1 +81105c9c: 10c00815 stw r3,32(r2) +81105ca0: 01482d34 movhi r5,8372 +81105ca4: 1142f980 call 81142f98 +81105ca8: 10803fcc andi r2,r2,255 +81105cac: 103fdb26 beq r2,zero,81105c1c <__reset+0xfb0e5c1c> +81105cb0: 11257740 call 81125774 +81105cb4: 003fd906 br 81105c1c <__reset+0xfb0e5c1c> +81105cb8: 11257a40 call 811257a4 +81105cbc: 003fe706 br 81105c5c <__reset+0xfb0e5c5c> + +81105cc0 : +81105cc0: 00a040b4 movhi r2,33026 +81105cc4: 10f10c17 ldw r3,-15312(r2) +81105cc8: deffff04 addi sp,sp,-4 +81105ccc: dfc00015 stw ra,0(sp) +81105cd0: 18001d1e bne r3,zero,81105d48 +81105cd4: 00a040b4 movhi r2,33026 +81105cd8: 10f10d17 ldw r3,-15308(r2) +81105cdc: 10b10004 addi r2,r2,-15360 +81105ce0: 1800031e bne r3,zero,81105cf0 +81105ce4: dfc00017 ldw ra,0(sp) +81105ce8: dec00104 addi sp,sp,4 +81105cec: f800283a ret +81105cf0: 00c00044 movi r3,1 +81105cf4: 10c00f15 stw r3,60(r2) +81105cf8: 11405d17 ldw r5,372(r2) +81105cfc: d1208617 ldw r4,-32232(gp) +81105d00: 29403fcc andi r5,r5,255 +81105d04: 29503074 orhi r5,r5,16577 +81105d08: 1142f980 call 81142f98 +81105d0c: 10803fcc andi r2,r2,255 +81105d10: 1000171e bne r2,zero,81105d70 +81105d14: 00a04634 movhi r2,33048 +81105d18: 108f1904 addi r2,r2,15460 +81105d1c: 10800803 ldbu r2,32(r2) +81105d20: 00c00104 movi r3,4 +81105d24: 18bfef36 bltu r3,r2,81105ce4 <__reset+0xfb0e5ce4> +81105d28: d1e07917 ldw r7,-32284(gp) +81105d2c: 01204574 movhi r4,33045 +81105d30: 21203804 addi r4,r4,-32544 +81105d34: 01800444 movi r6,17 +81105d38: 01400044 movi r5,1 +81105d3c: dfc00017 ldw ra,0(sp) +81105d40: dec00104 addi sp,sp,4 +81105d44: 112bc8c1 jmpi 8112bc8c +81105d48: d1208617 ldw r4,-32232(gp) +81105d4c: 10b10004 addi r2,r2,-15360 +81105d50: 00c00044 movi r3,1 +81105d54: 10c00e15 stw r3,56(r2) +81105d58: 01502cb4 movhi r5,16562 +81105d5c: 1142f980 call 81142f98 +81105d60: 10803fcc andi r2,r2,255 +81105d64: 103fdb26 beq r2,zero,81105cd4 <__reset+0xfb0e5cd4> +81105d68: 11257140 call 81125714 +81105d6c: 003fd906 br 81105cd4 <__reset+0xfb0e5cd4> +81105d70: 11257440 call 81125744 +81105d74: 003fe706 br 81105d14 <__reset+0xfb0e5d14> + +81105d78 : +81105d78: deffff04 addi sp,sp,-4 +81105d7c: dfc00015 stw ra,0(sp) +81105d80: 00c00044 movi r3,1 +81105d84: 00a040b4 movhi r2,33026 +81105d88: 10f10815 stw r3,-15328(r2) +81105d8c: 01a04434 movhi r6,33040 +81105d90: 31970204 addi r6,r6,23560 +81105d94: 10f10915 stw r3,-15324(r2) +81105d98: d1605f04 addi r5,gp,-32388 +81105d9c: 01000084 movi r4,2 +81105da0: 113f3fc0 call 8113f3fc +81105da4: 1005003a cmpeq r2,r2,zero +81105da8: dfc00017 ldw ra,0(sp) +81105dac: dec00104 addi sp,sp,4 +81105db0: f800283a ret + +81105db4 : +81105db4: deffff04 addi sp,sp,-4 +81105db8: dfc00015 stw ra,0(sp) +81105dbc: 00c00044 movi r3,1 +81105dc0: 00a040b4 movhi r2,33026 +81105dc4: 10f10e15 stw r3,-15304(r2) +81105dc8: 01a04434 movhi r6,33040 +81105dcc: 31973004 addi r6,r6,23744 +81105dd0: 10f10f15 stw r3,-15300(r2) +81105dd4: d1605e04 addi r5,gp,-32392 +81105dd8: 010000c4 movi r4,3 +81105ddc: 113f3fc0 call 8113f3fc +81105de0: 1005003a cmpeq r2,r2,zero +81105de4: dfc00017 ldw ra,0(sp) +81105de8: dec00104 addi sp,sp,4 +81105dec: f800283a ret + +81105df0 : +81105df0: d8800217 ldw r2,8(sp) +81105df4: 02010034 movhi r8,1024 +81105df8: 423ff804 addi r8,r8,-32 +81105dfc: 10fff804 addi r3,r2,-32 +81105e00: da400017 ldw r9,0(sp) +81105e04: da800117 ldw r10,4(sp) +81105e08: 40c00d36 bltu r8,r3,81105e40 +81105e0c: 10c007cc andi r3,r2,31 +81105e10: 18002926 beq r3,zero,81105eb8 +81105e14: 00fff804 movi r3,-32 +81105e18: 10c4703a and r2,r2,r3 +81105e1c: 10800804 addi r2,r2,32 +81105e20: 00e040b4 movhi r3,33026 +81105e24: 18b13115 stw r2,-15164(r3) +81105e28: 21003fcc andi r4,r4,255 +81105e2c: 00800144 movi r2,5 +81105e30: 00c00044 movi r3,1 +81105e34: 1100062e bgeu r2,r4,81105e50 +81105e38: 0005883a mov r2,zero +81105e3c: f800283a ret +81105e40: 0007883a mov r3,zero +81105e44: 21003fcc andi r4,r4,255 +81105e48: 00800144 movi r2,5 +81105e4c: 113ffa36 bltu r2,r4,81105e38 <__reset+0xfb0e5e38> +81105e50: 29403fcc andi r5,r5,255 +81105e54: 008000c4 movi r2,3 +81105e58: 117ff736 bltu r2,r5,81105e38 <__reset+0xfb0e5e38> +81105e5c: 31803fcc andi r6,r6,255 +81105e60: 00800044 movi r2,1 +81105e64: 11bff436 bltu r2,r6,81105e38 <__reset+0xfb0e5e38> +81105e68: 4a7fffcc andi r9,r9,65535 +81105e6c: 02023dc4 movi r8,2295 +81105e70: 427ff136 bltu r8,r9,81105e38 <__reset+0xfb0e5e38> +81105e74: 52bfffcc andi r10,r10,65535 +81105e78: 0204b284 movi r8,4810 +81105e7c: 42bfee36 bltu r8,r10,81105e38 <__reset+0xfb0e5e38> +81105e80: 183fed26 beq r3,zero,81105e38 <__reset+0xfb0e5e38> +81105e84: 00e040b4 movhi r3,33026 +81105e88: 19311115 stw r4,-15292(r3) +81105e8c: 19711215 stw r5,-15288(r3) +81105e90: 19b11315 stw r6,-15284(r3) +81105e94: 39ffffcc andi r7,r7,65535 +81105e98: 19f11615 stw r7,-15272(r3) +81105e9c: 1a711515 stw r9,-15276(r3) +81105ea0: 1ab11415 stw r10,-15280(r3) +81105ea4: 0103e804 movi r4,4000 +81105ea8: 19311015 stw r4,-15296(r3) +81105eac: 18313215 stw zero,-15160(r3) +81105eb0: 18b11715 stw r2,-15268(r3) +81105eb4: f800283a ret +81105eb8: 022040b4 movhi r8,33026 +81105ebc: 00c00044 movi r3,1 +81105ec0: 40b13115 stw r2,-15164(r8) +81105ec4: 003fdf06 br 81105e44 <__reset+0xfb0e5e44> + +81105ec8 : +81105ec8: 21003fcc andi r4,r4,255 +81105ecc: 00800144 movi r2,5 +81105ed0: 11001736 bltu r2,r4,81105f30 +81105ed4: 297fffcc andi r5,r5,65535 +81105ed8: 00823dc4 movi r2,2295 +81105edc: 11401436 bltu r2,r5,81105f30 +81105ee0: 31bfffcc andi r6,r6,65535 +81105ee4: 0084b284 movi r2,4810 +81105ee8: 11801136 bltu r2,r6,81105f30 +81105eec: 00802034 movhi r2,128 +81105ef0: 11c00f36 bltu r2,r7,81105f30 +81105ef4: 00e040b4 movhi r3,33026 +81105ef8: 19312415 stw r4,-15216(r3) +81105efc: 18312515 stw zero,-15212(r3) +81105f00: 18312615 stw zero,-15208(r3) +81105f04: 18312915 stw zero,-15196(r3) +81105f08: 19712815 stw r5,-15200(r3) +81105f0c: 19b12715 stw r6,-15204(r3) +81105f10: 0083e804 movi r2,4000 +81105f14: 18b12b15 stw r2,-15188(r3) +81105f18: 39c08004 addi r7,r7,512 +81105f1c: 19f12a15 stw r7,-15192(r3) +81105f20: 00800044 movi r2,1 +81105f24: 18313315 stw zero,-15156(r3) +81105f28: 18b12c15 stw r2,-15184(r3) +81105f2c: f800283a ret +81105f30: 0005883a mov r2,zero +81105f34: f800283a ret + +81105f38 : +81105f38: 00c00044 movi r3,1 +81105f3c: 00a040b4 movhi r2,33026 +81105f40: 10f11915 stw r3,-15260(r2) +81105f44: f800283a ret + +81105f48 : +81105f48: 00c00044 movi r3,1 +81105f4c: 00a040b4 movhi r2,33026 +81105f50: 10f12e15 stw r3,-15176(r2) +81105f54: f800283a ret + +81105f58 : +81105f58: 00a040b4 movhi r2,33026 +81105f5c: 10b10004 addi r2,r2,-15360 +81105f60: 10805d17 ldw r2,372(r2) +81105f64: f800283a ret + +81105f68 : +81105f68: 00a040b4 movhi r2,33026 +81105f6c: 10b10004 addi r2,r2,-15360 +81105f70: 10806717 ldw r2,412(r2) +81105f74: f800283a ret + +81105f78 : +81105f78: 00a040b4 movhi r2,33026 +81105f7c: 10b10004 addi r2,r2,-15360 +81105f80: 10807017 ldw r2,448(r2) +81105f84: f800283a ret + +81105f88 : +81105f88: 00a040b4 movhi r2,33026 +81105f8c: 10b10004 addi r2,r2,-15360 +81105f90: 10807417 ldw r2,464(r2) 81105f94: f800283a ret -81105f98 : -81105f98: 00c00044 movi r3,1 -81105f9c: 00a040b4 movhi r2,33026 -81105fa0: 10f10115 stw r3,-15356(r2) -81105fa4: f800283a ret - -81105fa8 : -81105fa8: 00a040b4 movhi r2,33026 -81105fac: 00c00044 movi r3,1 -81105fb0: 10b10004 addi r2,r2,-15360 -81105fb4: 10c00015 stw r3,0(r2) -81105fb8: f800283a ret - -81105fbc : -81105fbc: 00c00044 movi r3,1 -81105fc0: 00a040b4 movhi r2,33026 -81105fc4: 10f10215 stw r3,-15352(r2) +81105f98 : +81105f98: defffe04 addi sp,sp,-8 +81105f9c: dc000015 stw r16,0(sp) +81105fa0: 04200034 movhi r16,32768 +81105fa4: dfc00115 stw ra,4(sp) +81105fa8: 8402c004 addi r16,r16,2816 +81105fac: 00800044 movi r2,1 +81105fb0: 80800035 stwio r2,0(r16) +81105fb4: 113fa040 call 8113fa04 +81105fb8: 80000035 stwio zero,0(r16) +81105fbc: dfc00117 ldw ra,4(sp) +81105fc0: dc000017 ldw r16,0(sp) +81105fc4: dec00204 addi sp,sp,8 81105fc8: f800283a ret -81105fcc : -81105fcc: 00a040b4 movhi r2,33026 -81105fd0: 00c00044 movi r3,1 -81105fd4: 10f11815 stw r3,-15264(r2) -81105fd8: 10f12d15 stw r3,-15180(r2) -81105fdc: f800283a ret - -81105fe0 : -81105fe0: 00a040b4 movhi r2,33026 -81105fe4: 11310315 stw r4,-15348(r2) -81105fe8: f800283a ret - -81105fec : -81105fec: 00a040b4 movhi r2,33026 -81105ff0: 11310415 stw r4,-15344(r2) -81105ff4: f800283a ret - -81105ff8 : -81105ff8: 00a040b4 movhi r2,33026 -81105ffc: 11310515 stw r4,-15340(r2) -81106000: f800283a ret - -81106004 : -81106004: 00a040b4 movhi r2,33026 -81106008: 11310a15 stw r4,-15320(r2) -8110600c: f800283a ret - -81106010 : -81106010: 00a040b4 movhi r2,33026 -81106014: 11310b15 stw r4,-15316(r2) -81106018: f800283a ret - -8110601c : -8110601c: defffb04 addi sp,sp,-20 -81106020: dc800215 stw r18,8(sp) -81106024: dc400115 stw r17,4(sp) -81106028: dc000015 stw r16,0(sp) -8110602c: dfc00415 stw ra,16(sp) -81106030: dcc00315 stw r19,12(sp) -81106034: 2025883a mov r18,r4 -81106038: 2823883a mov r17,r5 -8110603c: 04000044 movi r16,1 -81106040: 2c000135 stwio r16,4(r5) -81106044: 2c000035 stwio r16,0(r5) -81106048: 24000035 stwio r16,0(r4) -8110604c: 8009883a mov r4,r16 -81106050: 113f9c40 call 8113f9c4 -81106054: 0027883a mov r19,zero -81106058: 8cc00035 stwio r19,0(r17) -8110605c: 8009883a mov r4,r16 -81106060: 113f9c40 call 8113f9c4 -81106064: 94c00035 stwio r19,0(r18) -81106068: 8009883a mov r4,r16 -8110606c: dfc00417 ldw ra,16(sp) -81106070: dcc00317 ldw r19,12(sp) -81106074: dc800217 ldw r18,8(sp) -81106078: dc400117 ldw r17,4(sp) -8110607c: dc000017 ldw r16,0(sp) -81106080: dec00504 addi sp,sp,20 -81106084: 113f9c41 jmpi 8113f9c4 - -81106088 : -81106088: defffd04 addi sp,sp,-12 -8110608c: dc400115 stw r17,4(sp) -81106090: dc000015 stw r16,0(sp) -81106094: dfc00215 stw ra,8(sp) -81106098: 2823883a mov r17,r5 -8110609c: 04000044 movi r16,1 -811060a0: 2c000135 stwio r16,4(r5) -811060a4: 28000035 stwio zero,0(r5) -811060a8: 24000035 stwio r16,0(r4) -811060ac: 8009883a mov r4,r16 -811060b0: 113f9c40 call 8113f9c4 -811060b4: 8c000035 stwio r16,0(r17) -811060b8: 8009883a mov r4,r16 -811060bc: dfc00217 ldw ra,8(sp) -811060c0: dc400117 ldw r17,4(sp) -811060c4: dc000017 ldw r16,0(sp) -811060c8: dec00304 addi sp,sp,12 -811060cc: 113f9c41 jmpi 8113f9c4 - -811060d0 : -811060d0: defff704 addi sp,sp,-36 -811060d4: dd800615 stw r22,24(sp) -811060d8: dd400515 stw r21,20(sp) -811060dc: dd000415 stw r20,16(sp) -811060e0: dcc00315 stw r19,12(sp) -811060e4: dc400115 stw r17,4(sp) -811060e8: dfc00815 stw ra,32(sp) -811060ec: ddc00715 stw r23,28(sp) -811060f0: dc800215 stw r18,8(sp) -811060f4: dc000015 stw r16,0(sp) -811060f8: 2829883a mov r20,r5 -811060fc: 2023883a mov r17,r4 -81106100: 302b883a mov r21,r6 -81106104: 2d800104 addi r22,r5,4 -81106108: 04c00044 movi r19,1 -8110610c: b4c00035 stwio r19,0(r22) -81106110: 04800204 movi r18,8 -81106114: 043fe004 movi r16,-128 -81106118: 00000c06 br 8110614c -8110611c: a4c00035 stwio r19,0(r20) -81106120: 84003fcc andi r16,r16,255 -81106124: 8020d07a srli r16,r16,1 -81106128: 05c00044 movi r23,1 -8110612c: 8cc00035 stwio r19,0(r17) -81106130: b809883a mov r4,r23 -81106134: 113f9c40 call 8113f9c4 -81106138: 88000035 stwio zero,0(r17) -8110613c: 94bfffc4 addi r18,r18,-1 -81106140: b809883a mov r4,r23 -81106144: 113f9c40 call 8113f9c4 -81106148: 90000626 beq r18,zero,81106164 -8110614c: 88000035 stwio zero,0(r17) -81106150: ac04703a and r2,r21,r16 -81106154: 10803fcc andi r2,r2,255 -81106158: 103ff01e bne r2,zero,8110611c <__reset+0xfb0e611c> -8110615c: a0000035 stwio zero,0(r20) -81106160: 003fef06 br 81106120 <__reset+0xfb0e6120> -81106164: b0000035 stwio zero,0(r22) -81106168: 8dc00035 stwio r23,0(r17) -8110616c: b809883a mov r4,r23 -81106170: 113f9c40 call 8113f9c4 -81106174: a4000037 ldwio r16,0(r20) -81106178: 88000035 stwio zero,0(r17) -8110617c: b809883a mov r4,r23 -81106180: 113f9c40 call 8113f9c4 -81106184: 8005003a cmpeq r2,r16,zero -81106188: dfc00817 ldw ra,32(sp) -8110618c: ddc00717 ldw r23,28(sp) -81106190: dd800617 ldw r22,24(sp) -81106194: dd400517 ldw r21,20(sp) -81106198: dd000417 ldw r20,16(sp) -8110619c: dcc00317 ldw r19,12(sp) -811061a0: dc800217 ldw r18,8(sp) -811061a4: dc400117 ldw r17,4(sp) -811061a8: dc000017 ldw r16,0(sp) -811061ac: dec00904 addi sp,sp,36 -811061b0: f800283a ret - -811061b4 : -811061b4: defffc04 addi sp,sp,-16 -811061b8: dfc00315 stw ra,12(sp) -811061bc: dc800215 stw r18,8(sp) -811061c0: dc400115 stw r17,4(sp) -811061c4: dc000015 stw r16,0(sp) -811061c8: 2823883a mov r17,r5 -811061cc: 2021883a mov r16,r4 -811061d0: 3025883a mov r18,r6 -811061d4: 110601c0 call 8110601c -811061d8: 91803fcc andi r6,r18,255 -811061dc: 880b883a mov r5,r17 -811061e0: 8009883a mov r4,r16 -811061e4: 11060d00 call 811060d0 -811061e8: 880b883a mov r5,r17 -811061ec: 8009883a mov r4,r16 -811061f0: 1025883a mov r18,r2 -811061f4: 11060880 call 81106088 -811061f8: 0106d604 movi r4,7000 -811061fc: 113f9c40 call 8113f9c4 -81106200: 9004c03a cmpne r2,r18,zero -81106204: dfc00317 ldw ra,12(sp) -81106208: dc800217 ldw r18,8(sp) -8110620c: dc400117 ldw r17,4(sp) -81106210: dc000017 ldw r16,0(sp) -81106214: dec00404 addi sp,sp,16 -81106218: f800283a ret - -8110621c : -8110621c: defffa04 addi sp,sp,-24 -81106220: dd000415 stw r20,16(sp) -81106224: dcc00315 stw r19,12(sp) -81106228: dc800215 stw r18,8(sp) -8110622c: dc400115 stw r17,4(sp) -81106230: dc000015 stw r16,0(sp) -81106234: dfc00515 stw ra,20(sp) -81106238: 2021883a mov r16,r4 -8110623c: 2823883a mov r17,r5 -81106240: 3025883a mov r18,r6 -81106244: 3827883a mov r19,r7 -81106248: dd000617 ldw r20,24(sp) -8110624c: 110601c0 call 8110601c -81106250: 91803fcc andi r6,r18,255 -81106254: 880b883a mov r5,r17 -81106258: 8009883a mov r4,r16 -8110625c: 11060d00 call 811060d0 -81106260: 10000526 beq r2,zero,81106278 -81106264: 99803fcc andi r6,r19,255 -81106268: 880b883a mov r5,r17 -8110626c: 8009883a mov r4,r16 -81106270: 11060d00 call 811060d0 -81106274: 10000f1e bne r2,zero,811062b4 -81106278: 0025883a mov r18,zero -8110627c: 8009883a mov r4,r16 -81106280: 880b883a mov r5,r17 -81106284: 11060880 call 81106088 -81106288: 0106d604 movi r4,7000 -8110628c: 113f9c40 call 8113f9c4 -81106290: 9005883a mov r2,r18 -81106294: dfc00517 ldw ra,20(sp) -81106298: dd000417 ldw r20,16(sp) -8110629c: dcc00317 ldw r19,12(sp) -811062a0: dc800217 ldw r18,8(sp) -811062a4: dc400117 ldw r17,4(sp) -811062a8: dc000017 ldw r16,0(sp) -811062ac: dec00604 addi sp,sp,24 -811062b0: f800283a ret -811062b4: a1803fcc andi r6,r20,255 -811062b8: 880b883a mov r5,r17 -811062bc: 8009883a mov r4,r16 -811062c0: 11060d00 call 811060d0 -811062c4: 1024c03a cmpne r18,r2,zero -811062c8: 003fec06 br 8110627c <__reset+0xfb0e627c> - -811062cc : -811062cc: defff604 addi sp,sp,-40 -811062d0: df000815 stw fp,32(sp) -811062d4: ddc00715 stw r23,28(sp) -811062d8: dd800615 stw r22,24(sp) -811062dc: dd000415 stw r20,16(sp) -811062e0: dc800215 stw r18,8(sp) -811062e4: dfc00915 stw ra,36(sp) -811062e8: dd400515 stw r21,20(sp) -811062ec: dcc00315 stw r19,12(sp) -811062f0: dc400115 stw r17,4(sp) -811062f4: dc000015 stw r16,0(sp) -811062f8: 2829883a mov r20,r5 -811062fc: 2025883a mov r18,r4 -81106300: 302f883a mov r23,r6 -81106304: 3839883a mov fp,r7 -81106308: 2d800104 addi r22,r5,4 -8110630c: b0000035 stwio zero,0(r22) -81106310: 20000035 stwio zero,0(r4) -81106314: 01000044 movi r4,1 -81106318: 113f9c40 call 8113f9c4 -8110631c: 04400204 movi r17,8 -81106320: 0021883a mov r16,zero -81106324: 05400044 movi r21,1 -81106328: 8427883a add r19,r16,r16 -8110632c: 9821883a mov r16,r19 -81106330: 95400035 stwio r21,0(r18) -81106334: 01000044 movi r4,1 -81106338: 113f9c40 call 8113f9c4 -8110633c: a0800037 ldwio r2,0(r20) -81106340: 10000126 beq r2,zero,81106348 -81106344: 9c000054 ori r16,r19,1 -81106348: 90000035 stwio zero,0(r18) -8110634c: 04c00044 movi r19,1 -81106350: 8c7fffc4 addi r17,r17,-1 -81106354: 9809883a mov r4,r19 -81106358: 113f9c40 call 8113f9c4 -8110635c: 883ff21e bne r17,zero,81106328 <__reset+0xfb0e6328> -81106360: 90000035 stwio zero,0(r18) -81106364: b4c00035 stwio r19,0(r22) -81106368: e000181e bne fp,zero,811063cc -8110636c: a4c00035 stwio r19,0(r20) -81106370: 04400044 movi r17,1 -81106374: 94400035 stwio r17,0(r18) -81106378: 8809883a mov r4,r17 -8110637c: 113f9c40 call 8113f9c4 -81106380: 90000035 stwio zero,0(r18) -81106384: 8809883a mov r4,r17 -81106388: 113f9c40 call 8113f9c4 -8110638c: a0000035 stwio zero,0(r20) -81106390: 8809883a mov r4,r17 -81106394: 113f9c40 call 8113f9c4 -81106398: bc000005 stb r16,0(r23) -8110639c: dfc00917 ldw ra,36(sp) -811063a0: df000817 ldw fp,32(sp) -811063a4: ddc00717 ldw r23,28(sp) -811063a8: dd800617 ldw r22,24(sp) -811063ac: dd400517 ldw r21,20(sp) -811063b0: dd000417 ldw r20,16(sp) -811063b4: dcc00317 ldw r19,12(sp) -811063b8: dc800217 ldw r18,8(sp) -811063bc: dc400117 ldw r17,4(sp) -811063c0: dc000017 ldw r16,0(sp) -811063c4: dec00a04 addi sp,sp,40 -811063c8: f800283a ret -811063cc: a0000035 stwio zero,0(r20) -811063d0: 003fe706 br 81106370 <__reset+0xfb0e6370> - -811063d4 : -811063d4: defffb04 addi sp,sp,-20 -811063d8: dcc00315 stw r19,12(sp) -811063dc: dc800215 stw r18,8(sp) -811063e0: dc400115 stw r17,4(sp) -811063e4: dc000015 stw r16,0(sp) -811063e8: dfc00415 stw ra,16(sp) -811063ec: 2021883a mov r16,r4 -811063f0: 2823883a mov r17,r5 -811063f4: 3025883a mov r18,r6 -811063f8: 3827883a mov r19,r7 -811063fc: 110601c0 call 8110601c -81106400: 91803fcc andi r6,r18,255 -81106404: 880b883a mov r5,r17 -81106408: 8009883a mov r4,r16 -8110640c: 11060d00 call 811060d0 -81106410: 10000526 beq r2,zero,81106428 -81106414: 99803fcc andi r6,r19,255 -81106418: 880b883a mov r5,r17 -8110641c: 8009883a mov r4,r16 -81106420: 11060d00 call 811060d0 -81106424: 10000f1e bne r2,zero,81106464 -81106428: 880b883a mov r5,r17 -8110642c: 8009883a mov r4,r16 -81106430: 110601c0 call 8110601c -81106434: 0025883a mov r18,zero +81105fcc : +81105fcc: 00c00044 movi r3,1 +81105fd0: 00a040b4 movhi r2,33026 +81105fd4: 10f10115 stw r3,-15356(r2) +81105fd8: f800283a ret + +81105fdc : +81105fdc: 00a040b4 movhi r2,33026 +81105fe0: 00c00044 movi r3,1 +81105fe4: 10b10004 addi r2,r2,-15360 +81105fe8: 10c00015 stw r3,0(r2) +81105fec: f800283a ret + +81105ff0 : +81105ff0: 00c00044 movi r3,1 +81105ff4: 00a040b4 movhi r2,33026 +81105ff8: 10f10215 stw r3,-15352(r2) +81105ffc: f800283a ret + +81106000 : +81106000: 00a040b4 movhi r2,33026 +81106004: 00c00044 movi r3,1 +81106008: 10f11815 stw r3,-15264(r2) +8110600c: 10f12d15 stw r3,-15180(r2) +81106010: f800283a ret + +81106014 : +81106014: 00a040b4 movhi r2,33026 +81106018: 11310315 stw r4,-15348(r2) +8110601c: f800283a ret + +81106020 : +81106020: 00a040b4 movhi r2,33026 +81106024: 11310415 stw r4,-15344(r2) +81106028: f800283a ret + +8110602c : +8110602c: 00a040b4 movhi r2,33026 +81106030: 11310515 stw r4,-15340(r2) +81106034: f800283a ret + +81106038 : +81106038: 00a040b4 movhi r2,33026 +8110603c: 11310a15 stw r4,-15320(r2) +81106040: f800283a ret + +81106044 : +81106044: 00a040b4 movhi r2,33026 +81106048: 11310b15 stw r4,-15316(r2) +8110604c: f800283a ret + +81106050 : +81106050: defffb04 addi sp,sp,-20 +81106054: dc800215 stw r18,8(sp) +81106058: dc400115 stw r17,4(sp) +8110605c: dc000015 stw r16,0(sp) +81106060: dfc00415 stw ra,16(sp) +81106064: dcc00315 stw r19,12(sp) +81106068: 2025883a mov r18,r4 +8110606c: 2823883a mov r17,r5 +81106070: 04000044 movi r16,1 +81106074: 2c000135 stwio r16,4(r5) +81106078: 2c000035 stwio r16,0(r5) +8110607c: 24000035 stwio r16,0(r4) +81106080: 8009883a mov r4,r16 +81106084: 113fa040 call 8113fa04 +81106088: 0027883a mov r19,zero +8110608c: 8cc00035 stwio r19,0(r17) +81106090: 8009883a mov r4,r16 +81106094: 113fa040 call 8113fa04 +81106098: 94c00035 stwio r19,0(r18) +8110609c: 8009883a mov r4,r16 +811060a0: dfc00417 ldw ra,16(sp) +811060a4: dcc00317 ldw r19,12(sp) +811060a8: dc800217 ldw r18,8(sp) +811060ac: dc400117 ldw r17,4(sp) +811060b0: dc000017 ldw r16,0(sp) +811060b4: dec00504 addi sp,sp,20 +811060b8: 113fa041 jmpi 8113fa04 + +811060bc : +811060bc: defffd04 addi sp,sp,-12 +811060c0: dc400115 stw r17,4(sp) +811060c4: dc000015 stw r16,0(sp) +811060c8: dfc00215 stw ra,8(sp) +811060cc: 2823883a mov r17,r5 +811060d0: 04000044 movi r16,1 +811060d4: 2c000135 stwio r16,4(r5) +811060d8: 28000035 stwio zero,0(r5) +811060dc: 24000035 stwio r16,0(r4) +811060e0: 8009883a mov r4,r16 +811060e4: 113fa040 call 8113fa04 +811060e8: 8c000035 stwio r16,0(r17) +811060ec: 8009883a mov r4,r16 +811060f0: dfc00217 ldw ra,8(sp) +811060f4: dc400117 ldw r17,4(sp) +811060f8: dc000017 ldw r16,0(sp) +811060fc: dec00304 addi sp,sp,12 +81106100: 113fa041 jmpi 8113fa04 + +81106104 : +81106104: defff704 addi sp,sp,-36 +81106108: dd800615 stw r22,24(sp) +8110610c: dd400515 stw r21,20(sp) +81106110: dd000415 stw r20,16(sp) +81106114: dcc00315 stw r19,12(sp) +81106118: dc400115 stw r17,4(sp) +8110611c: dfc00815 stw ra,32(sp) +81106120: ddc00715 stw r23,28(sp) +81106124: dc800215 stw r18,8(sp) +81106128: dc000015 stw r16,0(sp) +8110612c: 2829883a mov r20,r5 +81106130: 2023883a mov r17,r4 +81106134: 302b883a mov r21,r6 +81106138: 2d800104 addi r22,r5,4 +8110613c: 04c00044 movi r19,1 +81106140: b4c00035 stwio r19,0(r22) +81106144: 04800204 movi r18,8 +81106148: 043fe004 movi r16,-128 +8110614c: 00000c06 br 81106180 +81106150: a4c00035 stwio r19,0(r20) +81106154: 84003fcc andi r16,r16,255 +81106158: 8020d07a srli r16,r16,1 +8110615c: 05c00044 movi r23,1 +81106160: 8cc00035 stwio r19,0(r17) +81106164: b809883a mov r4,r23 +81106168: 113fa040 call 8113fa04 +8110616c: 88000035 stwio zero,0(r17) +81106170: 94bfffc4 addi r18,r18,-1 +81106174: b809883a mov r4,r23 +81106178: 113fa040 call 8113fa04 +8110617c: 90000626 beq r18,zero,81106198 +81106180: 88000035 stwio zero,0(r17) +81106184: ac04703a and r2,r21,r16 +81106188: 10803fcc andi r2,r2,255 +8110618c: 103ff01e bne r2,zero,81106150 <__reset+0xfb0e6150> +81106190: a0000035 stwio zero,0(r20) +81106194: 003fef06 br 81106154 <__reset+0xfb0e6154> +81106198: b0000035 stwio zero,0(r22) +8110619c: 8dc00035 stwio r23,0(r17) +811061a0: b809883a mov r4,r23 +811061a4: 113fa040 call 8113fa04 +811061a8: a4000037 ldwio r16,0(r20) +811061ac: 88000035 stwio zero,0(r17) +811061b0: b809883a mov r4,r23 +811061b4: 113fa040 call 8113fa04 +811061b8: 8005003a cmpeq r2,r16,zero +811061bc: dfc00817 ldw ra,32(sp) +811061c0: ddc00717 ldw r23,28(sp) +811061c4: dd800617 ldw r22,24(sp) +811061c8: dd400517 ldw r21,20(sp) +811061cc: dd000417 ldw r20,16(sp) +811061d0: dcc00317 ldw r19,12(sp) +811061d4: dc800217 ldw r18,8(sp) +811061d8: dc400117 ldw r17,4(sp) +811061dc: dc000017 ldw r16,0(sp) +811061e0: dec00904 addi sp,sp,36 +811061e4: f800283a ret + +811061e8 : +811061e8: defffc04 addi sp,sp,-16 +811061ec: dfc00315 stw ra,12(sp) +811061f0: dc800215 stw r18,8(sp) +811061f4: dc400115 stw r17,4(sp) +811061f8: dc000015 stw r16,0(sp) +811061fc: 2823883a mov r17,r5 +81106200: 2021883a mov r16,r4 +81106204: 3025883a mov r18,r6 +81106208: 11060500 call 81106050 +8110620c: 91803fcc andi r6,r18,255 +81106210: 880b883a mov r5,r17 +81106214: 8009883a mov r4,r16 +81106218: 11061040 call 81106104 +8110621c: 880b883a mov r5,r17 +81106220: 8009883a mov r4,r16 +81106224: 1025883a mov r18,r2 +81106228: 11060bc0 call 811060bc +8110622c: 0106d604 movi r4,7000 +81106230: 113fa040 call 8113fa04 +81106234: 9004c03a cmpne r2,r18,zero +81106238: dfc00317 ldw ra,12(sp) +8110623c: dc800217 ldw r18,8(sp) +81106240: dc400117 ldw r17,4(sp) +81106244: dc000017 ldw r16,0(sp) +81106248: dec00404 addi sp,sp,16 +8110624c: f800283a ret + +81106250 : +81106250: defffa04 addi sp,sp,-24 +81106254: dd000415 stw r20,16(sp) +81106258: dcc00315 stw r19,12(sp) +8110625c: dc800215 stw r18,8(sp) +81106260: dc400115 stw r17,4(sp) +81106264: dc000015 stw r16,0(sp) +81106268: dfc00515 stw ra,20(sp) +8110626c: 2021883a mov r16,r4 +81106270: 2823883a mov r17,r5 +81106274: 3025883a mov r18,r6 +81106278: 3827883a mov r19,r7 +8110627c: dd000617 ldw r20,24(sp) +81106280: 11060500 call 81106050 +81106284: 91803fcc andi r6,r18,255 +81106288: 880b883a mov r5,r17 +8110628c: 8009883a mov r4,r16 +81106290: 11061040 call 81106104 +81106294: 10000526 beq r2,zero,811062ac +81106298: 99803fcc andi r6,r19,255 +8110629c: 880b883a mov r5,r17 +811062a0: 8009883a mov r4,r16 +811062a4: 11061040 call 81106104 +811062a8: 10000f1e bne r2,zero,811062e8 +811062ac: 0025883a mov r18,zero +811062b0: 8009883a mov r4,r16 +811062b4: 880b883a mov r5,r17 +811062b8: 11060bc0 call 811060bc +811062bc: 0106d604 movi r4,7000 +811062c0: 113fa040 call 8113fa04 +811062c4: 9005883a mov r2,r18 +811062c8: dfc00517 ldw ra,20(sp) +811062cc: dd000417 ldw r20,16(sp) +811062d0: dcc00317 ldw r19,12(sp) +811062d4: dc800217 ldw r18,8(sp) +811062d8: dc400117 ldw r17,4(sp) +811062dc: dc000017 ldw r16,0(sp) +811062e0: dec00604 addi sp,sp,24 +811062e4: f800283a ret +811062e8: a1803fcc andi r6,r20,255 +811062ec: 880b883a mov r5,r17 +811062f0: 8009883a mov r4,r16 +811062f4: 11061040 call 81106104 +811062f8: 1024c03a cmpne r18,r2,zero +811062fc: 003fec06 br 811062b0 <__reset+0xfb0e62b0> + +81106300 : +81106300: defff604 addi sp,sp,-40 +81106304: df000815 stw fp,32(sp) +81106308: ddc00715 stw r23,28(sp) +8110630c: dd800615 stw r22,24(sp) +81106310: dd000415 stw r20,16(sp) +81106314: dc800215 stw r18,8(sp) +81106318: dfc00915 stw ra,36(sp) +8110631c: dd400515 stw r21,20(sp) +81106320: dcc00315 stw r19,12(sp) +81106324: dc400115 stw r17,4(sp) +81106328: dc000015 stw r16,0(sp) +8110632c: 2829883a mov r20,r5 +81106330: 2025883a mov r18,r4 +81106334: 302f883a mov r23,r6 +81106338: 3839883a mov fp,r7 +8110633c: 2d800104 addi r22,r5,4 +81106340: b0000035 stwio zero,0(r22) +81106344: 20000035 stwio zero,0(r4) +81106348: 01000044 movi r4,1 +8110634c: 113fa040 call 8113fa04 +81106350: 04400204 movi r17,8 +81106354: 0021883a mov r16,zero +81106358: 05400044 movi r21,1 +8110635c: 8427883a add r19,r16,r16 +81106360: 9821883a mov r16,r19 +81106364: 95400035 stwio r21,0(r18) +81106368: 01000044 movi r4,1 +8110636c: 113fa040 call 8113fa04 +81106370: a0800037 ldwio r2,0(r20) +81106374: 10000126 beq r2,zero,8110637c +81106378: 9c000054 ori r16,r19,1 +8110637c: 90000035 stwio zero,0(r18) +81106380: 04c00044 movi r19,1 +81106384: 8c7fffc4 addi r17,r17,-1 +81106388: 9809883a mov r4,r19 +8110638c: 113fa040 call 8113fa04 +81106390: 883ff21e bne r17,zero,8110635c <__reset+0xfb0e635c> +81106394: 90000035 stwio zero,0(r18) +81106398: b4c00035 stwio r19,0(r22) +8110639c: e000181e bne fp,zero,81106400 +811063a0: a4c00035 stwio r19,0(r20) +811063a4: 04400044 movi r17,1 +811063a8: 94400035 stwio r17,0(r18) +811063ac: 8809883a mov r4,r17 +811063b0: 113fa040 call 8113fa04 +811063b4: 90000035 stwio zero,0(r18) +811063b8: 8809883a mov r4,r17 +811063bc: 113fa040 call 8113fa04 +811063c0: a0000035 stwio zero,0(r20) +811063c4: 8809883a mov r4,r17 +811063c8: 113fa040 call 8113fa04 +811063cc: bc000005 stb r16,0(r23) +811063d0: dfc00917 ldw ra,36(sp) +811063d4: df000817 ldw fp,32(sp) +811063d8: ddc00717 ldw r23,28(sp) +811063dc: dd800617 ldw r22,24(sp) +811063e0: dd400517 ldw r21,20(sp) +811063e4: dd000417 ldw r20,16(sp) +811063e8: dcc00317 ldw r19,12(sp) +811063ec: dc800217 ldw r18,8(sp) +811063f0: dc400117 ldw r17,4(sp) +811063f4: dc000017 ldw r16,0(sp) +811063f8: dec00a04 addi sp,sp,40 +811063fc: f800283a ret +81106400: a0000035 stwio zero,0(r20) +81106404: 003fe706 br 811063a4 <__reset+0xfb0e63a4> + +81106408 : +81106408: defffb04 addi sp,sp,-20 +8110640c: dcc00315 stw r19,12(sp) +81106410: dc800215 stw r18,8(sp) +81106414: dc400115 stw r17,4(sp) +81106418: dc000015 stw r16,0(sp) +8110641c: dfc00415 stw ra,16(sp) +81106420: 2021883a mov r16,r4 +81106424: 2823883a mov r17,r5 +81106428: 3025883a mov r18,r6 +8110642c: 3827883a mov r19,r7 +81106430: 11060500 call 81106050 +81106434: 91803fcc andi r6,r18,255 81106438: 880b883a mov r5,r17 8110643c: 8009883a mov r4,r16 -81106440: 11060880 call 81106088 -81106444: 9005883a mov r2,r18 -81106448: dfc00417 ldw ra,16(sp) -8110644c: dcc00317 ldw r19,12(sp) -81106450: dc800217 ldw r18,8(sp) -81106454: dc400117 ldw r17,4(sp) -81106458: dc000017 ldw r16,0(sp) -8110645c: dec00504 addi sp,sp,20 -81106460: f800283a ret -81106464: 880b883a mov r5,r17 -81106468: 8009883a mov r4,r16 -8110646c: 110601c0 call 8110601c -81106470: 91800054 ori r6,r18,1 -81106474: 31803fcc andi r6,r6,255 -81106478: 880b883a mov r5,r17 -8110647c: 8009883a mov r4,r16 -81106480: 11060d00 call 811060d0 -81106484: 103feb26 beq r2,zero,81106434 <__reset+0xfb0e6434> -81106488: d9800517 ldw r6,20(sp) -8110648c: 000f883a mov r7,zero -81106490: 880b883a mov r5,r17 -81106494: 8009883a mov r4,r16 -81106498: 11062cc0 call 811062cc -8110649c: 04800044 movi r18,1 -811064a0: 003fe506 br 81106438 <__reset+0xfb0e6438> - -811064a4 : -811064a4: defff904 addi sp,sp,-28 -811064a8: dd000415 stw r20,16(sp) -811064ac: dcc00315 stw r19,12(sp) -811064b0: dc800215 stw r18,8(sp) -811064b4: dc400115 stw r17,4(sp) -811064b8: dc000015 stw r16,0(sp) -811064bc: dfc00615 stw ra,24(sp) -811064c0: dd400515 stw r21,20(sp) -811064c4: 2023883a mov r17,r4 -811064c8: 2825883a mov r18,r5 -811064cc: 3021883a mov r16,r6 -811064d0: 3829883a mov r20,r7 -811064d4: dcc00717 ldw r19,28(sp) -811064d8: 110601c0 call 8110601c -811064dc: 81803fcc andi r6,r16,255 -811064e0: 900b883a mov r5,r18 -811064e4: 8809883a mov r4,r17 -811064e8: 11060d00 call 811060d0 -811064ec: 10000526 beq r2,zero,81106504 -811064f0: 000d883a mov r6,zero -811064f4: 900b883a mov r5,r18 -811064f8: 8809883a mov r4,r17 -811064fc: 11060d00 call 811060d0 -81106500: 10000e1e bne r2,zero,8110653c -81106504: 0021883a mov r16,zero -81106508: 900b883a mov r5,r18 -8110650c: 8809883a mov r4,r17 -81106510: 11060880 call 81106088 -81106514: 8005883a mov r2,r16 -81106518: dfc00617 ldw ra,24(sp) -8110651c: dd400517 ldw r21,20(sp) -81106520: dd000417 ldw r20,16(sp) -81106524: dcc00317 ldw r19,12(sp) -81106528: dc800217 ldw r18,8(sp) -8110652c: dc400117 ldw r17,4(sp) -81106530: dc000017 ldw r16,0(sp) -81106534: dec00704 addi sp,sp,28 -81106538: f800283a ret +81106440: 11061040 call 81106104 +81106444: 10000526 beq r2,zero,8110645c +81106448: 99803fcc andi r6,r19,255 +8110644c: 880b883a mov r5,r17 +81106450: 8009883a mov r4,r16 +81106454: 11061040 call 81106104 +81106458: 10000f1e bne r2,zero,81106498 +8110645c: 880b883a mov r5,r17 +81106460: 8009883a mov r4,r16 +81106464: 11060500 call 81106050 +81106468: 0025883a mov r18,zero +8110646c: 880b883a mov r5,r17 +81106470: 8009883a mov r4,r16 +81106474: 11060bc0 call 811060bc +81106478: 9005883a mov r2,r18 +8110647c: dfc00417 ldw ra,16(sp) +81106480: dcc00317 ldw r19,12(sp) +81106484: dc800217 ldw r18,8(sp) +81106488: dc400117 ldw r17,4(sp) +8110648c: dc000017 ldw r16,0(sp) +81106490: dec00504 addi sp,sp,20 +81106494: f800283a ret +81106498: 880b883a mov r5,r17 +8110649c: 8009883a mov r4,r16 +811064a0: 11060500 call 81106050 +811064a4: 91800054 ori r6,r18,1 +811064a8: 31803fcc andi r6,r6,255 +811064ac: 880b883a mov r5,r17 +811064b0: 8009883a mov r4,r16 +811064b4: 11061040 call 81106104 +811064b8: 103feb26 beq r2,zero,81106468 <__reset+0xfb0e6468> +811064bc: d9800517 ldw r6,20(sp) +811064c0: 000f883a mov r7,zero +811064c4: 880b883a mov r5,r17 +811064c8: 8009883a mov r4,r16 +811064cc: 11063000 call 81106300 +811064d0: 04800044 movi r18,1 +811064d4: 003fe506 br 8110646c <__reset+0xfb0e646c> + +811064d8 : +811064d8: defff904 addi sp,sp,-28 +811064dc: dd000415 stw r20,16(sp) +811064e0: dcc00315 stw r19,12(sp) +811064e4: dc800215 stw r18,8(sp) +811064e8: dc400115 stw r17,4(sp) +811064ec: dc000015 stw r16,0(sp) +811064f0: dfc00615 stw ra,24(sp) +811064f4: dd400515 stw r21,20(sp) +811064f8: 2023883a mov r17,r4 +811064fc: 2825883a mov r18,r5 +81106500: 3021883a mov r16,r6 +81106504: 3829883a mov r20,r7 +81106508: dcc00717 ldw r19,28(sp) +8110650c: 11060500 call 81106050 +81106510: 81803fcc andi r6,r16,255 +81106514: 900b883a mov r5,r18 +81106518: 8809883a mov r4,r17 +8110651c: 11061040 call 81106104 +81106520: 10000526 beq r2,zero,81106538 +81106524: 000d883a mov r6,zero +81106528: 900b883a mov r5,r18 +8110652c: 8809883a mov r4,r17 +81106530: 11061040 call 81106104 +81106534: 10000e1e bne r2,zero,81106570 +81106538: 0021883a mov r16,zero 8110653c: 900b883a mov r5,r18 81106540: 8809883a mov r4,r17 -81106544: 110601c0 call 8110601c -81106548: 81800054 ori r6,r16,1 -8110654c: 31803fcc andi r6,r6,255 -81106550: 900b883a mov r5,r18 -81106554: 8809883a mov r4,r17 -81106558: 11060d00 call 811060d0 -8110655c: 103fe926 beq r2,zero,81106504 <__reset+0xfb0e6504> -81106560: 9cffffcc andi r19,r19,65535 -81106564: 98000926 beq r19,zero,8110658c -81106568: 9d7fffc4 addi r21,r19,-1 -8110656c: 0021883a mov r16,zero -81106570: ac0ec03a cmpne r7,r21,r16 -81106574: a40d883a add r6,r20,r16 -81106578: 900b883a mov r5,r18 -8110657c: 84000044 addi r16,r16,1 -81106580: 8809883a mov r4,r17 -81106584: 11062cc0 call 811062cc -81106588: 84fff91e bne r16,r19,81106570 <__reset+0xfb0e6570> -8110658c: 04000044 movi r16,1 -81106590: 003fdd06 br 81106508 <__reset+0xfb0e6508> - -81106594 : -81106594: 00800044 movi r2,1 -81106598: 20800a26 beq r4,r2,811065c4 -8110659c: d0a06003 ldbu r2,-32384(gp) -811065a0: 288ab03a or r5,r5,r2 -811065a4: 2805883a mov r2,r5 -811065a8: d1606005 stb r5,-32384(gp) -811065ac: 00e00034 movhi r3,32768 -811065b0: 10803fcc andi r2,r2,255 -811065b4: 18c27404 addi r3,r3,2512 -811065b8: 18800035 stwio r2,0(r3) -811065bc: 00800044 movi r2,1 -811065c0: f800283a ret -811065c4: d0a06003 ldbu r2,-32384(gp) -811065c8: 014a303a nor r5,zero,r5 -811065cc: 288a703a and r5,r5,r2 -811065d0: 2805883a mov r2,r5 -811065d4: d1606005 stb r5,-32384(gp) -811065d8: 003ff406 br 811065ac <__reset+0xfb0e65ac> - -811065dc : -811065dc: 00800044 movi r2,1 -811065e0: 20800926 beq r4,r2,81106608 -811065e4: d0a00117 ldw r2,-32764(gp) -811065e8: 014a303a nor r5,zero,r5 -811065ec: 288a703a and r5,r5,r2 -811065f0: d1600115 stw r5,-32764(gp) -811065f4: 00a00034 movhi r2,32768 -811065f8: 10824004 addi r2,r2,2304 -811065fc: 11400035 stwio r5,0(r2) -81106600: 00800044 movi r2,1 -81106604: f800283a ret -81106608: d0a00117 ldw r2,-32764(gp) -8110660c: 288ab03a or r5,r5,r2 -81106610: d1600115 stw r5,-32764(gp) -81106614: 003ff706 br 811065f4 <__reset+0xfb0e65f4> - -81106618 : -81106618: 00a08034 movhi r2,33280 -8110661c: 10800e17 ldw r2,56(r2) -81106620: f800283a ret - -81106624 : -81106624: 00a08034 movhi r2,33280 -81106628: 10800f17 ldw r2,60(r2) -8110662c: f800283a ret - -81106630 : -81106630: 21400717 ldw r5,28(r4) -81106634: 00e08034 movhi r3,33280 -81106638: 00800044 movi r2,1 -8110663c: 19400315 stw r5,12(r3) -81106640: 21400617 ldw r5,24(r4) -81106644: 19400415 stw r5,16(r3) -81106648: 21400517 ldw r5,20(r4) -8110664c: 19400515 stw r5,20(r3) -81106650: 21400417 ldw r5,16(r4) -81106654: 19400615 stw r5,24(r3) -81106658: 21400317 ldw r5,12(r4) -8110665c: 19400715 stw r5,28(r3) -81106660: 21400217 ldw r5,8(r4) -81106664: 19400815 stw r5,32(r3) -81106668: 21400117 ldw r5,4(r4) -8110666c: 19400915 stw r5,36(r3) -81106670: 21000017 ldw r4,0(r4) -81106674: 19000a15 stw r4,40(r3) -81106678: f800283a ret - -8110667c : -8110667c: defffe04 addi sp,sp,-8 -81106680: dc000015 stw r16,0(sp) -81106684: dfc00115 stw ra,4(sp) -81106688: 04208034 movhi r16,33280 -8110668c: 00800044 movi r2,1 -81106690: 80800d15 stw r2,52(r16) -81106694: 2000031e bne r4,zero,811066a4 -81106698: 00000406 br 811066ac -8110669c: 01000044 movi r4,1 -811066a0: 113f21c0 call 8113f21c -811066a4: 80800e17 ldw r2,56(r16) -811066a8: 103ffc1e bne r2,zero,8110669c <__reset+0xfb0e669c> -811066ac: 00800044 movi r2,1 -811066b0: dfc00117 ldw ra,4(sp) -811066b4: dc000017 ldw r16,0(sp) -811066b8: dec00204 addi sp,sp,8 -811066bc: f800283a ret - -811066c0 : -811066c0: defffb04 addi sp,sp,-20 -811066c4: dc000015 stw r16,0(sp) -811066c8: dfc00415 stw ra,16(sp) -811066cc: dcc00315 stw r19,12(sp) -811066d0: dc800215 stw r18,8(sp) -811066d4: dc400115 stw r17,4(sp) -811066d8: 21003fcc andi r4,r4,255 -811066dc: 3021883a mov r16,r6 -811066e0: 20003926 beq r4,zero,811067c8 -811066e4: 00800044 movi r2,1 -811066e8: 20801226 beq r4,r2,81106734 -811066ec: 00e08034 movhi r3,33280 -811066f0: 19000e17 ldw r4,56(r3) -811066f4: 01600034 movhi r5,32768 -811066f8: 30fff804 addi r3,r6,-32 -811066fc: 297ff804 addi r5,r5,-32 -81106700: 0025883a mov r18,zero -81106704: 0023883a mov r17,zero -81106708: 28c00236 bltu r5,r3,81106714 -8110670c: 30c007cc andi r3,r6,31 -81106710: 1800391e bne r3,zero,811067f8 -81106714: 0005883a mov r2,zero -81106718: dfc00417 ldw ra,16(sp) -8110671c: dcc00317 ldw r19,12(sp) -81106720: dc800217 ldw r18,8(sp) -81106724: dc400117 ldw r17,4(sp) -81106728: dc000017 ldw r16,0(sp) -8110672c: dec00504 addi sp,sp,20 -81106730: f800283a ret -81106734: 00a00034 movhi r2,32768 -81106738: 2885883a add r2,r5,r2 -8110673c: 1163803a cmpltu r17,r2,r5 -81106740: 1025883a mov r18,r2 -81106744: 100b883a mov r5,r2 -81106748: 00a08034 movhi r2,33280 -8110674c: 11000e17 ldw r4,56(r2) -81106750: 288007cc andi r2,r5,31 -81106754: 01600034 movhi r5,32768 -81106758: 80fff804 addi r3,r16,-32 -8110675c: 297ff804 addi r5,r5,-32 -81106760: 1005003a cmpeq r2,r2,zero -81106764: 28c01b2e bgeu r5,r3,811067d4 -81106768: 0021883a mov r16,zero -8110676c: 0007883a mov r3,zero -81106770: 103fe826 beq r2,zero,81106714 <__reset+0xfb0e6714> -81106774: 203fe71e bne r4,zero,81106714 <__reset+0xfb0e6714> -81106778: 183fe626 beq r3,zero,81106714 <__reset+0xfb0e6714> -8110677c: 04e08034 movhi r19,33280 -81106780: 00800044 movi r2,1 -81106784: 98800d15 stw r2,52(r19) -81106788: 98800e17 ldw r2,56(r19) -8110678c: 10000426 beq r2,zero,811067a0 -81106790: 01000044 movi r4,1 -81106794: 113f21c0 call 8113f21c -81106798: 98800e17 ldw r2,56(r19) -8110679c: 103ffc1e bne r2,zero,81106790 <__reset+0xfb0e6790> -811067a0: 00e08034 movhi r3,33280 -811067a4: 1c800115 stw r18,4(r3) -811067a8: 1c400015 stw r17,0(r3) -811067ac: 843ff804 addi r16,r16,-32 -811067b0: 1c000215 stw r16,8(r3) -811067b4: 011d4c04 movi r4,30000 -811067b8: 00800044 movi r2,1 -811067bc: 19000b15 stw r4,44(r3) -811067c0: 18800c15 stw r2,48(r3) -811067c4: 003fd406 br 81106718 <__reset+0xfb0e6718> -811067c8: 2825883a mov r18,r5 -811067cc: 0023883a mov r17,zero -811067d0: 003fdd06 br 81106748 <__reset+0xfb0e6748> -811067d4: 80c007cc andi r3,r16,31 -811067d8: 18000526 beq r3,zero,811067f0 -811067dc: 00c00044 movi r3,1 -811067e0: 01bff804 movi r6,-32 -811067e4: 81a0703a and r16,r16,r6 -811067e8: 84000804 addi r16,r16,32 -811067ec: 183fc926 beq r3,zero,81106714 <__reset+0xfb0e6714> -811067f0: 00c00044 movi r3,1 -811067f4: 003fde06 br 81106770 <__reset+0xfb0e6770> -811067f8: 0007883a mov r3,zero -811067fc: 003ff806 br 811067e0 <__reset+0xfb0e67e0> - -81106800 : -81106800: defff804 addi sp,sp,-32 -81106804: d8800817 ldw r2,32(sp) -81106808: 300cc03a cmpne r6,r6,zero -8110680c: 300c917a slli r6,r6,5 -81106810: 1004c03a cmpne r2,r2,zero -81106814: 00ffe004 movi r3,-128 -81106818: 1004913a slli r2,r2,4 -8110681c: 380ec03a cmpne r7,r7,zero -81106820: 294001cc andi r5,r5,7 -81106824: 380e90fa slli r7,r7,3 -81106828: 28cab03a or r5,r5,r3 -8110682c: 298cb03a or r6,r5,r6 -81106830: 3084b03a or r2,r6,r2 -81106834: dd800615 stw r22,24(sp) -81106838: 11ecb03a or r22,r2,r7 -8110683c: 00a00034 movhi r2,32768 -81106840: dfc00715 stw ra,28(sp) -81106844: dd400515 stw r21,20(sp) -81106848: dd000415 stw r20,16(sp) -8110684c: dcc00315 stw r19,12(sp) -81106850: dc800215 stw r18,8(sp) -81106854: dc400115 stw r17,4(sp) -81106858: dc000015 stw r16,0(sp) -8110685c: 10824404 addi r2,r2,2320 -81106860: 10000035 stwio zero,0(r2) -81106864: 00a00034 movhi r2,32768 -81106868: 10824c04 addi r2,r2,2352 -8110686c: 10000035 stwio zero,0(r2) -81106870: 20803fcc andi r2,r4,255 -81106874: 1005003a cmpeq r2,r2,zero -81106878: 00e00034 movhi r3,32768 -8110687c: 10800044 addi r2,r2,1 -81106880: 18c24804 addi r3,r3,2336 -81106884: 18800035 stwio r2,0(r3) -81106888: 010003c4 movi r4,15 -8110688c: 113f9c40 call 8113f9c4 -81106890: 00c003f4 movhi r3,15 -81106894: 01200034 movhi r4,32768 -81106898: 18d09044 addi r3,r3,16961 -8110689c: 21025404 addi r4,r4,2384 -811068a0: 00000106 br 811068a8 -811068a4: 18000426 beq r3,zero,811068b8 -811068a8: 20800037 ldwio r2,0(r4) -811068ac: 1080004c andi r2,r2,1 -811068b0: 18ffffc4 addi r3,r3,-1 -811068b4: 103ffb1e bne r2,zero,811068a4 <__reset+0xfb0e68a4> -811068b8: 04a00034 movhi r18,32768 -811068bc: 94825404 addi r18,r18,2384 -811068c0: 90800037 ldwio r2,0(r18) -811068c4: 1080004c andi r2,r2,1 -811068c8: 10008d1e bne r2,zero,81106b00 -811068cc: 05600034 movhi r21,32768 -811068d0: 04e00034 movhi r19,32768 -811068d4: ad425004 addi r21,r21,2368 -811068d8: 9cc24c04 addi r19,r19,2352 -811068dc: 04400084 movi r17,2 -811068e0: 0021883a mov r16,zero -811068e4: 05000044 movi r20,1 -811068e8: b0803fcc andi r2,r22,255 -811068ec: 1080201c xori r2,r2,128 -811068f0: 10bfe004 addi r2,r2,-128 -811068f4: 1004d7fa srli r2,r2,31 -811068f8: a8800035 stwio r2,0(r21) -811068fc: b5ad883a add r22,r22,r22 -81106900: 8421883a add r16,r16,r16 -81106904: 90800037 ldwio r2,0(r18) -81106908: 1080004c andi r2,r2,1 -8110690c: 1420b03a or r16,r2,r16 -81106910: 9d000035 stwio r20,0(r19) -81106914: 010003c4 movi r4,15 -81106918: 113f9c40 call 8113f9c4 -8110691c: 98000035 stwio zero,0(r19) -81106920: 8c7fffc4 addi r17,r17,-1 -81106924: 010003c4 movi r4,15 -81106928: 113f9c40 call 8113f9c4 -8110692c: 883fee1e bne r17,zero,811068e8 <__reset+0xfb0e68e8> -81106930: 05600034 movhi r21,32768 -81106934: 05200034 movhi r20,32768 -81106938: 04a00034 movhi r18,32768 -8110693c: ad425004 addi r21,r21,2368 -81106940: a5025404 addi r20,r20,2384 -81106944: 94824c04 addi r18,r18,2352 -81106948: 04400204 movi r17,8 -8110694c: 04c00044 movi r19,1 -81106950: b0803fcc andi r2,r22,255 -81106954: 1080201c xori r2,r2,128 -81106958: 10bfe004 addi r2,r2,-128 -8110695c: 1004d7fa srli r2,r2,31 -81106960: a8800035 stwio r2,0(r21) -81106964: b5ad883a add r22,r22,r22 -81106968: 8421883a add r16,r16,r16 -8110696c: a0800037 ldwio r2,0(r20) -81106970: 1080004c andi r2,r2,1 -81106974: 1420b03a or r16,r2,r16 -81106978: 94c00035 stwio r19,0(r18) -8110697c: 010003c4 movi r4,15 -81106980: 113f9c40 call 8113f9c4 -81106984: 90000035 stwio zero,0(r18) -81106988: 8c7fffc4 addi r17,r17,-1 -8110698c: 010003c4 movi r4,15 -81106990: 113f9c40 call 8113f9c4 -81106994: 883fee1e bne r17,zero,81106950 <__reset+0xfb0e6950> -81106998: 05200034 movhi r20,32768 -8110699c: 04a00034 movhi r18,32768 -811069a0: a5025404 addi r20,r20,2384 -811069a4: 94824c04 addi r18,r18,2352 -811069a8: 04400204 movi r17,8 -811069ac: 04c00044 movi r19,1 -811069b0: 8421883a add r16,r16,r16 -811069b4: a0800037 ldwio r2,0(r20) -811069b8: 1080004c andi r2,r2,1 -811069bc: 1420b03a or r16,r2,r16 -811069c0: 94c00035 stwio r19,0(r18) -811069c4: 010003c4 movi r4,15 -811069c8: 113f9c40 call 8113f9c4 -811069cc: 90000035 stwio zero,0(r18) -811069d0: 8c7fffc4 addi r17,r17,-1 -811069d4: 010003c4 movi r4,15 -811069d8: 113f9c40 call 8113f9c4 -811069dc: 883ff41e bne r17,zero,811069b0 <__reset+0xfb0e69b0> -811069e0: 05200034 movhi r20,32768 -811069e4: 04a00034 movhi r18,32768 -811069e8: a5025404 addi r20,r20,2384 -811069ec: 94824c04 addi r18,r18,2352 -811069f0: 04400204 movi r17,8 -811069f4: 04c00044 movi r19,1 -811069f8: 8421883a add r16,r16,r16 -811069fc: a0800037 ldwio r2,0(r20) -81106a00: 1080004c andi r2,r2,1 -81106a04: 1420b03a or r16,r2,r16 -81106a08: 94c00035 stwio r19,0(r18) -81106a0c: 010003c4 movi r4,15 -81106a10: 113f9c40 call 8113f9c4 -81106a14: 90000035 stwio zero,0(r18) -81106a18: 8c7fffc4 addi r17,r17,-1 -81106a1c: 010003c4 movi r4,15 -81106a20: 113f9c40 call 8113f9c4 -81106a24: 883ff41e bne r17,zero,811069f8 <__reset+0xfb0e69f8> -81106a28: 05600034 movhi r21,32768 -81106a2c: 04a00034 movhi r18,32768 -81106a30: ad425404 addi r21,r21,2384 -81106a34: 94824c04 addi r18,r18,2352 -81106a38: 04400184 movi r17,6 -81106a3c: 05000044 movi r20,1 -81106a40: 8421883a add r16,r16,r16 -81106a44: a8800037 ldwio r2,0(r21) -81106a48: 04c00044 movi r19,1 -81106a4c: 14c4703a and r2,r2,r19 -81106a50: 1420b03a or r16,r2,r16 -81106a54: 95000035 stwio r20,0(r18) -81106a58: 010003c4 movi r4,15 -81106a5c: 113f9c40 call 8113f9c4 -81106a60: 90000035 stwio zero,0(r18) -81106a64: 8c7fffc4 addi r17,r17,-1 -81106a68: 010003c4 movi r4,15 -81106a6c: 113f9c40 call 8113f9c4 -81106a70: 883ff31e bne r17,zero,81106a40 <__reset+0xfb0e6a40> -81106a74: 00a00034 movhi r2,32768 -81106a78: 10824c04 addi r2,r2,2352 -81106a7c: 14c00035 stwio r19,0(r2) -81106a80: 010003c4 movi r4,15 -81106a84: 113f9c40 call 8113f9c4 -81106a88: 00a00034 movhi r2,32768 -81106a8c: 10824804 addi r2,r2,2336 -81106a90: 00c000c4 movi r3,3 -81106a94: 10c00035 stwio r3,0(r2) -81106a98: 01000804 movi r4,32 -81106a9c: 000b883a mov r5,zero -81106aa0: 9805883a mov r2,r19 -81106aa4: 8086703a and r3,r16,r2 -81106aa8: 213fffc4 addi r4,r4,-1 -81106aac: 1800011e bne r3,zero,81106ab4 -81106ab0: 29400044 addi r5,r5,1 -81106ab4: 1085883a add r2,r2,r2 -81106ab8: 203ffa1e bne r4,zero,81106aa4 <__reset+0xfb0e6aa4> -81106abc: 2940004c andi r5,r5,1 -81106ac0: 2880005c xori r2,r5,1 -81106ac4: 28000c1e bne r5,zero,81106af8 -81106ac8: d8c00917 ldw r3,36(sp) -81106acc: 1c000015 stw r16,0(r3) -81106ad0: dfc00717 ldw ra,28(sp) -81106ad4: dd800617 ldw r22,24(sp) -81106ad8: dd400517 ldw r21,20(sp) -81106adc: dd000417 ldw r20,16(sp) -81106ae0: dcc00317 ldw r19,12(sp) -81106ae4: dc800217 ldw r18,8(sp) -81106ae8: dc400117 ldw r17,4(sp) -81106aec: dc000017 ldw r16,0(sp) -81106af0: dec00804 addi sp,sp,32 -81106af4: f800283a ret -81106af8: 0005883a mov r2,zero -81106afc: 003ff406 br 81106ad0 <__reset+0xfb0e6ad0> -81106b00: 00a00034 movhi r2,32768 -81106b04: 10824804 addi r2,r2,2336 -81106b08: 00c000c4 movi r3,3 -81106b0c: 10c00035 stwio r3,0(r2) -81106b10: 0005883a mov r2,zero -81106b14: 003fee06 br 81106ad0 <__reset+0xfb0e6ad0> - -81106b18 : -81106b18: 00e00034 movhi r3,32768 -81106b1c: 18c20004 addi r3,r3,2048 -81106b20: 19000017 ldw r4,0(r3) -81106b24: 00a00034 movhi r2,32768 -81106b28: 10bfffc4 addi r2,r2,-1 -81106b2c: 2084703a and r2,r4,r2 -81106b30: 18800015 stw r2,0(r3) -81106b34: f800283a ret - -81106b38 : -81106b38: 00e00034 movhi r3,32768 -81106b3c: 18c20004 addi r3,r3,2048 -81106b40: 18800017 ldw r2,0(r3) -81106b44: 10a00034 orhi r2,r2,32768 -81106b48: 18800015 stw r2,0(r3) -81106b4c: f800283a ret - -81106b50 : -81106b50: 00e00034 movhi r3,32768 -81106b54: 18c20104 addi r3,r3,2052 -81106b58: 18800017 ldw r2,0(r3) -81106b5c: 0108303a nor r4,zero,r4 -81106b60: 2088703a and r4,r4,r2 -81106b64: 19000015 stw r4,0(r3) +81106544: 11060bc0 call 811060bc +81106548: 8005883a mov r2,r16 +8110654c: dfc00617 ldw ra,24(sp) +81106550: dd400517 ldw r21,20(sp) +81106554: dd000417 ldw r20,16(sp) +81106558: dcc00317 ldw r19,12(sp) +8110655c: dc800217 ldw r18,8(sp) +81106560: dc400117 ldw r17,4(sp) +81106564: dc000017 ldw r16,0(sp) +81106568: dec00704 addi sp,sp,28 +8110656c: f800283a ret +81106570: 900b883a mov r5,r18 +81106574: 8809883a mov r4,r17 +81106578: 11060500 call 81106050 +8110657c: 81800054 ori r6,r16,1 +81106580: 31803fcc andi r6,r6,255 +81106584: 900b883a mov r5,r18 +81106588: 8809883a mov r4,r17 +8110658c: 11061040 call 81106104 +81106590: 103fe926 beq r2,zero,81106538 <__reset+0xfb0e6538> +81106594: 9cffffcc andi r19,r19,65535 +81106598: 98000926 beq r19,zero,811065c0 +8110659c: 9d7fffc4 addi r21,r19,-1 +811065a0: 0021883a mov r16,zero +811065a4: ac0ec03a cmpne r7,r21,r16 +811065a8: a40d883a add r6,r20,r16 +811065ac: 900b883a mov r5,r18 +811065b0: 84000044 addi r16,r16,1 +811065b4: 8809883a mov r4,r17 +811065b8: 11063000 call 81106300 +811065bc: 84fff91e bne r16,r19,811065a4 <__reset+0xfb0e65a4> +811065c0: 04000044 movi r16,1 +811065c4: 003fdd06 br 8110653c <__reset+0xfb0e653c> + +811065c8 : +811065c8: 00800044 movi r2,1 +811065cc: 20800a26 beq r4,r2,811065f8 +811065d0: d0a06003 ldbu r2,-32384(gp) +811065d4: 288ab03a or r5,r5,r2 +811065d8: 2805883a mov r2,r5 +811065dc: d1606005 stb r5,-32384(gp) +811065e0: 00e00034 movhi r3,32768 +811065e4: 10803fcc andi r2,r2,255 +811065e8: 18c27404 addi r3,r3,2512 +811065ec: 18800035 stwio r2,0(r3) +811065f0: 00800044 movi r2,1 +811065f4: f800283a ret +811065f8: d0a06003 ldbu r2,-32384(gp) +811065fc: 014a303a nor r5,zero,r5 +81106600: 288a703a and r5,r5,r2 +81106604: 2805883a mov r2,r5 +81106608: d1606005 stb r5,-32384(gp) +8110660c: 003ff406 br 811065e0 <__reset+0xfb0e65e0> + +81106610 : +81106610: 00800044 movi r2,1 +81106614: 20800926 beq r4,r2,8110663c +81106618: d0a00117 ldw r2,-32764(gp) +8110661c: 014a303a nor r5,zero,r5 +81106620: 288a703a and r5,r5,r2 +81106624: d1600115 stw r5,-32764(gp) +81106628: 00a00034 movhi r2,32768 +8110662c: 10824004 addi r2,r2,2304 +81106630: 11400035 stwio r5,0(r2) +81106634: 00800044 movi r2,1 +81106638: f800283a ret +8110663c: d0a00117 ldw r2,-32764(gp) +81106640: 288ab03a or r5,r5,r2 +81106644: d1600115 stw r5,-32764(gp) +81106648: 003ff706 br 81106628 <__reset+0xfb0e6628> + +8110664c : +8110664c: 00a08034 movhi r2,33280 +81106650: 10800e17 ldw r2,56(r2) +81106654: f800283a ret + +81106658 : +81106658: 00a08034 movhi r2,33280 +8110665c: 10800f17 ldw r2,60(r2) +81106660: f800283a ret + +81106664 : +81106664: 21400717 ldw r5,28(r4) +81106668: 00e08034 movhi r3,33280 +8110666c: 00800044 movi r2,1 +81106670: 19400315 stw r5,12(r3) +81106674: 21400617 ldw r5,24(r4) +81106678: 19400415 stw r5,16(r3) +8110667c: 21400517 ldw r5,20(r4) +81106680: 19400515 stw r5,20(r3) +81106684: 21400417 ldw r5,16(r4) +81106688: 19400615 stw r5,24(r3) +8110668c: 21400317 ldw r5,12(r4) +81106690: 19400715 stw r5,28(r3) +81106694: 21400217 ldw r5,8(r4) +81106698: 19400815 stw r5,32(r3) +8110669c: 21400117 ldw r5,4(r4) +811066a0: 19400915 stw r5,36(r3) +811066a4: 21000017 ldw r4,0(r4) +811066a8: 19000a15 stw r4,40(r3) +811066ac: f800283a ret + +811066b0 : +811066b0: defffe04 addi sp,sp,-8 +811066b4: dc000015 stw r16,0(sp) +811066b8: dfc00115 stw ra,4(sp) +811066bc: 04208034 movhi r16,33280 +811066c0: 00800044 movi r2,1 +811066c4: 80800d15 stw r2,52(r16) +811066c8: 2000031e bne r4,zero,811066d8 +811066cc: 00000406 br 811066e0 +811066d0: 01000044 movi r4,1 +811066d4: 113f25c0 call 8113f25c +811066d8: 80800e17 ldw r2,56(r16) +811066dc: 103ffc1e bne r2,zero,811066d0 <__reset+0xfb0e66d0> +811066e0: 00800044 movi r2,1 +811066e4: dfc00117 ldw ra,4(sp) +811066e8: dc000017 ldw r16,0(sp) +811066ec: dec00204 addi sp,sp,8 +811066f0: f800283a ret + +811066f4 : +811066f4: defffb04 addi sp,sp,-20 +811066f8: dc000015 stw r16,0(sp) +811066fc: dfc00415 stw ra,16(sp) +81106700: dcc00315 stw r19,12(sp) +81106704: dc800215 stw r18,8(sp) +81106708: dc400115 stw r17,4(sp) +8110670c: 21003fcc andi r4,r4,255 +81106710: 3021883a mov r16,r6 +81106714: 20003926 beq r4,zero,811067fc +81106718: 00800044 movi r2,1 +8110671c: 20801226 beq r4,r2,81106768 +81106720: 00e08034 movhi r3,33280 +81106724: 19000e17 ldw r4,56(r3) +81106728: 01600034 movhi r5,32768 +8110672c: 30fff804 addi r3,r6,-32 +81106730: 297ff804 addi r5,r5,-32 +81106734: 0025883a mov r18,zero +81106738: 0023883a mov r17,zero +8110673c: 28c00236 bltu r5,r3,81106748 +81106740: 30c007cc andi r3,r6,31 +81106744: 1800391e bne r3,zero,8110682c +81106748: 0005883a mov r2,zero +8110674c: dfc00417 ldw ra,16(sp) +81106750: dcc00317 ldw r19,12(sp) +81106754: dc800217 ldw r18,8(sp) +81106758: dc400117 ldw r17,4(sp) +8110675c: dc000017 ldw r16,0(sp) +81106760: dec00504 addi sp,sp,20 +81106764: f800283a ret +81106768: 00a00034 movhi r2,32768 +8110676c: 2885883a add r2,r5,r2 +81106770: 1163803a cmpltu r17,r2,r5 +81106774: 1025883a mov r18,r2 +81106778: 100b883a mov r5,r2 +8110677c: 00a08034 movhi r2,33280 +81106780: 11000e17 ldw r4,56(r2) +81106784: 288007cc andi r2,r5,31 +81106788: 01600034 movhi r5,32768 +8110678c: 80fff804 addi r3,r16,-32 +81106790: 297ff804 addi r5,r5,-32 +81106794: 1005003a cmpeq r2,r2,zero +81106798: 28c01b2e bgeu r5,r3,81106808 +8110679c: 0021883a mov r16,zero +811067a0: 0007883a mov r3,zero +811067a4: 103fe826 beq r2,zero,81106748 <__reset+0xfb0e6748> +811067a8: 203fe71e bne r4,zero,81106748 <__reset+0xfb0e6748> +811067ac: 183fe626 beq r3,zero,81106748 <__reset+0xfb0e6748> +811067b0: 04e08034 movhi r19,33280 +811067b4: 00800044 movi r2,1 +811067b8: 98800d15 stw r2,52(r19) +811067bc: 98800e17 ldw r2,56(r19) +811067c0: 10000426 beq r2,zero,811067d4 +811067c4: 01000044 movi r4,1 +811067c8: 113f25c0 call 8113f25c +811067cc: 98800e17 ldw r2,56(r19) +811067d0: 103ffc1e bne r2,zero,811067c4 <__reset+0xfb0e67c4> +811067d4: 00e08034 movhi r3,33280 +811067d8: 1c800115 stw r18,4(r3) +811067dc: 1c400015 stw r17,0(r3) +811067e0: 843ff804 addi r16,r16,-32 +811067e4: 1c000215 stw r16,8(r3) +811067e8: 011d4c04 movi r4,30000 +811067ec: 00800044 movi r2,1 +811067f0: 19000b15 stw r4,44(r3) +811067f4: 18800c15 stw r2,48(r3) +811067f8: 003fd406 br 8110674c <__reset+0xfb0e674c> +811067fc: 2825883a mov r18,r5 +81106800: 0023883a mov r17,zero +81106804: 003fdd06 br 8110677c <__reset+0xfb0e677c> +81106808: 80c007cc andi r3,r16,31 +8110680c: 18000526 beq r3,zero,81106824 +81106810: 00c00044 movi r3,1 +81106814: 01bff804 movi r6,-32 +81106818: 81a0703a and r16,r16,r6 +8110681c: 84000804 addi r16,r16,32 +81106820: 183fc926 beq r3,zero,81106748 <__reset+0xfb0e6748> +81106824: 00c00044 movi r3,1 +81106828: 003fde06 br 811067a4 <__reset+0xfb0e67a4> +8110682c: 0007883a mov r3,zero +81106830: 003ff806 br 81106814 <__reset+0xfb0e6814> + +81106834 : +81106834: defff804 addi sp,sp,-32 +81106838: d8800817 ldw r2,32(sp) +8110683c: 300cc03a cmpne r6,r6,zero +81106840: 300c917a slli r6,r6,5 +81106844: 1004c03a cmpne r2,r2,zero +81106848: 00ffe004 movi r3,-128 +8110684c: 1004913a slli r2,r2,4 +81106850: 380ec03a cmpne r7,r7,zero +81106854: 294001cc andi r5,r5,7 +81106858: 380e90fa slli r7,r7,3 +8110685c: 28cab03a or r5,r5,r3 +81106860: 298cb03a or r6,r5,r6 +81106864: 3084b03a or r2,r6,r2 +81106868: dd800615 stw r22,24(sp) +8110686c: 11ecb03a or r22,r2,r7 +81106870: 00a00034 movhi r2,32768 +81106874: dfc00715 stw ra,28(sp) +81106878: dd400515 stw r21,20(sp) +8110687c: dd000415 stw r20,16(sp) +81106880: dcc00315 stw r19,12(sp) +81106884: dc800215 stw r18,8(sp) +81106888: dc400115 stw r17,4(sp) +8110688c: dc000015 stw r16,0(sp) +81106890: 10824404 addi r2,r2,2320 +81106894: 10000035 stwio zero,0(r2) +81106898: 00a00034 movhi r2,32768 +8110689c: 10824c04 addi r2,r2,2352 +811068a0: 10000035 stwio zero,0(r2) +811068a4: 20803fcc andi r2,r4,255 +811068a8: 1005003a cmpeq r2,r2,zero +811068ac: 00e00034 movhi r3,32768 +811068b0: 10800044 addi r2,r2,1 +811068b4: 18c24804 addi r3,r3,2336 +811068b8: 18800035 stwio r2,0(r3) +811068bc: 010003c4 movi r4,15 +811068c0: 113fa040 call 8113fa04 +811068c4: 00c003f4 movhi r3,15 +811068c8: 01200034 movhi r4,32768 +811068cc: 18d09044 addi r3,r3,16961 +811068d0: 21025404 addi r4,r4,2384 +811068d4: 00000106 br 811068dc +811068d8: 18000426 beq r3,zero,811068ec +811068dc: 20800037 ldwio r2,0(r4) +811068e0: 1080004c andi r2,r2,1 +811068e4: 18ffffc4 addi r3,r3,-1 +811068e8: 103ffb1e bne r2,zero,811068d8 <__reset+0xfb0e68d8> +811068ec: 04a00034 movhi r18,32768 +811068f0: 94825404 addi r18,r18,2384 +811068f4: 90800037 ldwio r2,0(r18) +811068f8: 1080004c andi r2,r2,1 +811068fc: 10008d1e bne r2,zero,81106b34 +81106900: 05600034 movhi r21,32768 +81106904: 04e00034 movhi r19,32768 +81106908: ad425004 addi r21,r21,2368 +8110690c: 9cc24c04 addi r19,r19,2352 +81106910: 04400084 movi r17,2 +81106914: 0021883a mov r16,zero +81106918: 05000044 movi r20,1 +8110691c: b0803fcc andi r2,r22,255 +81106920: 1080201c xori r2,r2,128 +81106924: 10bfe004 addi r2,r2,-128 +81106928: 1004d7fa srli r2,r2,31 +8110692c: a8800035 stwio r2,0(r21) +81106930: b5ad883a add r22,r22,r22 +81106934: 8421883a add r16,r16,r16 +81106938: 90800037 ldwio r2,0(r18) +8110693c: 1080004c andi r2,r2,1 +81106940: 1420b03a or r16,r2,r16 +81106944: 9d000035 stwio r20,0(r19) +81106948: 010003c4 movi r4,15 +8110694c: 113fa040 call 8113fa04 +81106950: 98000035 stwio zero,0(r19) +81106954: 8c7fffc4 addi r17,r17,-1 +81106958: 010003c4 movi r4,15 +8110695c: 113fa040 call 8113fa04 +81106960: 883fee1e bne r17,zero,8110691c <__reset+0xfb0e691c> +81106964: 05600034 movhi r21,32768 +81106968: 05200034 movhi r20,32768 +8110696c: 04a00034 movhi r18,32768 +81106970: ad425004 addi r21,r21,2368 +81106974: a5025404 addi r20,r20,2384 +81106978: 94824c04 addi r18,r18,2352 +8110697c: 04400204 movi r17,8 +81106980: 04c00044 movi r19,1 +81106984: b0803fcc andi r2,r22,255 +81106988: 1080201c xori r2,r2,128 +8110698c: 10bfe004 addi r2,r2,-128 +81106990: 1004d7fa srli r2,r2,31 +81106994: a8800035 stwio r2,0(r21) +81106998: b5ad883a add r22,r22,r22 +8110699c: 8421883a add r16,r16,r16 +811069a0: a0800037 ldwio r2,0(r20) +811069a4: 1080004c andi r2,r2,1 +811069a8: 1420b03a or r16,r2,r16 +811069ac: 94c00035 stwio r19,0(r18) +811069b0: 010003c4 movi r4,15 +811069b4: 113fa040 call 8113fa04 +811069b8: 90000035 stwio zero,0(r18) +811069bc: 8c7fffc4 addi r17,r17,-1 +811069c0: 010003c4 movi r4,15 +811069c4: 113fa040 call 8113fa04 +811069c8: 883fee1e bne r17,zero,81106984 <__reset+0xfb0e6984> +811069cc: 05200034 movhi r20,32768 +811069d0: 04a00034 movhi r18,32768 +811069d4: a5025404 addi r20,r20,2384 +811069d8: 94824c04 addi r18,r18,2352 +811069dc: 04400204 movi r17,8 +811069e0: 04c00044 movi r19,1 +811069e4: 8421883a add r16,r16,r16 +811069e8: a0800037 ldwio r2,0(r20) +811069ec: 1080004c andi r2,r2,1 +811069f0: 1420b03a or r16,r2,r16 +811069f4: 94c00035 stwio r19,0(r18) +811069f8: 010003c4 movi r4,15 +811069fc: 113fa040 call 8113fa04 +81106a00: 90000035 stwio zero,0(r18) +81106a04: 8c7fffc4 addi r17,r17,-1 +81106a08: 010003c4 movi r4,15 +81106a0c: 113fa040 call 8113fa04 +81106a10: 883ff41e bne r17,zero,811069e4 <__reset+0xfb0e69e4> +81106a14: 05200034 movhi r20,32768 +81106a18: 04a00034 movhi r18,32768 +81106a1c: a5025404 addi r20,r20,2384 +81106a20: 94824c04 addi r18,r18,2352 +81106a24: 04400204 movi r17,8 +81106a28: 04c00044 movi r19,1 +81106a2c: 8421883a add r16,r16,r16 +81106a30: a0800037 ldwio r2,0(r20) +81106a34: 1080004c andi r2,r2,1 +81106a38: 1420b03a or r16,r2,r16 +81106a3c: 94c00035 stwio r19,0(r18) +81106a40: 010003c4 movi r4,15 +81106a44: 113fa040 call 8113fa04 +81106a48: 90000035 stwio zero,0(r18) +81106a4c: 8c7fffc4 addi r17,r17,-1 +81106a50: 010003c4 movi r4,15 +81106a54: 113fa040 call 8113fa04 +81106a58: 883ff41e bne r17,zero,81106a2c <__reset+0xfb0e6a2c> +81106a5c: 05600034 movhi r21,32768 +81106a60: 04a00034 movhi r18,32768 +81106a64: ad425404 addi r21,r21,2384 +81106a68: 94824c04 addi r18,r18,2352 +81106a6c: 04400184 movi r17,6 +81106a70: 05000044 movi r20,1 +81106a74: 8421883a add r16,r16,r16 +81106a78: a8800037 ldwio r2,0(r21) +81106a7c: 04c00044 movi r19,1 +81106a80: 14c4703a and r2,r2,r19 +81106a84: 1420b03a or r16,r2,r16 +81106a88: 95000035 stwio r20,0(r18) +81106a8c: 010003c4 movi r4,15 +81106a90: 113fa040 call 8113fa04 +81106a94: 90000035 stwio zero,0(r18) +81106a98: 8c7fffc4 addi r17,r17,-1 +81106a9c: 010003c4 movi r4,15 +81106aa0: 113fa040 call 8113fa04 +81106aa4: 883ff31e bne r17,zero,81106a74 <__reset+0xfb0e6a74> +81106aa8: 00a00034 movhi r2,32768 +81106aac: 10824c04 addi r2,r2,2352 +81106ab0: 14c00035 stwio r19,0(r2) +81106ab4: 010003c4 movi r4,15 +81106ab8: 113fa040 call 8113fa04 +81106abc: 00a00034 movhi r2,32768 +81106ac0: 10824804 addi r2,r2,2336 +81106ac4: 00c000c4 movi r3,3 +81106ac8: 10c00035 stwio r3,0(r2) +81106acc: 01000804 movi r4,32 +81106ad0: 000b883a mov r5,zero +81106ad4: 9805883a mov r2,r19 +81106ad8: 8086703a and r3,r16,r2 +81106adc: 213fffc4 addi r4,r4,-1 +81106ae0: 1800011e bne r3,zero,81106ae8 +81106ae4: 29400044 addi r5,r5,1 +81106ae8: 1085883a add r2,r2,r2 +81106aec: 203ffa1e bne r4,zero,81106ad8 <__reset+0xfb0e6ad8> +81106af0: 2940004c andi r5,r5,1 +81106af4: 2880005c xori r2,r5,1 +81106af8: 28000c1e bne r5,zero,81106b2c +81106afc: d8c00917 ldw r3,36(sp) +81106b00: 1c000015 stw r16,0(r3) +81106b04: dfc00717 ldw ra,28(sp) +81106b08: dd800617 ldw r22,24(sp) +81106b0c: dd400517 ldw r21,20(sp) +81106b10: dd000417 ldw r20,16(sp) +81106b14: dcc00317 ldw r19,12(sp) +81106b18: dc800217 ldw r18,8(sp) +81106b1c: dc400117 ldw r17,4(sp) +81106b20: dc000017 ldw r16,0(sp) +81106b24: dec00804 addi sp,sp,32 +81106b28: f800283a ret +81106b2c: 0005883a mov r2,zero +81106b30: 003ff406 br 81106b04 <__reset+0xfb0e6b04> +81106b34: 00a00034 movhi r2,32768 +81106b38: 10824804 addi r2,r2,2336 +81106b3c: 00c000c4 movi r3,3 +81106b40: 10c00035 stwio r3,0(r2) +81106b44: 0005883a mov r2,zero +81106b48: 003fee06 br 81106b04 <__reset+0xfb0e6b04> + +81106b4c : +81106b4c: 00e00034 movhi r3,32768 +81106b50: 18c20004 addi r3,r3,2048 +81106b54: 19000017 ldw r4,0(r3) +81106b58: 00a00034 movhi r2,32768 +81106b5c: 10bfffc4 addi r2,r2,-1 +81106b60: 2084703a and r2,r4,r2 +81106b64: 18800015 stw r2,0(r3) 81106b68: f800283a ret -81106b6c : +81106b6c : 81106b6c: 00e00034 movhi r3,32768 -81106b70: 18c20104 addi r3,r3,2052 +81106b70: 18c20004 addi r3,r3,2048 81106b74: 18800017 ldw r2,0(r3) -81106b78: 2088b03a or r4,r4,r2 -81106b7c: 19000015 stw r4,0(r3) +81106b78: 10a00034 orhi r2,r2,32768 +81106b7c: 18800015 stw r2,0(r3) 81106b80: f800283a ret -81106b84 : +81106b84 : 81106b84: 00e00034 movhi r3,32768 -81106b88: 18c20004 addi r3,r3,2048 -81106b8c: 19000017 ldw r4,0(r3) -81106b90: 00b00034 movhi r2,49152 -81106b94: 10bfffc4 addi r2,r2,-1 -81106b98: 2084703a and r2,r4,r2 -81106b9c: 18800015 stw r2,0(r3) -81106ba0: f800283a ret - -81106ba4 : -81106ba4: 00a00034 movhi r2,32768 -81106ba8: 10820204 addi r2,r2,2056 -81106bac: 10800017 ldw r2,0(r2) -81106bb0: f800283a ret - -81106bb4 : -81106bb4: 00c00044 movi r3,1 -81106bb8: 00a040b4 movhi r2,33026 -81106bbc: 10f00e15 stw r3,-16328(r2) -81106bc0: f800283a ret - -81106bc4 : -81106bc4: 01604534 movhi r5,33044 -81106bc8: deffff04 addi sp,sp,-4 -81106bcc: 295f8404 addi r5,r5,32272 -81106bd0: 01805504 movi r6,340 -81106bd4: 01204034 movhi r4,33024 -81106bd8: dfc00015 stw ra,0(sp) -81106bdc: 112c6480 call 8112c648 -81106be0: dfc00017 ldw ra,0(sp) -81106be4: dec00104 addi sp,sp,4 -81106be8: f800283a ret - -81106bec : -81106bec: 01604534 movhi r5,33044 -81106bf0: 01204034 movhi r4,33024 -81106bf4: deffff04 addi sp,sp,-4 -81106bf8: 295f2904 addi r5,r5,31908 -81106bfc: 21005504 addi r4,r4,340 -81106c00: 01805b04 movi r6,364 -81106c04: dfc00015 stw ra,0(sp) -81106c08: 112c6480 call 8112c648 -81106c0c: dfc00017 ldw ra,0(sp) -81106c10: dec00104 addi sp,sp,4 -81106c14: f800283a ret - -81106c18 : -81106c18: 00a040b4 movhi r2,33026 -81106c1c: deffff04 addi sp,sp,-4 -81106c20: dfc00015 stw ra,0(sp) -81106c24: 10b00004 addi r2,r2,-16384 -81106c28: 10800015 stw r2,0(r2) -81106c2c: 10800115 stw r2,4(r2) -81106c30: 00c00044 movi r3,1 -81106c34: 10c00215 stw r3,8(r2) -81106c38: 10000315 stw zero,12(r2) -81106c3c: 10000415 stw zero,16(r2) -81106c40: 10c00515 stw r3,20(r2) -81106c44: 10c00615 stw r3,24(r2) -81106c48: 10c00e15 stw r3,56(r2) -81106c4c: 10c00f15 stw r3,60(r2) -81106c50: 10801215 stw r2,72(r2) -81106c54: 01001444 movi r4,81 -81106c58: 11001315 stw r4,76(r2) -81106c5c: 01003444 movi r4,209 -81106c60: 11001415 stw r4,80(r2) -81106c64: 10002715 stw zero,156(r2) -81106c68: 10002815 stw zero,160(r2) -81106c6c: 01e04034 movhi r7,33024 -81106c70: 11c02915 stw r7,164(r2) -81106c74: 011f6304 movi r4,32140 -81106c78: 11002d15 stw r4,180(r2) -81106c7c: 10c02e15 stw r3,184(r2) -81106c80: 10002f15 stw zero,188(r2) -81106c84: 01003c04 movi r4,240 -81106c88: 11003015 stw r4,192(r2) -81106c8c: 01001404 movi r4,80 -81106c90: 11003115 stw r4,196(r2) -81106c94: 10c02b15 stw r3,172(r2) -81106c98: 10c02a15 stw r3,168(r2) -81106c9c: 01604534 movhi r5,33044 -81106ca0: 3809883a mov r4,r7 -81106ca4: 10c02c15 stw r3,176(r2) -81106ca8: 295f8404 addi r5,r5,32272 -81106cac: 01805504 movi r6,340 -81106cb0: 112c6480 call 8112c648 -81106cb4: 01604534 movhi r5,33044 -81106cb8: 295f2904 addi r5,r5,31908 -81106cbc: 01805b04 movi r6,364 -81106cc0: 11005504 addi r4,r2,340 -81106cc4: 112c6480 call 8112c648 -81106cc8: dfc00017 ldw ra,0(sp) -81106ccc: dec00104 addi sp,sp,4 -81106cd0: f800283a ret - -81106cd4 : -81106cd4: 21003fcc andi r4,r4,255 -81106cd8: 00800104 movi r2,4 -81106cdc: 11003036 bltu r2,r4,81106da0 -81106ce0: 200890ba slli r4,r4,2 -81106ce4: 00a04434 movhi r2,33040 -81106ce8: 109b3e04 addi r2,r2,27896 -81106cec: 2089883a add r4,r4,r2 -81106cf0: 20800017 ldw r2,0(r4) -81106cf4: 1000683a jmp r2 -81106cf8: 81106d44 addi r4,r16,16821 -81106cfc: 81106d60 cmpeqi r4,r16,16821 -81106d00: 81106d7c xorhi r4,r16,16821 -81106d04: 81106d0c andi r4,r16,16820 -81106d08: 81106d2c andhi r4,r16,16820 -81106d0c: d0a06043 ldbu r2,-32383(gp) -81106d10: 0007883a mov r3,zero -81106d14: 10800894 ori r2,r2,34 -81106d18: d0a06045 stb r2,-32383(gp) -81106d1c: 10803fcc andi r2,r2,255 -81106d20: 18800015 stw r2,0(r3) -81106d24: 00800044 movi r2,1 -81106d28: f800283a ret -81106d2c: d0206045 stb zero,-32383(gp) -81106d30: 0005883a mov r2,zero -81106d34: 0007883a mov r3,zero -81106d38: 18800015 stw r2,0(r3) -81106d3c: 00800044 movi r2,1 -81106d40: f800283a ret -81106d44: 00800cc4 movi r2,51 -81106d48: d0a06045 stb r2,-32383(gp) -81106d4c: 0007883a mov r3,zero -81106d50: 00800cc4 movi r2,51 +81106b88: 18c20104 addi r3,r3,2052 +81106b8c: 18800017 ldw r2,0(r3) +81106b90: 0108303a nor r4,zero,r4 +81106b94: 2088703a and r4,r4,r2 +81106b98: 19000015 stw r4,0(r3) +81106b9c: f800283a ret + +81106ba0 : +81106ba0: 00e00034 movhi r3,32768 +81106ba4: 18c20104 addi r3,r3,2052 +81106ba8: 18800017 ldw r2,0(r3) +81106bac: 2088b03a or r4,r4,r2 +81106bb0: 19000015 stw r4,0(r3) +81106bb4: f800283a ret + +81106bb8 : +81106bb8: 00e00034 movhi r3,32768 +81106bbc: 18c20004 addi r3,r3,2048 +81106bc0: 19000017 ldw r4,0(r3) +81106bc4: 00b00034 movhi r2,49152 +81106bc8: 10bfffc4 addi r2,r2,-1 +81106bcc: 2084703a and r2,r4,r2 +81106bd0: 18800015 stw r2,0(r3) +81106bd4: f800283a ret + +81106bd8 : +81106bd8: 00a00034 movhi r2,32768 +81106bdc: 10820204 addi r2,r2,2056 +81106be0: 10800017 ldw r2,0(r2) +81106be4: f800283a ret + +81106be8 : +81106be8: 00c00044 movi r3,1 +81106bec: 00a040b4 movhi r2,33026 +81106bf0: 10f00e15 stw r3,-16328(r2) +81106bf4: f800283a ret + +81106bf8 : +81106bf8: 01604534 movhi r5,33044 +81106bfc: deffff04 addi sp,sp,-4 +81106c00: 295f9404 addi r5,r5,32336 +81106c04: 01805504 movi r6,340 +81106c08: 01204034 movhi r4,33024 +81106c0c: dfc00015 stw ra,0(sp) +81106c10: 112c6880 call 8112c688 +81106c14: dfc00017 ldw ra,0(sp) +81106c18: dec00104 addi sp,sp,4 +81106c1c: f800283a ret + +81106c20 : +81106c20: 01604534 movhi r5,33044 +81106c24: 01204034 movhi r4,33024 +81106c28: deffff04 addi sp,sp,-4 +81106c2c: 295f3904 addi r5,r5,31972 +81106c30: 21005504 addi r4,r4,340 +81106c34: 01805b04 movi r6,364 +81106c38: dfc00015 stw ra,0(sp) +81106c3c: 112c6880 call 8112c688 +81106c40: dfc00017 ldw ra,0(sp) +81106c44: dec00104 addi sp,sp,4 +81106c48: f800283a ret + +81106c4c : +81106c4c: 00a040b4 movhi r2,33026 +81106c50: deffff04 addi sp,sp,-4 +81106c54: dfc00015 stw ra,0(sp) +81106c58: 10b00004 addi r2,r2,-16384 +81106c5c: 10800015 stw r2,0(r2) +81106c60: 10800115 stw r2,4(r2) +81106c64: 00c00044 movi r3,1 +81106c68: 10c00215 stw r3,8(r2) +81106c6c: 10000315 stw zero,12(r2) +81106c70: 10000415 stw zero,16(r2) +81106c74: 10c00515 stw r3,20(r2) +81106c78: 10c00615 stw r3,24(r2) +81106c7c: 10c00e15 stw r3,56(r2) +81106c80: 10c00f15 stw r3,60(r2) +81106c84: 10801215 stw r2,72(r2) +81106c88: 01001444 movi r4,81 +81106c8c: 11001315 stw r4,76(r2) +81106c90: 01003444 movi r4,209 +81106c94: 11001415 stw r4,80(r2) +81106c98: 10002715 stw zero,156(r2) +81106c9c: 10002815 stw zero,160(r2) +81106ca0: 01e04034 movhi r7,33024 +81106ca4: 11c02915 stw r7,164(r2) +81106ca8: 011f6304 movi r4,32140 +81106cac: 11002d15 stw r4,180(r2) +81106cb0: 10c02e15 stw r3,184(r2) +81106cb4: 10002f15 stw zero,188(r2) +81106cb8: 01003c04 movi r4,240 +81106cbc: 11003015 stw r4,192(r2) +81106cc0: 01001404 movi r4,80 +81106cc4: 11003115 stw r4,196(r2) +81106cc8: 10c02b15 stw r3,172(r2) +81106ccc: 10c02a15 stw r3,168(r2) +81106cd0: 01604534 movhi r5,33044 +81106cd4: 3809883a mov r4,r7 +81106cd8: 10c02c15 stw r3,176(r2) +81106cdc: 295f9404 addi r5,r5,32336 +81106ce0: 01805504 movi r6,340 +81106ce4: 112c6880 call 8112c688 +81106ce8: 01604534 movhi r5,33044 +81106cec: 295f3904 addi r5,r5,31972 +81106cf0: 01805b04 movi r6,364 +81106cf4: 11005504 addi r4,r2,340 +81106cf8: 112c6880 call 8112c688 +81106cfc: dfc00017 ldw ra,0(sp) +81106d00: dec00104 addi sp,sp,4 +81106d04: f800283a ret + +81106d08 : +81106d08: 21003fcc andi r4,r4,255 +81106d0c: 00800104 movi r2,4 +81106d10: 11003036 bltu r2,r4,81106dd4 +81106d14: 200890ba slli r4,r4,2 +81106d18: 00a04434 movhi r2,33040 +81106d1c: 109b4b04 addi r2,r2,27948 +81106d20: 2089883a add r4,r4,r2 +81106d24: 20800017 ldw r2,0(r4) +81106d28: 1000683a jmp r2 +81106d2c: 81106d78 rdprs r4,r16,16821 +81106d30: 81106d94 ori r4,r16,16822 +81106d34: 81106db0 cmpltui r4,r16,16822 +81106d38: 81106d40 call 881106d4 <__reset+0x20f06d4> +81106d3c: 81106d60 cmpeqi r4,r16,16821 +81106d40: d0a06043 ldbu r2,-32383(gp) +81106d44: 0007883a mov r3,zero +81106d48: 10800894 ori r2,r2,34 +81106d4c: d0a06045 stb r2,-32383(gp) +81106d50: 10803fcc andi r2,r2,255 81106d54: 18800015 stw r2,0(r3) 81106d58: 00800044 movi r2,1 81106d5c: f800283a ret -81106d60: 00801544 movi r2,85 -81106d64: d0a06045 stb r2,-32383(gp) +81106d60: d0206045 stb zero,-32383(gp) +81106d64: 0005883a mov r2,zero 81106d68: 0007883a mov r3,zero -81106d6c: 00801544 movi r2,85 -81106d70: 18800015 stw r2,0(r3) -81106d74: 00800044 movi r2,1 -81106d78: f800283a ret -81106d7c: d0e06043 ldbu r3,-32383(gp) -81106d80: 00bff744 movi r2,-35 -81106d84: 1884703a and r2,r3,r2 -81106d88: d0a06045 stb r2,-32383(gp) -81106d8c: 0007883a mov r3,zero -81106d90: 10803fcc andi r2,r2,255 -81106d94: 18800015 stw r2,0(r3) -81106d98: 00800044 movi r2,1 -81106d9c: f800283a ret -81106da0: 0005883a mov r2,zero -81106da4: f800283a ret - -81106da8 : -81106da8: 21003fcc andi r4,r4,255 -81106dac: 00800104 movi r2,4 -81106db0: 11000015 stw r4,0(r2) -81106db4: 00800044 movi r2,1 -81106db8: f800283a ret - -81106dbc : -81106dbc: defff804 addi sp,sp,-32 -81106dc0: 00a04634 movhi r2,33048 -81106dc4: 00e00034 movhi r3,32768 -81106dc8: dfc00715 stw ra,28(sp) -81106dcc: dd400615 stw r21,24(sp) -81106dd0: dd000515 stw r20,20(sp) -81106dd4: dcc00415 stw r19,16(sp) -81106dd8: dc800315 stw r18,12(sp) -81106ddc: dc400215 stw r17,8(sp) -81106de0: dc000115 stw r16,4(sp) -81106de4: 108ee304 addi r2,r2,15244 -81106de8: 18c10004 addi r3,r3,1024 -81106dec: 10000415 stw zero,16(r2) -81106df0: 19001117 ldw r4,68(r3) -81106df4: 20004e26 beq r4,zero,81106f30 -81106df8: 11000503 ldbu r4,20(r2) -81106dfc: 01400044 movi r5,1 -81106e00: 19400c15 stw r5,48(r3) -81106e04: 2147883a add r3,r4,r5 -81106e08: 04803874 movhi r18,225 -81106e0c: 10000215 stw zero,8(r2) -81106e10: 10c00505 stb r3,20(r2) -81106e14: 00804034 movhi r2,256 -81106e18: 10bfffc4 addi r2,r2,-1 -81106e1c: 90a4703a and r18,r18,r2 -81106e20: d1208617 ldw r4,-32232(gp) -81106e24: 94900034 orhi r18,r18,16384 -81106e28: 900b883a mov r5,r18 -81106e2c: 11430240 call 81143024 -81106e30: 10803fcc andi r2,r2,255 -81106e34: 1000521e bne r2,zero,81106f80 -81106e38: 00804034 movhi r2,256 -81106e3c: 10bfffc4 addi r2,r2,-1 -81106e40: 90a4703a and r18,r18,r2 -81106e44: d1208217 ldw r4,-32248(gp) -81106e48: 94804034 orhi r18,r18,256 -81106e4c: 900b883a mov r5,r18 -81106e50: 11430240 call 81143024 -81106e54: 10803fcc andi r2,r2,255 -81106e58: 1000471e bne r2,zero,81106f78 -81106e5c: d8000005 stb zero,0(sp) -81106e60: d8800003 ldbu r2,0(sp) -81106e64: 04c00144 movi r19,5 -81106e68: 10803fcc andi r2,r2,255 -81106e6c: 98802736 bltu r19,r2,81106f0c -81106e70: 056045b4 movhi r21,33046 -81106e74: 04404034 movhi r17,256 -81106e78: 04204634 movhi r16,33048 -81106e7c: ad6edf04 addi r21,r21,-17540 -81106e80: 8c7fffc4 addi r17,r17,-1 -81106e84: 84240504 addi r16,r16,-28652 -81106e88: 05000044 movi r20,1 -81106e8c: 00000606 br 81106ea8 -81106e90: d8800003 ldbu r2,0(sp) -81106e94: 10800044 addi r2,r2,1 -81106e98: d8800005 stb r2,0(sp) -81106e9c: d8800003 ldbu r2,0(sp) -81106ea0: 10803fcc andi r2,r2,255 -81106ea4: 98801936 bltu r19,r2,81106f0c -81106ea8: d8800003 ldbu r2,0(sp) -81106eac: 10803fcc andi r2,r2,255 -81106eb0: 10842f24 muli r2,r2,4284 -81106eb4: a885883a add r2,r21,r2 -81106eb8: 10996f17 ldw r2,26044(r2) -81106ebc: 153ff41e bne r2,r20,81106e90 <__reset+0xfb0e6e90> -81106ec0: d8c00003 ldbu r3,0(sp) +81106d6c: 18800015 stw r2,0(r3) +81106d70: 00800044 movi r2,1 +81106d74: f800283a ret +81106d78: 00800cc4 movi r2,51 +81106d7c: d0a06045 stb r2,-32383(gp) +81106d80: 0007883a mov r3,zero +81106d84: 00800cc4 movi r2,51 +81106d88: 18800015 stw r2,0(r3) +81106d8c: 00800044 movi r2,1 +81106d90: f800283a ret +81106d94: 00801544 movi r2,85 +81106d98: d0a06045 stb r2,-32383(gp) +81106d9c: 0007883a mov r3,zero +81106da0: 00801544 movi r2,85 +81106da4: 18800015 stw r2,0(r3) +81106da8: 00800044 movi r2,1 +81106dac: f800283a ret +81106db0: d0e06043 ldbu r3,-32383(gp) +81106db4: 00bff744 movi r2,-35 +81106db8: 1884703a and r2,r3,r2 +81106dbc: d0a06045 stb r2,-32383(gp) +81106dc0: 0007883a mov r3,zero +81106dc4: 10803fcc andi r2,r2,255 +81106dc8: 18800015 stw r2,0(r3) +81106dcc: 00800044 movi r2,1 +81106dd0: f800283a ret +81106dd4: 0005883a mov r2,zero +81106dd8: f800283a ret + +81106ddc : +81106ddc: 21003fcc andi r4,r4,255 +81106de0: 00800104 movi r2,4 +81106de4: 11000015 stw r4,0(r2) +81106de8: 00800044 movi r2,1 +81106dec: f800283a ret + +81106df0 : +81106df0: defff804 addi sp,sp,-32 +81106df4: 00a04634 movhi r2,33048 +81106df8: 00e00034 movhi r3,32768 +81106dfc: dfc00715 stw ra,28(sp) +81106e00: dd400615 stw r21,24(sp) +81106e04: dd000515 stw r20,20(sp) +81106e08: dcc00415 stw r19,16(sp) +81106e0c: dc800315 stw r18,12(sp) +81106e10: dc400215 stw r17,8(sp) +81106e14: dc000115 stw r16,4(sp) +81106e18: 108ef404 addi r2,r2,15312 +81106e1c: 18c10004 addi r3,r3,1024 +81106e20: 10000415 stw zero,16(r2) +81106e24: 19001117 ldw r4,68(r3) +81106e28: 20004e26 beq r4,zero,81106f64 +81106e2c: 11000503 ldbu r4,20(r2) +81106e30: 01400044 movi r5,1 +81106e34: 19400c15 stw r5,48(r3) +81106e38: 2147883a add r3,r4,r5 +81106e3c: 04803874 movhi r18,225 +81106e40: 10000215 stw zero,8(r2) +81106e44: 10c00505 stb r3,20(r2) +81106e48: 00804034 movhi r2,256 +81106e4c: 10bfffc4 addi r2,r2,-1 +81106e50: 90a4703a and r18,r18,r2 +81106e54: d1208617 ldw r4,-32232(gp) +81106e58: 94900034 orhi r18,r18,16384 +81106e5c: 900b883a mov r5,r18 +81106e60: 11430640 call 81143064 +81106e64: 10803fcc andi r2,r2,255 +81106e68: 1000521e bne r2,zero,81106fb4 +81106e6c: 00804034 movhi r2,256 +81106e70: 10bfffc4 addi r2,r2,-1 +81106e74: 90a4703a and r18,r18,r2 +81106e78: d1208217 ldw r4,-32248(gp) +81106e7c: 94804034 orhi r18,r18,256 +81106e80: 900b883a mov r5,r18 +81106e84: 11430640 call 81143064 +81106e88: 10803fcc andi r2,r2,255 +81106e8c: 1000471e bne r2,zero,81106fac +81106e90: d8000005 stb zero,0(sp) +81106e94: d8800003 ldbu r2,0(sp) +81106e98: 04c00144 movi r19,5 +81106e9c: 10803fcc andi r2,r2,255 +81106ea0: 98802736 bltu r19,r2,81106f40 +81106ea4: 056045b4 movhi r21,33046 +81106ea8: 04404034 movhi r17,256 +81106eac: 04204634 movhi r16,33048 +81106eb0: ad6ef004 addi r21,r21,-17472 +81106eb4: 8c7fffc4 addi r17,r17,-1 +81106eb8: 84241604 addi r16,r16,-28584 +81106ebc: 05000044 movi r20,1 +81106ec0: 00000606 br 81106edc 81106ec4: d8800003 ldbu r2,0(sp) -81106ec8: 9464703a and r18,r18,r17 -81106ecc: 18c00444 addi r3,r3,17 -81106ed0: 10803fcc andi r2,r2,255 -81106ed4: 1085883a add r2,r2,r2 -81106ed8: 1806963a slli r3,r3,24 -81106edc: 1085883a add r2,r2,r2 -81106ee0: 8085883a add r2,r16,r2 -81106ee4: 11000017 ldw r4,0(r2) -81106ee8: 90e4b03a or r18,r18,r3 -81106eec: 900b883a mov r5,r18 -81106ef0: 11430240 call 81143024 -81106ef4: 10803fcc andi r2,r2,255 -81106ef8: 103fe526 beq r2,zero,81106e90 <__reset+0xfb0e6e90> -81106efc: d9000003 ldbu r4,0(sp) -81106f00: 21003fcc andi r4,r4,255 -81106f04: 11257d00 call 811257d0 -81106f08: 003fe106 br 81106e90 <__reset+0xfb0e6e90> -81106f0c: dfc00717 ldw ra,28(sp) -81106f10: dd400617 ldw r21,24(sp) -81106f14: dd000517 ldw r20,20(sp) -81106f18: dcc00417 ldw r19,16(sp) -81106f1c: dc800317 ldw r18,12(sp) -81106f20: dc400217 ldw r17,8(sp) -81106f24: dc000117 ldw r16,4(sp) -81106f28: dec00804 addi sp,sp,32 -81106f2c: f800283a ret -81106f30: 19001017 ldw r4,64(r3) -81106f34: 2000141e bne r4,zero,81106f88 -81106f38: 19001217 ldw r4,72(r3) -81106f3c: 0025883a mov r18,zero -81106f40: 203fb426 beq r4,zero,81106e14 <__reset+0xfb0e6e14> -81106f44: d1207617 ldw r4,-32296(gp) -81106f48: 01800044 movi r6,1 -81106f4c: 048838b4 movhi r18,8418 -81106f50: 19800d15 stw r6,52(r3) -81106f54: 900b883a mov r5,r18 -81106f58: 00c000c4 movi r3,3 -81106f5c: 11800215 stw r6,8(r2) -81106f60: 10c00505 stb r3,20(r2) -81106f64: 11430240 call 81143024 -81106f68: 10803fcc andi r2,r2,255 -81106f6c: 103fa926 beq r2,zero,81106e14 <__reset+0xfb0e6e14> -81106f70: 11258300 call 81125830 -81106f74: 003fa706 br 81106e14 <__reset+0xfb0e6e14> -81106f78: 11258900 call 81125890 -81106f7c: 003fb706 br 81106e5c <__reset+0xfb0e6e5c> -81106f80: 11258600 call 81125860 -81106f84: 003fac06 br 81106e38 <__reset+0xfb0e6e38> -81106f88: 01000044 movi r4,1 -81106f8c: 19000b15 stw r4,44(r3) -81106f90: d1207617 ldw r4,-32296(gp) -81106f94: 04883834 movhi r18,8416 -81106f98: 900b883a mov r5,r18 -81106f9c: 10000215 stw zero,8(r2) -81106fa0: 10000505 stb zero,20(r2) -81106fa4: 11430240 call 81143024 -81106fa8: 10803fcc andi r2,r2,255 -81106fac: 103f9926 beq r2,zero,81106e14 <__reset+0xfb0e6e14> -81106fb0: 003fef06 br 81106f70 <__reset+0xfb0e6f70> - -81106fb4 : -81106fb4: defffa04 addi sp,sp,-24 -81106fb8: 00e04634 movhi r3,33048 -81106fbc: 00a00034 movhi r2,32768 -81106fc0: 18cee304 addi r3,r3,15244 -81106fc4: dfc00515 stw ra,20(sp) -81106fc8: dcc00415 stw r19,16(sp) -81106fcc: dc800315 stw r18,12(sp) -81106fd0: dc400215 stw r17,8(sp) -81106fd4: dc000115 stw r16,4(sp) -81106fd8: 01400044 movi r5,1 -81106fdc: 10810004 addi r2,r2,1024 -81106fe0: 19400415 stw r5,16(r3) -81106fe4: 10c01c17 ldw r3,112(r2) -81106fe8: 18003a26 beq r3,zero,811070d4 -81106fec: 11401815 stw r5,96(r2) -81106ff0: 00a04634 movhi r2,33048 -81106ff4: 108f0804 addi r2,r2,15392 -81106ff8: 10800803 ldbu r2,32(r2) -81106ffc: 00c00104 movi r3,4 -81107000: 18803f2e bgeu r3,r2,81107100 -81107004: 04c03a34 movhi r19,232 -81107008: d8000005 stb zero,0(sp) -8110700c: d8800003 ldbu r2,0(sp) -81107010: 04000144 movi r16,5 -81107014: 10803fcc andi r2,r2,255 -81107018: 80801e36 bltu r16,r2,81107094 -8110701c: 04a04634 movhi r18,33048 -81107020: 04404034 movhi r17,256 -81107024: 94a40504 addi r18,r18,-28652 -81107028: 8c7fffc4 addi r17,r17,-1 -8110702c: 00000606 br 81107048 -81107030: d8800003 ldbu r2,0(sp) -81107034: 10800044 addi r2,r2,1 -81107038: d8800005 stb r2,0(sp) -8110703c: d8800003 ldbu r2,0(sp) -81107040: 10803fcc andi r2,r2,255 -81107044: 80801336 bltu r16,r2,81107094 -81107048: d8c00003 ldbu r3,0(sp) -8110704c: d8800003 ldbu r2,0(sp) -81107050: 9c66703a and r19,r19,r17 -81107054: 18c00444 addi r3,r3,17 -81107058: 10803fcc andi r2,r2,255 -8110705c: 1085883a add r2,r2,r2 -81107060: 1806963a slli r3,r3,24 -81107064: 1085883a add r2,r2,r2 -81107068: 9085883a add r2,r18,r2 -8110706c: 11000017 ldw r4,0(r2) -81107070: 98e6b03a or r19,r19,r3 -81107074: 980b883a mov r5,r19 -81107078: 11430240 call 81143024 -8110707c: 10803fcc andi r2,r2,255 -81107080: 103feb26 beq r2,zero,81107030 <__reset+0xfb0e7030> -81107084: d9000003 ldbu r4,0(sp) -81107088: 21003fcc andi r4,r4,255 -8110708c: 11257d00 call 811257d0 -81107090: 003fe706 br 81107030 <__reset+0xfb0e7030> -81107094: 00c04034 movhi r3,256 -81107098: 18ffffc4 addi r3,r3,-1 -8110709c: d1208617 ldw r4,-32232(gp) -811070a0: 98ca703a and r5,r19,r3 -811070a4: 29500034 orhi r5,r5,16384 -811070a8: 11430240 call 81143024 -811070ac: 10803fcc andi r2,r2,255 -811070b0: 10000126 beq r2,zero,811070b8 -811070b4: 11258600 call 81125860 -811070b8: dfc00517 ldw ra,20(sp) -811070bc: dcc00417 ldw r19,16(sp) -811070c0: dc800317 ldw r18,12(sp) -811070c4: dc400217 ldw r17,8(sp) -811070c8: dc000117 ldw r16,4(sp) -811070cc: dec00604 addi sp,sp,24 -811070d0: f800283a ret -811070d4: 10c01b17 ldw r3,108(r2) -811070d8: 0027883a mov r19,zero -811070dc: 183fca26 beq r3,zero,81107008 <__reset+0xfb0e7008> -811070e0: 11401715 stw r5,92(r2) -811070e4: 00a04634 movhi r2,33048 -811070e8: 108f0804 addi r2,r2,15392 -811070ec: 10800803 ldbu r2,32(r2) -811070f0: 00c00104 movi r3,4 -811070f4: 1880082e bgeu r3,r2,81107118 -811070f8: 04c03934 movhi r19,228 -811070fc: 003fc206 br 81107008 <__reset+0xfb0e7008> -81107100: d1e07917 ldw r7,-32284(gp) -81107104: 01204574 movhi r4,33045 -81107108: 21202d04 addi r4,r4,-32588 -8110710c: 018005c4 movi r6,23 -81107110: 112bc4c0 call 8112bc4c -81107114: 003fbb06 br 81107004 <__reset+0xfb0e7004> -81107118: d1e07917 ldw r7,-32284(gp) -8110711c: 01204574 movhi r4,33045 -81107120: 21203304 addi r4,r4,-32564 -81107124: 01800404 movi r6,16 -81107128: 112bc4c0 call 8112bc4c -8110712c: 003ff206 br 811070f8 <__reset+0xfb0e70f8> - -81107130 : -81107130: d0206305 stb zero,-32372(gp) -81107134: f800283a ret - -81107138 : -81107138: 00a00034 movhi r2,32768 -8110713c: 00c00044 movi r3,1 -81107140: 10c10a15 stw r3,1064(r2) -81107144: 10c10c15 stw r3,1072(r2) -81107148: 01a04434 movhi r6,33040 -8110714c: 10c10b15 stw r3,1068(r2) -81107150: 319b6f04 addi r6,r6,28092 -81107154: d1606204 addi r5,gp,-32376 -81107158: 010002c4 movi r4,11 -8110715c: 10c10d15 stw r3,1076(r2) -81107160: 113f3bc1 jmpi 8113f3bc - -81107164 : -81107164: 00a00034 movhi r2,32768 -81107168: 00c00044 movi r3,1 -8110716c: 10c11715 stw r3,1116(r2) -81107170: 10c11915 stw r3,1124(r2) -81107174: 01a04434 movhi r6,33040 -81107178: 10c11815 stw r3,1120(r2) -8110717c: 319bed04 addi r6,r6,28596 -81107180: d1606104 addi r5,gp,-32380 -81107184: 01000304 movi r4,12 -81107188: 10c11a15 stw r3,1128(r2) -8110718c: 113f3bc1 jmpi 8113f3bc - -81107190 : -81107190: 00a00034 movhi r2,32768 -81107194: 10810004 addi r2,r2,1024 -81107198: 10800017 ldw r2,0(r2) -8110719c: f800283a ret - -811071a0 : -811071a0: 00a00034 movhi r2,32768 -811071a4: 10810004 addi r2,r2,1024 -811071a8: 10800117 ldw r2,4(r2) -811071ac: f800283a ret - -811071b0 : -811071b0: 00a00034 movhi r2,32768 -811071b4: 10810004 addi r2,r2,1024 -811071b8: 10800217 ldw r2,8(r2) -811071bc: f800283a ret - -811071c0 : -811071c0: 00a00034 movhi r2,32768 -811071c4: 10810004 addi r2,r2,1024 -811071c8: 10800317 ldw r2,12(r2) -811071cc: f800283a ret - -811071d0 : -811071d0: 00e00034 movhi r3,32768 -811071d4: 00800044 movi r2,1 -811071d8: 19011f15 stw r4,1148(r3) -811071dc: f800283a ret - -811071e0 : -811071e0: 00e00034 movhi r3,32768 -811071e4: 00800044 movi r2,1 -811071e8: 19012015 stw r4,1152(r3) -811071ec: f800283a ret - -811071f0 : -811071f0: 00e00034 movhi r3,32768 -811071f4: 00800044 movi r2,1 -811071f8: 19012215 stw r4,1160(r3) -811071fc: f800283a ret - -81107200 : -81107200: 00e00034 movhi r3,32768 -81107204: 00800044 movi r2,1 -81107208: 19012315 stw r4,1164(r3) -8110720c: f800283a ret - -81107210 : -81107210: 00e00034 movhi r3,32768 -81107214: 00800044 movi r2,1 -81107218: 19012615 stw r4,1176(r3) -8110721c: f800283a ret - -81107220 : -81107220: 00e00034 movhi r3,32768 -81107224: 00800044 movi r2,1 -81107228: 19012715 stw r4,1180(r3) -8110722c: f800283a ret - -81107230 : -81107230: 21003fcc andi r4,r4,255 +81106ec8: 10800044 addi r2,r2,1 +81106ecc: d8800005 stb r2,0(sp) +81106ed0: d8800003 ldbu r2,0(sp) +81106ed4: 10803fcc andi r2,r2,255 +81106ed8: 98801936 bltu r19,r2,81106f40 +81106edc: d8800003 ldbu r2,0(sp) +81106ee0: 10803fcc andi r2,r2,255 +81106ee4: 10842f24 muli r2,r2,4284 +81106ee8: a885883a add r2,r21,r2 +81106eec: 10996f17 ldw r2,26044(r2) +81106ef0: 153ff41e bne r2,r20,81106ec4 <__reset+0xfb0e6ec4> +81106ef4: d8c00003 ldbu r3,0(sp) +81106ef8: d8800003 ldbu r2,0(sp) +81106efc: 9464703a and r18,r18,r17 +81106f00: 18c00444 addi r3,r3,17 +81106f04: 10803fcc andi r2,r2,255 +81106f08: 1085883a add r2,r2,r2 +81106f0c: 1806963a slli r3,r3,24 +81106f10: 1085883a add r2,r2,r2 +81106f14: 8085883a add r2,r16,r2 +81106f18: 11000017 ldw r4,0(r2) +81106f1c: 90e4b03a or r18,r18,r3 +81106f20: 900b883a mov r5,r18 +81106f24: 11430640 call 81143064 +81106f28: 10803fcc andi r2,r2,255 +81106f2c: 103fe526 beq r2,zero,81106ec4 <__reset+0xfb0e6ec4> +81106f30: d9000003 ldbu r4,0(sp) +81106f34: 21003fcc andi r4,r4,255 +81106f38: 11258100 call 81125810 +81106f3c: 003fe106 br 81106ec4 <__reset+0xfb0e6ec4> +81106f40: dfc00717 ldw ra,28(sp) +81106f44: dd400617 ldw r21,24(sp) +81106f48: dd000517 ldw r20,20(sp) +81106f4c: dcc00417 ldw r19,16(sp) +81106f50: dc800317 ldw r18,12(sp) +81106f54: dc400217 ldw r17,8(sp) +81106f58: dc000117 ldw r16,4(sp) +81106f5c: dec00804 addi sp,sp,32 +81106f60: f800283a ret +81106f64: 19001017 ldw r4,64(r3) +81106f68: 2000141e bne r4,zero,81106fbc +81106f6c: 19001217 ldw r4,72(r3) +81106f70: 0025883a mov r18,zero +81106f74: 203fb426 beq r4,zero,81106e48 <__reset+0xfb0e6e48> +81106f78: d1207617 ldw r4,-32296(gp) +81106f7c: 01800044 movi r6,1 +81106f80: 048838b4 movhi r18,8418 +81106f84: 19800d15 stw r6,52(r3) +81106f88: 900b883a mov r5,r18 +81106f8c: 00c000c4 movi r3,3 +81106f90: 11800215 stw r6,8(r2) +81106f94: 10c00505 stb r3,20(r2) +81106f98: 11430640 call 81143064 +81106f9c: 10803fcc andi r2,r2,255 +81106fa0: 103fa926 beq r2,zero,81106e48 <__reset+0xfb0e6e48> +81106fa4: 11258700 call 81125870 +81106fa8: 003fa706 br 81106e48 <__reset+0xfb0e6e48> +81106fac: 11258d00 call 811258d0 +81106fb0: 003fb706 br 81106e90 <__reset+0xfb0e6e90> +81106fb4: 11258a00 call 811258a0 +81106fb8: 003fac06 br 81106e6c <__reset+0xfb0e6e6c> +81106fbc: 01000044 movi r4,1 +81106fc0: 19000b15 stw r4,44(r3) +81106fc4: d1207617 ldw r4,-32296(gp) +81106fc8: 04883834 movhi r18,8416 +81106fcc: 900b883a mov r5,r18 +81106fd0: 10000215 stw zero,8(r2) +81106fd4: 10000505 stb zero,20(r2) +81106fd8: 11430640 call 81143064 +81106fdc: 10803fcc andi r2,r2,255 +81106fe0: 103f9926 beq r2,zero,81106e48 <__reset+0xfb0e6e48> +81106fe4: 003fef06 br 81106fa4 <__reset+0xfb0e6fa4> + +81106fe8 : +81106fe8: defffa04 addi sp,sp,-24 +81106fec: 00e04634 movhi r3,33048 +81106ff0: 00a00034 movhi r2,32768 +81106ff4: 18cef404 addi r3,r3,15312 +81106ff8: dfc00515 stw ra,20(sp) +81106ffc: dcc00415 stw r19,16(sp) +81107000: dc800315 stw r18,12(sp) +81107004: dc400215 stw r17,8(sp) +81107008: dc000115 stw r16,4(sp) +8110700c: 01400044 movi r5,1 +81107010: 10810004 addi r2,r2,1024 +81107014: 19400415 stw r5,16(r3) +81107018: 10c01c17 ldw r3,112(r2) +8110701c: 18003a26 beq r3,zero,81107108 +81107020: 11401815 stw r5,96(r2) +81107024: 00a04634 movhi r2,33048 +81107028: 108f1904 addi r2,r2,15460 +8110702c: 10800803 ldbu r2,32(r2) +81107030: 00c00104 movi r3,4 +81107034: 18803f2e bgeu r3,r2,81107134 +81107038: 04c03a34 movhi r19,232 +8110703c: d8000005 stb zero,0(sp) +81107040: d8800003 ldbu r2,0(sp) +81107044: 04000144 movi r16,5 +81107048: 10803fcc andi r2,r2,255 +8110704c: 80801e36 bltu r16,r2,811070c8 +81107050: 04a04634 movhi r18,33048 +81107054: 04404034 movhi r17,256 +81107058: 94a41604 addi r18,r18,-28584 +8110705c: 8c7fffc4 addi r17,r17,-1 +81107060: 00000606 br 8110707c +81107064: d8800003 ldbu r2,0(sp) +81107068: 10800044 addi r2,r2,1 +8110706c: d8800005 stb r2,0(sp) +81107070: d8800003 ldbu r2,0(sp) +81107074: 10803fcc andi r2,r2,255 +81107078: 80801336 bltu r16,r2,811070c8 +8110707c: d8c00003 ldbu r3,0(sp) +81107080: d8800003 ldbu r2,0(sp) +81107084: 9c66703a and r19,r19,r17 +81107088: 18c00444 addi r3,r3,17 +8110708c: 10803fcc andi r2,r2,255 +81107090: 1085883a add r2,r2,r2 +81107094: 1806963a slli r3,r3,24 +81107098: 1085883a add r2,r2,r2 +8110709c: 9085883a add r2,r18,r2 +811070a0: 11000017 ldw r4,0(r2) +811070a4: 98e6b03a or r19,r19,r3 +811070a8: 980b883a mov r5,r19 +811070ac: 11430640 call 81143064 +811070b0: 10803fcc andi r2,r2,255 +811070b4: 103feb26 beq r2,zero,81107064 <__reset+0xfb0e7064> +811070b8: d9000003 ldbu r4,0(sp) +811070bc: 21003fcc andi r4,r4,255 +811070c0: 11258100 call 81125810 +811070c4: 003fe706 br 81107064 <__reset+0xfb0e7064> +811070c8: 00c04034 movhi r3,256 +811070cc: 18ffffc4 addi r3,r3,-1 +811070d0: d1208617 ldw r4,-32232(gp) +811070d4: 98ca703a and r5,r19,r3 +811070d8: 29500034 orhi r5,r5,16384 +811070dc: 11430640 call 81143064 +811070e0: 10803fcc andi r2,r2,255 +811070e4: 10000126 beq r2,zero,811070ec +811070e8: 11258a00 call 811258a0 +811070ec: dfc00517 ldw ra,20(sp) +811070f0: dcc00417 ldw r19,16(sp) +811070f4: dc800317 ldw r18,12(sp) +811070f8: dc400217 ldw r17,8(sp) +811070fc: dc000117 ldw r16,4(sp) +81107100: dec00604 addi sp,sp,24 +81107104: f800283a ret +81107108: 10c01b17 ldw r3,108(r2) +8110710c: 0027883a mov r19,zero +81107110: 183fca26 beq r3,zero,8110703c <__reset+0xfb0e703c> +81107114: 11401715 stw r5,92(r2) +81107118: 00a04634 movhi r2,33048 +8110711c: 108f1904 addi r2,r2,15460 +81107120: 10800803 ldbu r2,32(r2) +81107124: 00c00104 movi r3,4 +81107128: 1880082e bgeu r3,r2,8110714c +8110712c: 04c03934 movhi r19,228 +81107130: 003fc206 br 8110703c <__reset+0xfb0e703c> +81107134: d1e07917 ldw r7,-32284(gp) +81107138: 01204574 movhi r4,33045 +8110713c: 21203d04 addi r4,r4,-32524 +81107140: 018005c4 movi r6,23 +81107144: 112bc8c0 call 8112bc8c +81107148: 003fbb06 br 81107038 <__reset+0xfb0e7038> +8110714c: d1e07917 ldw r7,-32284(gp) +81107150: 01204574 movhi r4,33045 +81107154: 21204304 addi r4,r4,-32500 +81107158: 01800404 movi r6,16 +8110715c: 112bc8c0 call 8112bc8c +81107160: 003ff206 br 8110712c <__reset+0xfb0e712c> + +81107164 : +81107164: d0206305 stb zero,-32372(gp) +81107168: f800283a ret + +8110716c : +8110716c: 00a00034 movhi r2,32768 +81107170: 00c00044 movi r3,1 +81107174: 10c10a15 stw r3,1064(r2) +81107178: 10c10c15 stw r3,1072(r2) +8110717c: 01a04434 movhi r6,33040 +81107180: 10c10b15 stw r3,1068(r2) +81107184: 319b7c04 addi r6,r6,28144 +81107188: d1606204 addi r5,gp,-32376 +8110718c: 010002c4 movi r4,11 +81107190: 10c10d15 stw r3,1076(r2) +81107194: 113f3fc1 jmpi 8113f3fc + +81107198 : +81107198: 00a00034 movhi r2,32768 +8110719c: 00c00044 movi r3,1 +811071a0: 10c11715 stw r3,1116(r2) +811071a4: 10c11915 stw r3,1124(r2) +811071a8: 01a04434 movhi r6,33040 +811071ac: 10c11815 stw r3,1120(r2) +811071b0: 319bfa04 addi r6,r6,28648 +811071b4: d1606104 addi r5,gp,-32380 +811071b8: 01000304 movi r4,12 +811071bc: 10c11a15 stw r3,1128(r2) +811071c0: 113f3fc1 jmpi 8113f3fc + +811071c4 : +811071c4: 00a00034 movhi r2,32768 +811071c8: 10810004 addi r2,r2,1024 +811071cc: 10800017 ldw r2,0(r2) +811071d0: f800283a ret + +811071d4 : +811071d4: 00a00034 movhi r2,32768 +811071d8: 10810004 addi r2,r2,1024 +811071dc: 10800117 ldw r2,4(r2) +811071e0: f800283a ret + +811071e4 : +811071e4: 00a00034 movhi r2,32768 +811071e8: 10810004 addi r2,r2,1024 +811071ec: 10800217 ldw r2,8(r2) +811071f0: f800283a ret + +811071f4 : +811071f4: 00a00034 movhi r2,32768 +811071f8: 10810004 addi r2,r2,1024 +811071fc: 10800317 ldw r2,12(r2) +81107200: f800283a ret + +81107204 : +81107204: 00e00034 movhi r3,32768 +81107208: 00800044 movi r2,1 +8110720c: 19011f15 stw r4,1148(r3) +81107210: f800283a ret + +81107214 : +81107214: 00e00034 movhi r3,32768 +81107218: 00800044 movi r2,1 +8110721c: 19012015 stw r4,1152(r3) +81107220: f800283a ret + +81107224 : +81107224: 00e00034 movhi r3,32768 +81107228: 00800044 movi r2,1 +8110722c: 19012215 stw r4,1160(r3) +81107230: f800283a ret + +81107234 : 81107234: 00e00034 movhi r3,32768 81107238: 00800044 movi r2,1 -8110723c: 19012815 stw r4,1184(r3) +8110723c: 19012315 stw r4,1164(r3) 81107240: f800283a ret -81107244 : -81107244: 00a00034 movhi r2,32768 -81107248: 10810004 addi r2,r2,1024 -8110724c: 10801f17 ldw r2,124(r2) +81107244 : +81107244: 00e00034 movhi r3,32768 +81107248: 00800044 movi r2,1 +8110724c: 19012615 stw r4,1176(r3) 81107250: f800283a ret -81107254 : -81107254: 00a00034 movhi r2,32768 -81107258: 10810004 addi r2,r2,1024 -8110725c: 10802017 ldw r2,128(r2) +81107254 : +81107254: 00e00034 movhi r3,32768 +81107258: 00800044 movi r2,1 +8110725c: 19012715 stw r4,1180(r3) 81107260: f800283a ret -81107264 : -81107264: 00a00034 movhi r2,32768 -81107268: 10810004 addi r2,r2,1024 -8110726c: 10802317 ldw r2,140(r2) -81107270: f800283a ret - -81107274 : -81107274: 00a00034 movhi r2,32768 -81107278: 10810004 addi r2,r2,1024 -8110727c: 10802617 ldw r2,152(r2) -81107280: f800283a ret - -81107284 : -81107284: 00e00034 movhi r3,32768 -81107288: 00800044 movi r2,1 -8110728c: 19012915 stw r4,1188(r3) -81107290: f800283a ret - -81107294 : -81107294: 00e00034 movhi r3,32768 -81107298: 00800044 movi r2,1 -8110729c: 19012a15 stw r4,1192(r3) -811072a0: f800283a ret - -811072a4 : -811072a4: 00c00044 movi r3,1 -811072a8: 01200034 movhi r4,32768 -811072ac: 1805883a mov r2,r3 -811072b0: 20c12b15 stw r3,1196(r4) +81107264 : +81107264: 21003fcc andi r4,r4,255 +81107268: 00e00034 movhi r3,32768 +8110726c: 00800044 movi r2,1 +81107270: 19012815 stw r4,1184(r3) +81107274: f800283a ret + +81107278 : +81107278: 00a00034 movhi r2,32768 +8110727c: 10810004 addi r2,r2,1024 +81107280: 10801f17 ldw r2,124(r2) +81107284: f800283a ret + +81107288 : +81107288: 00a00034 movhi r2,32768 +8110728c: 10810004 addi r2,r2,1024 +81107290: 10802017 ldw r2,128(r2) +81107294: f800283a ret + +81107298 : +81107298: 00a00034 movhi r2,32768 +8110729c: 10810004 addi r2,r2,1024 +811072a0: 10802317 ldw r2,140(r2) +811072a4: f800283a ret + +811072a8 : +811072a8: 00a00034 movhi r2,32768 +811072ac: 10810004 addi r2,r2,1024 +811072b0: 10802617 ldw r2,152(r2) 811072b4: f800283a ret -811072b8 : -811072b8: 00c00044 movi r3,1 -811072bc: 01200034 movhi r4,32768 -811072c0: 1805883a mov r2,r3 -811072c4: 20c12c15 stw r3,1200(r4) -811072c8: f800283a ret - -811072cc : -811072cc: 00c00044 movi r3,1 -811072d0: 01200034 movhi r4,32768 -811072d4: 1805883a mov r2,r3 -811072d8: 20c12d15 stw r3,1204(r4) -811072dc: f800283a ret - -811072e0 : -811072e0: 00c00044 movi r3,1 -811072e4: 01200034 movhi r4,32768 -811072e8: 1805883a mov r2,r3 -811072ec: 20c12e15 stw r3,1208(r4) -811072f0: f800283a ret - -811072f4 : -811072f4: 00e00034 movhi r3,32768 -811072f8: 00800044 movi r2,1 -811072fc: 19012f15 stw r4,1212(r3) -81107300: f800283a ret - -81107304 : -81107304: 00e00034 movhi r3,32768 -81107308: 00800044 movi r2,1 -8110730c: 19013015 stw r4,1216(r3) +811072b8 : +811072b8: 00e00034 movhi r3,32768 +811072bc: 00800044 movi r2,1 +811072c0: 19012915 stw r4,1188(r3) +811072c4: f800283a ret + +811072c8 : +811072c8: 00e00034 movhi r3,32768 +811072cc: 00800044 movi r2,1 +811072d0: 19012a15 stw r4,1192(r3) +811072d4: f800283a ret + +811072d8 : +811072d8: 00c00044 movi r3,1 +811072dc: 01200034 movhi r4,32768 +811072e0: 1805883a mov r2,r3 +811072e4: 20c12b15 stw r3,1196(r4) +811072e8: f800283a ret + +811072ec : +811072ec: 00c00044 movi r3,1 +811072f0: 01200034 movhi r4,32768 +811072f4: 1805883a mov r2,r3 +811072f8: 20c12c15 stw r3,1200(r4) +811072fc: f800283a ret + +81107300 : +81107300: 00c00044 movi r3,1 +81107304: 01200034 movhi r4,32768 +81107308: 1805883a mov r2,r3 +8110730c: 20c12d15 stw r3,1204(r4) 81107310: f800283a ret -81107314 : -81107314: 00e00034 movhi r3,32768 -81107318: 00800044 movi r2,1 -8110731c: 19013115 stw r4,1220(r3) -81107320: f800283a ret - -81107324 : -81107324: 00e00034 movhi r3,32768 -81107328: 00800044 movi r2,1 -8110732c: 19013215 stw r4,1224(r3) -81107330: f800283a ret - -81107334 : -81107334: 00e00034 movhi r3,32768 -81107338: 00800044 movi r2,1 -8110733c: 19013315 stw r4,1228(r3) -81107340: f800283a ret - -81107344 : -81107344: 00e00034 movhi r3,32768 -81107348: 00800044 movi r2,1 -8110734c: 19013415 stw r4,1232(r3) -81107350: f800283a ret - -81107354 : -81107354: 00e00034 movhi r3,32768 -81107358: 00800044 movi r2,1 -8110735c: 19013515 stw r4,1236(r3) -81107360: f800283a ret - -81107364 : -81107364: 00e00034 movhi r3,32768 -81107368: 00800044 movi r2,1 -8110736c: 19013615 stw r4,1240(r3) -81107370: f800283a ret - -81107374 : -81107374: 00e00034 movhi r3,32768 -81107378: 00800044 movi r2,1 -8110737c: 19013715 stw r4,1244(r3) -81107380: f800283a ret - -81107384 : -81107384: 00e00034 movhi r3,32768 -81107388: 00800044 movi r2,1 -8110738c: 19013815 stw r4,1248(r3) -81107390: f800283a ret - -81107394 : -81107394: 00e00034 movhi r3,32768 -81107398: 00800044 movi r2,1 -8110739c: 19013915 stw r4,1252(r3) -811073a0: f800283a ret - -811073a4 : -811073a4: 00e00034 movhi r3,32768 -811073a8: 00800044 movi r2,1 -811073ac: 19010415 stw r4,1040(r3) -811073b0: f800283a ret - -811073b4 : -811073b4: 00e00034 movhi r3,32768 -811073b8: 00800044 movi r2,1 -811073bc: 19010515 stw r4,1044(r3) -811073c0: f800283a ret - -811073c4 : -811073c4: 00e00034 movhi r3,32768 -811073c8: 00800044 movi r2,1 -811073cc: 19010615 stw r4,1048(r3) -811073d0: f800283a ret - -811073d4 : -811073d4: 00e00034 movhi r3,32768 -811073d8: 00800044 movi r2,1 -811073dc: 19010715 stw r4,1052(r3) -811073e0: f800283a ret - -811073e4 : -811073e4: 00e00034 movhi r3,32768 -811073e8: 00800044 movi r2,1 -811073ec: 19010815 stw r4,1056(r3) -811073f0: f800283a ret - -811073f4 : -811073f4: 00e00034 movhi r3,32768 -811073f8: 00800044 movi r2,1 -811073fc: 19010915 stw r4,1060(r3) -81107400: f800283a ret - -81107404 : -81107404: 00e00034 movhi r3,32768 -81107408: 00800044 movi r2,1 -8110740c: 19010a15 stw r4,1064(r3) -81107410: f800283a ret - -81107414 : -81107414: 00e00034 movhi r3,32768 -81107418: 00800044 movi r2,1 -8110741c: 19010b15 stw r4,1068(r3) -81107420: f800283a ret - -81107424 : -81107424: 00e00034 movhi r3,32768 -81107428: 00800044 movi r2,1 -8110742c: 19010c15 stw r4,1072(r3) -81107430: f800283a ret - -81107434 : -81107434: 00e00034 movhi r3,32768 -81107438: 00800044 movi r2,1 -8110743c: 19010d15 stw r4,1076(r3) -81107440: f800283a ret - -81107444 : -81107444: 00a00034 movhi r2,32768 -81107448: 10810004 addi r2,r2,1024 -8110744c: 10800e17 ldw r2,56(r2) -81107450: f800283a ret - -81107454 : -81107454: 00a00034 movhi r2,32768 -81107458: 10810004 addi r2,r2,1024 -8110745c: 10800f17 ldw r2,60(r2) -81107460: f800283a ret - -81107464 : -81107464: 00a00034 movhi r2,32768 -81107468: 10810004 addi r2,r2,1024 -8110746c: 10801017 ldw r2,64(r2) -81107470: f800283a ret - -81107474 : -81107474: 00a00034 movhi r2,32768 -81107478: 10810004 addi r2,r2,1024 -8110747c: 10801117 ldw r2,68(r2) -81107480: f800283a ret - -81107484 : -81107484: 00a00034 movhi r2,32768 -81107488: 10810004 addi r2,r2,1024 -8110748c: 10801217 ldw r2,72(r2) -81107490: f800283a ret - -81107494 : -81107494: 00e00034 movhi r3,32768 -81107498: 00800044 movi r2,1 -8110749c: 19011315 stw r4,1100(r3) -811074a0: f800283a ret - -811074a4 : -811074a4: 00e00034 movhi r3,32768 -811074a8: 00800044 movi r2,1 -811074ac: 19011415 stw r4,1104(r3) -811074b0: f800283a ret - -811074b4 : -811074b4: 00e00034 movhi r3,32768 -811074b8: 00800044 movi r2,1 -811074bc: 19011515 stw r4,1108(r3) -811074c0: f800283a ret - -811074c4 : -811074c4: 00e00034 movhi r3,32768 -811074c8: 00800044 movi r2,1 -811074cc: 19011615 stw r4,1112(r3) -811074d0: f800283a ret - -811074d4 : -811074d4: 00e00034 movhi r3,32768 -811074d8: 00800044 movi r2,1 -811074dc: 19011715 stw r4,1116(r3) -811074e0: f800283a ret - -811074e4 : -811074e4: 00e00034 movhi r3,32768 -811074e8: 00800044 movi r2,1 -811074ec: 19011815 stw r4,1120(r3) -811074f0: f800283a ret - -811074f4 : -811074f4: 00e00034 movhi r3,32768 -811074f8: 00800044 movi r2,1 -811074fc: 19011915 stw r4,1124(r3) -81107500: f800283a ret - -81107504 : -81107504: 00e00034 movhi r3,32768 -81107508: 00800044 movi r2,1 -8110750c: 19011a15 stw r4,1128(r3) -81107510: f800283a ret - -81107514 : -81107514: 00a00034 movhi r2,32768 -81107518: 10810004 addi r2,r2,1024 -8110751c: 10801b17 ldw r2,108(r2) -81107520: f800283a ret - -81107524 : -81107524: 00a00034 movhi r2,32768 -81107528: 10810004 addi r2,r2,1024 -8110752c: 10801c17 ldw r2,112(r2) -81107530: f800283a ret - -81107534 : -81107534: 00a00034 movhi r2,32768 -81107538: 10810004 addi r2,r2,1024 -8110753c: 10801d17 ldw r2,116(r2) -81107540: f800283a ret - -81107544 : -81107544: 00a00034 movhi r2,32768 -81107548: 10810004 addi r2,r2,1024 -8110754c: 10801e17 ldw r2,120(r2) -81107550: f800283a ret - -81107554 : -81107554: deffdb04 addi sp,sp,-148 -81107558: dc402115 stw r17,132(sp) -8110755c: 01604574 movhi r5,33045 -81107560: 04600034 movhi r17,32768 -81107564: 8c410004 addi r17,r17,1024 -81107568: 2960b004 addi r5,r5,-32064 -8110756c: 01802004 movi r6,128 -81107570: d809883a mov r4,sp -81107574: dcc02315 stw r19,140(sp) -81107578: dc802215 stw r18,136(sp) -8110757c: dc002015 stw r16,128(sp) -81107580: dfc02415 stw ra,144(sp) -81107584: 112c6480 call 8112c648 -81107588: 88003b15 stw zero,236(r17) -8110758c: 88003d15 stw zero,244(r17) -81107590: 88003a15 stw zero,232(r17) -81107594: 00800044 movi r2,1 -81107598: d821883a mov r16,sp -8110759c: 88803c15 stw r2,240(r17) -811075a0: dc802004 addi r18,sp,128 -811075a4: 0027883a mov r19,zero -811075a8: 0100fa04 movi r4,1000 -811075ac: 113f9c40 call 8113f9c4 -811075b0: 80800017 ldw r2,0(r16) -811075b4: 0100fa04 movi r4,1000 -811075b8: 84000104 addi r16,r16,4 -811075bc: 88803d15 stw r2,244(r17) -811075c0: 88c03e17 ldw r3,248(r17) -811075c4: 10c00126 beq r2,r3,811075cc -811075c8: 04c00044 movi r19,1 -811075cc: 113f9c40 call 8113f9c4 -811075d0: 84bff51e bne r16,r18,811075a8 <__reset+0xfb0e75a8> -811075d4: 00e00034 movhi r3,32768 -811075d8: 18013b15 stw zero,1260(r3) -811075dc: 18013d15 stw zero,1268(r3) -811075e0: 9880005c xori r2,r19,1 -811075e4: 18013a15 stw zero,1256(r3) -811075e8: 18013c15 stw zero,1264(r3) -811075ec: dfc02417 ldw ra,144(sp) -811075f0: dcc02317 ldw r19,140(sp) -811075f4: dc802217 ldw r18,136(sp) -811075f8: dc402117 ldw r17,132(sp) -811075fc: dc002017 ldw r16,128(sp) -81107600: dec02504 addi sp,sp,148 -81107604: f800283a ret - -81107608 : -81107608: defffb04 addi sp,sp,-20 -8110760c: dfc00415 stw ra,16(sp) -81107610: dcc00315 stw r19,12(sp) -81107614: dc800215 stw r18,8(sp) -81107618: dc400115 stw r17,4(sp) -8110761c: dc000015 stw r16,0(sp) -81107620: 20bfffcc andi r2,r4,65535 -81107624: 00d869c4 movi r3,24999 -81107628: 18803f2e bgeu r3,r2,81107728 -8110762c: 04200034 movhi r16,32768 -81107630: 84010004 addi r16,r16,1024 -81107634: 04c00104 movi r19,4 -81107638: 84c02815 stw r19,160(r16) -8110763c: 04800044 movi r18,1 -81107640: 00801334 movhi r2,76 -81107644: 84802715 stw r18,156(r16) -81107648: 1092d004 addi r2,r2,19264 -8110764c: 80802215 stw r2,136(r16) -81107650: 00845bf4 movhi r2,4463 -81107654: 108c8804 addi r2,r2,12832 -81107658: 80801f15 stw r2,124(r16) -8110765c: 00848234 movhi r2,4616 -81107660: 2023883a mov r17,r4 -81107664: 10b22804 addi r2,r2,-14176 -81107668: 212d4c84 addi r4,r4,-19150 -8110766c: 213fffcc andi r4,r4,65535 -81107670: 80802015 stw r2,128(r16) -81107674: 112adb40 call 8112adb4 <__floatsidf> -81107678: 01d03a34 movhi r7,16616 -8110767c: 39da8004 addi r7,r7,27136 -81107680: 000d883a mov r6,zero -81107684: 1009883a mov r4,r2 -81107688: 180b883a mov r5,r3 -8110768c: 1129d200 call 81129d20 <__muldf3> -81107690: 180b883a mov r5,r3 -81107694: 1009883a mov r4,r2 -81107698: 11279640 call 81127964 <__fixunsdfsi> -8110769c: 80802115 stw r2,132(r16) -811076a0: 0084a834 movhi r2,4768 -811076a4: 1097c804 addi r2,r2,24352 -811076a8: 892db084 addi r4,r17,-18750 -811076ac: 213fffcc andi r4,r4,65535 -811076b0: 80802315 stw r2,140(r16) -811076b4: 112adb40 call 8112adb4 <__floatsidf> -811076b8: 01d03a34 movhi r7,16616 -811076bc: 39da8004 addi r7,r7,27136 -811076c0: 000d883a mov r6,zero -811076c4: 1009883a mov r4,r2 -811076c8: 180b883a mov r5,r3 -811076cc: 1129d200 call 81129d20 <__muldf3> -811076d0: 180b883a mov r5,r3 -811076d4: 1009883a mov r4,r2 -811076d8: 11279640 call 81127964 <__fixunsdfsi> -811076dc: 80802415 stw r2,144(r16) -811076e0: 00c03974 movhi r3,229 -811076e4: 00a04634 movhi r2,33048 -811076e8: 18f87004 addi r3,r3,-7744 -811076ec: 108f0804 addi r2,r2,15392 -811076f0: 10800803 ldbu r2,32(r2) -811076f4: 80c02515 stw r3,148(r16) -811076f8: 00c05f74 movhi r3,381 -811076fc: 18de1004 addi r3,r3,30784 -81107700: 80c02615 stw r3,152(r16) -81107704: 9880172e bgeu r19,r2,81107764 -81107708: 9005883a mov r2,r18 -8110770c: dfc00417 ldw ra,16(sp) -81107710: dcc00317 ldw r19,12(sp) -81107714: dc800217 ldw r18,8(sp) -81107718: dc400117 ldw r17,4(sp) -8110771c: dc000017 ldw r16,0(sp) -81107720: dec00504 addi sp,sp,20 -81107724: f800283a ret -81107728: 00a04634 movhi r2,33048 -8110772c: 108f0804 addi r2,r2,15392 -81107730: 10800803 ldbu r2,32(r2) -81107734: 00c001c4 movi r3,7 -81107738: 1880022e bgeu r3,r2,81107744 -8110773c: 0005883a mov r2,zero -81107740: 003ff206 br 8110770c <__reset+0xfb0e770c> -81107744: d1e07917 ldw r7,-32284(gp) -81107748: 01204574 movhi r4,33045 -8110774c: 2120a104 addi r4,r4,-32124 -81107750: 01800e04 movi r6,56 -81107754: 01400044 movi r5,1 -81107758: 112bc4c0 call 8112bc4c -8110775c: 0005883a mov r2,zero -81107760: 003fea06 br 8110770c <__reset+0xfb0e770c> -81107764: d1e07917 ldw r7,-32284(gp) -81107768: 01204574 movhi r4,33045 -8110776c: 21203804 addi r4,r4,-32544 -81107770: 01800704 movi r6,28 -81107774: 900b883a mov r5,r18 -81107778: 112bc4c0 call 8112bc4c -8110777c: 81802817 ldw r6,160(r16) -81107780: d1207917 ldw r4,-32284(gp) -81107784: 01604574 movhi r5,33045 -81107788: 29604004 addi r5,r5,-32512 -8110778c: 31803fcc andi r6,r6,255 -81107790: 112b5e00 call 8112b5e0 -81107794: 81802717 ldw r6,156(r16) -81107798: d1207917 ldw r4,-32284(gp) -8110779c: 01604574 movhi r5,33045 -811077a0: 29604904 addi r5,r5,-32476 -811077a4: 112b5e00 call 8112b5e0 -811077a8: 81002217 ldw r4,136(r16) -811077ac: 112ae900 call 8112ae90 <__floatunsidf> -811077b0: 01cfbd74 movhi r7,16117 -811077b4: 01a238f4 movhi r6,35043 -811077b8: 39fe2d44 addi r7,r7,-1867 -811077bc: 319a3c44 addi r6,r6,26865 -811077c0: 1009883a mov r4,r2 -811077c4: 180b883a mov r5,r3 -811077c8: 1129d200 call 81129d20 <__muldf3> -811077cc: 180b883a mov r5,r3 -811077d0: 1009883a mov r4,r2 -811077d4: 11279640 call 81127964 <__fixunsdfsi> -811077d8: d1207917 ldw r4,-32284(gp) -811077dc: 01604574 movhi r5,33045 -811077e0: 29605204 addi r5,r5,-32440 -811077e4: 11bfffcc andi r6,r2,65535 -811077e8: 112b5e00 call 8112b5e0 -811077ec: 81002317 ldw r4,140(r16) -811077f0: 80801f17 ldw r2,124(r16) -811077f4: 2089c83a sub r4,r4,r2 -811077f8: 112ae900 call 8112ae90 <__floatunsidf> -811077fc: 01cfbd74 movhi r7,16117 -81107800: 01a238f4 movhi r6,35043 -81107804: 39fe2d44 addi r7,r7,-1867 -81107808: 319a3c44 addi r6,r6,26865 -8110780c: 1009883a mov r4,r2 -81107810: 180b883a mov r5,r3 -81107814: 1129d200 call 81129d20 <__muldf3> -81107818: 180b883a mov r5,r3 -8110781c: 1009883a mov r4,r2 -81107820: 11279640 call 81127964 <__fixunsdfsi> -81107824: d1207917 ldw r4,-32284(gp) -81107828: 01604574 movhi r5,33045 -8110782c: 29605c04 addi r5,r5,-32400 -81107830: 11bfffcc andi r6,r2,65535 -81107834: 112b5e00 call 8112b5e0 -81107838: 81002317 ldw r4,140(r16) -8110783c: 80802017 ldw r2,128(r16) -81107840: 2089c83a sub r4,r4,r2 -81107844: 112ae900 call 8112ae90 <__floatunsidf> -81107848: 01cfbd74 movhi r7,16117 -8110784c: 01a238f4 movhi r6,35043 -81107850: 39fe2d44 addi r7,r7,-1867 -81107854: 319a3c44 addi r6,r6,26865 -81107858: 1009883a mov r4,r2 -8110785c: 180b883a mov r5,r3 -81107860: 1129d200 call 81129d20 <__muldf3> -81107864: 180b883a mov r5,r3 -81107868: 1009883a mov r4,r2 -8110786c: 11279640 call 81127964 <__fixunsdfsi> -81107870: d1207917 ldw r4,-32284(gp) -81107874: 01604574 movhi r5,33045 -81107878: 29606704 addi r5,r5,-32356 -8110787c: 11bfffcc andi r6,r2,65535 -81107880: 112b5e00 call 8112b5e0 -81107884: 81002417 ldw r4,144(r16) -81107888: 80802117 ldw r2,132(r16) -8110788c: 2089c83a sub r4,r4,r2 -81107890: 112ae900 call 8112ae90 <__floatunsidf> -81107894: 01cfbd74 movhi r7,16117 -81107898: 01a238f4 movhi r6,35043 -8110789c: 39fe2d44 addi r7,r7,-1867 -811078a0: 319a3c44 addi r6,r6,26865 -811078a4: 1009883a mov r4,r2 -811078a8: 180b883a mov r5,r3 -811078ac: 1129d200 call 81129d20 <__muldf3> -811078b0: 180b883a mov r5,r3 -811078b4: 1009883a mov r4,r2 -811078b8: 11279640 call 81127964 <__fixunsdfsi> -811078bc: d1207917 ldw r4,-32284(gp) -811078c0: 01604574 movhi r5,33045 -811078c4: 29607004 addi r5,r5,-32320 -811078c8: 11bfffcc andi r6,r2,65535 -811078cc: 112b5e00 call 8112b5e0 -811078d0: 81002317 ldw r4,140(r16) -811078d4: 112ae900 call 8112ae90 <__floatunsidf> -811078d8: 01cfbd74 movhi r7,16117 -811078dc: 01a238f4 movhi r6,35043 -811078e0: 39fe2d44 addi r7,r7,-1867 -811078e4: 319a3c44 addi r6,r6,26865 +81107314 : +81107314: 00c00044 movi r3,1 +81107318: 01200034 movhi r4,32768 +8110731c: 1805883a mov r2,r3 +81107320: 20c12e15 stw r3,1208(r4) +81107324: f800283a ret + +81107328 : +81107328: 00e00034 movhi r3,32768 +8110732c: 00800044 movi r2,1 +81107330: 19012f15 stw r4,1212(r3) +81107334: f800283a ret + +81107338 : +81107338: 00e00034 movhi r3,32768 +8110733c: 00800044 movi r2,1 +81107340: 19013015 stw r4,1216(r3) +81107344: f800283a ret + +81107348 : +81107348: 00e00034 movhi r3,32768 +8110734c: 00800044 movi r2,1 +81107350: 19013115 stw r4,1220(r3) +81107354: f800283a ret + +81107358 : +81107358: 00e00034 movhi r3,32768 +8110735c: 00800044 movi r2,1 +81107360: 19013215 stw r4,1224(r3) +81107364: f800283a ret + +81107368 : +81107368: 00e00034 movhi r3,32768 +8110736c: 00800044 movi r2,1 +81107370: 19013315 stw r4,1228(r3) +81107374: f800283a ret + +81107378 : +81107378: 00e00034 movhi r3,32768 +8110737c: 00800044 movi r2,1 +81107380: 19013415 stw r4,1232(r3) +81107384: f800283a ret + +81107388 : +81107388: 00e00034 movhi r3,32768 +8110738c: 00800044 movi r2,1 +81107390: 19013515 stw r4,1236(r3) +81107394: f800283a ret + +81107398 : +81107398: 00e00034 movhi r3,32768 +8110739c: 00800044 movi r2,1 +811073a0: 19013615 stw r4,1240(r3) +811073a4: f800283a ret + +811073a8 : +811073a8: 00e00034 movhi r3,32768 +811073ac: 00800044 movi r2,1 +811073b0: 19013715 stw r4,1244(r3) +811073b4: f800283a ret + +811073b8 : +811073b8: 00e00034 movhi r3,32768 +811073bc: 00800044 movi r2,1 +811073c0: 19013815 stw r4,1248(r3) +811073c4: f800283a ret + +811073c8 : +811073c8: 00e00034 movhi r3,32768 +811073cc: 00800044 movi r2,1 +811073d0: 19013915 stw r4,1252(r3) +811073d4: f800283a ret + +811073d8 : +811073d8: 00e00034 movhi r3,32768 +811073dc: 00800044 movi r2,1 +811073e0: 19010415 stw r4,1040(r3) +811073e4: f800283a ret + +811073e8 : +811073e8: 00e00034 movhi r3,32768 +811073ec: 00800044 movi r2,1 +811073f0: 19010515 stw r4,1044(r3) +811073f4: f800283a ret + +811073f8 : +811073f8: 00e00034 movhi r3,32768 +811073fc: 00800044 movi r2,1 +81107400: 19010615 stw r4,1048(r3) +81107404: f800283a ret + +81107408 : +81107408: 00e00034 movhi r3,32768 +8110740c: 00800044 movi r2,1 +81107410: 19010715 stw r4,1052(r3) +81107414: f800283a ret + +81107418 : +81107418: 00e00034 movhi r3,32768 +8110741c: 00800044 movi r2,1 +81107420: 19010815 stw r4,1056(r3) +81107424: f800283a ret + +81107428 : +81107428: 00e00034 movhi r3,32768 +8110742c: 00800044 movi r2,1 +81107430: 19010915 stw r4,1060(r3) +81107434: f800283a ret + +81107438 : +81107438: 00e00034 movhi r3,32768 +8110743c: 00800044 movi r2,1 +81107440: 19010a15 stw r4,1064(r3) +81107444: f800283a ret + +81107448 : +81107448: 00e00034 movhi r3,32768 +8110744c: 00800044 movi r2,1 +81107450: 19010b15 stw r4,1068(r3) +81107454: f800283a ret + +81107458 : +81107458: 00e00034 movhi r3,32768 +8110745c: 00800044 movi r2,1 +81107460: 19010c15 stw r4,1072(r3) +81107464: f800283a ret + +81107468 : +81107468: 00e00034 movhi r3,32768 +8110746c: 00800044 movi r2,1 +81107470: 19010d15 stw r4,1076(r3) +81107474: f800283a ret + +81107478 : +81107478: 00a00034 movhi r2,32768 +8110747c: 10810004 addi r2,r2,1024 +81107480: 10800e17 ldw r2,56(r2) +81107484: f800283a ret + +81107488 : +81107488: 00a00034 movhi r2,32768 +8110748c: 10810004 addi r2,r2,1024 +81107490: 10800f17 ldw r2,60(r2) +81107494: f800283a ret + +81107498 : +81107498: 00a00034 movhi r2,32768 +8110749c: 10810004 addi r2,r2,1024 +811074a0: 10801017 ldw r2,64(r2) +811074a4: f800283a ret + +811074a8 : +811074a8: 00a00034 movhi r2,32768 +811074ac: 10810004 addi r2,r2,1024 +811074b0: 10801117 ldw r2,68(r2) +811074b4: f800283a ret + +811074b8 : +811074b8: 00a00034 movhi r2,32768 +811074bc: 10810004 addi r2,r2,1024 +811074c0: 10801217 ldw r2,72(r2) +811074c4: f800283a ret + +811074c8 : +811074c8: 00e00034 movhi r3,32768 +811074cc: 00800044 movi r2,1 +811074d0: 19011315 stw r4,1100(r3) +811074d4: f800283a ret + +811074d8 : +811074d8: 00e00034 movhi r3,32768 +811074dc: 00800044 movi r2,1 +811074e0: 19011415 stw r4,1104(r3) +811074e4: f800283a ret + +811074e8 : +811074e8: 00e00034 movhi r3,32768 +811074ec: 00800044 movi r2,1 +811074f0: 19011515 stw r4,1108(r3) +811074f4: f800283a ret + +811074f8 : +811074f8: 00e00034 movhi r3,32768 +811074fc: 00800044 movi r2,1 +81107500: 19011615 stw r4,1112(r3) +81107504: f800283a ret + +81107508 : +81107508: 00e00034 movhi r3,32768 +8110750c: 00800044 movi r2,1 +81107510: 19011715 stw r4,1116(r3) +81107514: f800283a ret + +81107518 : +81107518: 00e00034 movhi r3,32768 +8110751c: 00800044 movi r2,1 +81107520: 19011815 stw r4,1120(r3) +81107524: f800283a ret + +81107528 : +81107528: 00e00034 movhi r3,32768 +8110752c: 00800044 movi r2,1 +81107530: 19011915 stw r4,1124(r3) +81107534: f800283a ret + +81107538 : +81107538: 00e00034 movhi r3,32768 +8110753c: 00800044 movi r2,1 +81107540: 19011a15 stw r4,1128(r3) +81107544: f800283a ret + +81107548 : +81107548: 00a00034 movhi r2,32768 +8110754c: 10810004 addi r2,r2,1024 +81107550: 10801b17 ldw r2,108(r2) +81107554: f800283a ret + +81107558 : +81107558: 00a00034 movhi r2,32768 +8110755c: 10810004 addi r2,r2,1024 +81107560: 10801c17 ldw r2,112(r2) +81107564: f800283a ret + +81107568 : +81107568: 00a00034 movhi r2,32768 +8110756c: 10810004 addi r2,r2,1024 +81107570: 10801d17 ldw r2,116(r2) +81107574: f800283a ret + +81107578 : +81107578: 00a00034 movhi r2,32768 +8110757c: 10810004 addi r2,r2,1024 +81107580: 10801e17 ldw r2,120(r2) +81107584: f800283a ret + +81107588 : +81107588: deffdb04 addi sp,sp,-148 +8110758c: dc402115 stw r17,132(sp) +81107590: 01604574 movhi r5,33045 +81107594: 04600034 movhi r17,32768 +81107598: 8c410004 addi r17,r17,1024 +8110759c: 2960c004 addi r5,r5,-32000 +811075a0: 01802004 movi r6,128 +811075a4: d809883a mov r4,sp +811075a8: dcc02315 stw r19,140(sp) +811075ac: dc802215 stw r18,136(sp) +811075b0: dc002015 stw r16,128(sp) +811075b4: dfc02415 stw ra,144(sp) +811075b8: 112c6880 call 8112c688 +811075bc: 88003b15 stw zero,236(r17) +811075c0: 88003d15 stw zero,244(r17) +811075c4: 88003a15 stw zero,232(r17) +811075c8: 00800044 movi r2,1 +811075cc: d821883a mov r16,sp +811075d0: 88803c15 stw r2,240(r17) +811075d4: dc802004 addi r18,sp,128 +811075d8: 0027883a mov r19,zero +811075dc: 0100fa04 movi r4,1000 +811075e0: 113fa040 call 8113fa04 +811075e4: 80800017 ldw r2,0(r16) +811075e8: 0100fa04 movi r4,1000 +811075ec: 84000104 addi r16,r16,4 +811075f0: 88803d15 stw r2,244(r17) +811075f4: 88c03e17 ldw r3,248(r17) +811075f8: 10c00126 beq r2,r3,81107600 +811075fc: 04c00044 movi r19,1 +81107600: 113fa040 call 8113fa04 +81107604: 84bff51e bne r16,r18,811075dc <__reset+0xfb0e75dc> +81107608: 00e00034 movhi r3,32768 +8110760c: 18013b15 stw zero,1260(r3) +81107610: 18013d15 stw zero,1268(r3) +81107614: 9880005c xori r2,r19,1 +81107618: 18013a15 stw zero,1256(r3) +8110761c: 18013c15 stw zero,1264(r3) +81107620: dfc02417 ldw ra,144(sp) +81107624: dcc02317 ldw r19,140(sp) +81107628: dc802217 ldw r18,136(sp) +8110762c: dc402117 ldw r17,132(sp) +81107630: dc002017 ldw r16,128(sp) +81107634: dec02504 addi sp,sp,148 +81107638: f800283a ret + +8110763c : +8110763c: defffb04 addi sp,sp,-20 +81107640: dfc00415 stw ra,16(sp) +81107644: dcc00315 stw r19,12(sp) +81107648: dc800215 stw r18,8(sp) +8110764c: dc400115 stw r17,4(sp) +81107650: dc000015 stw r16,0(sp) +81107654: 20bfffcc andi r2,r4,65535 +81107658: 00d869c4 movi r3,24999 +8110765c: 18803f2e bgeu r3,r2,8110775c +81107660: 04200034 movhi r16,32768 +81107664: 84010004 addi r16,r16,1024 +81107668: 04c00104 movi r19,4 +8110766c: 84c02815 stw r19,160(r16) +81107670: 04800044 movi r18,1 +81107674: 00801334 movhi r2,76 +81107678: 84802715 stw r18,156(r16) +8110767c: 1092d004 addi r2,r2,19264 +81107680: 80802215 stw r2,136(r16) +81107684: 00845bf4 movhi r2,4463 +81107688: 108c8804 addi r2,r2,12832 +8110768c: 80801f15 stw r2,124(r16) +81107690: 00848234 movhi r2,4616 +81107694: 2023883a mov r17,r4 +81107698: 10b22804 addi r2,r2,-14176 +8110769c: 212d4c84 addi r4,r4,-19150 +811076a0: 213fffcc andi r4,r4,65535 +811076a4: 80802015 stw r2,128(r16) +811076a8: 112adf40 call 8112adf4 <__floatsidf> +811076ac: 01d03a34 movhi r7,16616 +811076b0: 39da8004 addi r7,r7,27136 +811076b4: 000d883a mov r6,zero +811076b8: 1009883a mov r4,r2 +811076bc: 180b883a mov r5,r3 +811076c0: 1129d600 call 81129d60 <__muldf3> +811076c4: 180b883a mov r5,r3 +811076c8: 1009883a mov r4,r2 +811076cc: 11279a40 call 811279a4 <__fixunsdfsi> +811076d0: 80802115 stw r2,132(r16) +811076d4: 0084a834 movhi r2,4768 +811076d8: 1097c804 addi r2,r2,24352 +811076dc: 892db084 addi r4,r17,-18750 +811076e0: 213fffcc andi r4,r4,65535 +811076e4: 80802315 stw r2,140(r16) +811076e8: 112adf40 call 8112adf4 <__floatsidf> +811076ec: 01d03a34 movhi r7,16616 +811076f0: 39da8004 addi r7,r7,27136 +811076f4: 000d883a mov r6,zero +811076f8: 1009883a mov r4,r2 +811076fc: 180b883a mov r5,r3 +81107700: 1129d600 call 81129d60 <__muldf3> +81107704: 180b883a mov r5,r3 +81107708: 1009883a mov r4,r2 +8110770c: 11279a40 call 811279a4 <__fixunsdfsi> +81107710: 80802415 stw r2,144(r16) +81107714: 00c03974 movhi r3,229 +81107718: 00a04634 movhi r2,33048 +8110771c: 18f87004 addi r3,r3,-7744 +81107720: 108f1904 addi r2,r2,15460 +81107724: 10800803 ldbu r2,32(r2) +81107728: 80c02515 stw r3,148(r16) +8110772c: 00c05f74 movhi r3,381 +81107730: 18de1004 addi r3,r3,30784 +81107734: 80c02615 stw r3,152(r16) +81107738: 9880172e bgeu r19,r2,81107798 +8110773c: 9005883a mov r2,r18 +81107740: dfc00417 ldw ra,16(sp) +81107744: dcc00317 ldw r19,12(sp) +81107748: dc800217 ldw r18,8(sp) +8110774c: dc400117 ldw r17,4(sp) +81107750: dc000017 ldw r16,0(sp) +81107754: dec00504 addi sp,sp,20 +81107758: f800283a ret +8110775c: 00a04634 movhi r2,33048 +81107760: 108f1904 addi r2,r2,15460 +81107764: 10800803 ldbu r2,32(r2) +81107768: 00c001c4 movi r3,7 +8110776c: 1880022e bgeu r3,r2,81107778 +81107770: 0005883a mov r2,zero +81107774: 003ff206 br 81107740 <__reset+0xfb0e7740> +81107778: d1e07917 ldw r7,-32284(gp) +8110777c: 01204574 movhi r4,33045 +81107780: 2120b104 addi r4,r4,-32060 +81107784: 01800e04 movi r6,56 +81107788: 01400044 movi r5,1 +8110778c: 112bc8c0 call 8112bc8c +81107790: 0005883a mov r2,zero +81107794: 003fea06 br 81107740 <__reset+0xfb0e7740> +81107798: d1e07917 ldw r7,-32284(gp) +8110779c: 01204574 movhi r4,33045 +811077a0: 21204804 addi r4,r4,-32480 +811077a4: 01800704 movi r6,28 +811077a8: 900b883a mov r5,r18 +811077ac: 112bc8c0 call 8112bc8c +811077b0: 81802817 ldw r6,160(r16) +811077b4: d1207917 ldw r4,-32284(gp) +811077b8: 01604574 movhi r5,33045 +811077bc: 29605004 addi r5,r5,-32448 +811077c0: 31803fcc andi r6,r6,255 +811077c4: 112b6200 call 8112b620 +811077c8: 81802717 ldw r6,156(r16) +811077cc: d1207917 ldw r4,-32284(gp) +811077d0: 01604574 movhi r5,33045 +811077d4: 29605904 addi r5,r5,-32412 +811077d8: 112b6200 call 8112b620 +811077dc: 81002217 ldw r4,136(r16) +811077e0: 112aed00 call 8112aed0 <__floatunsidf> +811077e4: 01cfbd74 movhi r7,16117 +811077e8: 01a238f4 movhi r6,35043 +811077ec: 39fe2d44 addi r7,r7,-1867 +811077f0: 319a3c44 addi r6,r6,26865 +811077f4: 1009883a mov r4,r2 +811077f8: 180b883a mov r5,r3 +811077fc: 1129d600 call 81129d60 <__muldf3> +81107800: 180b883a mov r5,r3 +81107804: 1009883a mov r4,r2 +81107808: 11279a40 call 811279a4 <__fixunsdfsi> +8110780c: d1207917 ldw r4,-32284(gp) +81107810: 01604574 movhi r5,33045 +81107814: 29606204 addi r5,r5,-32376 +81107818: 11bfffcc andi r6,r2,65535 +8110781c: 112b6200 call 8112b620 +81107820: 81002317 ldw r4,140(r16) +81107824: 80801f17 ldw r2,124(r16) +81107828: 2089c83a sub r4,r4,r2 +8110782c: 112aed00 call 8112aed0 <__floatunsidf> +81107830: 01cfbd74 movhi r7,16117 +81107834: 01a238f4 movhi r6,35043 +81107838: 39fe2d44 addi r7,r7,-1867 +8110783c: 319a3c44 addi r6,r6,26865 +81107840: 1009883a mov r4,r2 +81107844: 180b883a mov r5,r3 +81107848: 1129d600 call 81129d60 <__muldf3> +8110784c: 180b883a mov r5,r3 +81107850: 1009883a mov r4,r2 +81107854: 11279a40 call 811279a4 <__fixunsdfsi> +81107858: d1207917 ldw r4,-32284(gp) +8110785c: 01604574 movhi r5,33045 +81107860: 29606c04 addi r5,r5,-32336 +81107864: 11bfffcc andi r6,r2,65535 +81107868: 112b6200 call 8112b620 +8110786c: 81002317 ldw r4,140(r16) +81107870: 80802017 ldw r2,128(r16) +81107874: 2089c83a sub r4,r4,r2 +81107878: 112aed00 call 8112aed0 <__floatunsidf> +8110787c: 01cfbd74 movhi r7,16117 +81107880: 01a238f4 movhi r6,35043 +81107884: 39fe2d44 addi r7,r7,-1867 +81107888: 319a3c44 addi r6,r6,26865 +8110788c: 1009883a mov r4,r2 +81107890: 180b883a mov r5,r3 +81107894: 1129d600 call 81129d60 <__muldf3> +81107898: 180b883a mov r5,r3 +8110789c: 1009883a mov r4,r2 +811078a0: 11279a40 call 811279a4 <__fixunsdfsi> +811078a4: d1207917 ldw r4,-32284(gp) +811078a8: 01604574 movhi r5,33045 +811078ac: 29607704 addi r5,r5,-32292 +811078b0: 11bfffcc andi r6,r2,65535 +811078b4: 112b6200 call 8112b620 +811078b8: 81002417 ldw r4,144(r16) +811078bc: 80802117 ldw r2,132(r16) +811078c0: 2089c83a sub r4,r4,r2 +811078c4: 112aed00 call 8112aed0 <__floatunsidf> +811078c8: 01cfbd74 movhi r7,16117 +811078cc: 01a238f4 movhi r6,35043 +811078d0: 39fe2d44 addi r7,r7,-1867 +811078d4: 319a3c44 addi r6,r6,26865 +811078d8: 1009883a mov r4,r2 +811078dc: 180b883a mov r5,r3 +811078e0: 1129d600 call 81129d60 <__muldf3> +811078e4: 180b883a mov r5,r3 811078e8: 1009883a mov r4,r2 -811078ec: 180b883a mov r5,r3 -811078f0: 1129d200 call 81129d20 <__muldf3> -811078f4: 180b883a mov r5,r3 -811078f8: 1009883a mov r4,r2 -811078fc: 11279640 call 81127964 <__fixunsdfsi> -81107900: d1207917 ldw r4,-32284(gp) -81107904: 01604574 movhi r5,33045 -81107908: 29607a04 addi r5,r5,-32280 -8110790c: 11bfffcc andi r6,r2,65535 -81107910: 112b5e00 call 8112b5e0 -81107914: 81002417 ldw r4,144(r16) -81107918: 112ae900 call 8112ae90 <__floatunsidf> -8110791c: 01cfbd74 movhi r7,16117 -81107920: 01a238f4 movhi r6,35043 -81107924: 39fe2d44 addi r7,r7,-1867 -81107928: 319a3c44 addi r6,r6,26865 +811078ec: 11279a40 call 811279a4 <__fixunsdfsi> +811078f0: d1207917 ldw r4,-32284(gp) +811078f4: 01604574 movhi r5,33045 +811078f8: 29608004 addi r5,r5,-32256 +811078fc: 11bfffcc andi r6,r2,65535 +81107900: 112b6200 call 8112b620 +81107904: 81002317 ldw r4,140(r16) +81107908: 112aed00 call 8112aed0 <__floatunsidf> +8110790c: 01cfbd74 movhi r7,16117 +81107910: 01a238f4 movhi r6,35043 +81107914: 39fe2d44 addi r7,r7,-1867 +81107918: 319a3c44 addi r6,r6,26865 +8110791c: 1009883a mov r4,r2 +81107920: 180b883a mov r5,r3 +81107924: 1129d600 call 81129d60 <__muldf3> +81107928: 180b883a mov r5,r3 8110792c: 1009883a mov r4,r2 -81107930: 180b883a mov r5,r3 -81107934: 1129d200 call 81129d20 <__muldf3> -81107938: 180b883a mov r5,r3 -8110793c: 1009883a mov r4,r2 -81107940: 11279640 call 81127964 <__fixunsdfsi> -81107944: d1207917 ldw r4,-32284(gp) -81107948: 01604574 movhi r5,33045 -8110794c: 29608204 addi r5,r5,-32248 -81107950: 11bfffcc andi r6,r2,65535 -81107954: 112b5e00 call 8112b5e0 -81107958: 81002517 ldw r4,148(r16) -8110795c: 112ae900 call 8112ae90 <__floatunsidf> -81107960: 01cfbd74 movhi r7,16117 -81107964: 01a238f4 movhi r6,35043 -81107968: 39fe2d44 addi r7,r7,-1867 -8110796c: 319a3c44 addi r6,r6,26865 +81107930: 11279a40 call 811279a4 <__fixunsdfsi> +81107934: d1207917 ldw r4,-32284(gp) +81107938: 01604574 movhi r5,33045 +8110793c: 29608a04 addi r5,r5,-32216 +81107940: 11bfffcc andi r6,r2,65535 +81107944: 112b6200 call 8112b620 +81107948: 81002417 ldw r4,144(r16) +8110794c: 112aed00 call 8112aed0 <__floatunsidf> +81107950: 01cfbd74 movhi r7,16117 +81107954: 01a238f4 movhi r6,35043 +81107958: 39fe2d44 addi r7,r7,-1867 +8110795c: 319a3c44 addi r6,r6,26865 +81107960: 1009883a mov r4,r2 +81107964: 180b883a mov r5,r3 +81107968: 1129d600 call 81129d60 <__muldf3> +8110796c: 180b883a mov r5,r3 81107970: 1009883a mov r4,r2 -81107974: 180b883a mov r5,r3 -81107978: 1129d200 call 81129d20 <__muldf3> -8110797c: 180b883a mov r5,r3 -81107980: 1009883a mov r4,r2 -81107984: 11279640 call 81127964 <__fixunsdfsi> -81107988: d1207917 ldw r4,-32284(gp) -8110798c: 01604574 movhi r5,33045 -81107990: 29608b04 addi r5,r5,-32212 -81107994: 11bfffcc andi r6,r2,65535 -81107998: 112b5e00 call 8112b5e0 -8110799c: 81002617 ldw r4,152(r16) -811079a0: 112ae900 call 8112ae90 <__floatunsidf> -811079a4: 01cfbd74 movhi r7,16117 -811079a8: 01a238f4 movhi r6,35043 -811079ac: 39fe2d44 addi r7,r7,-1867 -811079b0: 319a3c44 addi r6,r6,26865 +81107974: 11279a40 call 811279a4 <__fixunsdfsi> +81107978: d1207917 ldw r4,-32284(gp) +8110797c: 01604574 movhi r5,33045 +81107980: 29609204 addi r5,r5,-32184 +81107984: 11bfffcc andi r6,r2,65535 +81107988: 112b6200 call 8112b620 +8110798c: 81002517 ldw r4,148(r16) +81107990: 112aed00 call 8112aed0 <__floatunsidf> +81107994: 01cfbd74 movhi r7,16117 +81107998: 01a238f4 movhi r6,35043 +8110799c: 39fe2d44 addi r7,r7,-1867 +811079a0: 319a3c44 addi r6,r6,26865 +811079a4: 1009883a mov r4,r2 +811079a8: 180b883a mov r5,r3 +811079ac: 1129d600 call 81129d60 <__muldf3> +811079b0: 180b883a mov r5,r3 811079b4: 1009883a mov r4,r2 -811079b8: 180b883a mov r5,r3 -811079bc: 1129d200 call 81129d20 <__muldf3> -811079c0: 180b883a mov r5,r3 -811079c4: 1009883a mov r4,r2 -811079c8: 11279640 call 81127964 <__fixunsdfsi> -811079cc: d1207917 ldw r4,-32284(gp) -811079d0: 01604574 movhi r5,33045 -811079d4: 29609704 addi r5,r5,-32164 -811079d8: 11bfffcc andi r6,r2,65535 -811079dc: 112b5e00 call 8112b5e0 -811079e0: d1607917 ldw r5,-32284(gp) -811079e4: 01000284 movi r4,10 -811079e8: 112b6480 call 8112b648 -811079ec: 9005883a mov r2,r18 -811079f0: 003f4606 br 8110770c <__reset+0xfb0e770c> - -811079f4 : -811079f4: defffb04 addi sp,sp,-20 -811079f8: dc800215 stw r18,8(sp) -811079fc: dfc00415 stw ra,16(sp) -81107a00: dcc00315 stw r19,12(sp) -81107a04: dc400115 stw r17,4(sp) -81107a08: dc000015 stw r16,0(sp) -81107a0c: 24bfffcc andi r18,r4,65535 -81107a10: 008270c4 movi r2,2499 -81107a14: 1480352e bgeu r2,r18,81107aec -81107a18: 04200034 movhi r16,32768 -81107a1c: 84010004 addi r16,r16,1024 -81107a20: 04400044 movi r17,1 -81107a24: 84402815 stw r17,160(r16) -81107a28: 04c01334 movhi r19,76 -81107a2c: 9cd2d004 addi r19,r19,19264 -81107a30: 84402715 stw r17,156(r16) -81107a34: 213fce04 addi r4,r4,-200 -81107a38: 213fffcc andi r4,r4,65535 -81107a3c: 84c02215 stw r19,136(r16) -81107a40: 112adb40 call 8112adb4 <__floatsidf> -81107a44: 01d03a34 movhi r7,16616 -81107a48: 39da8004 addi r7,r7,27136 -81107a4c: 000d883a mov r6,zero -81107a50: 1009883a mov r4,r2 -81107a54: 180b883a mov r5,r3 -81107a58: 1129d200 call 81129d20 <__muldf3> -81107a5c: 180b883a mov r5,r3 -81107a60: 1009883a mov r4,r2 -81107a64: 11279640 call 81127964 <__fixunsdfsi> -81107a68: 80801f15 stw r2,124(r16) -81107a6c: 80802015 stw r2,128(r16) -81107a70: 9009883a mov r4,r18 -81107a74: 80802115 stw r2,132(r16) -81107a78: 112adb40 call 8112adb4 <__floatsidf> -81107a7c: 01d03a34 movhi r7,16616 -81107a80: 39da8004 addi r7,r7,27136 -81107a84: 000d883a mov r6,zero -81107a88: 1009883a mov r4,r2 -81107a8c: 180b883a mov r5,r3 -81107a90: 1129d200 call 81129d20 <__muldf3> -81107a94: 180b883a mov r5,r3 -81107a98: 1009883a mov r4,r2 -81107a9c: 11279640 call 81127964 <__fixunsdfsi> -81107aa0: 80802315 stw r2,140(r16) -81107aa4: 80802415 stw r2,144(r16) -81107aa8: 00a04634 movhi r2,33048 -81107aac: 108f0804 addi r2,r2,15392 -81107ab0: 10800803 ldbu r2,32(r2) -81107ab4: 00c05f74 movhi r3,381 -81107ab8: 18de1004 addi r3,r3,30784 -81107abc: 84c02515 stw r19,148(r16) -81107ac0: 80c02615 stw r3,152(r16) -81107ac4: 00c00104 movi r3,4 -81107ac8: 1880172e bgeu r3,r2,81107b28 -81107acc: 8805883a mov r2,r17 -81107ad0: dfc00417 ldw ra,16(sp) -81107ad4: dcc00317 ldw r19,12(sp) -81107ad8: dc800217 ldw r18,8(sp) -81107adc: dc400117 ldw r17,4(sp) -81107ae0: dc000017 ldw r16,0(sp) -81107ae4: dec00504 addi sp,sp,20 -81107ae8: f800283a ret -81107aec: 00a04634 movhi r2,33048 -81107af0: 108f0804 addi r2,r2,15392 -81107af4: 10800803 ldbu r2,32(r2) -81107af8: 00c001c4 movi r3,7 -81107afc: 1880022e bgeu r3,r2,81107b08 -81107b00: 0005883a mov r2,zero -81107b04: 003ff206 br 81107ad0 <__reset+0xfb0e7ad0> -81107b08: d1e07917 ldw r7,-32284(gp) -81107b0c: 01204574 movhi r4,33045 -81107b10: 2120a104 addi r4,r4,-32124 -81107b14: 01800e04 movi r6,56 -81107b18: 01400044 movi r5,1 -81107b1c: 112bc4c0 call 8112bc4c -81107b20: 0005883a mov r2,zero -81107b24: 003fea06 br 81107ad0 <__reset+0xfb0e7ad0> -81107b28: d1e07917 ldw r7,-32284(gp) -81107b2c: 01204574 movhi r4,33045 -81107b30: 21203804 addi r4,r4,-32544 -81107b34: 01800704 movi r6,28 -81107b38: 880b883a mov r5,r17 -81107b3c: 112bc4c0 call 8112bc4c -81107b40: 81802817 ldw r6,160(r16) -81107b44: d1207917 ldw r4,-32284(gp) -81107b48: 01604574 movhi r5,33045 -81107b4c: 29604004 addi r5,r5,-32512 -81107b50: 31803fcc andi r6,r6,255 -81107b54: 112b5e00 call 8112b5e0 -81107b58: 81802717 ldw r6,156(r16) -81107b5c: d1207917 ldw r4,-32284(gp) -81107b60: 01604574 movhi r5,33045 -81107b64: 29604904 addi r5,r5,-32476 -81107b68: 112b5e00 call 8112b5e0 -81107b6c: 81002217 ldw r4,136(r16) -81107b70: 112ae900 call 8112ae90 <__floatunsidf> -81107b74: 01cfbd74 movhi r7,16117 -81107b78: 01a238f4 movhi r6,35043 -81107b7c: 39fe2d44 addi r7,r7,-1867 -81107b80: 319a3c44 addi r6,r6,26865 -81107b84: 1009883a mov r4,r2 -81107b88: 180b883a mov r5,r3 -81107b8c: 1129d200 call 81129d20 <__muldf3> -81107b90: 180b883a mov r5,r3 -81107b94: 1009883a mov r4,r2 -81107b98: 11279640 call 81127964 <__fixunsdfsi> -81107b9c: d1207917 ldw r4,-32284(gp) -81107ba0: 01604574 movhi r5,33045 -81107ba4: 29605204 addi r5,r5,-32440 -81107ba8: 11bfffcc andi r6,r2,65535 -81107bac: 112b5e00 call 8112b5e0 -81107bb0: 81002317 ldw r4,140(r16) -81107bb4: 80801f17 ldw r2,124(r16) -81107bb8: 2089c83a sub r4,r4,r2 -81107bbc: 112ae900 call 8112ae90 <__floatunsidf> -81107bc0: 01cfbd74 movhi r7,16117 -81107bc4: 01a238f4 movhi r6,35043 -81107bc8: 39fe2d44 addi r7,r7,-1867 -81107bcc: 319a3c44 addi r6,r6,26865 -81107bd0: 1009883a mov r4,r2 -81107bd4: 180b883a mov r5,r3 -81107bd8: 1129d200 call 81129d20 <__muldf3> -81107bdc: 180b883a mov r5,r3 -81107be0: 1009883a mov r4,r2 -81107be4: 11279640 call 81127964 <__fixunsdfsi> -81107be8: d1207917 ldw r4,-32284(gp) -81107bec: 01604574 movhi r5,33045 -81107bf0: 29605c04 addi r5,r5,-32400 -81107bf4: 11bfffcc andi r6,r2,65535 -81107bf8: 112b5e00 call 8112b5e0 -81107bfc: 81002317 ldw r4,140(r16) -81107c00: 80802017 ldw r2,128(r16) -81107c04: 2089c83a sub r4,r4,r2 -81107c08: 112ae900 call 8112ae90 <__floatunsidf> -81107c0c: 01cfbd74 movhi r7,16117 -81107c10: 01a238f4 movhi r6,35043 -81107c14: 39fe2d44 addi r7,r7,-1867 -81107c18: 319a3c44 addi r6,r6,26865 -81107c1c: 1009883a mov r4,r2 -81107c20: 180b883a mov r5,r3 -81107c24: 1129d200 call 81129d20 <__muldf3> -81107c28: 180b883a mov r5,r3 -81107c2c: 1009883a mov r4,r2 -81107c30: 11279640 call 81127964 <__fixunsdfsi> -81107c34: d1207917 ldw r4,-32284(gp) -81107c38: 01604574 movhi r5,33045 -81107c3c: 29606704 addi r5,r5,-32356 -81107c40: 11bfffcc andi r6,r2,65535 -81107c44: 112b5e00 call 8112b5e0 -81107c48: 81002417 ldw r4,144(r16) -81107c4c: 80802117 ldw r2,132(r16) -81107c50: 2089c83a sub r4,r4,r2 -81107c54: 112ae900 call 8112ae90 <__floatunsidf> -81107c58: 01cfbd74 movhi r7,16117 -81107c5c: 01a238f4 movhi r6,35043 -81107c60: 39fe2d44 addi r7,r7,-1867 -81107c64: 319a3c44 addi r6,r6,26865 -81107c68: 1009883a mov r4,r2 -81107c6c: 180b883a mov r5,r3 -81107c70: 1129d200 call 81129d20 <__muldf3> -81107c74: 180b883a mov r5,r3 -81107c78: 1009883a mov r4,r2 -81107c7c: 11279640 call 81127964 <__fixunsdfsi> -81107c80: d1207917 ldw r4,-32284(gp) -81107c84: 01604574 movhi r5,33045 -81107c88: 29607004 addi r5,r5,-32320 -81107c8c: 11bfffcc andi r6,r2,65535 -81107c90: 112b5e00 call 8112b5e0 -81107c94: 81002317 ldw r4,140(r16) -81107c98: 112ae900 call 8112ae90 <__floatunsidf> -81107c9c: 01cfbd74 movhi r7,16117 -81107ca0: 01a238f4 movhi r6,35043 -81107ca4: 39fe2d44 addi r7,r7,-1867 -81107ca8: 319a3c44 addi r6,r6,26865 +811079b8: 11279a40 call 811279a4 <__fixunsdfsi> +811079bc: d1207917 ldw r4,-32284(gp) +811079c0: 01604574 movhi r5,33045 +811079c4: 29609b04 addi r5,r5,-32148 +811079c8: 11bfffcc andi r6,r2,65535 +811079cc: 112b6200 call 8112b620 +811079d0: 81002617 ldw r4,152(r16) +811079d4: 112aed00 call 8112aed0 <__floatunsidf> +811079d8: 01cfbd74 movhi r7,16117 +811079dc: 01a238f4 movhi r6,35043 +811079e0: 39fe2d44 addi r7,r7,-1867 +811079e4: 319a3c44 addi r6,r6,26865 +811079e8: 1009883a mov r4,r2 +811079ec: 180b883a mov r5,r3 +811079f0: 1129d600 call 81129d60 <__muldf3> +811079f4: 180b883a mov r5,r3 +811079f8: 1009883a mov r4,r2 +811079fc: 11279a40 call 811279a4 <__fixunsdfsi> +81107a00: d1207917 ldw r4,-32284(gp) +81107a04: 01604574 movhi r5,33045 +81107a08: 2960a704 addi r5,r5,-32100 +81107a0c: 11bfffcc andi r6,r2,65535 +81107a10: 112b6200 call 8112b620 +81107a14: d1607917 ldw r5,-32284(gp) +81107a18: 01000284 movi r4,10 +81107a1c: 112b6880 call 8112b688 +81107a20: 9005883a mov r2,r18 +81107a24: 003f4606 br 81107740 <__reset+0xfb0e7740> + +81107a28 : +81107a28: defffb04 addi sp,sp,-20 +81107a2c: dc800215 stw r18,8(sp) +81107a30: dfc00415 stw ra,16(sp) +81107a34: dcc00315 stw r19,12(sp) +81107a38: dc400115 stw r17,4(sp) +81107a3c: dc000015 stw r16,0(sp) +81107a40: 24bfffcc andi r18,r4,65535 +81107a44: 008270c4 movi r2,2499 +81107a48: 1480352e bgeu r2,r18,81107b20 +81107a4c: 04200034 movhi r16,32768 +81107a50: 84010004 addi r16,r16,1024 +81107a54: 04400044 movi r17,1 +81107a58: 84402815 stw r17,160(r16) +81107a5c: 04c01334 movhi r19,76 +81107a60: 9cd2d004 addi r19,r19,19264 +81107a64: 84402715 stw r17,156(r16) +81107a68: 213fce04 addi r4,r4,-200 +81107a6c: 213fffcc andi r4,r4,65535 +81107a70: 84c02215 stw r19,136(r16) +81107a74: 112adf40 call 8112adf4 <__floatsidf> +81107a78: 01d03a34 movhi r7,16616 +81107a7c: 39da8004 addi r7,r7,27136 +81107a80: 000d883a mov r6,zero +81107a84: 1009883a mov r4,r2 +81107a88: 180b883a mov r5,r3 +81107a8c: 1129d600 call 81129d60 <__muldf3> +81107a90: 180b883a mov r5,r3 +81107a94: 1009883a mov r4,r2 +81107a98: 11279a40 call 811279a4 <__fixunsdfsi> +81107a9c: 80801f15 stw r2,124(r16) +81107aa0: 80802015 stw r2,128(r16) +81107aa4: 9009883a mov r4,r18 +81107aa8: 80802115 stw r2,132(r16) +81107aac: 112adf40 call 8112adf4 <__floatsidf> +81107ab0: 01d03a34 movhi r7,16616 +81107ab4: 39da8004 addi r7,r7,27136 +81107ab8: 000d883a mov r6,zero +81107abc: 1009883a mov r4,r2 +81107ac0: 180b883a mov r5,r3 +81107ac4: 1129d600 call 81129d60 <__muldf3> +81107ac8: 180b883a mov r5,r3 +81107acc: 1009883a mov r4,r2 +81107ad0: 11279a40 call 811279a4 <__fixunsdfsi> +81107ad4: 80802315 stw r2,140(r16) +81107ad8: 80802415 stw r2,144(r16) +81107adc: 00a04634 movhi r2,33048 +81107ae0: 108f1904 addi r2,r2,15460 +81107ae4: 10800803 ldbu r2,32(r2) +81107ae8: 00c05f74 movhi r3,381 +81107aec: 18de1004 addi r3,r3,30784 +81107af0: 84c02515 stw r19,148(r16) +81107af4: 80c02615 stw r3,152(r16) +81107af8: 00c00104 movi r3,4 +81107afc: 1880172e bgeu r3,r2,81107b5c +81107b00: 8805883a mov r2,r17 +81107b04: dfc00417 ldw ra,16(sp) +81107b08: dcc00317 ldw r19,12(sp) +81107b0c: dc800217 ldw r18,8(sp) +81107b10: dc400117 ldw r17,4(sp) +81107b14: dc000017 ldw r16,0(sp) +81107b18: dec00504 addi sp,sp,20 +81107b1c: f800283a ret +81107b20: 00a04634 movhi r2,33048 +81107b24: 108f1904 addi r2,r2,15460 +81107b28: 10800803 ldbu r2,32(r2) +81107b2c: 00c001c4 movi r3,7 +81107b30: 1880022e bgeu r3,r2,81107b3c +81107b34: 0005883a mov r2,zero +81107b38: 003ff206 br 81107b04 <__reset+0xfb0e7b04> +81107b3c: d1e07917 ldw r7,-32284(gp) +81107b40: 01204574 movhi r4,33045 +81107b44: 2120b104 addi r4,r4,-32060 +81107b48: 01800e04 movi r6,56 +81107b4c: 01400044 movi r5,1 +81107b50: 112bc8c0 call 8112bc8c +81107b54: 0005883a mov r2,zero +81107b58: 003fea06 br 81107b04 <__reset+0xfb0e7b04> +81107b5c: d1e07917 ldw r7,-32284(gp) +81107b60: 01204574 movhi r4,33045 +81107b64: 21204804 addi r4,r4,-32480 +81107b68: 01800704 movi r6,28 +81107b6c: 880b883a mov r5,r17 +81107b70: 112bc8c0 call 8112bc8c +81107b74: 81802817 ldw r6,160(r16) +81107b78: d1207917 ldw r4,-32284(gp) +81107b7c: 01604574 movhi r5,33045 +81107b80: 29605004 addi r5,r5,-32448 +81107b84: 31803fcc andi r6,r6,255 +81107b88: 112b6200 call 8112b620 +81107b8c: 81802717 ldw r6,156(r16) +81107b90: d1207917 ldw r4,-32284(gp) +81107b94: 01604574 movhi r5,33045 +81107b98: 29605904 addi r5,r5,-32412 +81107b9c: 112b6200 call 8112b620 +81107ba0: 81002217 ldw r4,136(r16) +81107ba4: 112aed00 call 8112aed0 <__floatunsidf> +81107ba8: 01cfbd74 movhi r7,16117 +81107bac: 01a238f4 movhi r6,35043 +81107bb0: 39fe2d44 addi r7,r7,-1867 +81107bb4: 319a3c44 addi r6,r6,26865 +81107bb8: 1009883a mov r4,r2 +81107bbc: 180b883a mov r5,r3 +81107bc0: 1129d600 call 81129d60 <__muldf3> +81107bc4: 180b883a mov r5,r3 +81107bc8: 1009883a mov r4,r2 +81107bcc: 11279a40 call 811279a4 <__fixunsdfsi> +81107bd0: d1207917 ldw r4,-32284(gp) +81107bd4: 01604574 movhi r5,33045 +81107bd8: 29606204 addi r5,r5,-32376 +81107bdc: 11bfffcc andi r6,r2,65535 +81107be0: 112b6200 call 8112b620 +81107be4: 81002317 ldw r4,140(r16) +81107be8: 80801f17 ldw r2,124(r16) +81107bec: 2089c83a sub r4,r4,r2 +81107bf0: 112aed00 call 8112aed0 <__floatunsidf> +81107bf4: 01cfbd74 movhi r7,16117 +81107bf8: 01a238f4 movhi r6,35043 +81107bfc: 39fe2d44 addi r7,r7,-1867 +81107c00: 319a3c44 addi r6,r6,26865 +81107c04: 1009883a mov r4,r2 +81107c08: 180b883a mov r5,r3 +81107c0c: 1129d600 call 81129d60 <__muldf3> +81107c10: 180b883a mov r5,r3 +81107c14: 1009883a mov r4,r2 +81107c18: 11279a40 call 811279a4 <__fixunsdfsi> +81107c1c: d1207917 ldw r4,-32284(gp) +81107c20: 01604574 movhi r5,33045 +81107c24: 29606c04 addi r5,r5,-32336 +81107c28: 11bfffcc andi r6,r2,65535 +81107c2c: 112b6200 call 8112b620 +81107c30: 81002317 ldw r4,140(r16) +81107c34: 80802017 ldw r2,128(r16) +81107c38: 2089c83a sub r4,r4,r2 +81107c3c: 112aed00 call 8112aed0 <__floatunsidf> +81107c40: 01cfbd74 movhi r7,16117 +81107c44: 01a238f4 movhi r6,35043 +81107c48: 39fe2d44 addi r7,r7,-1867 +81107c4c: 319a3c44 addi r6,r6,26865 +81107c50: 1009883a mov r4,r2 +81107c54: 180b883a mov r5,r3 +81107c58: 1129d600 call 81129d60 <__muldf3> +81107c5c: 180b883a mov r5,r3 +81107c60: 1009883a mov r4,r2 +81107c64: 11279a40 call 811279a4 <__fixunsdfsi> +81107c68: d1207917 ldw r4,-32284(gp) +81107c6c: 01604574 movhi r5,33045 +81107c70: 29607704 addi r5,r5,-32292 +81107c74: 11bfffcc andi r6,r2,65535 +81107c78: 112b6200 call 8112b620 +81107c7c: 81002417 ldw r4,144(r16) +81107c80: 80802117 ldw r2,132(r16) +81107c84: 2089c83a sub r4,r4,r2 +81107c88: 112aed00 call 8112aed0 <__floatunsidf> +81107c8c: 01cfbd74 movhi r7,16117 +81107c90: 01a238f4 movhi r6,35043 +81107c94: 39fe2d44 addi r7,r7,-1867 +81107c98: 319a3c44 addi r6,r6,26865 +81107c9c: 1009883a mov r4,r2 +81107ca0: 180b883a mov r5,r3 +81107ca4: 1129d600 call 81129d60 <__muldf3> +81107ca8: 180b883a mov r5,r3 81107cac: 1009883a mov r4,r2 -81107cb0: 180b883a mov r5,r3 -81107cb4: 1129d200 call 81129d20 <__muldf3> -81107cb8: 180b883a mov r5,r3 -81107cbc: 1009883a mov r4,r2 -81107cc0: 11279640 call 81127964 <__fixunsdfsi> -81107cc4: d1207917 ldw r4,-32284(gp) -81107cc8: 01604574 movhi r5,33045 -81107ccc: 29607a04 addi r5,r5,-32280 -81107cd0: 11bfffcc andi r6,r2,65535 -81107cd4: 112b5e00 call 8112b5e0 -81107cd8: 81002417 ldw r4,144(r16) -81107cdc: 112ae900 call 8112ae90 <__floatunsidf> -81107ce0: 01cfbd74 movhi r7,16117 -81107ce4: 01a238f4 movhi r6,35043 -81107ce8: 39fe2d44 addi r7,r7,-1867 -81107cec: 319a3c44 addi r6,r6,26865 +81107cb0: 11279a40 call 811279a4 <__fixunsdfsi> +81107cb4: d1207917 ldw r4,-32284(gp) +81107cb8: 01604574 movhi r5,33045 +81107cbc: 29608004 addi r5,r5,-32256 +81107cc0: 11bfffcc andi r6,r2,65535 +81107cc4: 112b6200 call 8112b620 +81107cc8: 81002317 ldw r4,140(r16) +81107ccc: 112aed00 call 8112aed0 <__floatunsidf> +81107cd0: 01cfbd74 movhi r7,16117 +81107cd4: 01a238f4 movhi r6,35043 +81107cd8: 39fe2d44 addi r7,r7,-1867 +81107cdc: 319a3c44 addi r6,r6,26865 +81107ce0: 1009883a mov r4,r2 +81107ce4: 180b883a mov r5,r3 +81107ce8: 1129d600 call 81129d60 <__muldf3> +81107cec: 180b883a mov r5,r3 81107cf0: 1009883a mov r4,r2 -81107cf4: 180b883a mov r5,r3 -81107cf8: 1129d200 call 81129d20 <__muldf3> -81107cfc: 180b883a mov r5,r3 -81107d00: 1009883a mov r4,r2 -81107d04: 11279640 call 81127964 <__fixunsdfsi> -81107d08: d1207917 ldw r4,-32284(gp) -81107d0c: 01604574 movhi r5,33045 -81107d10: 29608204 addi r5,r5,-32248 -81107d14: 11bfffcc andi r6,r2,65535 -81107d18: 112b5e00 call 8112b5e0 -81107d1c: 81002517 ldw r4,148(r16) -81107d20: 112ae900 call 8112ae90 <__floatunsidf> -81107d24: 01cfbd74 movhi r7,16117 -81107d28: 01a238f4 movhi r6,35043 -81107d2c: 39fe2d44 addi r7,r7,-1867 -81107d30: 319a3c44 addi r6,r6,26865 +81107cf4: 11279a40 call 811279a4 <__fixunsdfsi> +81107cf8: d1207917 ldw r4,-32284(gp) +81107cfc: 01604574 movhi r5,33045 +81107d00: 29608a04 addi r5,r5,-32216 +81107d04: 11bfffcc andi r6,r2,65535 +81107d08: 112b6200 call 8112b620 +81107d0c: 81002417 ldw r4,144(r16) +81107d10: 112aed00 call 8112aed0 <__floatunsidf> +81107d14: 01cfbd74 movhi r7,16117 +81107d18: 01a238f4 movhi r6,35043 +81107d1c: 39fe2d44 addi r7,r7,-1867 +81107d20: 319a3c44 addi r6,r6,26865 +81107d24: 1009883a mov r4,r2 +81107d28: 180b883a mov r5,r3 +81107d2c: 1129d600 call 81129d60 <__muldf3> +81107d30: 180b883a mov r5,r3 81107d34: 1009883a mov r4,r2 -81107d38: 180b883a mov r5,r3 -81107d3c: 1129d200 call 81129d20 <__muldf3> -81107d40: 180b883a mov r5,r3 -81107d44: 1009883a mov r4,r2 -81107d48: 11279640 call 81127964 <__fixunsdfsi> -81107d4c: d1207917 ldw r4,-32284(gp) -81107d50: 01604574 movhi r5,33045 -81107d54: 29608b04 addi r5,r5,-32212 -81107d58: 11bfffcc andi r6,r2,65535 -81107d5c: 112b5e00 call 8112b5e0 -81107d60: 81002617 ldw r4,152(r16) -81107d64: 112ae900 call 8112ae90 <__floatunsidf> -81107d68: 01cfbd74 movhi r7,16117 -81107d6c: 01a238f4 movhi r6,35043 -81107d70: 39fe2d44 addi r7,r7,-1867 -81107d74: 319a3c44 addi r6,r6,26865 +81107d38: 11279a40 call 811279a4 <__fixunsdfsi> +81107d3c: d1207917 ldw r4,-32284(gp) +81107d40: 01604574 movhi r5,33045 +81107d44: 29609204 addi r5,r5,-32184 +81107d48: 11bfffcc andi r6,r2,65535 +81107d4c: 112b6200 call 8112b620 +81107d50: 81002517 ldw r4,148(r16) +81107d54: 112aed00 call 8112aed0 <__floatunsidf> +81107d58: 01cfbd74 movhi r7,16117 +81107d5c: 01a238f4 movhi r6,35043 +81107d60: 39fe2d44 addi r7,r7,-1867 +81107d64: 319a3c44 addi r6,r6,26865 +81107d68: 1009883a mov r4,r2 +81107d6c: 180b883a mov r5,r3 +81107d70: 1129d600 call 81129d60 <__muldf3> +81107d74: 180b883a mov r5,r3 81107d78: 1009883a mov r4,r2 -81107d7c: 180b883a mov r5,r3 -81107d80: 1129d200 call 81129d20 <__muldf3> -81107d84: 180b883a mov r5,r3 -81107d88: 1009883a mov r4,r2 -81107d8c: 11279640 call 81127964 <__fixunsdfsi> -81107d90: d1207917 ldw r4,-32284(gp) -81107d94: 01604574 movhi r5,33045 -81107d98: 29609704 addi r5,r5,-32164 -81107d9c: 11bfffcc andi r6,r2,65535 -81107da0: 112b5e00 call 8112b5e0 -81107da4: d1607917 ldw r5,-32284(gp) -81107da8: 01000284 movi r4,10 -81107dac: 112b6480 call 8112b648 -81107db0: 8805883a mov r2,r17 -81107db4: 003f4606 br 81107ad0 <__reset+0xfb0e7ad0> - -81107db8 : -81107db8: deffff04 addi sp,sp,-4 -81107dbc: 213fffcc andi r4,r4,65535 -81107dc0: dfc00015 stw ra,0(sp) -81107dc4: 112adb40 call 8112adb4 <__floatsidf> -81107dc8: 01d03a34 movhi r7,16616 -81107dcc: 39da8004 addi r7,r7,27136 -81107dd0: 000d883a mov r6,zero -81107dd4: 1009883a mov r4,r2 -81107dd8: 180b883a mov r5,r3 -81107ddc: 1129d200 call 81129d20 <__muldf3> -81107de0: 1009883a mov r4,r2 -81107de4: 180b883a mov r5,r3 -81107de8: 11279640 call 81127964 <__fixunsdfsi> -81107dec: dfc00017 ldw ra,0(sp) -81107df0: dec00104 addi sp,sp,4 -81107df4: f800283a ret - -81107df8 : -81107df8: deffff04 addi sp,sp,-4 -81107dfc: dfc00015 stw ra,0(sp) -81107e00: 112ae900 call 8112ae90 <__floatunsidf> -81107e04: 01a238f4 movhi r6,35043 -81107e08: 01cfbd74 movhi r7,16117 -81107e0c: 319a3c44 addi r6,r6,26865 -81107e10: 39fe2d44 addi r7,r7,-1867 +81107d7c: 11279a40 call 811279a4 <__fixunsdfsi> +81107d80: d1207917 ldw r4,-32284(gp) +81107d84: 01604574 movhi r5,33045 +81107d88: 29609b04 addi r5,r5,-32148 +81107d8c: 11bfffcc andi r6,r2,65535 +81107d90: 112b6200 call 8112b620 +81107d94: 81002617 ldw r4,152(r16) +81107d98: 112aed00 call 8112aed0 <__floatunsidf> +81107d9c: 01cfbd74 movhi r7,16117 +81107da0: 01a238f4 movhi r6,35043 +81107da4: 39fe2d44 addi r7,r7,-1867 +81107da8: 319a3c44 addi r6,r6,26865 +81107dac: 1009883a mov r4,r2 +81107db0: 180b883a mov r5,r3 +81107db4: 1129d600 call 81129d60 <__muldf3> +81107db8: 180b883a mov r5,r3 +81107dbc: 1009883a mov r4,r2 +81107dc0: 11279a40 call 811279a4 <__fixunsdfsi> +81107dc4: d1207917 ldw r4,-32284(gp) +81107dc8: 01604574 movhi r5,33045 +81107dcc: 2960a704 addi r5,r5,-32100 +81107dd0: 11bfffcc andi r6,r2,65535 +81107dd4: 112b6200 call 8112b620 +81107dd8: d1607917 ldw r5,-32284(gp) +81107ddc: 01000284 movi r4,10 +81107de0: 112b6880 call 8112b688 +81107de4: 8805883a mov r2,r17 +81107de8: 003f4606 br 81107b04 <__reset+0xfb0e7b04> + +81107dec : +81107dec: deffff04 addi sp,sp,-4 +81107df0: 213fffcc andi r4,r4,65535 +81107df4: dfc00015 stw ra,0(sp) +81107df8: 112adf40 call 8112adf4 <__floatsidf> +81107dfc: 01d03a34 movhi r7,16616 +81107e00: 39da8004 addi r7,r7,27136 +81107e04: 000d883a mov r6,zero +81107e08: 1009883a mov r4,r2 +81107e0c: 180b883a mov r5,r3 +81107e10: 1129d600 call 81129d60 <__muldf3> 81107e14: 1009883a mov r4,r2 81107e18: 180b883a mov r5,r3 -81107e1c: 1129d200 call 81129d20 <__muldf3> -81107e20: 1009883a mov r4,r2 -81107e24: 180b883a mov r5,r3 -81107e28: 11279640 call 81127964 <__fixunsdfsi> -81107e2c: dfc00017 ldw ra,0(sp) -81107e30: dec00104 addi sp,sp,4 -81107e34: f800283a ret - -81107e38 : -81107e38: 2008d43a srli r4,r4,16 -81107e3c: defffd04 addi sp,sp,-12 -81107e40: dc000015 stw r16,0(sp) -81107e44: dfc00215 stw ra,8(sp) -81107e48: dc400115 stw r17,4(sp) -81107e4c: 21003fcc andi r4,r4,255 -81107e50: 00802c84 movi r2,178 -81107e54: 2821883a mov r16,r5 -81107e58: 1100282e bgeu r2,r4,81107efc -81107e5c: 00803804 movi r2,224 -81107e60: 20804e26 beq r4,r2,81107f9c -81107e64: 11003836 bltu r2,r4,81107f48 -81107e68: 00802d04 movi r2,180 -81107e6c: 20801c26 beq r4,r2,81107ee0 -81107e70: 00803044 movi r2,193 -81107e74: 20803a1e bne r4,r2,81107f60 -81107e78: 1123ca40 call 81123ca4 -81107e7c: 01604574 movhi r5,33045 -81107e80: 297325c4 addi r5,r5,-13161 -81107e84: 0009883a mov r4,zero -81107e88: 111e1a00 call 8111e1a0 -81107e8c: 00a04634 movhi r2,33048 -81107e90: 108f0804 addi r2,r2,15392 -81107e94: 10800803 ldbu r2,32(r2) -81107e98: 00c001c4 movi r3,7 -81107e9c: 18800c36 bltu r3,r2,81107ed0 -81107ea0: d1e07917 ldw r7,-32284(gp) -81107ea4: 01204574 movhi r4,33045 -81107ea8: 21212e04 addi r4,r4,-31560 -81107eac: 01800f04 movi r6,60 -81107eb0: 01400044 movi r5,1 -81107eb4: 112bc4c0 call 8112bc4c -81107eb8: d1e07917 ldw r7,-32284(gp) -81107ebc: 01204574 movhi r4,33045 -81107ec0: 21213e04 addi r4,r4,-31496 -81107ec4: 01801584 movi r6,86 -81107ec8: 01400044 movi r5,1 -81107ecc: 112bc4c0 call 8112bc4c -81107ed0: 1105fcc0 call 81105fcc -81107ed4: 1105f980 call 81105f98 -81107ed8: 1105fbc0 call 81105fbc -81107edc: 1105fa80 call 81105fa8 -81107ee0: 008001c4 movi r2,7 -81107ee4: 80801d15 stw r2,116(r16) -81107ee8: dfc00217 ldw ra,8(sp) -81107eec: dc400117 ldw r17,4(sp) -81107ef0: dc000017 ldw r16,0(sp) -81107ef4: dec00304 addi sp,sp,12 -81107ef8: f800283a ret -81107efc: 00802c44 movi r2,177 -81107f00: 2080652e bgeu r4,r2,81108098 -81107f04: 00800084 movi r2,2 -81107f08: 20800526 beq r4,r2,81107f20 -81107f0c: 11003a2e bgeu r2,r4,81107ff8 -81107f10: 00802844 movi r2,161 -81107f14: 20803a26 beq r4,r2,81108000 -81107f18: 00802884 movi r2,162 -81107f1c: 2080101e bne r4,r2,81107f60 -81107f20: 00a04634 movhi r2,33048 -81107f24: 108f0804 addi r2,r2,15392 -81107f28: 10800803 ldbu r2,32(r2) -81107f2c: 00c00104 movi r3,4 -81107f30: 18bfed36 bltu r3,r2,81107ee8 <__reset+0xfb0e7ee8> -81107f34: 01204574 movhi r4,33045 -81107f38: d1e07917 ldw r7,-32284(gp) -81107f3c: 2120d004 addi r4,r4,-31936 -81107f40: 01800d84 movi r6,54 -81107f44: 00000f06 br 81107f84 -81107f48: 00803904 movi r2,228 -81107f4c: 20803026 beq r4,r2,81108010 -81107f50: 00803a04 movi r2,232 -81107f54: 20802e26 beq r4,r2,81108010 -81107f58: 00803884 movi r2,226 -81107f5c: 20bfe226 beq r4,r2,81107ee8 <__reset+0xfb0e7ee8> -81107f60: 00a04634 movhi r2,33048 -81107f64: 108f0804 addi r2,r2,15392 -81107f68: 10800803 ldbu r2,32(r2) -81107f6c: 00c001c4 movi r3,7 -81107f70: 18bfdd36 bltu r3,r2,81107ee8 <__reset+0xfb0e7ee8> -81107f74: d1e07917 ldw r7,-32284(gp) -81107f78: 01204574 movhi r4,33045 -81107f7c: 21215404 addi r4,r4,-31408 -81107f80: 018009c4 movi r6,39 -81107f84: 01400044 movi r5,1 -81107f88: dfc00217 ldw ra,8(sp) -81107f8c: dc400117 ldw r17,4(sp) -81107f90: dc000017 ldw r16,0(sp) -81107f94: dec00304 addi sp,sp,12 -81107f98: 112bc4c1 jmpi 8112bc4c -81107f9c: 1123c740 call 81123c74 -81107fa0: 01604574 movhi r5,33045 -81107fa4: 29732bc4 addi r5,r5,-13137 -81107fa8: 0009883a mov r4,zero -81107fac: 111e1a00 call 8111e1a0 -81107fb0: 00a04634 movhi r2,33048 -81107fb4: 108f0804 addi r2,r2,15392 -81107fb8: 10800803 ldbu r2,32(r2) -81107fbc: 00c00104 movi r3,4 -81107fc0: 18804b2e bgeu r3,r2,811080f0 -81107fc4: 1105fcc0 call 81105fcc -81107fc8: 1105f980 call 81105f98 -81107fcc: 1105fbc0 call 81105fbc -81107fd0: 1105fa80 call 81105fa8 -81107fd4: 80993b17 ldw r2,25836(r16) -81107fd8: 1000391e bne r2,zero,811080c0 -81107fdc: 80d93a17 ldw r3,25832(r16) -81107fe0: 00800044 movi r2,1 -81107fe4: 18803e26 beq r3,r2,811080e0 -81107fe8: 8099390b ldhu r2,25828(r16) -81107fec: 10800044 addi r2,r2,1 -81107ff0: 8099390d sth r2,25828(r16) -81107ff4: 00003306 br 811080c4 -81107ff8: 00800044 movi r2,1 -81107ffc: 20bfd81e bne r4,r2,81107f60 <__reset+0xfb0e7f60> -81108000: 1105fcc0 call 81105fcc -81108004: 008000c4 movi r2,3 -81108008: 80801c15 stw r2,112(r16) -8110800c: 003fb606 br 81107ee8 <__reset+0xfb0e7ee8> -81108010: 00a04634 movhi r2,33048 -81108014: 108ee304 addi r2,r2,15244 -81108018: 14400217 ldw r17,8(r2) -8110801c: 00800044 movi r2,1 -81108020: 88bfb11e bne r17,r2,81107ee8 <__reset+0xfb0e7ee8> -81108024: 1123c740 call 81123c74 -81108028: 01604574 movhi r5,33045 -8110802c: 29732bc4 addi r5,r5,-13137 -81108030: 0009883a mov r4,zero -81108034: 111e1a00 call 8111e1a0 -81108038: 00a04634 movhi r2,33048 -8110803c: 108f0804 addi r2,r2,15392 -81108040: 10800803 ldbu r2,32(r2) -81108044: 00c00104 movi r3,4 -81108048: 18800c36 bltu r3,r2,8110807c -8110804c: d1e07917 ldw r7,-32284(gp) -81108050: 01204574 movhi r4,33045 -81108054: 2120de04 addi r4,r4,-31880 -81108058: 01801044 movi r6,65 -8110805c: 880b883a mov r5,r17 -81108060: 112bc4c0 call 8112bc4c -81108064: d1e07917 ldw r7,-32284(gp) -81108068: 01204574 movhi r4,33045 -8110806c: 2120ef04 addi r4,r4,-31812 -81108070: 01801744 movi r6,93 -81108074: 880b883a mov r5,r17 -81108078: 112bc4c0 call 8112bc4c -8110807c: 1105fcc0 call 81105fcc -81108080: 1105f980 call 81105f98 -81108084: 1105fbc0 call 81105fbc -81108088: 1105fa80 call 81105fa8 -8110808c: 00800044 movi r2,1 -81108090: 80801d15 stw r2,116(r16) -81108094: 003f9406 br 81107ee8 <__reset+0xfb0e7ee8> -81108098: 00a04634 movhi r2,33048 -8110809c: 108f0804 addi r2,r2,15392 -811080a0: 10800803 ldbu r2,32(r2) -811080a4: 00c001c4 movi r3,7 -811080a8: 18bf8f36 bltu r3,r2,81107ee8 <__reset+0xfb0e7ee8> -811080ac: 01204574 movhi r4,33045 -811080b0: d1e07917 ldw r7,-32284(gp) -811080b4: 21211904 addi r4,r4,-31644 -811080b8: 01801404 movi r6,80 -811080bc: 003fb106 br 81107f84 <__reset+0xfb0e7f84> -811080c0: 80193b15 stw zero,25836(r16) -811080c4: 00e04634 movhi r3,33048 -811080c8: 18cee304 addi r3,r3,15244 -811080cc: 00800084 movi r2,2 -811080d0: 18000315 stw zero,12(r3) -811080d4: 80801c15 stw r2,112(r16) -811080d8: 80801d15 stw r2,116(r16) -811080dc: 003f8206 br 81107ee8 <__reset+0xfb0e7ee8> -811080e0: 8099398b ldhu r2,25830(r16) -811080e4: 80193a15 stw zero,25832(r16) -811080e8: 8099390d sth r2,25828(r16) -811080ec: 003ff506 br 811080c4 <__reset+0xfb0e80c4> -811080f0: d1e07917 ldw r7,-32284(gp) -811080f4: 01204574 movhi r4,33045 -811080f8: 21210704 addi r4,r4,-31716 -811080fc: 018011c4 movi r6,71 -81108100: 01400044 movi r5,1 -81108104: 112bc4c0 call 8112bc4c -81108108: d1e07917 ldw r7,-32284(gp) -8110810c: 01204574 movhi r4,33045 -81108110: 2120ef04 addi r4,r4,-31812 -81108114: 01801744 movi r6,93 -81108118: 01400044 movi r5,1 -8110811c: 112bc4c0 call 8112bc4c -81108120: 003fa806 br 81107fc4 <__reset+0xfb0e7fc4> - -81108124 : -81108124: 2008d43a srli r4,r4,16 -81108128: 00803804 movi r2,224 -8110812c: 21003fcc andi r4,r4,255 -81108130: 20802426 beq r4,r2,811081c4 -81108134: 11001d36 bltu r2,r4,811081ac -81108138: 00800084 movi r2,2 -8110813c: 20801526 beq r4,r2,81108194 -81108140: 11000f2e bgeu r2,r4,81108180 -81108144: 00802844 movi r2,161 -81108148: 20800f26 beq r4,r2,81108188 -8110814c: 00802884 movi r2,162 -81108150: 20801026 beq r4,r2,81108194 -81108154: 00a04634 movhi r2,33048 -81108158: 108f0804 addi r2,r2,15392 -8110815c: 10800803 ldbu r2,32(r2) -81108160: 00c001c4 movi r3,7 -81108164: 18801036 bltu r3,r2,811081a8 -81108168: d1e07917 ldw r7,-32284(gp) -8110816c: 01204574 movhi r4,33045 -81108170: 21215404 addi r4,r4,-31408 -81108174: 018009c4 movi r6,39 -81108178: 01400044 movi r5,1 -8110817c: 112bc4c1 jmpi 8112bc4c -81108180: 00800044 movi r2,1 -81108184: 20bff31e bne r4,r2,81108154 <__reset+0xfb0e8154> -81108188: 008000c4 movi r2,3 -8110818c: 28801c15 stw r2,112(r5) -81108190: f800283a ret -81108194: 00a04634 movhi r2,33048 -81108198: 108f0804 addi r2,r2,15392 -8110819c: 10800803 ldbu r2,32(r2) -811081a0: 00c00104 movi r3,4 -811081a4: 18801a2e bgeu r3,r2,81108210 -811081a8: f800283a ret -811081ac: 00803904 movi r2,228 -811081b0: 20bffd26 beq r4,r2,811081a8 <__reset+0xfb0e81a8> -811081b4: 1100112e bgeu r2,r4,811081fc -811081b8: 00803a04 movi r2,232 -811081bc: 20bffa26 beq r4,r2,811081a8 <__reset+0xfb0e81a8> -811081c0: 003fe406 br 81108154 <__reset+0xfb0e8154> -811081c4: 28993b17 ldw r2,25836(r5) -811081c8: 10000f1e bne r2,zero,81108208 -811081cc: 28d93a17 ldw r3,25832(r5) -811081d0: 00800044 movi r2,1 -811081d4: 18801426 beq r3,r2,81108228 -811081d8: 2899390b ldhu r2,25828(r5) -811081dc: 10800044 addi r2,r2,1 -811081e0: 2899390d sth r2,25828(r5) -811081e4: 00a04634 movhi r2,33048 -811081e8: 108ee304 addi r2,r2,15244 -811081ec: 10000315 stw zero,12(r2) -811081f0: 00800084 movi r2,2 -811081f4: 28801d15 stw r2,116(r5) -811081f8: f800283a ret -811081fc: 00803884 movi r2,226 -81108200: 113fe92e bgeu r2,r4,811081a8 <__reset+0xfb0e81a8> -81108204: 003fd306 br 81108154 <__reset+0xfb0e8154> -81108208: 28193b15 stw zero,25836(r5) -8110820c: 003ff506 br 811081e4 <__reset+0xfb0e81e4> -81108210: d1e07917 ldw r7,-32284(gp) -81108214: 01204574 movhi r4,33045 -81108218: 2120d004 addi r4,r4,-31936 -8110821c: 01800d84 movi r6,54 -81108220: 01400044 movi r5,1 -81108224: 112bc4c1 jmpi 8112bc4c -81108228: 2899398b ldhu r2,25830(r5) -8110822c: 28193a15 stw zero,25832(r5) -81108230: 2899390d sth r2,25828(r5) -81108234: 003feb06 br 811081e4 <__reset+0xfb0e81e4> - -81108238 : -81108238: 2008d43a srli r4,r4,16 -8110823c: 00802844 movi r2,161 -81108240: 21003fcc andi r4,r4,255 -81108244: 20801f26 beq r4,r2,811082c4 -81108248: 1100172e bgeu r2,r4,811082a8 -8110824c: 00803804 movi r2,224 -81108250: 20800a26 beq r4,r2,8110827c -81108254: 00803884 movi r2,226 -81108258: 20800726 beq r4,r2,81108278 -8110825c: 00802884 movi r2,162 -81108260: 20801526 beq r4,r2,811082b8 -81108264: 00a04634 movhi r2,33048 -81108268: 108f0804 addi r2,r2,15392 -8110826c: 10800803 ldbu r2,32(r2) -81108270: 00c001c4 movi r3,7 -81108274: 18801e2e bgeu r3,r2,811082f0 -81108278: f800283a ret -8110827c: 00a04634 movhi r2,33048 -81108280: 108f0804 addi r2,r2,15392 -81108284: 10800803 ldbu r2,32(r2) -81108288: 00c001c4 movi r3,7 -8110828c: 18bffa36 bltu r3,r2,81108278 <__reset+0xfb0e8278> -81108290: d1e07917 ldw r7,-32284(gp) -81108294: 01204574 movhi r4,33045 -81108298: 21216c04 addi r4,r4,-31312 -8110829c: 01801004 movi r6,64 -811082a0: 01400044 movi r5,1 -811082a4: 112bc4c1 jmpi 8112bc4c -811082a8: 00800044 movi r2,1 -811082ac: 20800526 beq r4,r2,811082c4 -811082b0: 00800084 movi r2,2 -811082b4: 20bfeb1e bne r4,r2,81108264 <__reset+0xfb0e8264> -811082b8: 00800104 movi r2,4 -811082bc: 28801c15 stw r2,112(r5) -811082c0: f800283a ret -811082c4: 00a04634 movhi r2,33048 -811082c8: 108f0804 addi r2,r2,15392 -811082cc: 10800803 ldbu r2,32(r2) -811082d0: 00c00104 movi r3,4 -811082d4: 18bfe836 bltu r3,r2,81108278 <__reset+0xfb0e8278> -811082d8: d1e07917 ldw r7,-32284(gp) -811082dc: 01204574 movhi r4,33045 -811082e0: 21215e04 addi r4,r4,-31368 -811082e4: 01800d44 movi r6,53 -811082e8: 01400044 movi r5,1 -811082ec: 112bc4c1 jmpi 8112bc4c -811082f0: d1e07917 ldw r7,-32284(gp) -811082f4: 01204574 movhi r4,33045 -811082f8: 21215404 addi r4,r4,-31408 -811082fc: 018009c4 movi r6,39 -81108300: 01400044 movi r5,1 -81108304: 112bc4c1 jmpi 8112bc4c - -81108308 : -81108308: 21003fcc andi r4,r4,255 -8110830c: 2006943a slli r3,r4,16 -81108310: 29403fcc andi r5,r5,255 -81108314: 2804923a slli r2,r5,8 -81108318: 18c04034 orhi r3,r3,256 -8110831c: d1208217 ldw r4,-32248(gp) -81108320: 31403fcc andi r5,r6,255 -81108324: 1884b03a or r2,r3,r2 -81108328: deffff04 addi sp,sp,-4 -8110832c: 114ab03a or r5,r2,r5 -81108330: dfc00015 stw ra,0(sp) -81108334: 1142f580 call 81142f58 -81108338: 10803fcc andi r2,r2,255 -8110833c: 1000041e bne r2,zero,81108350 -81108340: 00800044 movi r2,1 -81108344: dfc00017 ldw ra,0(sp) -81108348: dec00104 addi sp,sp,4 -8110834c: f800283a ret -81108350: 11256a40 call 811256a4 -81108354: 0005883a mov r2,zero -81108358: dfc00017 ldw ra,0(sp) -8110835c: dec00104 addi sp,sp,4 -81108360: f800283a ret - -81108364 : -81108364: deffd804 addi sp,sp,-160 -81108368: ddc02515 stw r23,148(sp) -8110836c: 05e04634 movhi r23,33048 -81108370: bdcf0804 addi r23,r23,15392 -81108374: b8800803 ldbu r2,32(r23) -81108378: df002615 stw fp,152(sp) -8110837c: dfc02715 stw ra,156(sp) -81108380: dd802415 stw r22,144(sp) -81108384: dd402315 stw r21,140(sp) -81108388: dd002215 stw r20,136(sp) -8110838c: dcc02115 stw r19,132(sp) -81108390: dc802015 stw r18,128(sp) -81108394: dc401f15 stw r17,124(sp) -81108398: dc001e15 stw r16,120(sp) -8110839c: 00c00104 movi r3,4 -811083a0: 2039883a mov fp,r4 -811083a4: 18823a2e bgeu r3,r2,81108c90 -811083a8: e0c00204 addi r3,fp,8 -811083ac: e5800e04 addi r22,fp,56 -811083b0: d8c01715 stw r3,92(sp) -811083b4: e0c06b04 addi r3,fp,428 -811083b8: dd801915 stw r22,100(sp) -811083bc: d8c00b15 stw r3,44(sp) -811083c0: e5806a84 addi r22,fp,426 -811083c4: e0c04f04 addi r3,fp,316 -811083c8: dd800915 stw r22,36(sp) -811083cc: d8c01a15 stw r3,104(sp) -811083d0: e5802c04 addi r22,fp,176 -811083d4: e0c02e04 addi r3,fp,184 -811083d8: dd800815 stw r22,32(sp) -811083dc: d8c00a15 stw r3,40(sp) -811083e0: e5802f04 addi r22,fp,188 -811083e4: e0c03104 addi r3,fp,196 -811083e8: dd800c15 stw r22,48(sp) -811083ec: d8c00d15 stw r3,52(sp) -811083f0: e5803204 addi r22,fp,200 -811083f4: e0c03404 addi r3,fp,208 -811083f8: dd800e15 stw r22,56(sp) -811083fc: d8c00f15 stw r3,60(sp) -81108400: e5803504 addi r22,fp,212 -81108404: e0c03704 addi r3,fp,220 -81108408: 00800044 movi r2,1 -8110840c: dd801015 stw r22,64(sp) -81108410: d8c01115 stw r3,68(sp) -81108414: e5803804 addi r22,fp,224 -81108418: e0c03a04 addi r3,fp,232 -8110841c: e0801b15 stw r2,108(fp) -81108420: dd801215 stw r22,72(sp) -81108424: d8c01315 stw r3,76(sp) -81108428: e5803b04 addi r22,fp,236 -8110842c: e0c03d04 addi r3,fp,244 -81108430: e0801c17 ldw r2,112(fp) -81108434: dd801415 stw r22,80(sp) -81108438: d8c01515 stw r3,84(sp) -8110843c: e5803e04 addi r22,fp,248 -81108440: e0c04004 addi r3,fp,256 -81108444: d8000715 stw zero,28(sp) -81108448: 0029883a mov r20,zero -8110844c: 0021883a mov r16,zero -81108450: 0025883a mov r18,zero -81108454: 0023883a mov r17,zero -81108458: 0027883a mov r19,zero -8110845c: dd801615 stw r22,88(sp) -81108460: d8c01815 stw r3,96(sp) -81108464: 00c00104 movi r3,4 -81108468: 18803536 bltu r3,r2,81108540 -8110846c: 100490ba slli r2,r2,2 -81108470: 01a04474 movhi r6,33041 -81108474: 31a12104 addi r6,r6,-31612 -81108478: 1185883a add r2,r2,r6 -8110847c: 10800017 ldw r2,0(r2) -81108480: 1000683a jmp r2 -81108484: 811084bc xorhi r4,r16,16914 -81108488: 81108510 cmplti r4,r16,16916 -8110848c: 811085a8 cmpgeui r4,r16,16918 -81108490: 811084c4 addi r4,r16,16915 -81108494: 81108568 cmpgeui r4,r16,16917 -81108498: b8800803 ldbu r2,32(r23) -8110849c: 00c001c4 movi r3,7 -811084a0: 18800636 bltu r3,r2,811084bc -811084a4: d1e07917 ldw r7,-32284(gp) -811084a8: 01204574 movhi r4,33045 -811084ac: 2121d404 addi r4,r4,-30896 -811084b0: 01800e44 movi r6,57 -811084b4: 01400044 movi r5,1 -811084b8: 112bc4c0 call 8112bc4c -811084bc: 008000c4 movi r2,3 -811084c0: e0801c15 stw r2,112(fp) -811084c4: b8800803 ldbu r2,32(r23) -811084c8: 00c00104 movi r3,4 -811084cc: 1881232e bgeu r3,r2,8110895c -811084d0: d1207617 ldw r4,-32296(gp) -811084d4: 00800044 movi r2,1 -811084d8: e019390d sth zero,25828(fp) -811084dc: e019398d sth zero,25830(fp) -811084e0: e0193a15 stw zero,25832(fp) -811084e4: e0993b15 stw r2,25836(fp) -811084e8: 1142c840 call 81142c84 -811084ec: d8800405 stb r2,16(sp) -811084f0: 10803fcc andi r2,r2,255 -811084f4: 1001171e bne r2,zero,81108954 -811084f8: 05400044 movi r21,1 -811084fc: a809883a mov r4,r21 -81108500: 1101e5c0 call 81101e5c -81108504: 1105f980 call 81105f98 -81108508: 1105fbc0 call 81105fbc -8110850c: e5401c15 stw r21,112(fp) -81108510: d1207617 ldw r4,-32296(gp) -81108514: d9800404 addi r6,sp,16 -81108518: 000b883a mov r5,zero -8110851c: 1142cd40 call 81142cd4 -81108520: d8c00403 ldbu r3,16(sp) -81108524: 1800fb1e bne r3,zero,81108914 -81108528: 1006d63a srli r3,r2,24 -8110852c: 01000804 movi r4,32 -81108530: 19011626 beq r3,r4,8110898c -81108534: e0801c17 ldw r2,112(fp) -81108538: 00c00104 movi r3,4 -8110853c: 18bfcb2e bgeu r3,r2,8110846c <__reset+0xfb0e846c> -81108540: b8800803 ldbu r2,32(r23) -81108544: 00c001c4 movi r3,7 -81108548: 18bfdc36 bltu r3,r2,811084bc <__reset+0xfb0e84bc> -8110854c: d1e07917 ldw r7,-32284(gp) -81108550: 01204574 movhi r4,33045 -81108554: 2121e304 addi r4,r4,-30836 -81108558: 01800f44 movi r6,61 -8110855c: 01400044 movi r5,1 -81108560: 112bc4c0 call 8112bc4c -81108564: 003fd506 br 811084bc <__reset+0xfb0e84bc> -81108568: 1125a180 call 81125a18 -8110856c: b8800803 ldbu r2,32(r23) -81108570: 00c00104 movi r3,4 -81108574: 1880ea2e bgeu r3,r2,81108920 -81108578: e0d93a17 ldw r3,25832(fp) -8110857c: 00800044 movi r2,1 -81108580: 1880f026 beq r3,r2,81108944 -81108584: e019390d sth zero,25828(fp) -81108588: 00800044 movi r2,1 -8110858c: e0993b15 stw r2,25836(fp) -81108590: 1105f980 call 81105f98 -81108594: 1105fbc0 call 81105fbc -81108598: 1105fa80 call 81105fa8 -8110859c: 00800084 movi r2,2 -811085a0: e0801c15 stw r2,112(fp) -811085a4: e0001d15 stw zero,116(fp) -811085a8: 00c001c4 movi r3,7 -811085ac: 01000044 movi r4,1 -811085b0: 01400104 movi r5,4 -811085b4: e0801d17 ldw r2,116(fp) -811085b8: 18bfb736 bltu r3,r2,81108498 <__reset+0xfb0e8498> -811085bc: 100490ba slli r2,r2,2 -811085c0: 05a04474 movhi r22,33041 -811085c4: b5a17504 addi r22,r22,-31276 -811085c8: 1585883a add r2,r2,r22 -811085cc: 10800017 ldw r2,0(r2) -811085d0: 1000683a jmp r2 -811085d4: 811085f4 orhi r4,r16,16919 -811085d8: 81108880 call 88110888 <__reset+0x20f0888> -811085dc: 81108708 cmpgei r4,r16,16924 -811085e0: 811088e0 cmpeqi r4,r16,16931 -811085e4: 811086d0 cmplti r4,r16,16923 -811085e8: 81108668 cmpgeui r4,r16,16921 -811085ec: 81108498 cmpnei r4,r16,16914 -811085f0: 811085fc xorhi r4,r16,16919 -811085f4: e1001d15 stw r4,116(fp) -811085f8: 003fee06 br 811085b4 <__reset+0xfb0e85b4> -811085fc: 1105f040 call 81105f04 -81108600: 84003fcc andi r16,r16,255 -81108604: 1105fbc0 call 81105fbc -81108608: 8080005c xori r2,r16,1 -8110860c: 1021883a mov r16,r2 -81108610: 1000da1e bne r2,zero,8110897c -81108614: 90800044 addi r2,r18,1 -81108618: 108000cc andi r2,r2,3 -8110861c: 1025883a mov r18,r2 -81108620: 1000d61e bne r2,zero,8110897c -81108624: 88803fcc andi r2,r17,255 -81108628: 10800044 addi r2,r2,1 -8110862c: 00c00184 movi r3,6 -81108630: 10c7283a div r3,r2,r3 -81108634: 18c001a4 muli r3,r3,6 -81108638: 10c5c83a sub r2,r2,r3 -8110863c: 1023883a mov r17,r2 -81108640: 1000cd1e bne r2,zero,81108978 -81108644: e1593b17 ldw r5,25836(fp) -81108648: 00800044 movi r2,1 -8110864c: e0801d15 stw r2,116(fp) -81108650: 28816526 beq r5,r2,81108be8 -81108654: e0801c17 ldw r2,112(fp) -81108658: 0021883a mov r16,zero -8110865c: 0025883a mov r18,zero -81108660: 0023883a mov r17,zero -81108664: 003f7f06 br 81108464 <__reset+0xfb0e8464> -81108668: 88803fcc andi r2,r17,255 -8110866c: 10842f24 muli r2,r2,4284 -81108670: a0c03fcc andi r3,r20,255 -81108674: e085883a add r2,fp,r2 -81108678: 11802417 ldw r6,144(r2) -8110867c: 31808004 addi r6,r6,512 -81108680: 1800e51e bne r3,zero,81108a18 -81108684: d8c00717 ldw r3,28(sp) -81108688: 01c00044 movi r7,1 -8110868c: 0009883a mov r4,zero -81108690: 19400217 ldw r5,8(r3) -81108694: 110209c0 call 8110209c -81108698: 01c00044 movi r7,1 -8110869c: 11c0e526 beq r2,r7,81108a34 -811086a0: 98803fcc andi r2,r19,255 -811086a4: 00c00084 movi r3,2 -811086a8: 1880e536 bltu r3,r2,81108a40 -811086ac: 000d883a mov r6,zero -811086b0: 000b883a mov r5,zero -811086b4: 0009883a mov r4,zero -811086b8: 114478c0 call 8114478c -811086bc: 9cc00044 addi r19,r19,1 -811086c0: d1208917 ldw r4,-32220(gp) -811086c4: 114274c0 call 8114274c -811086c8: e0801c17 ldw r2,112(fp) -811086cc: 003f6506 br 81108464 <__reset+0xfb0e8464> -811086d0: d1207617 ldw r4,-32296(gp) -811086d4: d9800404 addi r6,sp,16 -811086d8: 000b883a mov r5,zero -811086dc: 1142cd40 call 81142cd4 -811086e0: d8c00403 ldbu r3,16(sp) -811086e4: 18008b1e bne r3,zero,81108914 -811086e8: 1006d63a srli r3,r2,24 -811086ec: 01000804 movi r4,32 -811086f0: 193f901e bne r3,r4,81108534 <__reset+0xfb0e8534> -811086f4: 1009883a mov r4,r2 -811086f8: e00b883a mov r5,fp -811086fc: 1107e380 call 81107e38 -81108700: e0801c17 ldw r2,112(fp) -81108704: 003f5706 br 81108464 <__reset+0xfb0e8464> -81108708: 01a04634 movhi r6,33048 -8110870c: 318ee304 addi r6,r6,15244 -81108710: dd401917 ldw r21,100(sp) -81108714: dd801717 ldw r22,92(sp) -81108718: 30000315 stw zero,12(r6) -8110871c: dd000b17 ldw r20,44(sp) -81108720: dcc00917 ldw r19,36(sp) -81108724: dfc01a17 ldw ra,104(sp) -81108728: dc800817 ldw r18,32(sp) -8110872c: dc400a17 ldw r17,40(sp) -81108730: dc000c17 ldw r16,48(sp) -81108734: dbc00d17 ldw r15,52(sp) -81108738: db800e17 ldw r14,56(sp) -8110873c: db400f17 ldw r13,60(sp) -81108740: db001017 ldw r12,64(sp) -81108744: dac01117 ldw r11,68(sp) -81108748: da801217 ldw r10,72(sp) -8110874c: da401317 ldw r9,76(sp) -81108750: da001417 ldw r8,80(sp) -81108754: d9c01517 ldw r7,84(sp) -81108758: d9801617 ldw r6,88(sp) -8110875c: d9401817 ldw r5,96(sp) -81108760: e0001b15 stw zero,108(fp) -81108764: e007883a mov r3,fp -81108768: df000615 stw fp,24(sp) -8110876c: 00800184 movi r2,6 -81108770: a839883a mov fp,r21 -81108774: b02b883a mov r21,r22 -81108778: 05800044 movi r22,1 -8110877c: e1000017 ldw r4,0(fp) -81108780: ad800015 stw r22,0(r21) -81108784: 95800017 ldw r22,0(r18) -81108788: 18c42f04 addi r3,r3,4284 -8110878c: 10bfffc4 addi r2,r2,-1 -81108790: dd800515 stw r22,20(sp) -81108794: 25803017 ldw r22,192(r4) -81108798: ad400104 addi r21,r21,4 -8110879c: e7000104 addi fp,fp,4 -811087a0: dd801d15 stw r22,116(sp) -811087a4: 25804c0b ldhu r22,304(r4) -811087a8: a5042f04 addi r20,r20,4284 -811087ac: 9cc42f04 addi r19,r19,4284 -811087b0: a5bbd10d sth r22,-4284(r20) -811087b4: 21004b8b ldhu r4,302(r4) -811087b8: dd801d17 ldw r22,116(sp) -811087bc: ffc42f04 addi ra,ra,4284 -811087c0: 993bd10d sth r4,-4284(r19) -811087c4: fdbbd115 stw r22,-4284(ra) -811087c8: dd800517 ldw r22,20(sp) -811087cc: 11003fcc andi r4,r2,255 -811087d0: 94842f04 addi r18,r18,4284 -811087d4: 8d800015 stw r22,0(r17) -811087d8: 85800017 ldw r22,0(r16) -811087dc: 8c442f04 addi r17,r17,4284 -811087e0: 84042f04 addi r16,r16,4284 -811087e4: 7d800015 stw r22,0(r15) -811087e8: 75800017 ldw r22,0(r14) -811087ec: 7bc42f04 addi r15,r15,4284 -811087f0: 73842f04 addi r14,r14,4284 -811087f4: 6d800015 stw r22,0(r13) -811087f8: 65800017 ldw r22,0(r12) -811087fc: 6b442f04 addi r13,r13,4284 -81108800: 63042f04 addi r12,r12,4284 -81108804: 5d800015 stw r22,0(r11) -81108808: 55800017 ldw r22,0(r10) -8110880c: 5ac42f04 addi r11,r11,4284 -81108810: 52842f04 addi r10,r10,4284 -81108814: 4d800015 stw r22,0(r9) -81108818: 45800017 ldw r22,0(r8) -8110881c: 4a442f04 addi r9,r9,4284 -81108820: 42042f04 addi r8,r8,4284 -81108824: 3d800015 stw r22,0(r7) -81108828: 35800017 ldw r22,0(r6) -8110882c: 39c42f04 addi r7,r7,4284 -81108830: 31842f04 addi r6,r6,4284 -81108834: 2d800015 stw r22,0(r5) -81108838: 1dbc1217 ldw r22,-4024(r3) -8110883c: 29442f04 addi r5,r5,4284 -81108840: 1dbc1415 stw r22,-4016(r3) -81108844: 203fcc1e bne r4,zero,81108778 <__reset+0xfb0e8778> -81108848: df000617 ldw fp,24(sp) -8110884c: b8800803 ldbu r2,32(r23) -81108850: e0c01e17 ldw r3,120(fp) -81108854: 1d000003 ldbu r20,0(r3) -81108858: 00c00104 movi r3,4 -8110885c: 18807f2e bgeu r3,r2,81108a5c -81108860: 00800084 movi r2,2 -81108864: 00c000c4 movi r3,3 -81108868: e0c01d15 stw r3,116(fp) -8110886c: 0021883a mov r16,zero -81108870: 0025883a mov r18,zero -81108874: 0023883a mov r17,zero -81108878: 0027883a mov r19,zero -8110887c: 003ef906 br 81108464 <__reset+0xfb0e8464> -81108880: e1593b17 ldw r5,25836(fp) -81108884: 05400044 movi r21,1 -81108888: 2d40451e bne r5,r21,811089a0 -8110888c: b8800803 ldbu r2,32(r23) -81108890: 00c00104 movi r3,4 -81108894: 1880b92e bgeu r3,r2,81108b7c -81108898: 01c2ee04 movi r7,3000 -8110889c: 000d883a mov r6,zero -811088a0: 000b883a mov r5,zero -811088a4: 0009883a mov r4,zero -811088a8: 114478c0 call 8114478c -811088ac: d1207617 ldw r4,-32296(gp) -811088b0: 00a04634 movhi r2,33048 -811088b4: 108ee304 addi r2,r2,15244 -811088b8: e0001b15 stw zero,108(fp) -811088bc: 10000315 stw zero,12(r2) -811088c0: 1142c840 call 81142c84 -811088c4: d8800405 stb r2,16(sp) -811088c8: 10803fcc andi r2,r2,255 -811088cc: 1000a91e bne r2,zero,81108b74 -811088d0: 00800084 movi r2,2 -811088d4: e0801d15 stw r2,116(fp) -811088d8: e0801c17 ldw r2,112(fp) -811088dc: 003ee106 br 81108464 <__reset+0xfb0e8464> -811088e0: 8d403fcc andi r21,r17,255 -811088e4: a8800084 addi r2,r21,2 -811088e8: 1085883a add r2,r2,r2 -811088ec: 1085883a add r2,r2,r2 -811088f0: e085883a add r2,fp,r2 -811088f4: 10800017 ldw r2,0(r2) -811088f8: 11006026 beq r2,r4,81108a7c -811088fc: 2d7f3d36 bltu r5,r21,811085f4 <__reset+0xfb0e85f4> -81108900: 8c400044 addi r17,r17,1 -81108904: 0021883a mov r16,zero -81108908: 0025883a mov r18,zero -8110890c: 0027883a mov r19,zero -81108910: 003f2806 br 811085b4 <__reset+0xfb0e85b4> -81108914: 11255bc0 call 811255bc -81108918: e0801c17 ldw r2,112(fp) -8110891c: 003ed106 br 81108464 <__reset+0xfb0e8464> -81108920: d1e07917 ldw r7,-32284(gp) -81108924: 01204574 movhi r4,33045 -81108928: 21218f04 addi r4,r4,-31172 -8110892c: 018007c4 movi r6,31 -81108930: 01400044 movi r5,1 -81108934: 112bc4c0 call 8112bc4c -81108938: e0d93a17 ldw r3,25832(fp) -8110893c: 00800044 movi r2,1 -81108940: 18bf101e bne r3,r2,81108584 <__reset+0xfb0e8584> -81108944: e099398b ldhu r2,25830(fp) -81108948: e0193a15 stw zero,25832(fp) -8110894c: e099390d sth r2,25828(fp) -81108950: 003f0d06 br 81108588 <__reset+0xfb0e8588> -81108954: 11259500 call 81125950 -81108958: 003ee706 br 811084f8 <__reset+0xfb0e84f8> -8110895c: d1e07917 ldw r7,-32284(gp) -81108960: 01204574 movhi r4,33045 -81108964: 21218604 addi r4,r4,-31208 -81108968: 01800884 movi r6,34 -8110896c: 01400044 movi r5,1 -81108970: 112bc4c0 call 8112bc4c -81108974: 003ed606 br 811084d0 <__reset+0xfb0e84d0> -81108978: 0025883a mov r18,zero -8110897c: 008000c4 movi r2,3 -81108980: e0801d15 stw r2,116(fp) -81108984: e0801c17 ldw r2,112(fp) -81108988: 003eb606 br 81108464 <__reset+0xfb0e8464> -8110898c: 1009883a mov r4,r2 -81108990: e00b883a mov r5,fp -81108994: 11082380 call 81108238 -81108998: e0801c17 ldw r2,112(fp) -8110899c: 003eb106 br 81108464 <__reset+0xfb0e8464> -811089a0: 00e04634 movhi r3,33048 -811089a4: 18cee304 addi r3,r3,15244 -811089a8: e5401b15 stw r21,108(fp) -811089ac: 000d883a mov r6,zero -811089b0: 000b883a mov r5,zero -811089b4: 01000484 movi r4,18 -811089b8: 1d400315 stw r21,12(r3) -811089bc: 11083080 call 81108308 -811089c0: b8800803 ldbu r2,32(r23) -811089c4: 00c00104 movi r3,4 -811089c8: 1880632e bgeu r3,r2,81108b58 -811089cc: d1207617 ldw r4,-32296(gp) -811089d0: 1142c840 call 81142c84 -811089d4: d8800405 stb r2,16(sp) -811089d8: 10803fcc andi r2,r2,255 -811089dc: 10005c1e bne r2,zero,81108b50 -811089e0: d1207617 ldw r4,-32296(gp) -811089e4: d9800404 addi r6,sp,16 -811089e8: 000b883a mov r5,zero -811089ec: 1142cd40 call 81142cd4 -811089f0: d8c00403 ldbu r3,16(sp) -811089f4: 183fc71e bne r3,zero,81108914 <__reset+0xfb0e8914> -811089f8: 1006d63a srli r3,r2,24 -811089fc: 01000804 movi r4,32 -81108a00: 193ecc1e bne r3,r4,81108534 <__reset+0xfb0e8534> -81108a04: 1009883a mov r4,r2 -81108a08: e00b883a mov r5,fp -81108a0c: 11081240 call 81108124 -81108a10: e0801c17 ldw r2,112(fp) -81108a14: 003e9306 br 81108464 <__reset+0xfb0e8464> -81108a18: dd800717 ldw r22,28(sp) -81108a1c: 01c00044 movi r7,1 -81108a20: 3809883a mov r4,r7 -81108a24: b1400217 ldw r5,8(r22) -81108a28: 110209c0 call 8110209c -81108a2c: 01c00044 movi r7,1 -81108a30: 11ff1b1e bne r2,r7,811086a0 <__reset+0xfb0e86a0> -81108a34: 00800104 movi r2,4 -81108a38: e0801d15 stw r2,116(fp) -81108a3c: 003f2006 br 811086c0 <__reset+0xfb0e86c0> -81108a40: 1123d040 call 81123d04 -81108a44: 1105fcc0 call 81105fcc -81108a48: 1105fbc0 call 81105fbc -81108a4c: 1105fa80 call 81105fa8 -81108a50: 008001c4 movi r2,7 -81108a54: e0801d15 stw r2,116(fp) -81108a58: 003f1906 br 811086c0 <__reset+0xfb0e86c0> -81108a5c: e199390b ldhu r6,25828(fp) -81108a60: d1207917 ldw r4,-32284(gp) -81108a64: 01604574 movhi r5,33045 -81108a68: 2961b304 addi r5,r5,-31028 -81108a6c: a1c03fcc andi r7,r20,255 -81108a70: 112b5e00 call 8112b5e0 -81108a74: e0801c17 ldw r2,112(fp) -81108a78: 003f7a06 br 81108864 <__reset+0xfb0e8864> -81108a7c: b8800803 ldbu r2,32(r23) -81108a80: 00c00104 movi r3,4 -81108a84: 1880452e bgeu r3,r2,81108b9c -81108a88: d1207617 ldw r4,-32296(gp) -81108a8c: 1142c840 call 81142c84 -81108a90: d8800405 stb r2,16(sp) -81108a94: 10803fcc andi r2,r2,255 -81108a98: 10003e1e bne r2,zero,81108b94 -81108a9c: d1208917 ldw r4,-32220(gp) -81108aa0: d9800404 addi r6,sp,16 -81108aa4: 000b883a mov r5,zero -81108aa8: 11424480 call 81142448 -81108aac: d8800403 ldbu r2,16(sp) -81108ab0: 103ea01e bne r2,zero,81108534 <__reset+0xfb0e8534> -81108ab4: a8c42f24 muli r3,r21,4284 -81108ab8: 95803fcc andi r22,r18,255 -81108abc: d8c01c15 stw r3,112(sp) -81108ac0: 1105f980 call 81105f98 -81108ac4: 1105fbc0 call 81105fbc -81108ac8: 1105fa80 call 81105fa8 -81108acc: 1105f040 call 81105f04 -81108ad0: d8c01c17 ldw r3,112(sp) -81108ad4: e1d9390b ldhu r7,25828(fp) -81108ad8: 82003fcc andi r8,r16,255 -81108adc: e0c9883a add r4,fp,r3 -81108ae0: 20802417 ldw r2,144(r4) -81108ae4: 400d883a mov r6,r8 -81108ae8: 10808004 addi r2,r2,512 -81108aec: d8800215 stw r2,8(sp) -81108af0: 21406b0b ldhu r5,428(r4) -81108af4: 20806a0b ldhu r2,424(r4) -81108af8: 2885883a add r2,r5,r2 -81108afc: 10bfffcc andi r2,r2,65535 -81108b00: d8800115 stw r2,4(sp) -81108b04: 20806a8b ldhu r2,426(r4) -81108b08: b00b883a mov r5,r22 -81108b0c: a809883a mov r4,r21 -81108b10: d8800015 stw r2,0(sp) -81108b14: da001b15 stw r8,108(sp) -81108b18: 1105dbc0 call 81105dbc -81108b1c: d8c01c17 ldw r3,112(sp) -81108b20: da001b17 ldw r8,108(sp) -81108b24: 10004b26 beq r2,zero,81108c54 -81108b28: b5800624 muli r22,r22,24 -81108b2c: 00800144 movi r2,5 -81108b30: e0801d15 stw r2,116(fp) -81108b34: b0c7883a add r3,r22,r3 -81108b38: e0801c17 ldw r2,112(fp) -81108b3c: 4000411e bne r8,zero,81108c44 -81108b40: 18c02c04 addi r3,r3,176 -81108b44: e0c7883a add r3,fp,r3 -81108b48: d8c00715 stw r3,28(sp) -81108b4c: 003e4506 br 81108464 <__reset+0xfb0e8464> -81108b50: 11259500 call 81125950 -81108b54: 003fa206 br 811089e0 <__reset+0xfb0e89e0> -81108b58: d1e07917 ldw r7,-32284(gp) -81108b5c: 01204574 movhi r4,33045 -81108b60: 2121ac04 addi r4,r4,-31056 -81108b64: 01800644 movi r6,25 -81108b68: a80b883a mov r5,r21 -81108b6c: 112bc4c0 call 8112bc4c -81108b70: 003f9606 br 811089cc <__reset+0xfb0e89cc> -81108b74: 11259500 call 81125950 -81108b78: 003f5506 br 811088d0 <__reset+0xfb0e88d0> -81108b7c: d1e07917 ldw r7,-32284(gp) -81108b80: 01204574 movhi r4,33045 -81108b84: 21219704 addi r4,r4,-31140 -81108b88: 01801444 movi r6,81 -81108b8c: 112bc4c0 call 8112bc4c -81108b90: 003f4106 br 81108898 <__reset+0xfb0e8898> -81108b94: 11259500 call 81125950 -81108b98: 003fc006 br 81108a9c <__reset+0xfb0e8a9c> -81108b9c: a8842f24 muli r2,r21,4284 -81108ba0: 80c03fcc andi r3,r16,255 -81108ba4: d8c00315 stw r3,12(sp) -81108ba8: e085883a add r2,fp,r2 -81108bac: 11006b0b ldhu r4,428(r2) -81108bb0: 10c06a0b ldhu r3,424(r2) -81108bb4: e199390b ldhu r6,25828(fp) -81108bb8: 01604574 movhi r5,33045 -81108bbc: 20c7883a add r3,r4,r3 -81108bc0: d8c00215 stw r3,8(sp) -81108bc4: 10c06a8b ldhu r3,426(r2) -81108bc8: d1207917 ldw r4,-32284(gp) -81108bcc: 90803fcc andi r2,r18,255 -81108bd0: 2961bd04 addi r5,r5,-30988 -81108bd4: d8c00115 stw r3,4(sp) -81108bd8: d8800015 stw r2,0(sp) -81108bdc: a80f883a mov r7,r21 -81108be0: 112b5e00 call 8112b5e0 -81108be4: 003fa806 br 81108a88 <__reset+0xfb0e8a88> -81108be8: b8800803 ldbu r2,32(r23) -81108bec: e0193b15 stw zero,25836(fp) -81108bf0: 00c00104 movi r3,4 -81108bf4: 1880202e bgeu r3,r2,81108c78 -81108bf8: 00e04634 movhi r3,33048 -81108bfc: 18cee304 addi r3,r3,15244 -81108c00: 18800017 ldw r2,0(r3) -81108c04: 103e931e bne r2,zero,81108654 <__reset+0xfb0e8654> -81108c08: d1207717 ldw r4,-32292(gp) -81108c0c: 114379c0 call 8114379c -81108c10: d8800405 stb r2,16(sp) -81108c14: 10803fcc andi r2,r2,255 -81108c18: 103e8e26 beq r2,zero,81108654 <__reset+0xfb0e8654> -81108c1c: 1123c140 call 81123c14 -81108c20: 01604574 movhi r5,33045 -81108c24: 29732bc4 addi r5,r5,-13137 -81108c28: 0009883a mov r4,zero -81108c2c: 111e1a00 call 8111e1a0 -81108c30: 0021883a mov r16,zero -81108c34: 0025883a mov r18,zero -81108c38: e0801c17 ldw r2,112(fp) -81108c3c: 0023883a mov r17,zero -81108c40: 003e0806 br 81108464 <__reset+0xfb0e8464> -81108c44: 18c02f04 addi r3,r3,188 -81108c48: e0c7883a add r3,fp,r3 -81108c4c: d8c00715 stw r3,28(sp) -81108c50: 003e0406 br 81108464 <__reset+0xfb0e8464> -81108c54: 1123cd40 call 81123cd4 -81108c58: 01604574 movhi r5,33045 -81108c5c: 29732bc4 addi r5,r5,-13137 -81108c60: 0009883a mov r4,zero -81108c64: 111e1a00 call 8111e1a0 -81108c68: 00800044 movi r2,1 -81108c6c: e0801d15 stw r2,116(fp) -81108c70: e0801c17 ldw r2,112(fp) -81108c74: 003dfb06 br 81108464 <__reset+0xfb0e8464> -81108c78: d1e07917 ldw r7,-32284(gp) -81108c7c: 01204574 movhi r4,33045 -81108c80: 2121cd04 addi r4,r4,-30924 -81108c84: 01800604 movi r6,24 -81108c88: 112bc4c0 call 8112bc4c -81108c8c: 003fda06 br 81108bf8 <__reset+0xfb0e8bf8> -81108c90: d1e07917 ldw r7,-32284(gp) -81108c94: 01204574 movhi r4,33045 -81108c98: 21217d04 addi r4,r4,-31244 -81108c9c: 01800804 movi r6,32 -81108ca0: 01400044 movi r5,1 -81108ca4: 112bc4c0 call 8112bc4c -81108ca8: 003dbf06 br 811083a8 <__reset+0xfb0e83a8> - -81108cac : -81108cac: defffd04 addi sp,sp,-12 -81108cb0: dc400115 stw r17,4(sp) -81108cb4: 24409104 addi r17,r4,580 -81108cb8: dc000015 stw r16,0(sp) -81108cbc: 2021883a mov r16,r4 -81108cc0: 8809883a mov r4,r17 -81108cc4: dfc00215 stw ra,8(sp) -81108cc8: 1104bb00 call 81104bb0 -81108ccc: 80800003 ldbu r2,0(r16) -81108cd0: 00e04634 movhi r3,33048 -81108cd4: 18ceea04 addi r3,r3,15272 -81108cd8: 10800524 muli r2,r2,20 -81108cdc: 8809883a mov r4,r17 -81108ce0: 1885883a add r2,r3,r2 -81108ce4: 10c00443 ldbu r3,17(r2) -81108ce8: 10800403 ldbu r2,16(r2) -81108cec: 80c09615 stw r3,600(r16) -81108cf0: 80809515 stw r2,596(r16) -81108cf4: 1104b800 call 81104b80 -81108cf8: 00a04634 movhi r2,33048 -81108cfc: 108f0804 addi r2,r2,15392 -81108d00: 10800803 ldbu r2,32(r2) -81108d04: 00c00084 movi r3,2 -81108d08: 1880052e bgeu r3,r2,81108d20 -81108d0c: dfc00217 ldw ra,8(sp) -81108d10: dc400117 ldw r17,4(sp) -81108d14: dc000017 ldw r16,0(sp) -81108d18: dec00304 addi sp,sp,12 -81108d1c: f800283a ret -81108d20: 81c09603 ldbu r7,600(r16) -81108d24: 81800003 ldbu r6,0(r16) -81108d28: d1207917 ldw r4,-32284(gp) -81108d2c: 01604574 movhi r5,33045 -81108d30: 2961f304 addi r5,r5,-30772 -81108d34: 112b5e00 call 8112b5e0 -81108d38: 81c09503 ldbu r7,596(r16) -81108d3c: 81800003 ldbu r6,0(r16) -81108d40: d1207917 ldw r4,-32284(gp) -81108d44: 01604574 movhi r5,33045 -81108d48: 2961fb04 addi r5,r5,-30740 -81108d4c: dfc00217 ldw ra,8(sp) -81108d50: dc400117 ldw r17,4(sp) -81108d54: dc000017 ldw r16,0(sp) -81108d58: dec00304 addi sp,sp,12 -81108d5c: 112b5e01 jmpi 8112b5e0 - -81108d60 : -81108d60: defffd04 addi sp,sp,-12 -81108d64: dc400115 stw r17,4(sp) -81108d68: 2440b304 addi r17,r4,716 -81108d6c: dc000015 stw r16,0(sp) -81108d70: 2021883a mov r16,r4 -81108d74: 8809883a mov r4,r17 -81108d78: dfc00215 stw ra,8(sp) -81108d7c: 11023980 call 81102398 -81108d80: 80800003 ldbu r2,0(r16) -81108d84: 81c0ab17 ldw r7,684(r16) -81108d88: 82004b8b ldhu r8,302(r16) -81108d8c: 10800524 muli r2,r2,20 -81108d90: 81804a0b ldhu r6,296(r16) -81108d94: 02604634 movhi r9,33048 -81108d98: 80c04a8b ldhu r3,298(r16) -81108d9c: 4a4eea04 addi r9,r9,15272 -81108da0: 81004b0b ldhu r4,300(r16) -81108da4: 81404c0b ldhu r5,304(r16) -81108da8: 4885883a add r2,r9,r2 -81108dac: 3b800017 ldw r14,0(r7) -81108db0: 3b400117 ldw r13,4(r7) -81108db4: 3a801017 ldw r10,64(r7) -81108db8: 418d883a add r6,r8,r6 -81108dbc: 11c004c3 ldbu r7,19(r2) -81108dc0: 12000483 ldbu r8,18(r2) -81108dc4: 82402e43 ldbu r9,185(r16) -81108dc8: 30c5883a add r2,r6,r3 -81108dcc: 291f883a add r15,r5,r4 -81108dd0: 22ffffc4 addi r11,r4,-1 -81108dd4: 00c00044 movi r3,1 -81108dd8: 2b3fffc4 addi r12,r5,-1 -81108ddc: 11bfffc4 addi r6,r2,-1 -81108de0: 8100b715 stw r4,732(r16) -81108de4: 8080b415 stw r2,720(r16) -81108de8: 83c0b515 stw r15,724(r16) -81108dec: 8140b615 stw r5,728(r16) -81108df0: 8380b815 stw r14,736(r16) -81108df4: 8340b915 stw r13,740(r16) -81108df8: 8300ba15 stw r12,744(r16) -81108dfc: 82c0bb15 stw r11,748(r16) -81108e00: 8000bc15 stw zero,752(r16) -81108e04: 8180bd15 stw r6,756(r16) -81108e08: 80c0be15 stw r3,760(r16) -81108e0c: 80c0bf15 stw r3,764(r16) -81108e10: 8280c015 stw r10,768(r16) -81108e14: 8240c415 stw r9,784(r16) -81108e18: 8000c315 stw zero,780(r16) -81108e1c: 8200c215 stw r8,776(r16) -81108e20: 81c0c115 stw r7,772(r16) -81108e24: 8809883a mov r4,r17 -81108e28: 11023600 call 81102360 -81108e2c: 8080c017 ldw r2,768(r16) -81108e30: 8081710d sth r2,1476(r16) -81108e34: dfc00217 ldw ra,8(sp) -81108e38: dc400117 ldw r17,4(sp) -81108e3c: dc000017 ldw r16,0(sp) -81108e40: dec00304 addi sp,sp,12 -81108e44: f800283a ret - -81108e48 : -81108e48: defffc04 addi sp,sp,-16 -81108e4c: dc400115 stw r17,4(sp) -81108e50: 24409104 addi r17,r4,580 -81108e54: dc000015 stw r16,0(sp) -81108e58: 2021883a mov r16,r4 -81108e5c: 8809883a mov r4,r17 -81108e60: dfc00315 stw ra,12(sp) -81108e64: dc800215 stw r18,8(sp) -81108e68: 1104d200 call 81104d20 -81108e6c: 81400003 ldbu r5,0(r16) -81108e70: 8100ab17 ldw r4,684(r16) -81108e74: 04a04634 movhi r18,33048 -81108e78: 2940b524 muli r5,r5,724 -81108e7c: 948f2a04 addi r18,r18,15528 -81108e80: 01805b04 movi r6,364 -81108e84: 914b883a add r5,r18,r5 -81108e88: 29405504 addi r5,r5,340 -81108e8c: 21005504 addi r4,r4,340 -81108e90: 112c6480 call 8112c648 -81108e94: 8809883a mov r4,r17 -81108e98: 1104d180 call 81104d18 -81108e9c: 8809883a mov r4,r17 -81108ea0: 1104d100 call 81104d10 -81108ea4: 81400003 ldbu r5,0(r16) -81108ea8: 8100ab17 ldw r4,684(r16) -81108eac: 01805504 movi r6,340 -81108eb0: 2940b524 muli r5,r5,724 -81108eb4: 914b883a add r5,r18,r5 -81108eb8: 112c6480 call 8112c648 -81108ebc: 8809883a mov r4,r17 -81108ec0: dfc00317 ldw ra,12(sp) -81108ec4: dc800217 ldw r18,8(sp) -81108ec8: dc400117 ldw r17,4(sp) -81108ecc: dc000017 ldw r16,0(sp) -81108ed0: dec00404 addi sp,sp,16 -81108ed4: 1104d081 jmpi 81104d08 - -81108ed8 : -81108ed8: defffb04 addi sp,sp,-20 -81108edc: dc400115 stw r17,4(sp) -81108ee0: 24409104 addi r17,r4,580 -81108ee4: dc800215 stw r18,8(sp) -81108ee8: 2025883a mov r18,r4 -81108eec: 8809883a mov r4,r17 -81108ef0: dcc00315 stw r19,12(sp) -81108ef4: dc000015 stw r16,0(sp) -81108ef8: 2827883a mov r19,r5 -81108efc: dfc00415 stw ra,16(sp) -81108f00: 3021883a mov r16,r6 -81108f04: 1104d200 call 81104d20 -81108f08: 98be0c04 addi r2,r19,-2000 -81108f0c: 10bfffcc andi r2,r2,65535 -81108f10: 00c01204 movi r3,72 -81108f14: 18817636 bltu r3,r2,811094f0 -81108f18: 100490ba slli r2,r2,2 -81108f1c: 00e04474 movhi r3,33041 -81108f20: 18e3cc04 addi r3,r3,-28880 -81108f24: 10c5883a add r2,r2,r3 -81108f28: 10800017 ldw r2,0(r2) -81108f2c: 1000683a jmp r2 -81108f30: 811094e0 cmpeqi r4,r16,16979 -81108f34: 811094d0 cmplti r4,r16,16979 -81108f38: 811094c0 call 8811094c <__reset+0x20f094c> -81108f3c: 811094b0 cmpltui r4,r16,16978 -81108f40: 811094a0 cmpeqi r4,r16,16978 -81108f44: 81109490 cmplti r4,r16,16978 -81108f48: 81109480 call 88110948 <__reset+0x20f0948> -81108f4c: 81109470 cmpltui r4,r16,16977 -81108f50: 81109460 cmpeqi r4,r16,16977 -81108f54: 81109450 cmplti r4,r16,16977 -81108f58: 81109440 call 88110944 <__reset+0x20f0944> -81108f5c: 81109430 cmpltui r4,r16,16976 -81108f60: 81109420 cmpeqi r4,r16,16976 -81108f64: 81109410 cmplti r4,r16,16976 -81108f68: 81109400 call 88110940 <__reset+0x20f0940> -81108f6c: 811093f0 cmpltui r4,r16,16975 -81108f70: 811093e0 cmpeqi r4,r16,16975 -81108f74: 811093d0 cmplti r4,r16,16975 -81108f78: 811093c0 call 8811093c <__reset+0x20f093c> -81108f7c: 811093b0 cmpltui r4,r16,16974 -81108f80: 811093a0 cmpeqi r4,r16,16974 -81108f84: 81109390 cmplti r4,r16,16974 -81108f88: 81109380 call 88110938 <__reset+0x20f0938> -81108f8c: 81109370 cmpltui r4,r16,16973 -81108f90: 81109360 cmpeqi r4,r16,16973 -81108f94: 81109350 cmplti r4,r16,16973 -81108f98: 81109340 call 88110934 <__reset+0x20f0934> -81108f9c: 81109330 cmpltui r4,r16,16972 -81108fa0: 81109320 cmpeqi r4,r16,16972 -81108fa4: 81109310 cmplti r4,r16,16972 -81108fa8: 81109300 call 88110930 <__reset+0x20f0930> -81108fac: 811092f0 cmpltui r4,r16,16971 -81108fb0: 811092e0 cmpeqi r4,r16,16971 -81108fb4: 811092d0 cmplti r4,r16,16971 -81108fb8: 811092c0 call 8811092c <__reset+0x20f092c> -81108fbc: 811092b0 cmpltui r4,r16,16970 -81108fc0: 811092a0 cmpeqi r4,r16,16970 -81108fc4: 81109290 cmplti r4,r16,16970 -81108fc8: 81109280 call 88110928 <__reset+0x20f0928> -81108fcc: 81109270 cmpltui r4,r16,16969 -81108fd0: 81109260 cmpeqi r4,r16,16969 -81108fd4: 81109250 cmplti r4,r16,16969 -81108fd8: 81109240 call 88110924 <__reset+0x20f0924> -81108fdc: 81109230 cmpltui r4,r16,16968 -81108fe0: 81109220 cmpeqi r4,r16,16968 -81108fe4: 81109210 cmplti r4,r16,16968 -81108fe8: 81109200 call 88110920 <__reset+0x20f0920> -81108fec: 811091f0 cmpltui r4,r16,16967 -81108ff0: 811091e0 cmpeqi r4,r16,16967 -81108ff4: 811091d0 cmplti r4,r16,16967 -81108ff8: 811091c0 call 8811091c <__reset+0x20f091c> -81108ffc: 811091b0 cmpltui r4,r16,16966 -81109000: 811091a0 cmpeqi r4,r16,16966 -81109004: 81109190 cmplti r4,r16,16966 -81109008: 81109180 call 88110918 <__reset+0x20f0918> -8110900c: 81109170 cmpltui r4,r16,16965 -81109010: 81109160 cmpeqi r4,r16,16965 -81109014: 81109150 cmplti r4,r16,16965 -81109018: 81109140 call 88110914 <__reset+0x20f0914> -8110901c: 81109130 cmpltui r4,r16,16964 -81109020: 81109120 cmpeqi r4,r16,16964 -81109024: 81109110 cmplti r4,r16,16964 -81109028: 81109100 call 88110910 <__reset+0x20f0910> -8110902c: 811090f0 cmpltui r4,r16,16963 -81109030: 811090e0 cmpeqi r4,r16,16963 -81109034: 811090d0 cmplti r4,r16,16963 -81109038: 811090c0 call 8811090c <__reset+0x20f090c> -8110903c: 811090b0 cmpltui r4,r16,16962 -81109040: 811094f0 cmpltui r4,r16,16979 -81109044: 811090a0 cmpeqi r4,r16,16962 -81109048: 81109090 cmplti r4,r16,16962 -8110904c: 81109080 call 88110908 <__reset+0x20f0908> -81109050: 81109054 ori r4,r16,16961 -81109054: 9080ab17 ldw r2,684(r18) -81109058: 843fffcc andi r16,r16,65535 -8110905c: 1400af15 stw r16,700(r2) -81109060: 8809883a mov r4,r17 -81109064: dfc00417 ldw ra,16(sp) -81109068: dcc00317 ldw r19,12(sp) -8110906c: dc800217 ldw r18,8(sp) -81109070: dc400117 ldw r17,4(sp) -81109074: dc000017 ldw r16,0(sp) -81109078: dec00504 addi sp,sp,20 -8110907c: 1104d181 jmpi 81104d18 -81109080: 9080ab17 ldw r2,684(r18) -81109084: 843fffcc andi r16,r16,65535 -81109088: 1400ae15 stw r16,696(r2) -8110908c: 003ff406 br 81109060 <__reset+0xfb0e9060> -81109090: 9080ab17 ldw r2,684(r18) -81109094: 84003fcc andi r16,r16,255 -81109098: 1400ad15 stw r16,692(r2) -8110909c: 003ff006 br 81109060 <__reset+0xfb0e9060> -811090a0: 9080ab17 ldw r2,684(r18) -811090a4: 84003fcc andi r16,r16,255 -811090a8: 1400ac15 stw r16,688(r2) -811090ac: 003fec06 br 81109060 <__reset+0xfb0e9060> -811090b0: 9080ab17 ldw r2,684(r18) -811090b4: 84003fcc andi r16,r16,255 -811090b8: 1400a115 stw r16,644(r2) -811090bc: 003fe806 br 81109060 <__reset+0xfb0e9060> -811090c0: 9080ab17 ldw r2,684(r18) -811090c4: 843fffcc andi r16,r16,65535 -811090c8: 1400a015 stw r16,640(r2) -811090cc: 003fe406 br 81109060 <__reset+0xfb0e9060> -811090d0: 9080ab17 ldw r2,684(r18) -811090d4: 84003fcc andi r16,r16,255 -811090d8: 14009e15 stw r16,632(r2) -811090dc: 003fe006 br 81109060 <__reset+0xfb0e9060> -811090e0: 9080ab17 ldw r2,684(r18) -811090e4: 84003fcc andi r16,r16,255 -811090e8: 14009715 stw r16,604(r2) -811090ec: 003fdc06 br 81109060 <__reset+0xfb0e9060> -811090f0: 9080ab17 ldw r2,684(r18) -811090f4: 843fffcc andi r16,r16,65535 -811090f8: 14009415 stw r16,592(r2) -811090fc: 003fd806 br 81109060 <__reset+0xfb0e9060> -81109100: 9080ab17 ldw r2,684(r18) -81109104: 843fffcc andi r16,r16,65535 -81109108: 14009315 stw r16,588(r2) -8110910c: 003fd406 br 81109060 <__reset+0xfb0e9060> -81109110: 9080ab17 ldw r2,684(r18) -81109114: 843fffcc andi r16,r16,65535 -81109118: 14009215 stw r16,584(r2) -8110911c: 003fd006 br 81109060 <__reset+0xfb0e9060> -81109120: 9080ab17 ldw r2,684(r18) -81109124: 843fffcc andi r16,r16,65535 -81109128: 14009115 stw r16,580(r2) -8110912c: 003fcc06 br 81109060 <__reset+0xfb0e9060> -81109130: 9080ab17 ldw r2,684(r18) -81109134: 843fffcc andi r16,r16,65535 -81109138: 14009015 stw r16,576(r2) -8110913c: 003fc806 br 81109060 <__reset+0xfb0e9060> -81109140: 9080ab17 ldw r2,684(r18) -81109144: 843fffcc andi r16,r16,65535 -81109148: 14008f15 stw r16,572(r2) -8110914c: 003fc406 br 81109060 <__reset+0xfb0e9060> -81109150: 9080ab17 ldw r2,684(r18) -81109154: 843fffcc andi r16,r16,65535 -81109158: 14008e15 stw r16,568(r2) -8110915c: 003fc006 br 81109060 <__reset+0xfb0e9060> -81109160: 9080ab17 ldw r2,684(r18) -81109164: 843fffcc andi r16,r16,65535 -81109168: 14008d15 stw r16,564(r2) -8110916c: 003fbc06 br 81109060 <__reset+0xfb0e9060> -81109170: 9080ab17 ldw r2,684(r18) -81109174: 843fffcc andi r16,r16,65535 -81109178: 14008c15 stw r16,560(r2) -8110917c: 003fb806 br 81109060 <__reset+0xfb0e9060> -81109180: 9080ab17 ldw r2,684(r18) -81109184: 843fffcc andi r16,r16,65535 -81109188: 14008b15 stw r16,556(r2) -8110918c: 003fb406 br 81109060 <__reset+0xfb0e9060> -81109190: 9080ab17 ldw r2,684(r18) -81109194: 843fffcc andi r16,r16,65535 -81109198: 14008a15 stw r16,552(r2) -8110919c: 003fb006 br 81109060 <__reset+0xfb0e9060> -811091a0: 9080ab17 ldw r2,684(r18) -811091a4: 843fffcc andi r16,r16,65535 -811091a8: 14008915 stw r16,548(r2) -811091ac: 003fac06 br 81109060 <__reset+0xfb0e9060> -811091b0: 9080ab17 ldw r2,684(r18) -811091b4: 843fffcc andi r16,r16,65535 -811091b8: 14008815 stw r16,544(r2) -811091bc: 003fa806 br 81109060 <__reset+0xfb0e9060> -811091c0: 9080ab17 ldw r2,684(r18) -811091c4: 843fffcc andi r16,r16,65535 -811091c8: 14008715 stw r16,540(r2) -811091cc: 003fa406 br 81109060 <__reset+0xfb0e9060> -811091d0: 9080ab17 ldw r2,684(r18) -811091d4: 843fffcc andi r16,r16,65535 -811091d8: 14008615 stw r16,536(r2) -811091dc: 003fa006 br 81109060 <__reset+0xfb0e9060> -811091e0: 9080ab17 ldw r2,684(r18) -811091e4: 843fffcc andi r16,r16,65535 -811091e8: 14008515 stw r16,532(r2) -811091ec: 003f9c06 br 81109060 <__reset+0xfb0e9060> -811091f0: 9080ab17 ldw r2,684(r18) -811091f4: 843fffcc andi r16,r16,65535 -811091f8: 14008415 stw r16,528(r2) -811091fc: 003f9806 br 81109060 <__reset+0xfb0e9060> -81109200: 9080ab17 ldw r2,684(r18) -81109204: 843fffcc andi r16,r16,65535 -81109208: 14008315 stw r16,524(r2) -8110920c: 003f9406 br 81109060 <__reset+0xfb0e9060> -81109210: 9080ab17 ldw r2,684(r18) -81109214: 843fffcc andi r16,r16,65535 -81109218: 14008215 stw r16,520(r2) -8110921c: 003f9006 br 81109060 <__reset+0xfb0e9060> -81109220: 9080ab17 ldw r2,684(r18) -81109224: 843fffcc andi r16,r16,65535 -81109228: 14008115 stw r16,516(r2) -8110922c: 003f8c06 br 81109060 <__reset+0xfb0e9060> -81109230: 9080ab17 ldw r2,684(r18) -81109234: 843fffcc andi r16,r16,65535 -81109238: 14008015 stw r16,512(r2) -8110923c: 003f8806 br 81109060 <__reset+0xfb0e9060> -81109240: 9080ab17 ldw r2,684(r18) -81109244: 843fffcc andi r16,r16,65535 -81109248: 14007f15 stw r16,508(r2) -8110924c: 003f8406 br 81109060 <__reset+0xfb0e9060> -81109250: 9080ab17 ldw r2,684(r18) -81109254: 843fffcc andi r16,r16,65535 -81109258: 14007e15 stw r16,504(r2) -8110925c: 003f8006 br 81109060 <__reset+0xfb0e9060> -81109260: 9080ab17 ldw r2,684(r18) -81109264: 843fffcc andi r16,r16,65535 -81109268: 14007d15 stw r16,500(r2) -8110926c: 003f7c06 br 81109060 <__reset+0xfb0e9060> -81109270: 9080ab17 ldw r2,684(r18) -81109274: 843fffcc andi r16,r16,65535 -81109278: 14007c15 stw r16,496(r2) -8110927c: 003f7806 br 81109060 <__reset+0xfb0e9060> -81109280: 9080ab17 ldw r2,684(r18) -81109284: 843fffcc andi r16,r16,65535 -81109288: 14007b15 stw r16,492(r2) -8110928c: 003f7406 br 81109060 <__reset+0xfb0e9060> -81109290: 9080ab17 ldw r2,684(r18) -81109294: 843fffcc andi r16,r16,65535 -81109298: 14007a15 stw r16,488(r2) -8110929c: 003f7006 br 81109060 <__reset+0xfb0e9060> -811092a0: 9080ab17 ldw r2,684(r18) -811092a4: 843fffcc andi r16,r16,65535 -811092a8: 14007915 stw r16,484(r2) -811092ac: 003f6c06 br 81109060 <__reset+0xfb0e9060> -811092b0: 9080ab17 ldw r2,684(r18) -811092b4: 843fffcc andi r16,r16,65535 -811092b8: 14007815 stw r16,480(r2) -811092bc: 003f6806 br 81109060 <__reset+0xfb0e9060> -811092c0: 9080ab17 ldw r2,684(r18) -811092c4: 843fffcc andi r16,r16,65535 -811092c8: 14007715 stw r16,476(r2) -811092cc: 003f6406 br 81109060 <__reset+0xfb0e9060> -811092d0: 9080ab17 ldw r2,684(r18) -811092d4: 843fffcc andi r16,r16,65535 -811092d8: 14007615 stw r16,472(r2) -811092dc: 003f6006 br 81109060 <__reset+0xfb0e9060> -811092e0: 9080ab17 ldw r2,684(r18) -811092e4: 843fffcc andi r16,r16,65535 -811092e8: 14007515 stw r16,468(r2) -811092ec: 003f5c06 br 81109060 <__reset+0xfb0e9060> -811092f0: 9080ab17 ldw r2,684(r18) -811092f4: 843fffcc andi r16,r16,65535 -811092f8: 14007415 stw r16,464(r2) -811092fc: 003f5806 br 81109060 <__reset+0xfb0e9060> -81109300: 9080ab17 ldw r2,684(r18) -81109304: 843fffcc andi r16,r16,65535 -81109308: 14007315 stw r16,460(r2) -8110930c: 003f5406 br 81109060 <__reset+0xfb0e9060> -81109310: 9080ab17 ldw r2,684(r18) -81109314: 843fffcc andi r16,r16,65535 -81109318: 14007215 stw r16,456(r2) -8110931c: 003f5006 br 81109060 <__reset+0xfb0e9060> -81109320: 9080ab17 ldw r2,684(r18) -81109324: 843fffcc andi r16,r16,65535 -81109328: 14007115 stw r16,452(r2) -8110932c: 003f4c06 br 81109060 <__reset+0xfb0e9060> -81109330: 9080ab17 ldw r2,684(r18) -81109334: 843fffcc andi r16,r16,65535 -81109338: 14007015 stw r16,448(r2) -8110933c: 003f4806 br 81109060 <__reset+0xfb0e9060> -81109340: 9080ab17 ldw r2,684(r18) -81109344: 843fffcc andi r16,r16,65535 -81109348: 14006f15 stw r16,444(r2) -8110934c: 003f4406 br 81109060 <__reset+0xfb0e9060> -81109350: 9080ab17 ldw r2,684(r18) -81109354: 843fffcc andi r16,r16,65535 -81109358: 14006e15 stw r16,440(r2) -8110935c: 003f4006 br 81109060 <__reset+0xfb0e9060> -81109360: 9080ab17 ldw r2,684(r18) -81109364: 843fffcc andi r16,r16,65535 -81109368: 14006d15 stw r16,436(r2) -8110936c: 003f3c06 br 81109060 <__reset+0xfb0e9060> -81109370: 9080ab17 ldw r2,684(r18) -81109374: 843fffcc andi r16,r16,65535 -81109378: 14006c15 stw r16,432(r2) -8110937c: 003f3806 br 81109060 <__reset+0xfb0e9060> -81109380: 9080ab17 ldw r2,684(r18) -81109384: 843fffcc andi r16,r16,65535 -81109388: 14006b15 stw r16,428(r2) -8110938c: 003f3406 br 81109060 <__reset+0xfb0e9060> -81109390: 9080ab17 ldw r2,684(r18) -81109394: 843fffcc andi r16,r16,65535 -81109398: 14006a15 stw r16,424(r2) -8110939c: 003f3006 br 81109060 <__reset+0xfb0e9060> -811093a0: 9080ab17 ldw r2,684(r18) -811093a4: 843fffcc andi r16,r16,65535 -811093a8: 14006915 stw r16,420(r2) -811093ac: 003f2c06 br 81109060 <__reset+0xfb0e9060> -811093b0: 9080ab17 ldw r2,684(r18) -811093b4: 843fffcc andi r16,r16,65535 -811093b8: 14006815 stw r16,416(r2) -811093bc: 003f2806 br 81109060 <__reset+0xfb0e9060> -811093c0: 9080ab17 ldw r2,684(r18) -811093c4: 843fffcc andi r16,r16,65535 -811093c8: 14006715 stw r16,412(r2) -811093cc: 003f2406 br 81109060 <__reset+0xfb0e9060> -811093d0: 9080ab17 ldw r2,684(r18) -811093d4: 843fffcc andi r16,r16,65535 -811093d8: 14006615 stw r16,408(r2) -811093dc: 003f2006 br 81109060 <__reset+0xfb0e9060> -811093e0: 9080ab17 ldw r2,684(r18) -811093e4: 843fffcc andi r16,r16,65535 -811093e8: 14006515 stw r16,404(r2) -811093ec: 003f1c06 br 81109060 <__reset+0xfb0e9060> -811093f0: 9080ab17 ldw r2,684(r18) -811093f4: 843fffcc andi r16,r16,65535 -811093f8: 14006415 stw r16,400(r2) -811093fc: 003f1806 br 81109060 <__reset+0xfb0e9060> -81109400: 9080ab17 ldw r2,684(r18) -81109404: 843fffcc andi r16,r16,65535 -81109408: 14006315 stw r16,396(r2) -8110940c: 003f1406 br 81109060 <__reset+0xfb0e9060> -81109410: 9080ab17 ldw r2,684(r18) -81109414: 843fffcc andi r16,r16,65535 -81109418: 14006215 stw r16,392(r2) -8110941c: 003f1006 br 81109060 <__reset+0xfb0e9060> -81109420: 9080ab17 ldw r2,684(r18) -81109424: 843fffcc andi r16,r16,65535 -81109428: 14006115 stw r16,388(r2) -8110942c: 003f0c06 br 81109060 <__reset+0xfb0e9060> -81109430: 9080ab17 ldw r2,684(r18) -81109434: 843fffcc andi r16,r16,65535 -81109438: 14006015 stw r16,384(r2) -8110943c: 003f0806 br 81109060 <__reset+0xfb0e9060> -81109440: 9080ab17 ldw r2,684(r18) -81109444: 843fffcc andi r16,r16,65535 -81109448: 14005f15 stw r16,380(r2) -8110944c: 003f0406 br 81109060 <__reset+0xfb0e9060> -81109450: 9080ab17 ldw r2,684(r18) -81109454: 843fffcc andi r16,r16,65535 -81109458: 14005e15 stw r16,376(r2) -8110945c: 003f0006 br 81109060 <__reset+0xfb0e9060> -81109460: 9080ab17 ldw r2,684(r18) -81109464: 843fffcc andi r16,r16,65535 -81109468: 14005d15 stw r16,372(r2) -8110946c: 003efc06 br 81109060 <__reset+0xfb0e9060> -81109470: 9080ab17 ldw r2,684(r18) -81109474: 843fffcc andi r16,r16,65535 -81109478: 14005c15 stw r16,368(r2) -8110947c: 003ef806 br 81109060 <__reset+0xfb0e9060> -81109480: 9080ab17 ldw r2,684(r18) -81109484: 843fffcc andi r16,r16,65535 -81109488: 14005b15 stw r16,364(r2) -8110948c: 003ef406 br 81109060 <__reset+0xfb0e9060> -81109490: 9080ab17 ldw r2,684(r18) -81109494: 843fffcc andi r16,r16,65535 -81109498: 14005a15 stw r16,360(r2) -8110949c: 003ef006 br 81109060 <__reset+0xfb0e9060> -811094a0: 9080ab17 ldw r2,684(r18) -811094a4: 843fffcc andi r16,r16,65535 -811094a8: 14005915 stw r16,356(r2) -811094ac: 003eec06 br 81109060 <__reset+0xfb0e9060> -811094b0: 9080ab17 ldw r2,684(r18) -811094b4: 843fffcc andi r16,r16,65535 -811094b8: 14005815 stw r16,352(r2) -811094bc: 003ee806 br 81109060 <__reset+0xfb0e9060> -811094c0: 9080ab17 ldw r2,684(r18) -811094c4: 843fffcc andi r16,r16,65535 -811094c8: 14005715 stw r16,348(r2) -811094cc: 003ee406 br 81109060 <__reset+0xfb0e9060> -811094d0: 9080ab17 ldw r2,684(r18) -811094d4: 843fffcc andi r16,r16,65535 -811094d8: 14005615 stw r16,344(r2) -811094dc: 003ee006 br 81109060 <__reset+0xfb0e9060> -811094e0: 9080ab17 ldw r2,684(r18) -811094e4: 843fffcc andi r16,r16,65535 -811094e8: 14005515 stw r16,340(r2) -811094ec: 003edc06 br 81109060 <__reset+0xfb0e9060> -811094f0: 00a04634 movhi r2,33048 -811094f4: 108f0804 addi r2,r2,15392 -811094f8: 10800803 ldbu r2,32(r2) -811094fc: 00c00104 movi r3,4 -81109500: 18bed736 bltu r3,r2,81109060 <__reset+0xfb0e9060> -81109504: 91800003 ldbu r6,0(r18) -81109508: d1207917 ldw r4,-32284(gp) -8110950c: 01604574 movhi r5,33045 -81109510: 29620504 addi r5,r5,-30700 -81109514: 99ffffcc andi r7,r19,65535 -81109518: 112b5e00 call 8112b5e0 -8110951c: 003ed006 br 81109060 <__reset+0xfb0e9060> - -81109520 : -81109520: 00894eb4 movhi r2,9530 -81109524: deffd904 addi sp,sp,-156 -81109528: 10918844 addi r2,r2,17953 -8110952c: d8802115 stw r2,132(sp) -81109530: 008e9d74 movhi r2,14965 -81109534: dc402515 stw r17,148(sp) -81109538: dc002415 stw r16,144(sp) -8110953c: 2023883a mov r17,r4 -81109540: 2821883a mov r16,r5 -81109544: 01801f04 movi r6,124 -81109548: 000b883a mov r5,zero -8110954c: d9000204 addi r4,sp,8 -81109550: 109a1a04 addi r2,r2,26728 -81109554: dfc02615 stw ra,152(sp) -81109558: d8802215 stw r2,136(sp) -8110955c: d8000115 stw zero,4(sp) -81109560: 112c7900 call 8112c790 -81109564: 01604574 movhi r5,33045 -81109568: 843fffcc andi r16,r16,65535 -8110956c: 29621104 addi r5,r5,-30652 -81109570: 89c03fcc andi r7,r17,255 -81109574: d9802104 addi r6,sp,132 -81109578: d9000104 addi r4,sp,4 -8110957c: dc000015 stw r16,0(sp) -81109580: 112d6780 call 8112d678 -81109584: d1204017 ldw r4,-32512(gp) -81109588: d9802304 addi r6,sp,140 -8110958c: 000b883a mov r5,zero -81109590: 11424480 call 81142448 -81109594: d8802303 ldbu r2,140(sp) -81109598: 10001d1e bne r2,zero,81109610 -8110959c: 00e045f4 movhi r3,33047 -811095a0: 18e76604 addi r3,r3,-25192 -811095a4: 01400604 movi r5,24 -811095a8: 00000206 br 811095b4 -811095ac: 10800044 addi r2,r2,1 -811095b0: 11401026 beq r2,r5,811095f4 -811095b4: 19000017 ldw r4,0(r3) -811095b8: 18c06504 addi r3,r3,404 -811095bc: 203ffb1e bne r4,zero,811095ac <__reset+0xfb0e95ac> -811095c0: 14006524 muli r16,r2,404 -811095c4: 046045f4 movhi r17,33047 -811095c8: 8c6765c4 addi r17,r17,-25193 -811095cc: 81000404 addi r4,r16,16 -811095d0: 01802004 movi r6,128 -811095d4: d9400104 addi r5,sp,4 -811095d8: 8909883a add r4,r17,r4 -811095dc: 112c6480 call 8112c648 -811095e0: 88bfff44 addi r2,r17,-3 -811095e4: 1405883a add r2,r2,r16 -811095e8: 00c00044 movi r3,1 -811095ec: 10c00115 stw r3,4(r2) -811095f0: 10000015 stw zero,0(r2) -811095f4: d1204017 ldw r4,-32512(gp) -811095f8: 114274c0 call 8114274c -811095fc: dfc02617 ldw ra,152(sp) -81109600: dc402517 ldw r17,148(sp) -81109604: dc002417 ldw r16,144(sp) -81109608: dec02704 addi sp,sp,156 -8110960c: f800283a ret -81109610: 11246ac0 call 811246ac -81109614: 003ff906 br 811095fc <__reset+0xfb0e95fc> - -81109618 : -81109618: 21003fcc andi r4,r4,255 -8110961c: 00800144 movi r2,5 -81109620: 11002336 bltu r2,r4,811096b0 -81109624: 200890ba slli r4,r4,2 -81109628: 00a04474 movhi r2,33041 -8110962c: 10a59504 addi r2,r2,-27052 -81109630: 2089883a add r4,r4,r2 -81109634: 20800017 ldw r2,0(r4) -81109638: defffd04 addi sp,sp,-12 -8110963c: dc400115 stw r17,4(sp) -81109640: dc000015 stw r16,0(sp) -81109644: dfc00215 stw ra,8(sp) -81109648: 040002c4 movi r16,11 -8110964c: 04400044 movi r17,1 -81109650: 1000683a jmp r2 -81109654: 811097a8 cmpgeui r4,r16,16990 -81109658: 81109770 cmpltui r4,r16,16989 -8110965c: 81109738 rdprs r4,r16,16988 -81109660: 81109700 call 88110970 <__reset+0x20f0970> -81109664: 811096c8 cmpgei r4,r16,16987 -81109668: 81109680 call 88110968 <__reset+0x20f0968> -8110966c: 01c00044 movi r7,1 -81109670: 000d883a mov r6,zero -81109674: 000b883a mov r5,zero -81109678: 0009883a mov r4,zero -8110967c: 114478c0 call 8114478c -81109680: 1103ab80 call 81103ab8 -81109684: 14400226 beq r2,r17,81109690 -81109688: 1103ac80 call 81103ac8 -8110968c: 1440041e bne r2,r17,811096a0 -81109690: 80bfffc4 addi r2,r16,-1 -81109694: 10c03fcc andi r3,r2,255 -81109698: 1021883a mov r16,r2 -8110969c: 183ff31e bne r3,zero,8110966c <__reset+0xfb0e966c> -811096a0: dfc00217 ldw ra,8(sp) -811096a4: dc400117 ldw r17,4(sp) -811096a8: dc000017 ldw r16,0(sp) -811096ac: dec00304 addi sp,sp,12 -811096b0: f800283a ret -811096b4: 01c00044 movi r7,1 -811096b8: 000d883a mov r6,zero -811096bc: 000b883a mov r5,zero -811096c0: 0009883a mov r4,zero -811096c4: 114478c0 call 8114478c -811096c8: 1103a980 call 81103a98 -811096cc: 14400226 beq r2,r17,811096d8 -811096d0: 1103aa80 call 81103aa8 -811096d4: 147ff21e bne r2,r17,811096a0 <__reset+0xfb0e96a0> -811096d8: 80bfffc4 addi r2,r16,-1 -811096dc: 10c03fcc andi r3,r2,255 -811096e0: 1021883a mov r16,r2 -811096e4: 183ff31e bne r3,zero,811096b4 <__reset+0xfb0e96b4> -811096e8: 003fed06 br 811096a0 <__reset+0xfb0e96a0> -811096ec: 01c00044 movi r7,1 -811096f0: 000d883a mov r6,zero -811096f4: 000b883a mov r5,zero -811096f8: 0009883a mov r4,zero -811096fc: 114478c0 call 8114478c -81109700: 1103a780 call 81103a78 -81109704: 14400226 beq r2,r17,81109710 -81109708: 1103a880 call 81103a88 -8110970c: 147fe41e bne r2,r17,811096a0 <__reset+0xfb0e96a0> -81109710: 80bfffc4 addi r2,r16,-1 -81109714: 10c03fcc andi r3,r2,255 -81109718: 1021883a mov r16,r2 -8110971c: 183ff31e bne r3,zero,811096ec <__reset+0xfb0e96ec> -81109720: 003fdf06 br 811096a0 <__reset+0xfb0e96a0> -81109724: 01c00044 movi r7,1 -81109728: 000d883a mov r6,zero -8110972c: 000b883a mov r5,zero -81109730: 0009883a mov r4,zero -81109734: 114478c0 call 8114478c -81109738: 1103a580 call 81103a58 -8110973c: 14400226 beq r2,r17,81109748 -81109740: 1103a680 call 81103a68 -81109744: 147fd61e bne r2,r17,811096a0 <__reset+0xfb0e96a0> -81109748: 80bfffc4 addi r2,r16,-1 -8110974c: 10c03fcc andi r3,r2,255 -81109750: 1021883a mov r16,r2 -81109754: 183ff31e bne r3,zero,81109724 <__reset+0xfb0e9724> -81109758: 003fd106 br 811096a0 <__reset+0xfb0e96a0> -8110975c: 01c00044 movi r7,1 -81109760: 000d883a mov r6,zero -81109764: 000b883a mov r5,zero -81109768: 0009883a mov r4,zero -8110976c: 114478c0 call 8114478c -81109770: 1103a380 call 81103a38 -81109774: 14400226 beq r2,r17,81109780 -81109778: 1103a480 call 81103a48 -8110977c: 147fc81e bne r2,r17,811096a0 <__reset+0xfb0e96a0> -81109780: 80bfffc4 addi r2,r16,-1 -81109784: 10c03fcc andi r3,r2,255 -81109788: 1021883a mov r16,r2 -8110978c: 183ff31e bne r3,zero,8110975c <__reset+0xfb0e975c> -81109790: 003fc306 br 811096a0 <__reset+0xfb0e96a0> -81109794: 01c00044 movi r7,1 -81109798: 000d883a mov r6,zero -8110979c: 000b883a mov r5,zero -811097a0: 0009883a mov r4,zero -811097a4: 114478c0 call 8114478c -811097a8: 1103a180 call 81103a18 -811097ac: 14400226 beq r2,r17,811097b8 -811097b0: 1103a280 call 81103a28 -811097b4: 147fba1e bne r2,r17,811096a0 <__reset+0xfb0e96a0> -811097b8: 80bfffc4 addi r2,r16,-1 -811097bc: 10c03fcc andi r3,r2,255 -811097c0: 1021883a mov r16,r2 -811097c4: 183ff31e bne r3,zero,81109794 <__reset+0xfb0e9794> -811097c8: 003fb506 br 811096a0 <__reset+0xfb0e96a0> - -811097cc : -811097cc: 21003fcc andi r4,r4,255 -811097d0: 008001c4 movi r2,7 -811097d4: 11000736 bltu r2,r4,811097f4 -811097d8: 2109883a add r4,r4,r4 -811097dc: 00a04574 movhi r2,33045 -811097e0: 10a62b04 addi r2,r2,-26452 -811097e4: 2109883a add r4,r4,r4 -811097e8: 1109883a add r4,r2,r4 -811097ec: 20800017 ldw r2,0(r4) -811097f0: f800283a ret -811097f4: 00aaaa94 movui r2,43690 -811097f8: f800283a ret - -811097fc : -811097fc: 21003fcc andi r4,r4,255 -81109800: 008001c4 movi r2,7 -81109804: 11000736 bltu r2,r4,81109824 -81109808: 2109883a add r4,r4,r4 -8110980c: 00a04574 movhi r2,33045 -81109810: 10a62304 addi r2,r2,-26484 -81109814: 2109883a add r4,r4,r4 -81109818: 1109883a add r4,r2,r4 -8110981c: 20800017 ldw r2,0(r4) -81109820: f800283a ret -81109824: 00955544 movi r2,21845 -81109828: f800283a ret - -8110982c : -8110982c: defff704 addi sp,sp,-36 -81109830: 20c00017 ldw r3,0(r4) -81109834: d8800f17 ldw r2,60(sp) -81109838: dcc00415 stw r19,16(sp) -8110983c: dc800315 stw r18,12(sp) -81109840: dcc01117 ldw r19,68(sp) -81109844: dc801217 ldw r18,72(sp) -81109848: dd400615 stw r21,24(sp) -8110984c: dc000115 stw r16,4(sp) -81109850: dfc00815 stw ra,32(sp) -81109854: dd800715 stw r22,28(sp) -81109858: dd000515 stw r20,20(sp) -8110985c: dc400215 stw r17,8(sp) -81109860: 1885883a add r2,r3,r2 -81109864: 20000115 stw zero,4(r4) -81109868: 20800215 stw r2,8(r4) -8110986c: 382b883a mov r21,r7 -81109870: 2021883a mov r16,r4 -81109874: d9c00917 ldw r7,36(sp) -81109878: 94c03a2e bgeu r18,r19,81109964 -8110987c: 9029883a mov r20,r18 -81109880: 29403fcc andi r5,r5,255 -81109884: a8c00043 ldbu r3,1(r21) -81109888: 3c403fcc andi r17,r7,255 -8110988c: a1bfffcc andi r6,r20,65535 -81109890: 2800121e bne r5,zero,811098dc -81109894: d8c00015 stw r3,0(sp) -81109898: 880f883a mov r7,r17 -8110989c: 318d883a add r6,r6,r6 -811098a0: 100b883a mov r5,r2 -811098a4: 0009883a mov r4,zero -811098a8: 1101ed80 call 81101ed8 -811098ac: 00c00044 movi r3,1 -811098b0: 10c02e26 beq r2,r3,8110996c -811098b4: dfc00817 ldw ra,32(sp) -811098b8: dd800717 ldw r22,28(sp) -811098bc: dd400617 ldw r21,24(sp) -811098c0: dd000517 ldw r20,20(sp) -811098c4: dcc00417 ldw r19,16(sp) -811098c8: dc800317 ldw r18,12(sp) -811098cc: dc400217 ldw r17,8(sp) -811098d0: dc000117 ldw r16,4(sp) -811098d4: dec00904 addi sp,sp,36 -811098d8: f800283a ret -811098dc: 05800044 movi r22,1 -811098e0: d8c00015 stw r3,0(sp) -811098e4: 880f883a mov r7,r17 -811098e8: 318d883a add r6,r6,r6 -811098ec: 100b883a mov r5,r2 -811098f0: b009883a mov r4,r22 -811098f4: 1101ed80 call 81101ed8 -811098f8: 15bfee1e bne r2,r22,811098b4 <__reset+0xfb0e98b4> -811098fc: 80800117 ldw r2,4(r16) -81109900: 80c00217 ldw r3,8(r16) -81109904: a1402224 muli r5,r20,136 -81109908: a0a9883a add r20,r20,r2 -8110990c: 85000115 stw r20,4(r16) -81109910: 28cb883a add r5,r5,r3 -81109914: 81400215 stw r5,8(r16) -81109918: a485883a add r2,r20,r18 -8110991c: 14c0272e bgeu r2,r19,811099bc -81109920: a8800043 ldbu r2,1(r21) -81109924: 04c00044 movi r19,1 -81109928: 91bfffcc andi r6,r18,65535 -8110992c: d8800015 stw r2,0(sp) -81109930: 880f883a mov r7,r17 -81109934: 318d883a add r6,r6,r6 -81109938: 9809883a mov r4,r19 -8110993c: 1101ed80 call 81101ed8 -81109940: 14ffdc1e bne r2,r19,811098b4 <__reset+0xfb0e98b4> -81109944: 80c00117 ldw r3,4(r16) -81109948: 81400217 ldw r5,8(r16) -8110994c: 91002224 muli r4,r18,136 -81109950: 1ca5883a add r18,r3,r18 -81109954: 84800115 stw r18,4(r16) -81109958: 2907883a add r3,r5,r4 -8110995c: 80c00215 stw r3,8(r16) -81109960: 003fd406 br 811098b4 <__reset+0xfb0e98b4> -81109964: 9829883a mov r20,r19 -81109968: 003fc506 br 81109880 <__reset+0xfb0e9880> -8110996c: 80800117 ldw r2,4(r16) -81109970: 80c00217 ldw r3,8(r16) -81109974: a1402224 muli r5,r20,136 -81109978: a0a9883a add r20,r20,r2 -8110997c: 85000115 stw r20,4(r16) -81109980: 28cb883a add r5,r5,r3 -81109984: 81400215 stw r5,8(r16) -81109988: a485883a add r2,r20,r18 -8110998c: 14c00136 bltu r2,r19,81109994 -81109990: 9d25c83a sub r18,r19,r20 -81109994: a8800043 ldbu r2,1(r21) -81109998: 91bfffcc andi r6,r18,65535 -8110999c: 880f883a mov r7,r17 -811099a0: d8800015 stw r2,0(sp) -811099a4: 318d883a add r6,r6,r6 -811099a8: 0009883a mov r4,zero -811099ac: 1101ed80 call 81101ed8 -811099b0: 00c00044 movi r3,1 -811099b4: 10ffbf1e bne r2,r3,811098b4 <__reset+0xfb0e98b4> -811099b8: 003fe206 br 81109944 <__reset+0xfb0e9944> -811099bc: 9d25c83a sub r18,r19,r20 -811099c0: 003fd706 br 81109920 <__reset+0xfb0e9920> - -811099c4 : -811099c4: 21003fcc andi r4,r4,255 -811099c8: 2004943a slli r2,r4,16 -811099cc: 29403fcc andi r5,r5,255 -811099d0: 280a923a slli r5,r5,8 -811099d4: defffe04 addi sp,sp,-8 -811099d8: 10840034 orhi r2,r2,4096 -811099dc: d1208b17 ldw r4,-32212(gp) -811099e0: dc000015 stw r16,0(sp) -811099e4: 114ab03a or r5,r2,r5 -811099e8: 34003fcc andi r16,r6,255 -811099ec: 2c0ab03a or r5,r5,r16 -811099f0: dfc00115 stw ra,4(sp) -811099f4: 11430240 call 81143024 -811099f8: 10803fcc andi r2,r2,255 -811099fc: 1000051e bne r2,zero,81109a14 -81109a00: 00800044 movi r2,1 -81109a04: dfc00117 ldw ra,4(sp) -81109a08: dc000017 ldw r16,0(sp) -81109a0c: dec00204 addi sp,sp,8 -81109a10: f800283a ret -81109a14: 8009883a mov r4,r16 -81109a18: 11256440 call 81125644 -81109a1c: 0005883a mov r2,zero -81109a20: dfc00117 ldw ra,4(sp) -81109a24: dc000017 ldw r16,0(sp) -81109a28: dec00204 addi sp,sp,8 -81109a2c: f800283a ret - -81109a30 : -81109a30: 21003fcc andi r4,r4,255 -81109a34: 2006943a slli r3,r4,16 -81109a38: 29403fcc andi r5,r5,255 -81109a3c: 2804923a slli r2,r5,8 -81109a40: 18c04034 orhi r3,r3,256 -81109a44: d1208217 ldw r4,-32248(gp) -81109a48: 31403fcc andi r5,r6,255 -81109a4c: 1884b03a or r2,r3,r2 -81109a50: deffff04 addi sp,sp,-4 -81109a54: 114ab03a or r5,r2,r5 -81109a58: dfc00015 stw ra,0(sp) -81109a5c: 1142f580 call 81142f58 -81109a60: 10803fcc andi r2,r2,255 -81109a64: 1000041e bne r2,zero,81109a78 -81109a68: 00800044 movi r2,1 -81109a6c: dfc00017 ldw ra,0(sp) -81109a70: dec00104 addi sp,sp,4 -81109a74: f800283a ret -81109a78: 11256740 call 81125674 -81109a7c: 0005883a mov r2,zero -81109a80: dfc00017 ldw ra,0(sp) -81109a84: dec00104 addi sp,sp,4 -81109a88: f800283a ret - -81109a8c : -81109a8c: 21003fcc andi r4,r4,255 -81109a90: 2004943a slli r2,r4,16 -81109a94: 29403fcc andi r5,r5,255 -81109a98: 280a923a slli r5,r5,8 -81109a9c: defffe04 addi sp,sp,-8 -81109aa0: 10840034 orhi r2,r2,4096 -81109aa4: d1208b17 ldw r4,-32212(gp) -81109aa8: dc000015 stw r16,0(sp) -81109aac: 114ab03a or r5,r2,r5 -81109ab0: 34003fcc andi r16,r6,255 -81109ab4: 2c0ab03a or r5,r5,r16 -81109ab8: dfc00115 stw ra,4(sp) -81109abc: 1142f580 call 81142f58 -81109ac0: 10803fcc andi r2,r2,255 -81109ac4: 1000051e bne r2,zero,81109adc -81109ac8: 00800044 movi r2,1 -81109acc: dfc00117 ldw ra,4(sp) -81109ad0: dc000017 ldw r16,0(sp) -81109ad4: dec00204 addi sp,sp,8 -81109ad8: f800283a ret -81109adc: 8009883a mov r4,r16 -81109ae0: 11256440 call 81125644 -81109ae4: 0005883a mov r2,zero -81109ae8: dfc00117 ldw ra,4(sp) -81109aec: dc000017 ldw r16,0(sp) -81109af0: dec00204 addi sp,sp,8 -81109af4: f800283a ret - -81109af8 : -81109af8: 21800003 ldbu r6,0(r4) -81109afc: 2806d63a srli r3,r5,24 -81109b00: 30800444 addi r2,r6,17 -81109b04: 18800a26 beq r3,r2,81109b30 -81109b08: 00a04634 movhi r2,33048 -81109b0c: 108f0804 addi r2,r2,15392 -81109b10: 10800803 ldbu r2,32(r2) -81109b14: 00c001c4 movi r3,7 -81109b18: 1880012e bgeu r3,r2,81109b20 -81109b1c: f800283a ret -81109b20: d1207917 ldw r4,-32284(gp) -81109b24: 01604574 movhi r5,33045 -81109b28: 2962c304 addi r5,r5,-29940 -81109b2c: 112b5e01 jmpi 8112b5e0 -81109b30: 280ed43a srli r7,r5,16 -81109b34: 00802844 movi r2,161 -81109b38: 39c03fcc andi r7,r7,255 -81109b3c: 38807726 beq r7,r2,81109d1c -81109b40: 11c01236 bltu r2,r7,81109b8c -81109b44: 00800304 movi r2,12 -81109b48: 11c01f36 bltu r2,r7,81109bc8 -81109b4c: 00800144 movi r2,5 -81109b50: 38808a2e bgeu r7,r2,81109d7c -81109b54: 00800044 movi r2,1 -81109b58: 38807026 beq r7,r2,81109d1c -81109b5c: 38007e26 beq r7,zero,81109d58 -81109b60: 008000c4 movi r2,3 -81109b64: 11c07c36 bltu r2,r7,81109d58 -81109b68: 00c00304 movi r3,12 -81109b6c: 00800044 movi r2,1 -81109b70: 20c03315 stw r3,204(r4) -81109b74: 00c00344 movi r3,13 -81109b78: 20002b15 stw zero,172(r4) -81109b7c: 20803415 stw r2,208(r4) -81109b80: 20c03515 stw r3,212(r4) -81109b84: 20803115 stw r2,196(r4) -81109b88: f800283a ret -81109b8c: 00803884 movi r2,226 -81109b90: 11c06b2e bgeu r2,r7,81109d40 -81109b94: 00803a04 movi r2,232 -81109b98: 38801b26 beq r7,r2,81109c08 -81109b9c: 00803c04 movi r2,240 -81109ba0: 38807f1e bne r7,r2,81109da0 -81109ba4: 00a04634 movhi r2,33048 -81109ba8: 108f0804 addi r2,r2,15392 -81109bac: 10800803 ldbu r2,32(r2) -81109bb0: 00c00104 movi r3,4 -81109bb4: 18bfd936 bltu r3,r2,81109b1c <__reset+0xfb0e9b1c> -81109bb8: d1207917 ldw r4,-32284(gp) -81109bbc: 01604574 movhi r5,33045 -81109bc0: 29622104 addi r5,r5,-30588 -81109bc4: 112b5e01 jmpi 8112b5e0 -81109bc8: 00801d84 movi r2,118 -81109bcc: 38800726 beq r7,r2,81109bec -81109bd0: 008023c4 movi r2,143 -81109bd4: 3880601e bne r7,r2,81109d58 -81109bd8: 280ad23a srli r5,r5,8 -81109bdc: 20002615 stw zero,152(r4) -81109be0: 01002044 movi r4,129 -81109be4: 29403fcc andi r5,r5,255 -81109be8: 1109a8c1 jmpi 81109a8c -81109bec: 29403fcc andi r5,r5,255 -81109bf0: 28006e26 beq r5,zero,81109dac -81109bf4: 00800044 movi r2,1 -81109bf8: 28806e26 beq r5,r2,81109db4 -81109bfc: 00800084 movi r2,2 -81109c00: 20804915 stw r2,292(r4) -81109c04: f800283a ret -81109c08: 20803517 ldw r2,212(r4) -81109c0c: 20c03417 ldw r3,208(r4) -81109c10: 10ffc226 beq r2,r3,81109b1c <__reset+0xfb0e9b1c> -81109c14: 20803115 stw r2,196(r4) -81109c18: 00c00344 movi r3,13 -81109c1c: 10ffbf1e bne r2,r3,81109b1c <__reset+0xfb0e9b1c> -81109c20: defffb04 addi sp,sp,-20 -81109c24: dc400115 stw r17,4(sp) -81109c28: 2440b304 addi r17,r4,716 -81109c2c: dc000015 stw r16,0(sp) -81109c30: 2021883a mov r16,r4 -81109c34: 8809883a mov r4,r17 -81109c38: dfc00415 stw ra,16(sp) -81109c3c: dcc00315 stw r19,12(sp) -81109c40: dc800215 stw r18,8(sp) -81109c44: 11023980 call 81102398 -81109c48: 00800044 movi r2,1 -81109c4c: 8080c315 stw r2,780(r16) -81109c50: 8809883a mov r4,r17 -81109c54: 11023600 call 81102360 -81109c58: 80812217 ldw r2,1160(r16) -81109c5c: 10000a1e bne r2,zero,81109c88 -81109c60: 80812317 ldw r2,1164(r16) -81109c64: 1000081e bne r2,zero,81109c88 -81109c68: 80812617 ldw r2,1176(r16) -81109c6c: 1000061e bne r2,zero,81109c88 -81109c70: 80812417 ldw r2,1168(r16) -81109c74: 1000041e bne r2,zero,81109c88 -81109c78: 80812717 ldw r2,1180(r16) -81109c7c: 1000021e bne r2,zero,81109c88 -81109c80: 80812517 ldw r2,1172(r16) -81109c84: 10001526 beq r2,zero,81109cdc -81109c88: 84812217 ldw r18,1160(r16) -81109c8c: 00800044 movi r2,1 -81109c90: 9080d426 beq r18,r2,81109fe4 -81109c94: 84812317 ldw r18,1164(r16) -81109c98: 00800044 movi r2,1 -81109c9c: 9080c726 beq r18,r2,81109fbc -81109ca0: 84c12617 ldw r19,1176(r16) -81109ca4: 00800044 movi r2,1 -81109ca8: 9880ac26 beq r19,r2,81109f5c -81109cac: 80c12717 ldw r3,1180(r16) -81109cb0: 00800044 movi r2,1 -81109cb4: 18809e26 beq r3,r2,81109f30 -81109cb8: 80c12417 ldw r3,1168(r16) -81109cbc: 00800044 movi r2,1 -81109cc0: 18807026 beq r3,r2,81109e84 -81109cc4: 80c12517 ldw r3,1172(r16) -81109cc8: 00800044 movi r2,1 -81109ccc: 1880031e bne r3,r2,81109cdc -81109cd0: 80012515 stw zero,1172(r16) -81109cd4: 80813317 ldw r2,1228(r16) -81109cd8: 80800c15 stw r2,48(r16) -81109cdc: 84842d17 ldw r18,4276(r16) -81109ce0: 00800044 movi r2,1 -81109ce4: 90804426 beq r18,r2,81109df8 -81109ce8: 84842e17 ldw r18,4280(r16) -81109cec: 00800044 movi r2,1 -81109cf0: 90803226 beq r18,r2,81109dbc -81109cf4: 80c1ab17 ldw r3,1708(r16) -81109cf8: 00800044 movi r2,1 -81109cfc: 18805226 beq r3,r2,81109e48 -81109d00: dfc00417 ldw ra,16(sp) -81109d04: dcc00317 ldw r19,12(sp) -81109d08: dc800217 ldw r18,8(sp) -81109d0c: dc400117 ldw r17,4(sp) -81109d10: dc000017 ldw r16,0(sp) -81109d14: dec00504 addi sp,sp,20 -81109d18: 003f8006 br 81109b1c <__reset+0xfb0e9b1c> -81109d1c: 00a04634 movhi r2,33048 -81109d20: 108f0804 addi r2,r2,15392 -81109d24: 10800803 ldbu r2,32(r2) -81109d28: 00c00104 movi r3,4 -81109d2c: 18bf7b36 bltu r3,r2,81109b1c <__reset+0xfb0e9b1c> -81109d30: d1207917 ldw r4,-32284(gp) -81109d34: 01604574 movhi r5,33045 -81109d38: 29621404 addi r5,r5,-30640 -81109d3c: 112b5e01 jmpi 8112b5e0 -81109d40: 00803804 movi r2,224 -81109d44: 38bf752e bgeu r7,r2,81109b1c <__reset+0xfb0e9b1c> -81109d48: 00802884 movi r2,162 -81109d4c: 38bf8626 beq r7,r2,81109b68 <__reset+0xfb0e9b68> -81109d50: 008028c4 movi r2,163 -81109d54: 38bf7126 beq r7,r2,81109b1c <__reset+0xfb0e9b1c> -81109d58: 00a04634 movhi r2,33048 -81109d5c: 108f0804 addi r2,r2,15392 -81109d60: 10800803 ldbu r2,32(r2) -81109d64: 00c001c4 movi r3,7 -81109d68: 18bf6c36 bltu r3,r2,81109b1c <__reset+0xfb0e9b1c> -81109d6c: d1207917 ldw r4,-32284(gp) -81109d70: 01604574 movhi r5,33045 -81109d74: 2962b104 addi r5,r5,-30012 -81109d78: 112b5e01 jmpi 8112b5e0 -81109d7c: 00a04634 movhi r2,33048 -81109d80: 108f0804 addi r2,r2,15392 -81109d84: 10800803 ldbu r2,32(r2) -81109d88: 00c001c4 movi r3,7 -81109d8c: 18bf6336 bltu r3,r2,81109b1c <__reset+0xfb0e9b1c> -81109d90: d1207917 ldw r4,-32284(gp) -81109d94: 01604574 movhi r5,33045 -81109d98: 29629e04 addi r5,r5,-30088 -81109d9c: 112b5e01 jmpi 8112b5e0 -81109da0: 00803904 movi r2,228 -81109da4: 38bfec1e bne r7,r2,81109d58 <__reset+0xfb0e9d58> -81109da8: f800283a ret -81109dac: 20004915 stw zero,292(r4) -81109db0: f800283a ret -81109db4: 21404915 stw r5,292(r4) -81109db8: f800283a ret -81109dbc: 8809883a mov r4,r17 -81109dc0: 11027800 call 81102780 -81109dc4: 8140fe17 ldw r5,1016(r16) -81109dc8: 2c80a326 beq r5,r18,8110a058 -81109dcc: 01400044 movi r5,1 -81109dd0: 8809883a mov r4,r17 -81109dd4: 1102a080 call 81102a08 -81109dd8: 10003426 beq r2,zero,81109eac -81109ddc: 00a04634 movhi r2,33048 -81109de0: 108f0804 addi r2,r2,15392 -81109de4: 10800803 ldbu r2,32(r2) -81109de8: 00c001c4 movi r3,7 -81109dec: 18809f2e bgeu r3,r2,8110a06c -81109df0: 80042e15 stw zero,4280(r16) -81109df4: 003fbf06 br 81109cf4 <__reset+0xfb0e9cf4> -81109df8: 8809883a mov r4,r17 -81109dfc: 11027100 call 81102710 -81109e00: 8080ef17 ldw r2,956(r16) -81109e04: 14809026 beq r2,r18,8110a048 -81109e08: 000b883a mov r5,zero -81109e0c: 8809883a mov r4,r17 -81109e10: 1102a080 call 81102a08 -81109e14: 10003b26 beq r2,zero,81109f04 -81109e18: 00a04634 movhi r2,33048 -81109e1c: 108f0804 addi r2,r2,15392 -81109e20: 10800803 ldbu r2,32(r2) -81109e24: 00c001c4 movi r3,7 -81109e28: 18800536 bltu r3,r2,81109e40 -81109e2c: 81800003 ldbu r6,0(r16) -81109e30: d1207917 ldw r4,-32284(gp) -81109e34: 01604574 movhi r5,33045 -81109e38: 29623204 addi r5,r5,-30520 -81109e3c: 112b5e00 call 8112b5e0 -81109e40: 80042d15 stw zero,4276(r16) -81109e44: 003fa806 br 81109ce8 <__reset+0xfb0e9ce8> -81109e48: 8809883a mov r4,r17 -81109e4c: 1102d340 call 81102d34 -81109e50: 10002126 beq r2,zero,81109ed8 -81109e54: 00a04634 movhi r2,33048 -81109e58: 108f0804 addi r2,r2,15392 -81109e5c: 10800803 ldbu r2,32(r2) -81109e60: 00c001c4 movi r3,7 -81109e64: 18800536 bltu r3,r2,81109e7c -81109e68: 81800003 ldbu r6,0(r16) -81109e6c: d1207917 ldw r4,-32284(gp) -81109e70: 01604574 movhi r5,33045 -81109e74: 29627e04 addi r5,r5,-30216 -81109e78: 112b5e00 call 8112b5e0 -81109e7c: 8001ab15 stw zero,1708(r16) -81109e80: 003f9f06 br 81109d00 <__reset+0xfb0e9d00> -81109e84: 80012415 stw zero,1168(r16) -81109e88: 81415543 ldbu r5,1365(r16) -81109e8c: 81015583 ldbu r4,1366(r16) -81109e90: 80c155c3 ldbu r3,1367(r16) -81109e94: 80815603 ldbu r2,1368(r16) -81109e98: 81402e45 stb r5,185(r16) -81109e9c: 81002e85 stb r4,186(r16) -81109ea0: 80c02ec5 stb r3,187(r16) -81109ea4: 80802f05 stb r2,188(r16) -81109ea8: 003f8606 br 81109cc4 <__reset+0xfb0e9cc4> -81109eac: 00a04634 movhi r2,33048 -81109eb0: 108f0804 addi r2,r2,15392 -81109eb4: 10800803 ldbu r2,32(r2) -81109eb8: 00c001c4 movi r3,7 -81109ebc: 18bfcc36 bltu r3,r2,81109df0 <__reset+0xfb0e9df0> -81109ec0: 81800003 ldbu r6,0(r16) -81109ec4: d1207917 ldw r4,-32284(gp) -81109ec8: 01604574 movhi r5,33045 -81109ecc: 29626a04 addi r5,r5,-30296 -81109ed0: 112b5e00 call 8112b5e0 -81109ed4: 003fc606 br 81109df0 <__reset+0xfb0e9df0> -81109ed8: 00a04634 movhi r2,33048 -81109edc: 108f0804 addi r2,r2,15392 -81109ee0: 10800803 ldbu r2,32(r2) -81109ee4: 00c001c4 movi r3,7 -81109ee8: 18bfe436 bltu r3,r2,81109e7c <__reset+0xfb0e9e7c> -81109eec: 81800003 ldbu r6,0(r16) -81109ef0: d1207917 ldw r4,-32284(gp) -81109ef4: 01604574 movhi r5,33045 -81109ef8: 29628d04 addi r5,r5,-30156 -81109efc: 112b5e00 call 8112b5e0 -81109f00: 003fde06 br 81109e7c <__reset+0xfb0e9e7c> -81109f04: 00a04634 movhi r2,33048 -81109f08: 108f0804 addi r2,r2,15392 -81109f0c: 10800803 ldbu r2,32(r2) -81109f10: 00c001c4 movi r3,7 -81109f14: 18bfca36 bltu r3,r2,81109e40 <__reset+0xfb0e9e40> -81109f18: 81800003 ldbu r6,0(r16) -81109f1c: d1207917 ldw r4,-32284(gp) -81109f20: 01604574 movhi r5,33045 -81109f24: 29624404 addi r5,r5,-30448 -81109f28: 112b5e00 call 8112b5e0 -81109f2c: 003fc406 br 81109e40 <__reset+0xfb0e9e40> -81109f30: 84806404 addi r18,r16,400 -81109f34: 9009883a mov r4,r18 -81109f38: 80012715 stw zero,1180(r16) -81109f3c: 1103bd00 call 81103bd0 -81109f40: 80c17217 ldw r3,1480(r16) -81109f44: 80817317 ldw r2,1484(r16) -81109f48: 9009883a mov r4,r18 -81109f4c: 80c06b15 stw r3,428(r16) -81109f50: 80806c15 stw r2,432(r16) -81109f54: 1103c380 call 81103c38 -81109f58: 003f5706 br 81109cb8 <__reset+0xfb0e9cb8> -81109f5c: 8809883a mov r4,r17 -81109f60: 80012615 stw zero,1176(r16) -81109f64: 11023980 call 81102398 -81109f68: 8081710b ldhu r2,1476(r16) -81109f6c: 8809883a mov r4,r17 -81109f70: 84806404 addi r18,r16,400 -81109f74: 10bfffcc andi r2,r2,65535 -81109f78: 8080c015 stw r2,768(r16) -81109f7c: 11023600 call 81102360 -81109f80: 81c1710b ldhu r7,1476(r16) -81109f84: 018006f4 movhi r6,27 -81109f88: 9009883a mov r4,r18 -81109f8c: 31b85204 addi r6,r6,-7864 -81109f90: 000b883a mov r5,zero -81109f94: 39ffffcc andi r7,r7,65535 -81109f98: 1103d640 call 81103d64 -81109f9c: 81c1710b ldhu r7,1476(r16) -81109fa0: 018006f4 movhi r6,27 -81109fa4: 31b85204 addi r6,r6,-7864 -81109fa8: 980b883a mov r5,r19 -81109fac: 39ffffcc andi r7,r7,65535 -81109fb0: 9009883a mov r4,r18 -81109fb4: 1103d640 call 81103d64 -81109fb8: 003f3c06 br 81109cac <__reset+0xfb0e9cac> -81109fbc: 8809883a mov r4,r17 -81109fc0: 11023980 call 81102398 -81109fc4: 80012315 stw zero,1164(r16) -81109fc8: 80817417 ldw r2,1488(r16) -81109fcc: 14802526 beq r2,r18,8110a064 -81109fd0: 80813017 ldw r2,1216(r16) -81109fd4: 8080b815 stw r2,736(r16) -81109fd8: 8809883a mov r4,r17 -81109fdc: 11023600 call 81102360 -81109fe0: 003f2f06 br 81109ca0 <__reset+0xfb0e9ca0> -81109fe4: 80012215 stw zero,1160(r16) -81109fe8: 80c13017 ldw r3,1216(r16) -81109fec: 80813117 ldw r2,1220(r16) +81107e1c: 11279a40 call 811279a4 <__fixunsdfsi> +81107e20: dfc00017 ldw ra,0(sp) +81107e24: dec00104 addi sp,sp,4 +81107e28: f800283a ret + +81107e2c : +81107e2c: deffff04 addi sp,sp,-4 +81107e30: dfc00015 stw ra,0(sp) +81107e34: 112aed00 call 8112aed0 <__floatunsidf> +81107e38: 01a238f4 movhi r6,35043 +81107e3c: 01cfbd74 movhi r7,16117 +81107e40: 319a3c44 addi r6,r6,26865 +81107e44: 39fe2d44 addi r7,r7,-1867 +81107e48: 1009883a mov r4,r2 +81107e4c: 180b883a mov r5,r3 +81107e50: 1129d600 call 81129d60 <__muldf3> +81107e54: 1009883a mov r4,r2 +81107e58: 180b883a mov r5,r3 +81107e5c: 11279a40 call 811279a4 <__fixunsdfsi> +81107e60: dfc00017 ldw ra,0(sp) +81107e64: dec00104 addi sp,sp,4 +81107e68: f800283a ret + +81107e6c : +81107e6c: 2008d43a srli r4,r4,16 +81107e70: defffd04 addi sp,sp,-12 +81107e74: dc000015 stw r16,0(sp) +81107e78: dfc00215 stw ra,8(sp) +81107e7c: dc400115 stw r17,4(sp) +81107e80: 21003fcc andi r4,r4,255 +81107e84: 00802c84 movi r2,178 +81107e88: 2821883a mov r16,r5 +81107e8c: 1100282e bgeu r2,r4,81107f30 +81107e90: 00803804 movi r2,224 +81107e94: 20804e26 beq r4,r2,81107fd0 +81107e98: 11003836 bltu r2,r4,81107f7c +81107e9c: 00802d04 movi r2,180 +81107ea0: 20801c26 beq r4,r2,81107f14 +81107ea4: 00803044 movi r2,193 +81107ea8: 20803a1e bne r4,r2,81107f94 +81107eac: 1123ce40 call 81123ce4 +81107eb0: 01604574 movhi r5,33045 +81107eb4: 297336c4 addi r5,r5,-13093 +81107eb8: 0009883a mov r4,zero +81107ebc: 111e1e00 call 8111e1e0 +81107ec0: 00a04634 movhi r2,33048 +81107ec4: 108f1904 addi r2,r2,15460 +81107ec8: 10800803 ldbu r2,32(r2) +81107ecc: 00c001c4 movi r3,7 +81107ed0: 18800c36 bltu r3,r2,81107f04 +81107ed4: d1e07917 ldw r7,-32284(gp) +81107ed8: 01204574 movhi r4,33045 +81107edc: 21213e04 addi r4,r4,-31496 +81107ee0: 01800f04 movi r6,60 +81107ee4: 01400044 movi r5,1 +81107ee8: 112bc8c0 call 8112bc8c +81107eec: d1e07917 ldw r7,-32284(gp) +81107ef0: 01204574 movhi r4,33045 +81107ef4: 21214e04 addi r4,r4,-31432 +81107ef8: 01801584 movi r6,86 +81107efc: 01400044 movi r5,1 +81107f00: 112bc8c0 call 8112bc8c +81107f04: 11060000 call 81106000 +81107f08: 1105fcc0 call 81105fcc +81107f0c: 1105ff00 call 81105ff0 +81107f10: 1105fdc0 call 81105fdc +81107f14: 008001c4 movi r2,7 +81107f18: 80801d15 stw r2,116(r16) +81107f1c: dfc00217 ldw ra,8(sp) +81107f20: dc400117 ldw r17,4(sp) +81107f24: dc000017 ldw r16,0(sp) +81107f28: dec00304 addi sp,sp,12 +81107f2c: f800283a ret +81107f30: 00802c44 movi r2,177 +81107f34: 2080652e bgeu r4,r2,811080cc +81107f38: 00800084 movi r2,2 +81107f3c: 20800526 beq r4,r2,81107f54 +81107f40: 11003a2e bgeu r2,r4,8110802c +81107f44: 00802844 movi r2,161 +81107f48: 20803a26 beq r4,r2,81108034 +81107f4c: 00802884 movi r2,162 +81107f50: 2080101e bne r4,r2,81107f94 +81107f54: 00a04634 movhi r2,33048 +81107f58: 108f1904 addi r2,r2,15460 +81107f5c: 10800803 ldbu r2,32(r2) +81107f60: 00c00104 movi r3,4 +81107f64: 18bfed36 bltu r3,r2,81107f1c <__reset+0xfb0e7f1c> +81107f68: 01204574 movhi r4,33045 +81107f6c: d1e07917 ldw r7,-32284(gp) +81107f70: 2120e004 addi r4,r4,-31872 +81107f74: 01800d84 movi r6,54 +81107f78: 00000f06 br 81107fb8 +81107f7c: 00803904 movi r2,228 +81107f80: 20803026 beq r4,r2,81108044 +81107f84: 00803a04 movi r2,232 +81107f88: 20802e26 beq r4,r2,81108044 +81107f8c: 00803884 movi r2,226 +81107f90: 20bfe226 beq r4,r2,81107f1c <__reset+0xfb0e7f1c> +81107f94: 00a04634 movhi r2,33048 +81107f98: 108f1904 addi r2,r2,15460 +81107f9c: 10800803 ldbu r2,32(r2) +81107fa0: 00c001c4 movi r3,7 +81107fa4: 18bfdd36 bltu r3,r2,81107f1c <__reset+0xfb0e7f1c> +81107fa8: d1e07917 ldw r7,-32284(gp) +81107fac: 01204574 movhi r4,33045 +81107fb0: 21216404 addi r4,r4,-31344 +81107fb4: 018009c4 movi r6,39 +81107fb8: 01400044 movi r5,1 +81107fbc: dfc00217 ldw ra,8(sp) +81107fc0: dc400117 ldw r17,4(sp) +81107fc4: dc000017 ldw r16,0(sp) +81107fc8: dec00304 addi sp,sp,12 +81107fcc: 112bc8c1 jmpi 8112bc8c +81107fd0: 1123cb40 call 81123cb4 +81107fd4: 01604574 movhi r5,33045 +81107fd8: 29733cc4 addi r5,r5,-13069 +81107fdc: 0009883a mov r4,zero +81107fe0: 111e1e00 call 8111e1e0 +81107fe4: 00a04634 movhi r2,33048 +81107fe8: 108f1904 addi r2,r2,15460 +81107fec: 10800803 ldbu r2,32(r2) +81107ff0: 00c00104 movi r3,4 +81107ff4: 18804b2e bgeu r3,r2,81108124 +81107ff8: 11060000 call 81106000 +81107ffc: 1105fcc0 call 81105fcc +81108000: 1105ff00 call 81105ff0 +81108004: 1105fdc0 call 81105fdc +81108008: 80993b17 ldw r2,25836(r16) +8110800c: 1000391e bne r2,zero,811080f4 +81108010: 80d93a17 ldw r3,25832(r16) +81108014: 00800044 movi r2,1 +81108018: 18803e26 beq r3,r2,81108114 +8110801c: 8099390b ldhu r2,25828(r16) +81108020: 10800044 addi r2,r2,1 +81108024: 8099390d sth r2,25828(r16) +81108028: 00003306 br 811080f8 +8110802c: 00800044 movi r2,1 +81108030: 20bfd81e bne r4,r2,81107f94 <__reset+0xfb0e7f94> +81108034: 11060000 call 81106000 +81108038: 008000c4 movi r2,3 +8110803c: 80801c15 stw r2,112(r16) +81108040: 003fb606 br 81107f1c <__reset+0xfb0e7f1c> +81108044: 00a04634 movhi r2,33048 +81108048: 108ef404 addi r2,r2,15312 +8110804c: 14400217 ldw r17,8(r2) +81108050: 00800044 movi r2,1 +81108054: 88bfb11e bne r17,r2,81107f1c <__reset+0xfb0e7f1c> +81108058: 1123cb40 call 81123cb4 +8110805c: 01604574 movhi r5,33045 +81108060: 29733cc4 addi r5,r5,-13069 +81108064: 0009883a mov r4,zero +81108068: 111e1e00 call 8111e1e0 +8110806c: 00a04634 movhi r2,33048 +81108070: 108f1904 addi r2,r2,15460 +81108074: 10800803 ldbu r2,32(r2) +81108078: 00c00104 movi r3,4 +8110807c: 18800c36 bltu r3,r2,811080b0 +81108080: d1e07917 ldw r7,-32284(gp) +81108084: 01204574 movhi r4,33045 +81108088: 2120ee04 addi r4,r4,-31816 +8110808c: 01801044 movi r6,65 +81108090: 880b883a mov r5,r17 +81108094: 112bc8c0 call 8112bc8c +81108098: d1e07917 ldw r7,-32284(gp) +8110809c: 01204574 movhi r4,33045 +811080a0: 2120ff04 addi r4,r4,-31748 +811080a4: 01801744 movi r6,93 +811080a8: 880b883a mov r5,r17 +811080ac: 112bc8c0 call 8112bc8c +811080b0: 11060000 call 81106000 +811080b4: 1105fcc0 call 81105fcc +811080b8: 1105ff00 call 81105ff0 +811080bc: 1105fdc0 call 81105fdc +811080c0: 00800044 movi r2,1 +811080c4: 80801d15 stw r2,116(r16) +811080c8: 003f9406 br 81107f1c <__reset+0xfb0e7f1c> +811080cc: 00a04634 movhi r2,33048 +811080d0: 108f1904 addi r2,r2,15460 +811080d4: 10800803 ldbu r2,32(r2) +811080d8: 00c001c4 movi r3,7 +811080dc: 18bf8f36 bltu r3,r2,81107f1c <__reset+0xfb0e7f1c> +811080e0: 01204574 movhi r4,33045 +811080e4: d1e07917 ldw r7,-32284(gp) +811080e8: 21212904 addi r4,r4,-31580 +811080ec: 01801404 movi r6,80 +811080f0: 003fb106 br 81107fb8 <__reset+0xfb0e7fb8> +811080f4: 80193b15 stw zero,25836(r16) +811080f8: 00e04634 movhi r3,33048 +811080fc: 18cef404 addi r3,r3,15312 +81108100: 00800084 movi r2,2 +81108104: 18000315 stw zero,12(r3) +81108108: 80801c15 stw r2,112(r16) +8110810c: 80801d15 stw r2,116(r16) +81108110: 003f8206 br 81107f1c <__reset+0xfb0e7f1c> +81108114: 8099398b ldhu r2,25830(r16) +81108118: 80193a15 stw zero,25832(r16) +8110811c: 8099390d sth r2,25828(r16) +81108120: 003ff506 br 811080f8 <__reset+0xfb0e80f8> +81108124: d1e07917 ldw r7,-32284(gp) +81108128: 01204574 movhi r4,33045 +8110812c: 21211704 addi r4,r4,-31652 +81108130: 018011c4 movi r6,71 +81108134: 01400044 movi r5,1 +81108138: 112bc8c0 call 8112bc8c +8110813c: d1e07917 ldw r7,-32284(gp) +81108140: 01204574 movhi r4,33045 +81108144: 2120ff04 addi r4,r4,-31748 +81108148: 01801744 movi r6,93 +8110814c: 01400044 movi r5,1 +81108150: 112bc8c0 call 8112bc8c +81108154: 003fa806 br 81107ff8 <__reset+0xfb0e7ff8> + +81108158 : +81108158: 2008d43a srli r4,r4,16 +8110815c: 00803804 movi r2,224 +81108160: 21003fcc andi r4,r4,255 +81108164: 20802426 beq r4,r2,811081f8 +81108168: 11001d36 bltu r2,r4,811081e0 +8110816c: 00800084 movi r2,2 +81108170: 20801526 beq r4,r2,811081c8 +81108174: 11000f2e bgeu r2,r4,811081b4 +81108178: 00802844 movi r2,161 +8110817c: 20800f26 beq r4,r2,811081bc +81108180: 00802884 movi r2,162 +81108184: 20801026 beq r4,r2,811081c8 +81108188: 00a04634 movhi r2,33048 +8110818c: 108f1904 addi r2,r2,15460 +81108190: 10800803 ldbu r2,32(r2) +81108194: 00c001c4 movi r3,7 +81108198: 18801036 bltu r3,r2,811081dc +8110819c: d1e07917 ldw r7,-32284(gp) +811081a0: 01204574 movhi r4,33045 +811081a4: 21216404 addi r4,r4,-31344 +811081a8: 018009c4 movi r6,39 +811081ac: 01400044 movi r5,1 +811081b0: 112bc8c1 jmpi 8112bc8c +811081b4: 00800044 movi r2,1 +811081b8: 20bff31e bne r4,r2,81108188 <__reset+0xfb0e8188> +811081bc: 008000c4 movi r2,3 +811081c0: 28801c15 stw r2,112(r5) +811081c4: f800283a ret +811081c8: 00a04634 movhi r2,33048 +811081cc: 108f1904 addi r2,r2,15460 +811081d0: 10800803 ldbu r2,32(r2) +811081d4: 00c00104 movi r3,4 +811081d8: 18801a2e bgeu r3,r2,81108244 +811081dc: f800283a ret +811081e0: 00803904 movi r2,228 +811081e4: 20bffd26 beq r4,r2,811081dc <__reset+0xfb0e81dc> +811081e8: 1100112e bgeu r2,r4,81108230 +811081ec: 00803a04 movi r2,232 +811081f0: 20bffa26 beq r4,r2,811081dc <__reset+0xfb0e81dc> +811081f4: 003fe406 br 81108188 <__reset+0xfb0e8188> +811081f8: 28993b17 ldw r2,25836(r5) +811081fc: 10000f1e bne r2,zero,8110823c +81108200: 28d93a17 ldw r3,25832(r5) +81108204: 00800044 movi r2,1 +81108208: 18801426 beq r3,r2,8110825c +8110820c: 2899390b ldhu r2,25828(r5) +81108210: 10800044 addi r2,r2,1 +81108214: 2899390d sth r2,25828(r5) +81108218: 00a04634 movhi r2,33048 +8110821c: 108ef404 addi r2,r2,15312 +81108220: 10000315 stw zero,12(r2) +81108224: 00800084 movi r2,2 +81108228: 28801d15 stw r2,116(r5) +8110822c: f800283a ret +81108230: 00803884 movi r2,226 +81108234: 113fe92e bgeu r2,r4,811081dc <__reset+0xfb0e81dc> +81108238: 003fd306 br 81108188 <__reset+0xfb0e8188> +8110823c: 28193b15 stw zero,25836(r5) +81108240: 003ff506 br 81108218 <__reset+0xfb0e8218> +81108244: d1e07917 ldw r7,-32284(gp) +81108248: 01204574 movhi r4,33045 +8110824c: 2120e004 addi r4,r4,-31872 +81108250: 01800d84 movi r6,54 +81108254: 01400044 movi r5,1 +81108258: 112bc8c1 jmpi 8112bc8c +8110825c: 2899398b ldhu r2,25830(r5) +81108260: 28193a15 stw zero,25832(r5) +81108264: 2899390d sth r2,25828(r5) +81108268: 003feb06 br 81108218 <__reset+0xfb0e8218> + +8110826c : +8110826c: 2008d43a srli r4,r4,16 +81108270: 00802844 movi r2,161 +81108274: 21003fcc andi r4,r4,255 +81108278: 20801f26 beq r4,r2,811082f8 +8110827c: 1100172e bgeu r2,r4,811082dc +81108280: 00803804 movi r2,224 +81108284: 20800a26 beq r4,r2,811082b0 +81108288: 00803884 movi r2,226 +8110828c: 20800726 beq r4,r2,811082ac +81108290: 00802884 movi r2,162 +81108294: 20801526 beq r4,r2,811082ec +81108298: 00a04634 movhi r2,33048 +8110829c: 108f1904 addi r2,r2,15460 +811082a0: 10800803 ldbu r2,32(r2) +811082a4: 00c001c4 movi r3,7 +811082a8: 18801e2e bgeu r3,r2,81108324 +811082ac: f800283a ret +811082b0: 00a04634 movhi r2,33048 +811082b4: 108f1904 addi r2,r2,15460 +811082b8: 10800803 ldbu r2,32(r2) +811082bc: 00c001c4 movi r3,7 +811082c0: 18bffa36 bltu r3,r2,811082ac <__reset+0xfb0e82ac> +811082c4: d1e07917 ldw r7,-32284(gp) +811082c8: 01204574 movhi r4,33045 +811082cc: 21217c04 addi r4,r4,-31248 +811082d0: 01801004 movi r6,64 +811082d4: 01400044 movi r5,1 +811082d8: 112bc8c1 jmpi 8112bc8c +811082dc: 00800044 movi r2,1 +811082e0: 20800526 beq r4,r2,811082f8 +811082e4: 00800084 movi r2,2 +811082e8: 20bfeb1e bne r4,r2,81108298 <__reset+0xfb0e8298> +811082ec: 00800104 movi r2,4 +811082f0: 28801c15 stw r2,112(r5) +811082f4: f800283a ret +811082f8: 00a04634 movhi r2,33048 +811082fc: 108f1904 addi r2,r2,15460 +81108300: 10800803 ldbu r2,32(r2) +81108304: 00c00104 movi r3,4 +81108308: 18bfe836 bltu r3,r2,811082ac <__reset+0xfb0e82ac> +8110830c: d1e07917 ldw r7,-32284(gp) +81108310: 01204574 movhi r4,33045 +81108314: 21216e04 addi r4,r4,-31304 +81108318: 01800d44 movi r6,53 +8110831c: 01400044 movi r5,1 +81108320: 112bc8c1 jmpi 8112bc8c +81108324: d1e07917 ldw r7,-32284(gp) +81108328: 01204574 movhi r4,33045 +8110832c: 21216404 addi r4,r4,-31344 +81108330: 018009c4 movi r6,39 +81108334: 01400044 movi r5,1 +81108338: 112bc8c1 jmpi 8112bc8c + +8110833c : +8110833c: 21003fcc andi r4,r4,255 +81108340: 2006943a slli r3,r4,16 +81108344: 29403fcc andi r5,r5,255 +81108348: 2804923a slli r2,r5,8 +8110834c: 18c04034 orhi r3,r3,256 +81108350: d1208217 ldw r4,-32248(gp) +81108354: 31403fcc andi r5,r6,255 +81108358: 1884b03a or r2,r3,r2 +8110835c: deffff04 addi sp,sp,-4 +81108360: 114ab03a or r5,r2,r5 +81108364: dfc00015 stw ra,0(sp) +81108368: 1142f980 call 81142f98 +8110836c: 10803fcc andi r2,r2,255 +81108370: 1000041e bne r2,zero,81108384 +81108374: 00800044 movi r2,1 +81108378: dfc00017 ldw ra,0(sp) +8110837c: dec00104 addi sp,sp,4 +81108380: f800283a ret +81108384: 11256e40 call 811256e4 +81108388: 0005883a mov r2,zero +8110838c: dfc00017 ldw ra,0(sp) +81108390: dec00104 addi sp,sp,4 +81108394: f800283a ret + +81108398 : +81108398: deffd804 addi sp,sp,-160 +8110839c: ddc02515 stw r23,148(sp) +811083a0: 05e04634 movhi r23,33048 +811083a4: bdcf1904 addi r23,r23,15460 +811083a8: b8800803 ldbu r2,32(r23) +811083ac: df002615 stw fp,152(sp) +811083b0: dfc02715 stw ra,156(sp) +811083b4: dd802415 stw r22,144(sp) +811083b8: dd402315 stw r21,140(sp) +811083bc: dd002215 stw r20,136(sp) +811083c0: dcc02115 stw r19,132(sp) +811083c4: dc802015 stw r18,128(sp) +811083c8: dc401f15 stw r17,124(sp) +811083cc: dc001e15 stw r16,120(sp) +811083d0: 00c00104 movi r3,4 +811083d4: 2039883a mov fp,r4 +811083d8: 18823a2e bgeu r3,r2,81108cc4 +811083dc: e0c00204 addi r3,fp,8 +811083e0: e5800e04 addi r22,fp,56 +811083e4: d8c01715 stw r3,92(sp) +811083e8: e0c06b04 addi r3,fp,428 +811083ec: dd801915 stw r22,100(sp) +811083f0: d8c00b15 stw r3,44(sp) +811083f4: e5806a84 addi r22,fp,426 +811083f8: e0c04f04 addi r3,fp,316 +811083fc: dd800915 stw r22,36(sp) +81108400: d8c01a15 stw r3,104(sp) +81108404: e5802c04 addi r22,fp,176 +81108408: e0c02e04 addi r3,fp,184 +8110840c: dd800815 stw r22,32(sp) +81108410: d8c00a15 stw r3,40(sp) +81108414: e5802f04 addi r22,fp,188 +81108418: e0c03104 addi r3,fp,196 +8110841c: dd800c15 stw r22,48(sp) +81108420: d8c00d15 stw r3,52(sp) +81108424: e5803204 addi r22,fp,200 +81108428: e0c03404 addi r3,fp,208 +8110842c: dd800e15 stw r22,56(sp) +81108430: d8c00f15 stw r3,60(sp) +81108434: e5803504 addi r22,fp,212 +81108438: e0c03704 addi r3,fp,220 +8110843c: 00800044 movi r2,1 +81108440: dd801015 stw r22,64(sp) +81108444: d8c01115 stw r3,68(sp) +81108448: e5803804 addi r22,fp,224 +8110844c: e0c03a04 addi r3,fp,232 +81108450: e0801b15 stw r2,108(fp) +81108454: dd801215 stw r22,72(sp) +81108458: d8c01315 stw r3,76(sp) +8110845c: e5803b04 addi r22,fp,236 +81108460: e0c03d04 addi r3,fp,244 +81108464: e0801c17 ldw r2,112(fp) +81108468: dd801415 stw r22,80(sp) +8110846c: d8c01515 stw r3,84(sp) +81108470: e5803e04 addi r22,fp,248 +81108474: e0c04004 addi r3,fp,256 +81108478: d8000715 stw zero,28(sp) +8110847c: 0029883a mov r20,zero +81108480: 0021883a mov r16,zero +81108484: 0025883a mov r18,zero +81108488: 0023883a mov r17,zero +8110848c: 0027883a mov r19,zero +81108490: dd801615 stw r22,88(sp) +81108494: d8c01815 stw r3,96(sp) +81108498: 00c00104 movi r3,4 +8110849c: 18803536 bltu r3,r2,81108574 +811084a0: 100490ba slli r2,r2,2 +811084a4: 01a04474 movhi r6,33041 +811084a8: 31a12e04 addi r6,r6,-31560 +811084ac: 1185883a add r2,r2,r6 +811084b0: 10800017 ldw r2,0(r2) +811084b4: 1000683a jmp r2 +811084b8: 811084f0 cmpltui r4,r16,16915 +811084bc: 81108544 addi r4,r16,16917 +811084c0: 811085dc xori r4,r16,16919 +811084c4: 811084f8 rdprs r4,r16,16915 +811084c8: 8110859c xori r4,r16,16918 +811084cc: b8800803 ldbu r2,32(r23) +811084d0: 00c001c4 movi r3,7 +811084d4: 18800636 bltu r3,r2,811084f0 +811084d8: d1e07917 ldw r7,-32284(gp) +811084dc: 01204574 movhi r4,33045 +811084e0: 2121e404 addi r4,r4,-30832 +811084e4: 01800e44 movi r6,57 +811084e8: 01400044 movi r5,1 +811084ec: 112bc8c0 call 8112bc8c +811084f0: 008000c4 movi r2,3 +811084f4: e0801c15 stw r2,112(fp) +811084f8: b8800803 ldbu r2,32(r23) +811084fc: 00c00104 movi r3,4 +81108500: 1881232e bgeu r3,r2,81108990 +81108504: d1207617 ldw r4,-32296(gp) +81108508: 00800044 movi r2,1 +8110850c: e019390d sth zero,25828(fp) +81108510: e019398d sth zero,25830(fp) +81108514: e0193a15 stw zero,25832(fp) +81108518: e0993b15 stw r2,25836(fp) +8110851c: 1142cc40 call 81142cc4 +81108520: d8800405 stb r2,16(sp) +81108524: 10803fcc andi r2,r2,255 +81108528: 1001171e bne r2,zero,81108988 +8110852c: 05400044 movi r21,1 +81108530: a809883a mov r4,r21 +81108534: 1101e5c0 call 81101e5c +81108538: 1105fcc0 call 81105fcc +8110853c: 1105ff00 call 81105ff0 +81108540: e5401c15 stw r21,112(fp) +81108544: d1207617 ldw r4,-32296(gp) +81108548: d9800404 addi r6,sp,16 +8110854c: 000b883a mov r5,zero +81108550: 1142d140 call 81142d14 +81108554: d8c00403 ldbu r3,16(sp) +81108558: 1800fb1e bne r3,zero,81108948 +8110855c: 1006d63a srli r3,r2,24 +81108560: 01000804 movi r4,32 +81108564: 19011626 beq r3,r4,811089c0 +81108568: e0801c17 ldw r2,112(fp) +8110856c: 00c00104 movi r3,4 +81108570: 18bfcb2e bgeu r3,r2,811084a0 <__reset+0xfb0e84a0> +81108574: b8800803 ldbu r2,32(r23) +81108578: 00c001c4 movi r3,7 +8110857c: 18bfdc36 bltu r3,r2,811084f0 <__reset+0xfb0e84f0> +81108580: d1e07917 ldw r7,-32284(gp) +81108584: 01204574 movhi r4,33045 +81108588: 2121f304 addi r4,r4,-30772 +8110858c: 01800f44 movi r6,61 +81108590: 01400044 movi r5,1 +81108594: 112bc8c0 call 8112bc8c +81108598: 003fd506 br 811084f0 <__reset+0xfb0e84f0> +8110859c: 1125a580 call 81125a58 +811085a0: b8800803 ldbu r2,32(r23) +811085a4: 00c00104 movi r3,4 +811085a8: 1880ea2e bgeu r3,r2,81108954 +811085ac: e0d93a17 ldw r3,25832(fp) +811085b0: 00800044 movi r2,1 +811085b4: 1880f026 beq r3,r2,81108978 +811085b8: e019390d sth zero,25828(fp) +811085bc: 00800044 movi r2,1 +811085c0: e0993b15 stw r2,25836(fp) +811085c4: 1105fcc0 call 81105fcc +811085c8: 1105ff00 call 81105ff0 +811085cc: 1105fdc0 call 81105fdc +811085d0: 00800084 movi r2,2 +811085d4: e0801c15 stw r2,112(fp) +811085d8: e0001d15 stw zero,116(fp) +811085dc: 00c001c4 movi r3,7 +811085e0: 01000044 movi r4,1 +811085e4: 01400104 movi r5,4 +811085e8: e0801d17 ldw r2,116(fp) +811085ec: 18bfb736 bltu r3,r2,811084cc <__reset+0xfb0e84cc> +811085f0: 100490ba slli r2,r2,2 +811085f4: 05a04474 movhi r22,33041 +811085f8: b5a18204 addi r22,r22,-31224 +811085fc: 1585883a add r2,r2,r22 +81108600: 10800017 ldw r2,0(r2) +81108604: 1000683a jmp r2 +81108608: 81108628 cmpgeui r4,r16,16920 +8110860c: 811088b4 orhi r4,r16,16930 +81108610: 8110873c xorhi r4,r16,16924 +81108614: 81108914 ori r4,r16,16932 +81108618: 81108704 addi r4,r16,16924 +8110861c: 8110869c xori r4,r16,16922 +81108620: 811084cc andi r4,r16,16915 +81108624: 81108630 cmpltui r4,r16,16920 +81108628: e1001d15 stw r4,116(fp) +8110862c: 003fee06 br 811085e8 <__reset+0xfb0e85e8> +81108630: 1105f380 call 81105f38 +81108634: 84003fcc andi r16,r16,255 +81108638: 1105ff00 call 81105ff0 +8110863c: 8080005c xori r2,r16,1 +81108640: 1021883a mov r16,r2 +81108644: 1000da1e bne r2,zero,811089b0 +81108648: 90800044 addi r2,r18,1 +8110864c: 108000cc andi r2,r2,3 +81108650: 1025883a mov r18,r2 +81108654: 1000d61e bne r2,zero,811089b0 +81108658: 88803fcc andi r2,r17,255 +8110865c: 10800044 addi r2,r2,1 +81108660: 00c00184 movi r3,6 +81108664: 10c7283a div r3,r2,r3 +81108668: 18c001a4 muli r3,r3,6 +8110866c: 10c5c83a sub r2,r2,r3 +81108670: 1023883a mov r17,r2 +81108674: 1000cd1e bne r2,zero,811089ac +81108678: e1593b17 ldw r5,25836(fp) +8110867c: 00800044 movi r2,1 +81108680: e0801d15 stw r2,116(fp) +81108684: 28816526 beq r5,r2,81108c1c +81108688: e0801c17 ldw r2,112(fp) +8110868c: 0021883a mov r16,zero +81108690: 0025883a mov r18,zero +81108694: 0023883a mov r17,zero +81108698: 003f7f06 br 81108498 <__reset+0xfb0e8498> +8110869c: 88803fcc andi r2,r17,255 +811086a0: 10842f24 muli r2,r2,4284 +811086a4: a0c03fcc andi r3,r20,255 +811086a8: e085883a add r2,fp,r2 +811086ac: 11802417 ldw r6,144(r2) +811086b0: 31808004 addi r6,r6,512 +811086b4: 1800e51e bne r3,zero,81108a4c +811086b8: d8c00717 ldw r3,28(sp) +811086bc: 01c00044 movi r7,1 +811086c0: 0009883a mov r4,zero +811086c4: 19400217 ldw r5,8(r3) +811086c8: 110209c0 call 8110209c +811086cc: 01c00044 movi r7,1 +811086d0: 11c0e526 beq r2,r7,81108a68 +811086d4: 98803fcc andi r2,r19,255 +811086d8: 00c00084 movi r3,2 +811086dc: 1880e536 bltu r3,r2,81108a74 +811086e0: 000d883a mov r6,zero +811086e4: 000b883a mov r5,zero +811086e8: 0009883a mov r4,zero +811086ec: 11447cc0 call 811447cc +811086f0: 9cc00044 addi r19,r19,1 +811086f4: d1208917 ldw r4,-32220(gp) +811086f8: 114278c0 call 8114278c +811086fc: e0801c17 ldw r2,112(fp) +81108700: 003f6506 br 81108498 <__reset+0xfb0e8498> +81108704: d1207617 ldw r4,-32296(gp) +81108708: d9800404 addi r6,sp,16 +8110870c: 000b883a mov r5,zero +81108710: 1142d140 call 81142d14 +81108714: d8c00403 ldbu r3,16(sp) +81108718: 18008b1e bne r3,zero,81108948 +8110871c: 1006d63a srli r3,r2,24 +81108720: 01000804 movi r4,32 +81108724: 193f901e bne r3,r4,81108568 <__reset+0xfb0e8568> +81108728: 1009883a mov r4,r2 +8110872c: e00b883a mov r5,fp +81108730: 1107e6c0 call 81107e6c +81108734: e0801c17 ldw r2,112(fp) +81108738: 003f5706 br 81108498 <__reset+0xfb0e8498> +8110873c: 01a04634 movhi r6,33048 +81108740: 318ef404 addi r6,r6,15312 +81108744: dd401917 ldw r21,100(sp) +81108748: dd801717 ldw r22,92(sp) +8110874c: 30000315 stw zero,12(r6) +81108750: dd000b17 ldw r20,44(sp) +81108754: dcc00917 ldw r19,36(sp) +81108758: dfc01a17 ldw ra,104(sp) +8110875c: dc800817 ldw r18,32(sp) +81108760: dc400a17 ldw r17,40(sp) +81108764: dc000c17 ldw r16,48(sp) +81108768: dbc00d17 ldw r15,52(sp) +8110876c: db800e17 ldw r14,56(sp) +81108770: db400f17 ldw r13,60(sp) +81108774: db001017 ldw r12,64(sp) +81108778: dac01117 ldw r11,68(sp) +8110877c: da801217 ldw r10,72(sp) +81108780: da401317 ldw r9,76(sp) +81108784: da001417 ldw r8,80(sp) +81108788: d9c01517 ldw r7,84(sp) +8110878c: d9801617 ldw r6,88(sp) +81108790: d9401817 ldw r5,96(sp) +81108794: e0001b15 stw zero,108(fp) +81108798: e007883a mov r3,fp +8110879c: df000615 stw fp,24(sp) +811087a0: 00800184 movi r2,6 +811087a4: a839883a mov fp,r21 +811087a8: b02b883a mov r21,r22 +811087ac: 05800044 movi r22,1 +811087b0: e1000017 ldw r4,0(fp) +811087b4: ad800015 stw r22,0(r21) +811087b8: 95800017 ldw r22,0(r18) +811087bc: 18c42f04 addi r3,r3,4284 +811087c0: 10bfffc4 addi r2,r2,-1 +811087c4: dd800515 stw r22,20(sp) +811087c8: 25803017 ldw r22,192(r4) +811087cc: ad400104 addi r21,r21,4 +811087d0: e7000104 addi fp,fp,4 +811087d4: dd801d15 stw r22,116(sp) +811087d8: 25804c0b ldhu r22,304(r4) +811087dc: a5042f04 addi r20,r20,4284 +811087e0: 9cc42f04 addi r19,r19,4284 +811087e4: a5bbd10d sth r22,-4284(r20) +811087e8: 21004b8b ldhu r4,302(r4) +811087ec: dd801d17 ldw r22,116(sp) +811087f0: ffc42f04 addi ra,ra,4284 +811087f4: 993bd10d sth r4,-4284(r19) +811087f8: fdbbd115 stw r22,-4284(ra) +811087fc: dd800517 ldw r22,20(sp) +81108800: 11003fcc andi r4,r2,255 +81108804: 94842f04 addi r18,r18,4284 +81108808: 8d800015 stw r22,0(r17) +8110880c: 85800017 ldw r22,0(r16) +81108810: 8c442f04 addi r17,r17,4284 +81108814: 84042f04 addi r16,r16,4284 +81108818: 7d800015 stw r22,0(r15) +8110881c: 75800017 ldw r22,0(r14) +81108820: 7bc42f04 addi r15,r15,4284 +81108824: 73842f04 addi r14,r14,4284 +81108828: 6d800015 stw r22,0(r13) +8110882c: 65800017 ldw r22,0(r12) +81108830: 6b442f04 addi r13,r13,4284 +81108834: 63042f04 addi r12,r12,4284 +81108838: 5d800015 stw r22,0(r11) +8110883c: 55800017 ldw r22,0(r10) +81108840: 5ac42f04 addi r11,r11,4284 +81108844: 52842f04 addi r10,r10,4284 +81108848: 4d800015 stw r22,0(r9) +8110884c: 45800017 ldw r22,0(r8) +81108850: 4a442f04 addi r9,r9,4284 +81108854: 42042f04 addi r8,r8,4284 +81108858: 3d800015 stw r22,0(r7) +8110885c: 35800017 ldw r22,0(r6) +81108860: 39c42f04 addi r7,r7,4284 +81108864: 31842f04 addi r6,r6,4284 +81108868: 2d800015 stw r22,0(r5) +8110886c: 1dbc1217 ldw r22,-4024(r3) +81108870: 29442f04 addi r5,r5,4284 +81108874: 1dbc1415 stw r22,-4016(r3) +81108878: 203fcc1e bne r4,zero,811087ac <__reset+0xfb0e87ac> +8110887c: df000617 ldw fp,24(sp) +81108880: b8800803 ldbu r2,32(r23) +81108884: e0c01e17 ldw r3,120(fp) +81108888: 1d000003 ldbu r20,0(r3) +8110888c: 00c00104 movi r3,4 +81108890: 18807f2e bgeu r3,r2,81108a90 +81108894: 00800084 movi r2,2 +81108898: 00c000c4 movi r3,3 +8110889c: e0c01d15 stw r3,116(fp) +811088a0: 0021883a mov r16,zero +811088a4: 0025883a mov r18,zero +811088a8: 0023883a mov r17,zero +811088ac: 0027883a mov r19,zero +811088b0: 003ef906 br 81108498 <__reset+0xfb0e8498> +811088b4: e1593b17 ldw r5,25836(fp) +811088b8: 05400044 movi r21,1 +811088bc: 2d40451e bne r5,r21,811089d4 +811088c0: b8800803 ldbu r2,32(r23) +811088c4: 00c00104 movi r3,4 +811088c8: 1880b92e bgeu r3,r2,81108bb0 +811088cc: 01c2ee04 movi r7,3000 +811088d0: 000d883a mov r6,zero +811088d4: 000b883a mov r5,zero +811088d8: 0009883a mov r4,zero +811088dc: 11447cc0 call 811447cc +811088e0: d1207617 ldw r4,-32296(gp) +811088e4: 00a04634 movhi r2,33048 +811088e8: 108ef404 addi r2,r2,15312 +811088ec: e0001b15 stw zero,108(fp) +811088f0: 10000315 stw zero,12(r2) +811088f4: 1142cc40 call 81142cc4 +811088f8: d8800405 stb r2,16(sp) +811088fc: 10803fcc andi r2,r2,255 +81108900: 1000a91e bne r2,zero,81108ba8 +81108904: 00800084 movi r2,2 +81108908: e0801d15 stw r2,116(fp) +8110890c: e0801c17 ldw r2,112(fp) +81108910: 003ee106 br 81108498 <__reset+0xfb0e8498> +81108914: 8d403fcc andi r21,r17,255 +81108918: a8800084 addi r2,r21,2 +8110891c: 1085883a add r2,r2,r2 +81108920: 1085883a add r2,r2,r2 +81108924: e085883a add r2,fp,r2 +81108928: 10800017 ldw r2,0(r2) +8110892c: 11006026 beq r2,r4,81108ab0 +81108930: 2d7f3d36 bltu r5,r21,81108628 <__reset+0xfb0e8628> +81108934: 8c400044 addi r17,r17,1 +81108938: 0021883a mov r16,zero +8110893c: 0025883a mov r18,zero +81108940: 0027883a mov r19,zero +81108944: 003f2806 br 811085e8 <__reset+0xfb0e85e8> +81108948: 11255fc0 call 811255fc +8110894c: e0801c17 ldw r2,112(fp) +81108950: 003ed106 br 81108498 <__reset+0xfb0e8498> +81108954: d1e07917 ldw r7,-32284(gp) +81108958: 01204574 movhi r4,33045 +8110895c: 21219f04 addi r4,r4,-31108 +81108960: 018007c4 movi r6,31 +81108964: 01400044 movi r5,1 +81108968: 112bc8c0 call 8112bc8c +8110896c: e0d93a17 ldw r3,25832(fp) +81108970: 00800044 movi r2,1 +81108974: 18bf101e bne r3,r2,811085b8 <__reset+0xfb0e85b8> +81108978: e099398b ldhu r2,25830(fp) +8110897c: e0193a15 stw zero,25832(fp) +81108980: e099390d sth r2,25828(fp) +81108984: 003f0d06 br 811085bc <__reset+0xfb0e85bc> +81108988: 11259900 call 81125990 +8110898c: 003ee706 br 8110852c <__reset+0xfb0e852c> +81108990: d1e07917 ldw r7,-32284(gp) +81108994: 01204574 movhi r4,33045 +81108998: 21219604 addi r4,r4,-31144 +8110899c: 01800884 movi r6,34 +811089a0: 01400044 movi r5,1 +811089a4: 112bc8c0 call 8112bc8c +811089a8: 003ed606 br 81108504 <__reset+0xfb0e8504> +811089ac: 0025883a mov r18,zero +811089b0: 008000c4 movi r2,3 +811089b4: e0801d15 stw r2,116(fp) +811089b8: e0801c17 ldw r2,112(fp) +811089bc: 003eb606 br 81108498 <__reset+0xfb0e8498> +811089c0: 1009883a mov r4,r2 +811089c4: e00b883a mov r5,fp +811089c8: 110826c0 call 8110826c +811089cc: e0801c17 ldw r2,112(fp) +811089d0: 003eb106 br 81108498 <__reset+0xfb0e8498> +811089d4: 00e04634 movhi r3,33048 +811089d8: 18cef404 addi r3,r3,15312 +811089dc: e5401b15 stw r21,108(fp) +811089e0: 000d883a mov r6,zero +811089e4: 000b883a mov r5,zero +811089e8: 01000484 movi r4,18 +811089ec: 1d400315 stw r21,12(r3) +811089f0: 110833c0 call 8110833c +811089f4: b8800803 ldbu r2,32(r23) +811089f8: 00c00104 movi r3,4 +811089fc: 1880632e bgeu r3,r2,81108b8c +81108a00: d1207617 ldw r4,-32296(gp) +81108a04: 1142cc40 call 81142cc4 +81108a08: d8800405 stb r2,16(sp) +81108a0c: 10803fcc andi r2,r2,255 +81108a10: 10005c1e bne r2,zero,81108b84 +81108a14: d1207617 ldw r4,-32296(gp) +81108a18: d9800404 addi r6,sp,16 +81108a1c: 000b883a mov r5,zero +81108a20: 1142d140 call 81142d14 +81108a24: d8c00403 ldbu r3,16(sp) +81108a28: 183fc71e bne r3,zero,81108948 <__reset+0xfb0e8948> +81108a2c: 1006d63a srli r3,r2,24 +81108a30: 01000804 movi r4,32 +81108a34: 193ecc1e bne r3,r4,81108568 <__reset+0xfb0e8568> +81108a38: 1009883a mov r4,r2 +81108a3c: e00b883a mov r5,fp +81108a40: 11081580 call 81108158 +81108a44: e0801c17 ldw r2,112(fp) +81108a48: 003e9306 br 81108498 <__reset+0xfb0e8498> +81108a4c: dd800717 ldw r22,28(sp) +81108a50: 01c00044 movi r7,1 +81108a54: 3809883a mov r4,r7 +81108a58: b1400217 ldw r5,8(r22) +81108a5c: 110209c0 call 8110209c +81108a60: 01c00044 movi r7,1 +81108a64: 11ff1b1e bne r2,r7,811086d4 <__reset+0xfb0e86d4> +81108a68: 00800104 movi r2,4 +81108a6c: e0801d15 stw r2,116(fp) +81108a70: 003f2006 br 811086f4 <__reset+0xfb0e86f4> +81108a74: 1123d440 call 81123d44 +81108a78: 11060000 call 81106000 +81108a7c: 1105ff00 call 81105ff0 +81108a80: 1105fdc0 call 81105fdc +81108a84: 008001c4 movi r2,7 +81108a88: e0801d15 stw r2,116(fp) +81108a8c: 003f1906 br 811086f4 <__reset+0xfb0e86f4> +81108a90: e199390b ldhu r6,25828(fp) +81108a94: d1207917 ldw r4,-32284(gp) +81108a98: 01604574 movhi r5,33045 +81108a9c: 2961c304 addi r5,r5,-30964 +81108aa0: a1c03fcc andi r7,r20,255 +81108aa4: 112b6200 call 8112b620 +81108aa8: e0801c17 ldw r2,112(fp) +81108aac: 003f7a06 br 81108898 <__reset+0xfb0e8898> +81108ab0: b8800803 ldbu r2,32(r23) +81108ab4: 00c00104 movi r3,4 +81108ab8: 1880452e bgeu r3,r2,81108bd0 +81108abc: d1207617 ldw r4,-32296(gp) +81108ac0: 1142cc40 call 81142cc4 +81108ac4: d8800405 stb r2,16(sp) +81108ac8: 10803fcc andi r2,r2,255 +81108acc: 10003e1e bne r2,zero,81108bc8 +81108ad0: d1208917 ldw r4,-32220(gp) +81108ad4: d9800404 addi r6,sp,16 +81108ad8: 000b883a mov r5,zero +81108adc: 11424880 call 81142488 +81108ae0: d8800403 ldbu r2,16(sp) +81108ae4: 103ea01e bne r2,zero,81108568 <__reset+0xfb0e8568> +81108ae8: a8c42f24 muli r3,r21,4284 +81108aec: 95803fcc andi r22,r18,255 +81108af0: d8c01c15 stw r3,112(sp) +81108af4: 1105fcc0 call 81105fcc +81108af8: 1105ff00 call 81105ff0 +81108afc: 1105fdc0 call 81105fdc +81108b00: 1105f380 call 81105f38 +81108b04: d8c01c17 ldw r3,112(sp) +81108b08: e1d9390b ldhu r7,25828(fp) +81108b0c: 82003fcc andi r8,r16,255 +81108b10: e0c9883a add r4,fp,r3 +81108b14: 20802417 ldw r2,144(r4) +81108b18: 400d883a mov r6,r8 +81108b1c: 10808004 addi r2,r2,512 +81108b20: d8800215 stw r2,8(sp) +81108b24: 21406b0b ldhu r5,428(r4) +81108b28: 20806a0b ldhu r2,424(r4) +81108b2c: 2885883a add r2,r5,r2 +81108b30: 10bfffcc andi r2,r2,65535 +81108b34: d8800115 stw r2,4(sp) +81108b38: 20806a8b ldhu r2,426(r4) +81108b3c: b00b883a mov r5,r22 +81108b40: a809883a mov r4,r21 +81108b44: d8800015 stw r2,0(sp) +81108b48: da001b15 stw r8,108(sp) +81108b4c: 1105df00 call 81105df0 +81108b50: d8c01c17 ldw r3,112(sp) +81108b54: da001b17 ldw r8,108(sp) +81108b58: 10004b26 beq r2,zero,81108c88 +81108b5c: b5800624 muli r22,r22,24 +81108b60: 00800144 movi r2,5 +81108b64: e0801d15 stw r2,116(fp) +81108b68: b0c7883a add r3,r22,r3 +81108b6c: e0801c17 ldw r2,112(fp) +81108b70: 4000411e bne r8,zero,81108c78 +81108b74: 18c02c04 addi r3,r3,176 +81108b78: e0c7883a add r3,fp,r3 +81108b7c: d8c00715 stw r3,28(sp) +81108b80: 003e4506 br 81108498 <__reset+0xfb0e8498> +81108b84: 11259900 call 81125990 +81108b88: 003fa206 br 81108a14 <__reset+0xfb0e8a14> +81108b8c: d1e07917 ldw r7,-32284(gp) +81108b90: 01204574 movhi r4,33045 +81108b94: 2121bc04 addi r4,r4,-30992 +81108b98: 01800644 movi r6,25 +81108b9c: a80b883a mov r5,r21 +81108ba0: 112bc8c0 call 8112bc8c +81108ba4: 003f9606 br 81108a00 <__reset+0xfb0e8a00> +81108ba8: 11259900 call 81125990 +81108bac: 003f5506 br 81108904 <__reset+0xfb0e8904> +81108bb0: d1e07917 ldw r7,-32284(gp) +81108bb4: 01204574 movhi r4,33045 +81108bb8: 2121a704 addi r4,r4,-31076 +81108bbc: 01801444 movi r6,81 +81108bc0: 112bc8c0 call 8112bc8c +81108bc4: 003f4106 br 811088cc <__reset+0xfb0e88cc> +81108bc8: 11259900 call 81125990 +81108bcc: 003fc006 br 81108ad0 <__reset+0xfb0e8ad0> +81108bd0: a8842f24 muli r2,r21,4284 +81108bd4: 80c03fcc andi r3,r16,255 +81108bd8: d8c00315 stw r3,12(sp) +81108bdc: e085883a add r2,fp,r2 +81108be0: 11006b0b ldhu r4,428(r2) +81108be4: 10c06a0b ldhu r3,424(r2) +81108be8: e199390b ldhu r6,25828(fp) +81108bec: 01604574 movhi r5,33045 +81108bf0: 20c7883a add r3,r4,r3 +81108bf4: d8c00215 stw r3,8(sp) +81108bf8: 10c06a8b ldhu r3,426(r2) +81108bfc: d1207917 ldw r4,-32284(gp) +81108c00: 90803fcc andi r2,r18,255 +81108c04: 2961cd04 addi r5,r5,-30924 +81108c08: d8c00115 stw r3,4(sp) +81108c0c: d8800015 stw r2,0(sp) +81108c10: a80f883a mov r7,r21 +81108c14: 112b6200 call 8112b620 +81108c18: 003fa806 br 81108abc <__reset+0xfb0e8abc> +81108c1c: b8800803 ldbu r2,32(r23) +81108c20: e0193b15 stw zero,25836(fp) +81108c24: 00c00104 movi r3,4 +81108c28: 1880202e bgeu r3,r2,81108cac +81108c2c: 00e04634 movhi r3,33048 +81108c30: 18cef404 addi r3,r3,15312 +81108c34: 18800017 ldw r2,0(r3) +81108c38: 103e931e bne r2,zero,81108688 <__reset+0xfb0e8688> +81108c3c: d1207717 ldw r4,-32292(gp) +81108c40: 11437dc0 call 811437dc +81108c44: d8800405 stb r2,16(sp) +81108c48: 10803fcc andi r2,r2,255 +81108c4c: 103e8e26 beq r2,zero,81108688 <__reset+0xfb0e8688> +81108c50: 1123c540 call 81123c54 +81108c54: 01604574 movhi r5,33045 +81108c58: 29733cc4 addi r5,r5,-13069 +81108c5c: 0009883a mov r4,zero +81108c60: 111e1e00 call 8111e1e0 +81108c64: 0021883a mov r16,zero +81108c68: 0025883a mov r18,zero +81108c6c: e0801c17 ldw r2,112(fp) +81108c70: 0023883a mov r17,zero +81108c74: 003e0806 br 81108498 <__reset+0xfb0e8498> +81108c78: 18c02f04 addi r3,r3,188 +81108c7c: e0c7883a add r3,fp,r3 +81108c80: d8c00715 stw r3,28(sp) +81108c84: 003e0406 br 81108498 <__reset+0xfb0e8498> +81108c88: 1123d140 call 81123d14 +81108c8c: 01604574 movhi r5,33045 +81108c90: 29733cc4 addi r5,r5,-13069 +81108c94: 0009883a mov r4,zero +81108c98: 111e1e00 call 8111e1e0 +81108c9c: 00800044 movi r2,1 +81108ca0: e0801d15 stw r2,116(fp) +81108ca4: e0801c17 ldw r2,112(fp) +81108ca8: 003dfb06 br 81108498 <__reset+0xfb0e8498> +81108cac: d1e07917 ldw r7,-32284(gp) +81108cb0: 01204574 movhi r4,33045 +81108cb4: 2121dd04 addi r4,r4,-30860 +81108cb8: 01800604 movi r6,24 +81108cbc: 112bc8c0 call 8112bc8c +81108cc0: 003fda06 br 81108c2c <__reset+0xfb0e8c2c> +81108cc4: d1e07917 ldw r7,-32284(gp) +81108cc8: 01204574 movhi r4,33045 +81108ccc: 21218d04 addi r4,r4,-31180 +81108cd0: 01800804 movi r6,32 +81108cd4: 01400044 movi r5,1 +81108cd8: 112bc8c0 call 8112bc8c +81108cdc: 003dbf06 br 811083dc <__reset+0xfb0e83dc> + +81108ce0 : +81108ce0: defffd04 addi sp,sp,-12 +81108ce4: dc400115 stw r17,4(sp) +81108ce8: 24409104 addi r17,r4,580 +81108cec: dc000015 stw r16,0(sp) +81108cf0: 2021883a mov r16,r4 +81108cf4: 8809883a mov r4,r17 +81108cf8: dfc00215 stw ra,8(sp) +81108cfc: 1104bb00 call 81104bb0 +81108d00: 80800003 ldbu r2,0(r16) +81108d04: 00e04634 movhi r3,33048 +81108d08: 18cefb04 addi r3,r3,15340 +81108d0c: 10800524 muli r2,r2,20 +81108d10: 8809883a mov r4,r17 +81108d14: 1885883a add r2,r3,r2 +81108d18: 10c00443 ldbu r3,17(r2) +81108d1c: 10800403 ldbu r2,16(r2) +81108d20: 80c09615 stw r3,600(r16) +81108d24: 80809515 stw r2,596(r16) +81108d28: 1104b800 call 81104b80 +81108d2c: 00a04634 movhi r2,33048 +81108d30: 108f1904 addi r2,r2,15460 +81108d34: 10800803 ldbu r2,32(r2) +81108d38: 00c00084 movi r3,2 +81108d3c: 1880052e bgeu r3,r2,81108d54 +81108d40: dfc00217 ldw ra,8(sp) +81108d44: dc400117 ldw r17,4(sp) +81108d48: dc000017 ldw r16,0(sp) +81108d4c: dec00304 addi sp,sp,12 +81108d50: f800283a ret +81108d54: 81c09603 ldbu r7,600(r16) +81108d58: 81800003 ldbu r6,0(r16) +81108d5c: d1207917 ldw r4,-32284(gp) +81108d60: 01604574 movhi r5,33045 +81108d64: 29620304 addi r5,r5,-30708 +81108d68: 112b6200 call 8112b620 +81108d6c: 81c09503 ldbu r7,596(r16) +81108d70: 81800003 ldbu r6,0(r16) +81108d74: d1207917 ldw r4,-32284(gp) +81108d78: 01604574 movhi r5,33045 +81108d7c: 29620b04 addi r5,r5,-30676 +81108d80: dfc00217 ldw ra,8(sp) +81108d84: dc400117 ldw r17,4(sp) +81108d88: dc000017 ldw r16,0(sp) +81108d8c: dec00304 addi sp,sp,12 +81108d90: 112b6201 jmpi 8112b620 + +81108d94 : +81108d94: defffd04 addi sp,sp,-12 +81108d98: dc400115 stw r17,4(sp) +81108d9c: 2440b304 addi r17,r4,716 +81108da0: dc000015 stw r16,0(sp) +81108da4: 2021883a mov r16,r4 +81108da8: 8809883a mov r4,r17 +81108dac: dfc00215 stw ra,8(sp) +81108db0: 11023980 call 81102398 +81108db4: 80800003 ldbu r2,0(r16) +81108db8: 81c0ab17 ldw r7,684(r16) +81108dbc: 82004b8b ldhu r8,302(r16) +81108dc0: 10800524 muli r2,r2,20 +81108dc4: 81804a0b ldhu r6,296(r16) +81108dc8: 02604634 movhi r9,33048 +81108dcc: 80c04a8b ldhu r3,298(r16) +81108dd0: 4a4efb04 addi r9,r9,15340 +81108dd4: 81004b0b ldhu r4,300(r16) +81108dd8: 81404c0b ldhu r5,304(r16) +81108ddc: 4885883a add r2,r9,r2 +81108de0: 3b800017 ldw r14,0(r7) +81108de4: 3b400117 ldw r13,4(r7) +81108de8: 3a801017 ldw r10,64(r7) +81108dec: 418d883a add r6,r8,r6 +81108df0: 11c004c3 ldbu r7,19(r2) +81108df4: 12000483 ldbu r8,18(r2) +81108df8: 82402e43 ldbu r9,185(r16) +81108dfc: 30c5883a add r2,r6,r3 +81108e00: 291f883a add r15,r5,r4 +81108e04: 22ffffc4 addi r11,r4,-1 +81108e08: 00c00044 movi r3,1 +81108e0c: 2b3fffc4 addi r12,r5,-1 +81108e10: 11bfffc4 addi r6,r2,-1 +81108e14: 8100b715 stw r4,732(r16) +81108e18: 8080b415 stw r2,720(r16) +81108e1c: 83c0b515 stw r15,724(r16) +81108e20: 8140b615 stw r5,728(r16) +81108e24: 8380b815 stw r14,736(r16) +81108e28: 8340b915 stw r13,740(r16) +81108e2c: 8300ba15 stw r12,744(r16) +81108e30: 82c0bb15 stw r11,748(r16) +81108e34: 8000bc15 stw zero,752(r16) +81108e38: 8180bd15 stw r6,756(r16) +81108e3c: 80c0be15 stw r3,760(r16) +81108e40: 80c0bf15 stw r3,764(r16) +81108e44: 8280c015 stw r10,768(r16) +81108e48: 8240c415 stw r9,784(r16) +81108e4c: 8000c315 stw zero,780(r16) +81108e50: 8200c215 stw r8,776(r16) +81108e54: 81c0c115 stw r7,772(r16) +81108e58: 8809883a mov r4,r17 +81108e5c: 11023600 call 81102360 +81108e60: 8080c017 ldw r2,768(r16) +81108e64: 8081710d sth r2,1476(r16) +81108e68: dfc00217 ldw ra,8(sp) +81108e6c: dc400117 ldw r17,4(sp) +81108e70: dc000017 ldw r16,0(sp) +81108e74: dec00304 addi sp,sp,12 +81108e78: f800283a ret + +81108e7c : +81108e7c: defffc04 addi sp,sp,-16 +81108e80: dc400115 stw r17,4(sp) +81108e84: 24409104 addi r17,r4,580 +81108e88: dc000015 stw r16,0(sp) +81108e8c: 2021883a mov r16,r4 +81108e90: 8809883a mov r4,r17 +81108e94: dfc00315 stw ra,12(sp) +81108e98: dc800215 stw r18,8(sp) +81108e9c: 1104d200 call 81104d20 +81108ea0: 81400003 ldbu r5,0(r16) +81108ea4: 8100ab17 ldw r4,684(r16) +81108ea8: 04a04634 movhi r18,33048 +81108eac: 2940b524 muli r5,r5,724 +81108eb0: 948f3b04 addi r18,r18,15596 +81108eb4: 01805b04 movi r6,364 +81108eb8: 914b883a add r5,r18,r5 +81108ebc: 29405504 addi r5,r5,340 +81108ec0: 21005504 addi r4,r4,340 +81108ec4: 112c6880 call 8112c688 +81108ec8: 8809883a mov r4,r17 +81108ecc: 1104d180 call 81104d18 +81108ed0: 8809883a mov r4,r17 +81108ed4: 1104d100 call 81104d10 +81108ed8: 81400003 ldbu r5,0(r16) +81108edc: 8100ab17 ldw r4,684(r16) +81108ee0: 01805504 movi r6,340 +81108ee4: 2940b524 muli r5,r5,724 +81108ee8: 914b883a add r5,r18,r5 +81108eec: 112c6880 call 8112c688 +81108ef0: 8809883a mov r4,r17 +81108ef4: dfc00317 ldw ra,12(sp) +81108ef8: dc800217 ldw r18,8(sp) +81108efc: dc400117 ldw r17,4(sp) +81108f00: dc000017 ldw r16,0(sp) +81108f04: dec00404 addi sp,sp,16 +81108f08: 1104d081 jmpi 81104d08 + +81108f0c : +81108f0c: defffb04 addi sp,sp,-20 +81108f10: dc400115 stw r17,4(sp) +81108f14: 24409104 addi r17,r4,580 +81108f18: dc800215 stw r18,8(sp) +81108f1c: 2025883a mov r18,r4 +81108f20: 8809883a mov r4,r17 +81108f24: dcc00315 stw r19,12(sp) +81108f28: dc000015 stw r16,0(sp) +81108f2c: 2827883a mov r19,r5 +81108f30: dfc00415 stw ra,16(sp) +81108f34: 3021883a mov r16,r6 +81108f38: 1104d200 call 81104d20 +81108f3c: 98be0c04 addi r2,r19,-2000 +81108f40: 10bfffcc andi r2,r2,65535 +81108f44: 00c01204 movi r3,72 +81108f48: 18817636 bltu r3,r2,81109524 +81108f4c: 100490ba slli r2,r2,2 +81108f50: 00e04474 movhi r3,33041 +81108f54: 18e3d904 addi r3,r3,-28828 +81108f58: 10c5883a add r2,r2,r3 +81108f5c: 10800017 ldw r2,0(r2) +81108f60: 1000683a jmp r2 +81108f64: 81109514 ori r4,r16,16980 +81108f68: 81109504 addi r4,r16,16980 +81108f6c: 811094f4 orhi r4,r16,16979 +81108f70: 811094e4 muli r4,r16,16979 +81108f74: 811094d4 ori r4,r16,16979 +81108f78: 811094c4 addi r4,r16,16979 +81108f7c: 811094b4 orhi r4,r16,16978 +81108f80: 811094a4 muli r4,r16,16978 +81108f84: 81109494 ori r4,r16,16978 +81108f88: 81109484 addi r4,r16,16978 +81108f8c: 81109474 orhi r4,r16,16977 +81108f90: 81109464 muli r4,r16,16977 +81108f94: 81109454 ori r4,r16,16977 +81108f98: 81109444 addi r4,r16,16977 +81108f9c: 81109434 orhi r4,r16,16976 +81108fa0: 81109424 muli r4,r16,16976 +81108fa4: 81109414 ori r4,r16,16976 +81108fa8: 81109404 addi r4,r16,16976 +81108fac: 811093f4 orhi r4,r16,16975 +81108fb0: 811093e4 muli r4,r16,16975 +81108fb4: 811093d4 ori r4,r16,16975 +81108fb8: 811093c4 addi r4,r16,16975 +81108fbc: 811093b4 orhi r4,r16,16974 +81108fc0: 811093a4 muli r4,r16,16974 +81108fc4: 81109394 ori r4,r16,16974 +81108fc8: 81109384 addi r4,r16,16974 +81108fcc: 81109374 orhi r4,r16,16973 +81108fd0: 81109364 muli r4,r16,16973 +81108fd4: 81109354 ori r4,r16,16973 +81108fd8: 81109344 addi r4,r16,16973 +81108fdc: 81109334 orhi r4,r16,16972 +81108fe0: 81109324 muli r4,r16,16972 +81108fe4: 81109314 ori r4,r16,16972 +81108fe8: 81109304 addi r4,r16,16972 +81108fec: 811092f4 orhi r4,r16,16971 +81108ff0: 811092e4 muli r4,r16,16971 +81108ff4: 811092d4 ori r4,r16,16971 +81108ff8: 811092c4 addi r4,r16,16971 +81108ffc: 811092b4 orhi r4,r16,16970 +81109000: 811092a4 muli r4,r16,16970 +81109004: 81109294 ori r4,r16,16970 +81109008: 81109284 addi r4,r16,16970 +8110900c: 81109274 orhi r4,r16,16969 +81109010: 81109264 muli r4,r16,16969 +81109014: 81109254 ori r4,r16,16969 +81109018: 81109244 addi r4,r16,16969 +8110901c: 81109234 orhi r4,r16,16968 +81109020: 81109224 muli r4,r16,16968 +81109024: 81109214 ori r4,r16,16968 +81109028: 81109204 addi r4,r16,16968 +8110902c: 811091f4 orhi r4,r16,16967 +81109030: 811091e4 muli r4,r16,16967 +81109034: 811091d4 ori r4,r16,16967 +81109038: 811091c4 addi r4,r16,16967 +8110903c: 811091b4 orhi r4,r16,16966 +81109040: 811091a4 muli r4,r16,16966 +81109044: 81109194 ori r4,r16,16966 +81109048: 81109184 addi r4,r16,16966 +8110904c: 81109174 orhi r4,r16,16965 +81109050: 81109164 muli r4,r16,16965 +81109054: 81109154 ori r4,r16,16965 +81109058: 81109144 addi r4,r16,16965 +8110905c: 81109134 orhi r4,r16,16964 +81109060: 81109124 muli r4,r16,16964 +81109064: 81109114 ori r4,r16,16964 +81109068: 81109104 addi r4,r16,16964 +8110906c: 811090f4 orhi r4,r16,16963 +81109070: 811090e4 muli r4,r16,16963 +81109074: 81109524 muli r4,r16,16980 +81109078: 811090d4 ori r4,r16,16963 +8110907c: 811090c4 addi r4,r16,16963 +81109080: 811090b4 orhi r4,r16,16962 +81109084: 81109088 cmpgei r4,r16,16962 +81109088: 9080ab17 ldw r2,684(r18) +8110908c: 843fffcc andi r16,r16,65535 +81109090: 1400af15 stw r16,700(r2) +81109094: 8809883a mov r4,r17 +81109098: dfc00417 ldw ra,16(sp) +8110909c: dcc00317 ldw r19,12(sp) +811090a0: dc800217 ldw r18,8(sp) +811090a4: dc400117 ldw r17,4(sp) +811090a8: dc000017 ldw r16,0(sp) +811090ac: dec00504 addi sp,sp,20 +811090b0: 1104d181 jmpi 81104d18 +811090b4: 9080ab17 ldw r2,684(r18) +811090b8: 843fffcc andi r16,r16,65535 +811090bc: 1400ae15 stw r16,696(r2) +811090c0: 003ff406 br 81109094 <__reset+0xfb0e9094> +811090c4: 9080ab17 ldw r2,684(r18) +811090c8: 84003fcc andi r16,r16,255 +811090cc: 1400ad15 stw r16,692(r2) +811090d0: 003ff006 br 81109094 <__reset+0xfb0e9094> +811090d4: 9080ab17 ldw r2,684(r18) +811090d8: 84003fcc andi r16,r16,255 +811090dc: 1400ac15 stw r16,688(r2) +811090e0: 003fec06 br 81109094 <__reset+0xfb0e9094> +811090e4: 9080ab17 ldw r2,684(r18) +811090e8: 84003fcc andi r16,r16,255 +811090ec: 1400a115 stw r16,644(r2) +811090f0: 003fe806 br 81109094 <__reset+0xfb0e9094> +811090f4: 9080ab17 ldw r2,684(r18) +811090f8: 843fffcc andi r16,r16,65535 +811090fc: 1400a015 stw r16,640(r2) +81109100: 003fe406 br 81109094 <__reset+0xfb0e9094> +81109104: 9080ab17 ldw r2,684(r18) +81109108: 84003fcc andi r16,r16,255 +8110910c: 14009e15 stw r16,632(r2) +81109110: 003fe006 br 81109094 <__reset+0xfb0e9094> +81109114: 9080ab17 ldw r2,684(r18) +81109118: 84003fcc andi r16,r16,255 +8110911c: 14009715 stw r16,604(r2) +81109120: 003fdc06 br 81109094 <__reset+0xfb0e9094> +81109124: 9080ab17 ldw r2,684(r18) +81109128: 843fffcc andi r16,r16,65535 +8110912c: 14009415 stw r16,592(r2) +81109130: 003fd806 br 81109094 <__reset+0xfb0e9094> +81109134: 9080ab17 ldw r2,684(r18) +81109138: 843fffcc andi r16,r16,65535 +8110913c: 14009315 stw r16,588(r2) +81109140: 003fd406 br 81109094 <__reset+0xfb0e9094> +81109144: 9080ab17 ldw r2,684(r18) +81109148: 843fffcc andi r16,r16,65535 +8110914c: 14009215 stw r16,584(r2) +81109150: 003fd006 br 81109094 <__reset+0xfb0e9094> +81109154: 9080ab17 ldw r2,684(r18) +81109158: 843fffcc andi r16,r16,65535 +8110915c: 14009115 stw r16,580(r2) +81109160: 003fcc06 br 81109094 <__reset+0xfb0e9094> +81109164: 9080ab17 ldw r2,684(r18) +81109168: 843fffcc andi r16,r16,65535 +8110916c: 14009015 stw r16,576(r2) +81109170: 003fc806 br 81109094 <__reset+0xfb0e9094> +81109174: 9080ab17 ldw r2,684(r18) +81109178: 843fffcc andi r16,r16,65535 +8110917c: 14008f15 stw r16,572(r2) +81109180: 003fc406 br 81109094 <__reset+0xfb0e9094> +81109184: 9080ab17 ldw r2,684(r18) +81109188: 843fffcc andi r16,r16,65535 +8110918c: 14008e15 stw r16,568(r2) +81109190: 003fc006 br 81109094 <__reset+0xfb0e9094> +81109194: 9080ab17 ldw r2,684(r18) +81109198: 843fffcc andi r16,r16,65535 +8110919c: 14008d15 stw r16,564(r2) +811091a0: 003fbc06 br 81109094 <__reset+0xfb0e9094> +811091a4: 9080ab17 ldw r2,684(r18) +811091a8: 843fffcc andi r16,r16,65535 +811091ac: 14008c15 stw r16,560(r2) +811091b0: 003fb806 br 81109094 <__reset+0xfb0e9094> +811091b4: 9080ab17 ldw r2,684(r18) +811091b8: 843fffcc andi r16,r16,65535 +811091bc: 14008b15 stw r16,556(r2) +811091c0: 003fb406 br 81109094 <__reset+0xfb0e9094> +811091c4: 9080ab17 ldw r2,684(r18) +811091c8: 843fffcc andi r16,r16,65535 +811091cc: 14008a15 stw r16,552(r2) +811091d0: 003fb006 br 81109094 <__reset+0xfb0e9094> +811091d4: 9080ab17 ldw r2,684(r18) +811091d8: 843fffcc andi r16,r16,65535 +811091dc: 14008915 stw r16,548(r2) +811091e0: 003fac06 br 81109094 <__reset+0xfb0e9094> +811091e4: 9080ab17 ldw r2,684(r18) +811091e8: 843fffcc andi r16,r16,65535 +811091ec: 14008815 stw r16,544(r2) +811091f0: 003fa806 br 81109094 <__reset+0xfb0e9094> +811091f4: 9080ab17 ldw r2,684(r18) +811091f8: 843fffcc andi r16,r16,65535 +811091fc: 14008715 stw r16,540(r2) +81109200: 003fa406 br 81109094 <__reset+0xfb0e9094> +81109204: 9080ab17 ldw r2,684(r18) +81109208: 843fffcc andi r16,r16,65535 +8110920c: 14008615 stw r16,536(r2) +81109210: 003fa006 br 81109094 <__reset+0xfb0e9094> +81109214: 9080ab17 ldw r2,684(r18) +81109218: 843fffcc andi r16,r16,65535 +8110921c: 14008515 stw r16,532(r2) +81109220: 003f9c06 br 81109094 <__reset+0xfb0e9094> +81109224: 9080ab17 ldw r2,684(r18) +81109228: 843fffcc andi r16,r16,65535 +8110922c: 14008415 stw r16,528(r2) +81109230: 003f9806 br 81109094 <__reset+0xfb0e9094> +81109234: 9080ab17 ldw r2,684(r18) +81109238: 843fffcc andi r16,r16,65535 +8110923c: 14008315 stw r16,524(r2) +81109240: 003f9406 br 81109094 <__reset+0xfb0e9094> +81109244: 9080ab17 ldw r2,684(r18) +81109248: 843fffcc andi r16,r16,65535 +8110924c: 14008215 stw r16,520(r2) +81109250: 003f9006 br 81109094 <__reset+0xfb0e9094> +81109254: 9080ab17 ldw r2,684(r18) +81109258: 843fffcc andi r16,r16,65535 +8110925c: 14008115 stw r16,516(r2) +81109260: 003f8c06 br 81109094 <__reset+0xfb0e9094> +81109264: 9080ab17 ldw r2,684(r18) +81109268: 843fffcc andi r16,r16,65535 +8110926c: 14008015 stw r16,512(r2) +81109270: 003f8806 br 81109094 <__reset+0xfb0e9094> +81109274: 9080ab17 ldw r2,684(r18) +81109278: 843fffcc andi r16,r16,65535 +8110927c: 14007f15 stw r16,508(r2) +81109280: 003f8406 br 81109094 <__reset+0xfb0e9094> +81109284: 9080ab17 ldw r2,684(r18) +81109288: 843fffcc andi r16,r16,65535 +8110928c: 14007e15 stw r16,504(r2) +81109290: 003f8006 br 81109094 <__reset+0xfb0e9094> +81109294: 9080ab17 ldw r2,684(r18) +81109298: 843fffcc andi r16,r16,65535 +8110929c: 14007d15 stw r16,500(r2) +811092a0: 003f7c06 br 81109094 <__reset+0xfb0e9094> +811092a4: 9080ab17 ldw r2,684(r18) +811092a8: 843fffcc andi r16,r16,65535 +811092ac: 14007c15 stw r16,496(r2) +811092b0: 003f7806 br 81109094 <__reset+0xfb0e9094> +811092b4: 9080ab17 ldw r2,684(r18) +811092b8: 843fffcc andi r16,r16,65535 +811092bc: 14007b15 stw r16,492(r2) +811092c0: 003f7406 br 81109094 <__reset+0xfb0e9094> +811092c4: 9080ab17 ldw r2,684(r18) +811092c8: 843fffcc andi r16,r16,65535 +811092cc: 14007a15 stw r16,488(r2) +811092d0: 003f7006 br 81109094 <__reset+0xfb0e9094> +811092d4: 9080ab17 ldw r2,684(r18) +811092d8: 843fffcc andi r16,r16,65535 +811092dc: 14007915 stw r16,484(r2) +811092e0: 003f6c06 br 81109094 <__reset+0xfb0e9094> +811092e4: 9080ab17 ldw r2,684(r18) +811092e8: 843fffcc andi r16,r16,65535 +811092ec: 14007815 stw r16,480(r2) +811092f0: 003f6806 br 81109094 <__reset+0xfb0e9094> +811092f4: 9080ab17 ldw r2,684(r18) +811092f8: 843fffcc andi r16,r16,65535 +811092fc: 14007715 stw r16,476(r2) +81109300: 003f6406 br 81109094 <__reset+0xfb0e9094> +81109304: 9080ab17 ldw r2,684(r18) +81109308: 843fffcc andi r16,r16,65535 +8110930c: 14007615 stw r16,472(r2) +81109310: 003f6006 br 81109094 <__reset+0xfb0e9094> +81109314: 9080ab17 ldw r2,684(r18) +81109318: 843fffcc andi r16,r16,65535 +8110931c: 14007515 stw r16,468(r2) +81109320: 003f5c06 br 81109094 <__reset+0xfb0e9094> +81109324: 9080ab17 ldw r2,684(r18) +81109328: 843fffcc andi r16,r16,65535 +8110932c: 14007415 stw r16,464(r2) +81109330: 003f5806 br 81109094 <__reset+0xfb0e9094> +81109334: 9080ab17 ldw r2,684(r18) +81109338: 843fffcc andi r16,r16,65535 +8110933c: 14007315 stw r16,460(r2) +81109340: 003f5406 br 81109094 <__reset+0xfb0e9094> +81109344: 9080ab17 ldw r2,684(r18) +81109348: 843fffcc andi r16,r16,65535 +8110934c: 14007215 stw r16,456(r2) +81109350: 003f5006 br 81109094 <__reset+0xfb0e9094> +81109354: 9080ab17 ldw r2,684(r18) +81109358: 843fffcc andi r16,r16,65535 +8110935c: 14007115 stw r16,452(r2) +81109360: 003f4c06 br 81109094 <__reset+0xfb0e9094> +81109364: 9080ab17 ldw r2,684(r18) +81109368: 843fffcc andi r16,r16,65535 +8110936c: 14007015 stw r16,448(r2) +81109370: 003f4806 br 81109094 <__reset+0xfb0e9094> +81109374: 9080ab17 ldw r2,684(r18) +81109378: 843fffcc andi r16,r16,65535 +8110937c: 14006f15 stw r16,444(r2) +81109380: 003f4406 br 81109094 <__reset+0xfb0e9094> +81109384: 9080ab17 ldw r2,684(r18) +81109388: 843fffcc andi r16,r16,65535 +8110938c: 14006e15 stw r16,440(r2) +81109390: 003f4006 br 81109094 <__reset+0xfb0e9094> +81109394: 9080ab17 ldw r2,684(r18) +81109398: 843fffcc andi r16,r16,65535 +8110939c: 14006d15 stw r16,436(r2) +811093a0: 003f3c06 br 81109094 <__reset+0xfb0e9094> +811093a4: 9080ab17 ldw r2,684(r18) +811093a8: 843fffcc andi r16,r16,65535 +811093ac: 14006c15 stw r16,432(r2) +811093b0: 003f3806 br 81109094 <__reset+0xfb0e9094> +811093b4: 9080ab17 ldw r2,684(r18) +811093b8: 843fffcc andi r16,r16,65535 +811093bc: 14006b15 stw r16,428(r2) +811093c0: 003f3406 br 81109094 <__reset+0xfb0e9094> +811093c4: 9080ab17 ldw r2,684(r18) +811093c8: 843fffcc andi r16,r16,65535 +811093cc: 14006a15 stw r16,424(r2) +811093d0: 003f3006 br 81109094 <__reset+0xfb0e9094> +811093d4: 9080ab17 ldw r2,684(r18) +811093d8: 843fffcc andi r16,r16,65535 +811093dc: 14006915 stw r16,420(r2) +811093e0: 003f2c06 br 81109094 <__reset+0xfb0e9094> +811093e4: 9080ab17 ldw r2,684(r18) +811093e8: 843fffcc andi r16,r16,65535 +811093ec: 14006815 stw r16,416(r2) +811093f0: 003f2806 br 81109094 <__reset+0xfb0e9094> +811093f4: 9080ab17 ldw r2,684(r18) +811093f8: 843fffcc andi r16,r16,65535 +811093fc: 14006715 stw r16,412(r2) +81109400: 003f2406 br 81109094 <__reset+0xfb0e9094> +81109404: 9080ab17 ldw r2,684(r18) +81109408: 843fffcc andi r16,r16,65535 +8110940c: 14006615 stw r16,408(r2) +81109410: 003f2006 br 81109094 <__reset+0xfb0e9094> +81109414: 9080ab17 ldw r2,684(r18) +81109418: 843fffcc andi r16,r16,65535 +8110941c: 14006515 stw r16,404(r2) +81109420: 003f1c06 br 81109094 <__reset+0xfb0e9094> +81109424: 9080ab17 ldw r2,684(r18) +81109428: 843fffcc andi r16,r16,65535 +8110942c: 14006415 stw r16,400(r2) +81109430: 003f1806 br 81109094 <__reset+0xfb0e9094> +81109434: 9080ab17 ldw r2,684(r18) +81109438: 843fffcc andi r16,r16,65535 +8110943c: 14006315 stw r16,396(r2) +81109440: 003f1406 br 81109094 <__reset+0xfb0e9094> +81109444: 9080ab17 ldw r2,684(r18) +81109448: 843fffcc andi r16,r16,65535 +8110944c: 14006215 stw r16,392(r2) +81109450: 003f1006 br 81109094 <__reset+0xfb0e9094> +81109454: 9080ab17 ldw r2,684(r18) +81109458: 843fffcc andi r16,r16,65535 +8110945c: 14006115 stw r16,388(r2) +81109460: 003f0c06 br 81109094 <__reset+0xfb0e9094> +81109464: 9080ab17 ldw r2,684(r18) +81109468: 843fffcc andi r16,r16,65535 +8110946c: 14006015 stw r16,384(r2) +81109470: 003f0806 br 81109094 <__reset+0xfb0e9094> +81109474: 9080ab17 ldw r2,684(r18) +81109478: 843fffcc andi r16,r16,65535 +8110947c: 14005f15 stw r16,380(r2) +81109480: 003f0406 br 81109094 <__reset+0xfb0e9094> +81109484: 9080ab17 ldw r2,684(r18) +81109488: 843fffcc andi r16,r16,65535 +8110948c: 14005e15 stw r16,376(r2) +81109490: 003f0006 br 81109094 <__reset+0xfb0e9094> +81109494: 9080ab17 ldw r2,684(r18) +81109498: 843fffcc andi r16,r16,65535 +8110949c: 14005d15 stw r16,372(r2) +811094a0: 003efc06 br 81109094 <__reset+0xfb0e9094> +811094a4: 9080ab17 ldw r2,684(r18) +811094a8: 843fffcc andi r16,r16,65535 +811094ac: 14005c15 stw r16,368(r2) +811094b0: 003ef806 br 81109094 <__reset+0xfb0e9094> +811094b4: 9080ab17 ldw r2,684(r18) +811094b8: 843fffcc andi r16,r16,65535 +811094bc: 14005b15 stw r16,364(r2) +811094c0: 003ef406 br 81109094 <__reset+0xfb0e9094> +811094c4: 9080ab17 ldw r2,684(r18) +811094c8: 843fffcc andi r16,r16,65535 +811094cc: 14005a15 stw r16,360(r2) +811094d0: 003ef006 br 81109094 <__reset+0xfb0e9094> +811094d4: 9080ab17 ldw r2,684(r18) +811094d8: 843fffcc andi r16,r16,65535 +811094dc: 14005915 stw r16,356(r2) +811094e0: 003eec06 br 81109094 <__reset+0xfb0e9094> +811094e4: 9080ab17 ldw r2,684(r18) +811094e8: 843fffcc andi r16,r16,65535 +811094ec: 14005815 stw r16,352(r2) +811094f0: 003ee806 br 81109094 <__reset+0xfb0e9094> +811094f4: 9080ab17 ldw r2,684(r18) +811094f8: 843fffcc andi r16,r16,65535 +811094fc: 14005715 stw r16,348(r2) +81109500: 003ee406 br 81109094 <__reset+0xfb0e9094> +81109504: 9080ab17 ldw r2,684(r18) +81109508: 843fffcc andi r16,r16,65535 +8110950c: 14005615 stw r16,344(r2) +81109510: 003ee006 br 81109094 <__reset+0xfb0e9094> +81109514: 9080ab17 ldw r2,684(r18) +81109518: 843fffcc andi r16,r16,65535 +8110951c: 14005515 stw r16,340(r2) +81109520: 003edc06 br 81109094 <__reset+0xfb0e9094> +81109524: 00a04634 movhi r2,33048 +81109528: 108f1904 addi r2,r2,15460 +8110952c: 10800803 ldbu r2,32(r2) +81109530: 00c00104 movi r3,4 +81109534: 18bed736 bltu r3,r2,81109094 <__reset+0xfb0e9094> +81109538: 91800003 ldbu r6,0(r18) +8110953c: d1207917 ldw r4,-32284(gp) +81109540: 01604574 movhi r5,33045 +81109544: 29621504 addi r5,r5,-30636 +81109548: 99ffffcc andi r7,r19,65535 +8110954c: 112b6200 call 8112b620 +81109550: 003ed006 br 81109094 <__reset+0xfb0e9094> + +81109554 : +81109554: 00894eb4 movhi r2,9530 +81109558: deffd904 addi sp,sp,-156 +8110955c: 10918844 addi r2,r2,17953 +81109560: d8802115 stw r2,132(sp) +81109564: 008e9d74 movhi r2,14965 +81109568: dc402515 stw r17,148(sp) +8110956c: dc002415 stw r16,144(sp) +81109570: 2023883a mov r17,r4 +81109574: 2821883a mov r16,r5 +81109578: 01801f04 movi r6,124 +8110957c: 000b883a mov r5,zero +81109580: d9000204 addi r4,sp,8 +81109584: 109a1a04 addi r2,r2,26728 +81109588: dfc02615 stw ra,152(sp) +8110958c: d8802215 stw r2,136(sp) +81109590: d8000115 stw zero,4(sp) +81109594: 112c7d00 call 8112c7d0 +81109598: 01604574 movhi r5,33045 +8110959c: 843fffcc andi r16,r16,65535 +811095a0: 29622104 addi r5,r5,-30588 +811095a4: 89c03fcc andi r7,r17,255 +811095a8: d9802104 addi r6,sp,132 +811095ac: d9000104 addi r4,sp,4 +811095b0: dc000015 stw r16,0(sp) +811095b4: 112d6b80 call 8112d6b8 +811095b8: d1204017 ldw r4,-32512(gp) +811095bc: d9802304 addi r6,sp,140 +811095c0: 000b883a mov r5,zero +811095c4: 11424880 call 81142488 +811095c8: d8802303 ldbu r2,140(sp) +811095cc: 10001d1e bne r2,zero,81109644 +811095d0: 00e045f4 movhi r3,33047 +811095d4: 18e77704 addi r3,r3,-25124 +811095d8: 01400604 movi r5,24 +811095dc: 00000206 br 811095e8 +811095e0: 10800044 addi r2,r2,1 +811095e4: 11401026 beq r2,r5,81109628 +811095e8: 19000017 ldw r4,0(r3) +811095ec: 18c06504 addi r3,r3,404 +811095f0: 203ffb1e bne r4,zero,811095e0 <__reset+0xfb0e95e0> +811095f4: 14006524 muli r16,r2,404 +811095f8: 046045f4 movhi r17,33047 +811095fc: 8c6776c4 addi r17,r17,-25125 +81109600: 81000404 addi r4,r16,16 +81109604: 01802004 movi r6,128 +81109608: d9400104 addi r5,sp,4 +8110960c: 8909883a add r4,r17,r4 +81109610: 112c6880 call 8112c688 +81109614: 88bfff44 addi r2,r17,-3 +81109618: 1405883a add r2,r2,r16 +8110961c: 00c00044 movi r3,1 +81109620: 10c00115 stw r3,4(r2) +81109624: 10000015 stw zero,0(r2) +81109628: d1204017 ldw r4,-32512(gp) +8110962c: 114278c0 call 8114278c +81109630: dfc02617 ldw ra,152(sp) +81109634: dc402517 ldw r17,148(sp) +81109638: dc002417 ldw r16,144(sp) +8110963c: dec02704 addi sp,sp,156 +81109640: f800283a ret +81109644: 11246ec0 call 811246ec +81109648: 003ff906 br 81109630 <__reset+0xfb0e9630> + +8110964c : +8110964c: 21003fcc andi r4,r4,255 +81109650: 00800144 movi r2,5 +81109654: 11002336 bltu r2,r4,811096e4 +81109658: 200890ba slli r4,r4,2 +8110965c: 00a04474 movhi r2,33041 +81109660: 10a5a204 addi r2,r2,-27000 +81109664: 2089883a add r4,r4,r2 +81109668: 20800017 ldw r2,0(r4) +8110966c: defffd04 addi sp,sp,-12 +81109670: dc400115 stw r17,4(sp) +81109674: dc000015 stw r16,0(sp) +81109678: dfc00215 stw ra,8(sp) +8110967c: 040002c4 movi r16,11 +81109680: 04400044 movi r17,1 +81109684: 1000683a jmp r2 +81109688: 811097dc xori r4,r16,16991 +8110968c: 811097a4 muli r4,r16,16990 +81109690: 8110976c andhi r4,r16,16989 +81109694: 81109734 orhi r4,r16,16988 +81109698: 811096fc xorhi r4,r16,16987 +8110969c: 811096b4 orhi r4,r16,16986 +811096a0: 01c00044 movi r7,1 +811096a4: 000d883a mov r6,zero +811096a8: 000b883a mov r5,zero +811096ac: 0009883a mov r4,zero +811096b0: 11447cc0 call 811447cc +811096b4: 1103ab80 call 81103ab8 +811096b8: 14400226 beq r2,r17,811096c4 +811096bc: 1103ac80 call 81103ac8 +811096c0: 1440041e bne r2,r17,811096d4 +811096c4: 80bfffc4 addi r2,r16,-1 +811096c8: 10c03fcc andi r3,r2,255 +811096cc: 1021883a mov r16,r2 +811096d0: 183ff31e bne r3,zero,811096a0 <__reset+0xfb0e96a0> +811096d4: dfc00217 ldw ra,8(sp) +811096d8: dc400117 ldw r17,4(sp) +811096dc: dc000017 ldw r16,0(sp) +811096e0: dec00304 addi sp,sp,12 +811096e4: f800283a ret +811096e8: 01c00044 movi r7,1 +811096ec: 000d883a mov r6,zero +811096f0: 000b883a mov r5,zero +811096f4: 0009883a mov r4,zero +811096f8: 11447cc0 call 811447cc +811096fc: 1103a980 call 81103a98 +81109700: 14400226 beq r2,r17,8110970c +81109704: 1103aa80 call 81103aa8 +81109708: 147ff21e bne r2,r17,811096d4 <__reset+0xfb0e96d4> +8110970c: 80bfffc4 addi r2,r16,-1 +81109710: 10c03fcc andi r3,r2,255 +81109714: 1021883a mov r16,r2 +81109718: 183ff31e bne r3,zero,811096e8 <__reset+0xfb0e96e8> +8110971c: 003fed06 br 811096d4 <__reset+0xfb0e96d4> +81109720: 01c00044 movi r7,1 +81109724: 000d883a mov r6,zero +81109728: 000b883a mov r5,zero +8110972c: 0009883a mov r4,zero +81109730: 11447cc0 call 811447cc +81109734: 1103a780 call 81103a78 +81109738: 14400226 beq r2,r17,81109744 +8110973c: 1103a880 call 81103a88 +81109740: 147fe41e bne r2,r17,811096d4 <__reset+0xfb0e96d4> +81109744: 80bfffc4 addi r2,r16,-1 +81109748: 10c03fcc andi r3,r2,255 +8110974c: 1021883a mov r16,r2 +81109750: 183ff31e bne r3,zero,81109720 <__reset+0xfb0e9720> +81109754: 003fdf06 br 811096d4 <__reset+0xfb0e96d4> +81109758: 01c00044 movi r7,1 +8110975c: 000d883a mov r6,zero +81109760: 000b883a mov r5,zero +81109764: 0009883a mov r4,zero +81109768: 11447cc0 call 811447cc +8110976c: 1103a580 call 81103a58 +81109770: 14400226 beq r2,r17,8110977c +81109774: 1103a680 call 81103a68 +81109778: 147fd61e bne r2,r17,811096d4 <__reset+0xfb0e96d4> +8110977c: 80bfffc4 addi r2,r16,-1 +81109780: 10c03fcc andi r3,r2,255 +81109784: 1021883a mov r16,r2 +81109788: 183ff31e bne r3,zero,81109758 <__reset+0xfb0e9758> +8110978c: 003fd106 br 811096d4 <__reset+0xfb0e96d4> +81109790: 01c00044 movi r7,1 +81109794: 000d883a mov r6,zero +81109798: 000b883a mov r5,zero +8110979c: 0009883a mov r4,zero +811097a0: 11447cc0 call 811447cc +811097a4: 1103a380 call 81103a38 +811097a8: 14400226 beq r2,r17,811097b4 +811097ac: 1103a480 call 81103a48 +811097b0: 147fc81e bne r2,r17,811096d4 <__reset+0xfb0e96d4> +811097b4: 80bfffc4 addi r2,r16,-1 +811097b8: 10c03fcc andi r3,r2,255 +811097bc: 1021883a mov r16,r2 +811097c0: 183ff31e bne r3,zero,81109790 <__reset+0xfb0e9790> +811097c4: 003fc306 br 811096d4 <__reset+0xfb0e96d4> +811097c8: 01c00044 movi r7,1 +811097cc: 000d883a mov r6,zero +811097d0: 000b883a mov r5,zero +811097d4: 0009883a mov r4,zero +811097d8: 11447cc0 call 811447cc +811097dc: 1103a180 call 81103a18 +811097e0: 14400226 beq r2,r17,811097ec +811097e4: 1103a280 call 81103a28 +811097e8: 147fba1e bne r2,r17,811096d4 <__reset+0xfb0e96d4> +811097ec: 80bfffc4 addi r2,r16,-1 +811097f0: 10c03fcc andi r3,r2,255 +811097f4: 1021883a mov r16,r2 +811097f8: 183ff31e bne r3,zero,811097c8 <__reset+0xfb0e97c8> +811097fc: 003fb506 br 811096d4 <__reset+0xfb0e96d4> + +81109800 : +81109800: 21003fcc andi r4,r4,255 +81109804: 008001c4 movi r2,7 +81109808: 11000736 bltu r2,r4,81109828 +8110980c: 2109883a add r4,r4,r4 +81109810: 00a04574 movhi r2,33045 +81109814: 10a63b04 addi r2,r2,-26388 +81109818: 2109883a add r4,r4,r4 +8110981c: 1109883a add r4,r2,r4 +81109820: 20800017 ldw r2,0(r4) +81109824: f800283a ret +81109828: 00aaaa94 movui r2,43690 +8110982c: f800283a ret + +81109830 : +81109830: 21003fcc andi r4,r4,255 +81109834: 008001c4 movi r2,7 +81109838: 11000736 bltu r2,r4,81109858 +8110983c: 2109883a add r4,r4,r4 +81109840: 00a04574 movhi r2,33045 +81109844: 10a63304 addi r2,r2,-26420 +81109848: 2109883a add r4,r4,r4 +8110984c: 1109883a add r4,r2,r4 +81109850: 20800017 ldw r2,0(r4) +81109854: f800283a ret +81109858: 00955544 movi r2,21845 +8110985c: f800283a ret + +81109860 : +81109860: defff704 addi sp,sp,-36 +81109864: 20c00017 ldw r3,0(r4) +81109868: d8800f17 ldw r2,60(sp) +8110986c: dcc00415 stw r19,16(sp) +81109870: dc800315 stw r18,12(sp) +81109874: dcc01117 ldw r19,68(sp) +81109878: dc801217 ldw r18,72(sp) +8110987c: dd400615 stw r21,24(sp) +81109880: dc000115 stw r16,4(sp) +81109884: dfc00815 stw ra,32(sp) +81109888: dd800715 stw r22,28(sp) +8110988c: dd000515 stw r20,20(sp) +81109890: dc400215 stw r17,8(sp) +81109894: 1885883a add r2,r3,r2 +81109898: 20000115 stw zero,4(r4) +8110989c: 20800215 stw r2,8(r4) +811098a0: 382b883a mov r21,r7 +811098a4: 2021883a mov r16,r4 +811098a8: d9c00917 ldw r7,36(sp) +811098ac: 94c03a2e bgeu r18,r19,81109998 +811098b0: 9029883a mov r20,r18 +811098b4: 29403fcc andi r5,r5,255 +811098b8: a8c00043 ldbu r3,1(r21) +811098bc: 3c403fcc andi r17,r7,255 +811098c0: a1bfffcc andi r6,r20,65535 +811098c4: 2800121e bne r5,zero,81109910 +811098c8: d8c00015 stw r3,0(sp) +811098cc: 880f883a mov r7,r17 +811098d0: 318d883a add r6,r6,r6 +811098d4: 100b883a mov r5,r2 +811098d8: 0009883a mov r4,zero +811098dc: 1101ed80 call 81101ed8 +811098e0: 00c00044 movi r3,1 +811098e4: 10c02e26 beq r2,r3,811099a0 +811098e8: dfc00817 ldw ra,32(sp) +811098ec: dd800717 ldw r22,28(sp) +811098f0: dd400617 ldw r21,24(sp) +811098f4: dd000517 ldw r20,20(sp) +811098f8: dcc00417 ldw r19,16(sp) +811098fc: dc800317 ldw r18,12(sp) +81109900: dc400217 ldw r17,8(sp) +81109904: dc000117 ldw r16,4(sp) +81109908: dec00904 addi sp,sp,36 +8110990c: f800283a ret +81109910: 05800044 movi r22,1 +81109914: d8c00015 stw r3,0(sp) +81109918: 880f883a mov r7,r17 +8110991c: 318d883a add r6,r6,r6 +81109920: 100b883a mov r5,r2 +81109924: b009883a mov r4,r22 +81109928: 1101ed80 call 81101ed8 +8110992c: 15bfee1e bne r2,r22,811098e8 <__reset+0xfb0e98e8> +81109930: 80800117 ldw r2,4(r16) +81109934: 80c00217 ldw r3,8(r16) +81109938: a1402224 muli r5,r20,136 +8110993c: a0a9883a add r20,r20,r2 +81109940: 85000115 stw r20,4(r16) +81109944: 28cb883a add r5,r5,r3 +81109948: 81400215 stw r5,8(r16) +8110994c: a485883a add r2,r20,r18 +81109950: 14c0272e bgeu r2,r19,811099f0 +81109954: a8800043 ldbu r2,1(r21) +81109958: 04c00044 movi r19,1 +8110995c: 91bfffcc andi r6,r18,65535 +81109960: d8800015 stw r2,0(sp) +81109964: 880f883a mov r7,r17 +81109968: 318d883a add r6,r6,r6 +8110996c: 9809883a mov r4,r19 +81109970: 1101ed80 call 81101ed8 +81109974: 14ffdc1e bne r2,r19,811098e8 <__reset+0xfb0e98e8> +81109978: 80c00117 ldw r3,4(r16) +8110997c: 81400217 ldw r5,8(r16) +81109980: 91002224 muli r4,r18,136 +81109984: 1ca5883a add r18,r3,r18 +81109988: 84800115 stw r18,4(r16) +8110998c: 2907883a add r3,r5,r4 +81109990: 80c00215 stw r3,8(r16) +81109994: 003fd406 br 811098e8 <__reset+0xfb0e98e8> +81109998: 9829883a mov r20,r19 +8110999c: 003fc506 br 811098b4 <__reset+0xfb0e98b4> +811099a0: 80800117 ldw r2,4(r16) +811099a4: 80c00217 ldw r3,8(r16) +811099a8: a1402224 muli r5,r20,136 +811099ac: a0a9883a add r20,r20,r2 +811099b0: 85000115 stw r20,4(r16) +811099b4: 28cb883a add r5,r5,r3 +811099b8: 81400215 stw r5,8(r16) +811099bc: a485883a add r2,r20,r18 +811099c0: 14c00136 bltu r2,r19,811099c8 +811099c4: 9d25c83a sub r18,r19,r20 +811099c8: a8800043 ldbu r2,1(r21) +811099cc: 91bfffcc andi r6,r18,65535 +811099d0: 880f883a mov r7,r17 +811099d4: d8800015 stw r2,0(sp) +811099d8: 318d883a add r6,r6,r6 +811099dc: 0009883a mov r4,zero +811099e0: 1101ed80 call 81101ed8 +811099e4: 00c00044 movi r3,1 +811099e8: 10ffbf1e bne r2,r3,811098e8 <__reset+0xfb0e98e8> +811099ec: 003fe206 br 81109978 <__reset+0xfb0e9978> +811099f0: 9d25c83a sub r18,r19,r20 +811099f4: 003fd706 br 81109954 <__reset+0xfb0e9954> + +811099f8 : +811099f8: 21003fcc andi r4,r4,255 +811099fc: 2004943a slli r2,r4,16 +81109a00: 29403fcc andi r5,r5,255 +81109a04: 280a923a slli r5,r5,8 +81109a08: defffe04 addi sp,sp,-8 +81109a0c: 10840034 orhi r2,r2,4096 +81109a10: d1208b17 ldw r4,-32212(gp) +81109a14: dc000015 stw r16,0(sp) +81109a18: 114ab03a or r5,r2,r5 +81109a1c: 34003fcc andi r16,r6,255 +81109a20: 2c0ab03a or r5,r5,r16 +81109a24: dfc00115 stw ra,4(sp) +81109a28: 11430640 call 81143064 +81109a2c: 10803fcc andi r2,r2,255 +81109a30: 1000051e bne r2,zero,81109a48 +81109a34: 00800044 movi r2,1 +81109a38: dfc00117 ldw ra,4(sp) +81109a3c: dc000017 ldw r16,0(sp) +81109a40: dec00204 addi sp,sp,8 +81109a44: f800283a ret +81109a48: 8009883a mov r4,r16 +81109a4c: 11256840 call 81125684 +81109a50: 0005883a mov r2,zero +81109a54: dfc00117 ldw ra,4(sp) +81109a58: dc000017 ldw r16,0(sp) +81109a5c: dec00204 addi sp,sp,8 +81109a60: f800283a ret + +81109a64 : +81109a64: 21003fcc andi r4,r4,255 +81109a68: 2006943a slli r3,r4,16 +81109a6c: 29403fcc andi r5,r5,255 +81109a70: 2804923a slli r2,r5,8 +81109a74: 18c04034 orhi r3,r3,256 +81109a78: d1208217 ldw r4,-32248(gp) +81109a7c: 31403fcc andi r5,r6,255 +81109a80: 1884b03a or r2,r3,r2 +81109a84: deffff04 addi sp,sp,-4 +81109a88: 114ab03a or r5,r2,r5 +81109a8c: dfc00015 stw ra,0(sp) +81109a90: 1142f980 call 81142f98 +81109a94: 10803fcc andi r2,r2,255 +81109a98: 1000041e bne r2,zero,81109aac +81109a9c: 00800044 movi r2,1 +81109aa0: dfc00017 ldw ra,0(sp) +81109aa4: dec00104 addi sp,sp,4 +81109aa8: f800283a ret +81109aac: 11256b40 call 811256b4 +81109ab0: 0005883a mov r2,zero +81109ab4: dfc00017 ldw ra,0(sp) +81109ab8: dec00104 addi sp,sp,4 +81109abc: f800283a ret + +81109ac0 : +81109ac0: 21003fcc andi r4,r4,255 +81109ac4: 2004943a slli r2,r4,16 +81109ac8: 29403fcc andi r5,r5,255 +81109acc: 280a923a slli r5,r5,8 +81109ad0: defffe04 addi sp,sp,-8 +81109ad4: 10840034 orhi r2,r2,4096 +81109ad8: d1208b17 ldw r4,-32212(gp) +81109adc: dc000015 stw r16,0(sp) +81109ae0: 114ab03a or r5,r2,r5 +81109ae4: 34003fcc andi r16,r6,255 +81109ae8: 2c0ab03a or r5,r5,r16 +81109aec: dfc00115 stw ra,4(sp) +81109af0: 1142f980 call 81142f98 +81109af4: 10803fcc andi r2,r2,255 +81109af8: 1000051e bne r2,zero,81109b10 +81109afc: 00800044 movi r2,1 +81109b00: dfc00117 ldw ra,4(sp) +81109b04: dc000017 ldw r16,0(sp) +81109b08: dec00204 addi sp,sp,8 +81109b0c: f800283a ret +81109b10: 8009883a mov r4,r16 +81109b14: 11256840 call 81125684 +81109b18: 0005883a mov r2,zero +81109b1c: dfc00117 ldw ra,4(sp) +81109b20: dc000017 ldw r16,0(sp) +81109b24: dec00204 addi sp,sp,8 +81109b28: f800283a ret + +81109b2c : +81109b2c: 21800003 ldbu r6,0(r4) +81109b30: 2806d63a srli r3,r5,24 +81109b34: 30800444 addi r2,r6,17 +81109b38: 18800a26 beq r3,r2,81109b64 +81109b3c: 00a04634 movhi r2,33048 +81109b40: 108f1904 addi r2,r2,15460 +81109b44: 10800803 ldbu r2,32(r2) +81109b48: 00c001c4 movi r3,7 +81109b4c: 1880012e bgeu r3,r2,81109b54 +81109b50: f800283a ret +81109b54: d1207917 ldw r4,-32284(gp) +81109b58: 01604574 movhi r5,33045 +81109b5c: 2962d304 addi r5,r5,-29876 +81109b60: 112b6201 jmpi 8112b620 +81109b64: 280ed43a srli r7,r5,16 +81109b68: 00802844 movi r2,161 +81109b6c: 39c03fcc andi r7,r7,255 +81109b70: 38807726 beq r7,r2,81109d50 +81109b74: 11c01236 bltu r2,r7,81109bc0 +81109b78: 00800304 movi r2,12 +81109b7c: 11c01f36 bltu r2,r7,81109bfc +81109b80: 00800144 movi r2,5 +81109b84: 38808a2e bgeu r7,r2,81109db0 +81109b88: 00800044 movi r2,1 +81109b8c: 38807026 beq r7,r2,81109d50 +81109b90: 38007e26 beq r7,zero,81109d8c +81109b94: 008000c4 movi r2,3 +81109b98: 11c07c36 bltu r2,r7,81109d8c +81109b9c: 00c00304 movi r3,12 +81109ba0: 00800044 movi r2,1 +81109ba4: 20c03315 stw r3,204(r4) +81109ba8: 00c00344 movi r3,13 +81109bac: 20002b15 stw zero,172(r4) +81109bb0: 20803415 stw r2,208(r4) +81109bb4: 20c03515 stw r3,212(r4) +81109bb8: 20803115 stw r2,196(r4) +81109bbc: f800283a ret +81109bc0: 00803884 movi r2,226 +81109bc4: 11c06b2e bgeu r2,r7,81109d74 +81109bc8: 00803a04 movi r2,232 +81109bcc: 38801b26 beq r7,r2,81109c3c +81109bd0: 00803c04 movi r2,240 +81109bd4: 38807f1e bne r7,r2,81109dd4 +81109bd8: 00a04634 movhi r2,33048 +81109bdc: 108f1904 addi r2,r2,15460 +81109be0: 10800803 ldbu r2,32(r2) +81109be4: 00c00104 movi r3,4 +81109be8: 18bfd936 bltu r3,r2,81109b50 <__reset+0xfb0e9b50> +81109bec: d1207917 ldw r4,-32284(gp) +81109bf0: 01604574 movhi r5,33045 +81109bf4: 29623104 addi r5,r5,-30524 +81109bf8: 112b6201 jmpi 8112b620 +81109bfc: 00801d84 movi r2,118 +81109c00: 38800726 beq r7,r2,81109c20 +81109c04: 008023c4 movi r2,143 +81109c08: 3880601e bne r7,r2,81109d8c +81109c0c: 280ad23a srli r5,r5,8 +81109c10: 20002615 stw zero,152(r4) +81109c14: 01002044 movi r4,129 +81109c18: 29403fcc andi r5,r5,255 +81109c1c: 1109ac01 jmpi 81109ac0 +81109c20: 29403fcc andi r5,r5,255 +81109c24: 28006e26 beq r5,zero,81109de0 +81109c28: 00800044 movi r2,1 +81109c2c: 28806e26 beq r5,r2,81109de8 +81109c30: 00800084 movi r2,2 +81109c34: 20804915 stw r2,292(r4) +81109c38: f800283a ret +81109c3c: 20803517 ldw r2,212(r4) +81109c40: 20c03417 ldw r3,208(r4) +81109c44: 10ffc226 beq r2,r3,81109b50 <__reset+0xfb0e9b50> +81109c48: 20803115 stw r2,196(r4) +81109c4c: 00c00344 movi r3,13 +81109c50: 10ffbf1e bne r2,r3,81109b50 <__reset+0xfb0e9b50> +81109c54: defffb04 addi sp,sp,-20 +81109c58: dc400115 stw r17,4(sp) +81109c5c: 2440b304 addi r17,r4,716 +81109c60: dc000015 stw r16,0(sp) +81109c64: 2021883a mov r16,r4 +81109c68: 8809883a mov r4,r17 +81109c6c: dfc00415 stw ra,16(sp) +81109c70: dcc00315 stw r19,12(sp) +81109c74: dc800215 stw r18,8(sp) +81109c78: 11023980 call 81102398 +81109c7c: 00800044 movi r2,1 +81109c80: 8080c315 stw r2,780(r16) +81109c84: 8809883a mov r4,r17 +81109c88: 11023600 call 81102360 +81109c8c: 80812217 ldw r2,1160(r16) +81109c90: 10000a1e bne r2,zero,81109cbc +81109c94: 80812317 ldw r2,1164(r16) +81109c98: 1000081e bne r2,zero,81109cbc +81109c9c: 80812617 ldw r2,1176(r16) +81109ca0: 1000061e bne r2,zero,81109cbc +81109ca4: 80812417 ldw r2,1168(r16) +81109ca8: 1000041e bne r2,zero,81109cbc +81109cac: 80812717 ldw r2,1180(r16) +81109cb0: 1000021e bne r2,zero,81109cbc +81109cb4: 80812517 ldw r2,1172(r16) +81109cb8: 10001526 beq r2,zero,81109d10 +81109cbc: 84812217 ldw r18,1160(r16) +81109cc0: 00800044 movi r2,1 +81109cc4: 9080d426 beq r18,r2,8110a018 +81109cc8: 84812317 ldw r18,1164(r16) +81109ccc: 00800044 movi r2,1 +81109cd0: 9080c726 beq r18,r2,81109ff0 +81109cd4: 84c12617 ldw r19,1176(r16) +81109cd8: 00800044 movi r2,1 +81109cdc: 9880ac26 beq r19,r2,81109f90 +81109ce0: 80c12717 ldw r3,1180(r16) +81109ce4: 00800044 movi r2,1 +81109ce8: 18809e26 beq r3,r2,81109f64 +81109cec: 80c12417 ldw r3,1168(r16) +81109cf0: 00800044 movi r2,1 +81109cf4: 18807026 beq r3,r2,81109eb8 +81109cf8: 80c12517 ldw r3,1172(r16) +81109cfc: 00800044 movi r2,1 +81109d00: 1880031e bne r3,r2,81109d10 +81109d04: 80012515 stw zero,1172(r16) +81109d08: 80813317 ldw r2,1228(r16) +81109d0c: 80800c15 stw r2,48(r16) +81109d10: 84842d17 ldw r18,4276(r16) +81109d14: 00800044 movi r2,1 +81109d18: 90804426 beq r18,r2,81109e2c +81109d1c: 84842e17 ldw r18,4280(r16) +81109d20: 00800044 movi r2,1 +81109d24: 90803226 beq r18,r2,81109df0 +81109d28: 80c1ab17 ldw r3,1708(r16) +81109d2c: 00800044 movi r2,1 +81109d30: 18805226 beq r3,r2,81109e7c +81109d34: dfc00417 ldw ra,16(sp) +81109d38: dcc00317 ldw r19,12(sp) +81109d3c: dc800217 ldw r18,8(sp) +81109d40: dc400117 ldw r17,4(sp) +81109d44: dc000017 ldw r16,0(sp) +81109d48: dec00504 addi sp,sp,20 +81109d4c: 003f8006 br 81109b50 <__reset+0xfb0e9b50> +81109d50: 00a04634 movhi r2,33048 +81109d54: 108f1904 addi r2,r2,15460 +81109d58: 10800803 ldbu r2,32(r2) +81109d5c: 00c00104 movi r3,4 +81109d60: 18bf7b36 bltu r3,r2,81109b50 <__reset+0xfb0e9b50> +81109d64: d1207917 ldw r4,-32284(gp) +81109d68: 01604574 movhi r5,33045 +81109d6c: 29622404 addi r5,r5,-30576 +81109d70: 112b6201 jmpi 8112b620 +81109d74: 00803804 movi r2,224 +81109d78: 38bf752e bgeu r7,r2,81109b50 <__reset+0xfb0e9b50> +81109d7c: 00802884 movi r2,162 +81109d80: 38bf8626 beq r7,r2,81109b9c <__reset+0xfb0e9b9c> +81109d84: 008028c4 movi r2,163 +81109d88: 38bf7126 beq r7,r2,81109b50 <__reset+0xfb0e9b50> +81109d8c: 00a04634 movhi r2,33048 +81109d90: 108f1904 addi r2,r2,15460 +81109d94: 10800803 ldbu r2,32(r2) +81109d98: 00c001c4 movi r3,7 +81109d9c: 18bf6c36 bltu r3,r2,81109b50 <__reset+0xfb0e9b50> +81109da0: d1207917 ldw r4,-32284(gp) +81109da4: 01604574 movhi r5,33045 +81109da8: 2962c104 addi r5,r5,-29948 +81109dac: 112b6201 jmpi 8112b620 +81109db0: 00a04634 movhi r2,33048 +81109db4: 108f1904 addi r2,r2,15460 +81109db8: 10800803 ldbu r2,32(r2) +81109dbc: 00c001c4 movi r3,7 +81109dc0: 18bf6336 bltu r3,r2,81109b50 <__reset+0xfb0e9b50> +81109dc4: d1207917 ldw r4,-32284(gp) +81109dc8: 01604574 movhi r5,33045 +81109dcc: 2962ae04 addi r5,r5,-30024 +81109dd0: 112b6201 jmpi 8112b620 +81109dd4: 00803904 movi r2,228 +81109dd8: 38bfec1e bne r7,r2,81109d8c <__reset+0xfb0e9d8c> +81109ddc: f800283a ret +81109de0: 20004915 stw zero,292(r4) +81109de4: f800283a ret +81109de8: 21404915 stw r5,292(r4) +81109dec: f800283a ret +81109df0: 8809883a mov r4,r17 +81109df4: 11027800 call 81102780 +81109df8: 8140fe17 ldw r5,1016(r16) +81109dfc: 2c80a326 beq r5,r18,8110a08c +81109e00: 01400044 movi r5,1 +81109e04: 8809883a mov r4,r17 +81109e08: 1102a080 call 81102a08 +81109e0c: 10003426 beq r2,zero,81109ee0 +81109e10: 00a04634 movhi r2,33048 +81109e14: 108f1904 addi r2,r2,15460 +81109e18: 10800803 ldbu r2,32(r2) +81109e1c: 00c001c4 movi r3,7 +81109e20: 18809f2e bgeu r3,r2,8110a0a0 +81109e24: 80042e15 stw zero,4280(r16) +81109e28: 003fbf06 br 81109d28 <__reset+0xfb0e9d28> +81109e2c: 8809883a mov r4,r17 +81109e30: 11027100 call 81102710 +81109e34: 8080ef17 ldw r2,956(r16) +81109e38: 14809026 beq r2,r18,8110a07c +81109e3c: 000b883a mov r5,zero +81109e40: 8809883a mov r4,r17 +81109e44: 1102a080 call 81102a08 +81109e48: 10003b26 beq r2,zero,81109f38 +81109e4c: 00a04634 movhi r2,33048 +81109e50: 108f1904 addi r2,r2,15460 +81109e54: 10800803 ldbu r2,32(r2) +81109e58: 00c001c4 movi r3,7 +81109e5c: 18800536 bltu r3,r2,81109e74 +81109e60: 81800003 ldbu r6,0(r16) +81109e64: d1207917 ldw r4,-32284(gp) +81109e68: 01604574 movhi r5,33045 +81109e6c: 29624204 addi r5,r5,-30456 +81109e70: 112b6200 call 8112b620 +81109e74: 80042d15 stw zero,4276(r16) +81109e78: 003fa806 br 81109d1c <__reset+0xfb0e9d1c> +81109e7c: 8809883a mov r4,r17 +81109e80: 1102d340 call 81102d34 +81109e84: 10002126 beq r2,zero,81109f0c +81109e88: 00a04634 movhi r2,33048 +81109e8c: 108f1904 addi r2,r2,15460 +81109e90: 10800803 ldbu r2,32(r2) +81109e94: 00c001c4 movi r3,7 +81109e98: 18800536 bltu r3,r2,81109eb0 +81109e9c: 81800003 ldbu r6,0(r16) +81109ea0: d1207917 ldw r4,-32284(gp) +81109ea4: 01604574 movhi r5,33045 +81109ea8: 29628e04 addi r5,r5,-30152 +81109eac: 112b6200 call 8112b620 +81109eb0: 8001ab15 stw zero,1708(r16) +81109eb4: 003f9f06 br 81109d34 <__reset+0xfb0e9d34> +81109eb8: 80012415 stw zero,1168(r16) +81109ebc: 81415543 ldbu r5,1365(r16) +81109ec0: 81015583 ldbu r4,1366(r16) +81109ec4: 80c155c3 ldbu r3,1367(r16) +81109ec8: 80815603 ldbu r2,1368(r16) +81109ecc: 81402e45 stb r5,185(r16) +81109ed0: 81002e85 stb r4,186(r16) +81109ed4: 80c02ec5 stb r3,187(r16) +81109ed8: 80802f05 stb r2,188(r16) +81109edc: 003f8606 br 81109cf8 <__reset+0xfb0e9cf8> +81109ee0: 00a04634 movhi r2,33048 +81109ee4: 108f1904 addi r2,r2,15460 +81109ee8: 10800803 ldbu r2,32(r2) +81109eec: 00c001c4 movi r3,7 +81109ef0: 18bfcc36 bltu r3,r2,81109e24 <__reset+0xfb0e9e24> +81109ef4: 81800003 ldbu r6,0(r16) +81109ef8: d1207917 ldw r4,-32284(gp) +81109efc: 01604574 movhi r5,33045 +81109f00: 29627a04 addi r5,r5,-30232 +81109f04: 112b6200 call 8112b620 +81109f08: 003fc606 br 81109e24 <__reset+0xfb0e9e24> +81109f0c: 00a04634 movhi r2,33048 +81109f10: 108f1904 addi r2,r2,15460 +81109f14: 10800803 ldbu r2,32(r2) +81109f18: 00c001c4 movi r3,7 +81109f1c: 18bfe436 bltu r3,r2,81109eb0 <__reset+0xfb0e9eb0> +81109f20: 81800003 ldbu r6,0(r16) +81109f24: d1207917 ldw r4,-32284(gp) +81109f28: 01604574 movhi r5,33045 +81109f2c: 29629d04 addi r5,r5,-30092 +81109f30: 112b6200 call 8112b620 +81109f34: 003fde06 br 81109eb0 <__reset+0xfb0e9eb0> +81109f38: 00a04634 movhi r2,33048 +81109f3c: 108f1904 addi r2,r2,15460 +81109f40: 10800803 ldbu r2,32(r2) +81109f44: 00c001c4 movi r3,7 +81109f48: 18bfca36 bltu r3,r2,81109e74 <__reset+0xfb0e9e74> +81109f4c: 81800003 ldbu r6,0(r16) +81109f50: d1207917 ldw r4,-32284(gp) +81109f54: 01604574 movhi r5,33045 +81109f58: 29625404 addi r5,r5,-30384 +81109f5c: 112b6200 call 8112b620 +81109f60: 003fc406 br 81109e74 <__reset+0xfb0e9e74> +81109f64: 84806404 addi r18,r16,400 +81109f68: 9009883a mov r4,r18 +81109f6c: 80012715 stw zero,1180(r16) +81109f70: 1103bd00 call 81103bd0 +81109f74: 80c17217 ldw r3,1480(r16) +81109f78: 80817317 ldw r2,1484(r16) +81109f7c: 9009883a mov r4,r18 +81109f80: 80c06b15 stw r3,428(r16) +81109f84: 80806c15 stw r2,432(r16) +81109f88: 1103c380 call 81103c38 +81109f8c: 003f5706 br 81109cec <__reset+0xfb0e9cec> +81109f90: 8809883a mov r4,r17 +81109f94: 80012615 stw zero,1176(r16) +81109f98: 11023980 call 81102398 +81109f9c: 8081710b ldhu r2,1476(r16) +81109fa0: 8809883a mov r4,r17 +81109fa4: 84806404 addi r18,r16,400 +81109fa8: 10bfffcc andi r2,r2,65535 +81109fac: 8080c015 stw r2,768(r16) +81109fb0: 11023600 call 81102360 +81109fb4: 81c1710b ldhu r7,1476(r16) +81109fb8: 018006f4 movhi r6,27 +81109fbc: 9009883a mov r4,r18 +81109fc0: 31b85204 addi r6,r6,-7864 +81109fc4: 000b883a mov r5,zero +81109fc8: 39ffffcc andi r7,r7,65535 +81109fcc: 1103d640 call 81103d64 +81109fd0: 81c1710b ldhu r7,1476(r16) +81109fd4: 018006f4 movhi r6,27 +81109fd8: 31b85204 addi r6,r6,-7864 +81109fdc: 980b883a mov r5,r19 +81109fe0: 39ffffcc andi r7,r7,65535 +81109fe4: 9009883a mov r4,r18 +81109fe8: 1103d640 call 81103d64 +81109fec: 003f3c06 br 81109ce0 <__reset+0xfb0e9ce0> 81109ff0: 8809883a mov r4,r17 -81109ff4: 80c00915 stw r3,36(r16) -81109ff8: 80800a15 stw r2,40(r16) -81109ffc: 11023980 call 81102398 -8110a000: 81413017 ldw r5,1216(r16) -8110a004: 81013117 ldw r4,1220(r16) -8110a008: 80813117 ldw r2,1220(r16) -8110a00c: 80c04c0b ldhu r3,304(r16) -8110a010: 8140b815 stw r5,736(r16) -8110a014: 8100b915 stw r4,740(r16) -8110a018: 10800044 addi r2,r2,1 -8110a01c: 1880192e bgeu r3,r2,8110a084 +81109ff4: 11023980 call 81102398 +81109ff8: 80012315 stw zero,1164(r16) +81109ffc: 80817417 ldw r2,1488(r16) +8110a000: 14802526 beq r2,r18,8110a098 +8110a004: 80813017 ldw r2,1216(r16) +8110a008: 8080b815 stw r2,736(r16) +8110a00c: 8809883a mov r4,r17 +8110a010: 11023600 call 81102360 +8110a014: 003f2f06 br 81109cd4 <__reset+0xfb0e9cd4> +8110a018: 80012215 stw zero,1160(r16) +8110a01c: 80c13017 ldw r3,1216(r16) 8110a020: 80813117 ldw r2,1220(r16) -8110a024: 193fffc4 addi r4,r3,-1 -8110a028: 8100ba15 stw r4,744(r16) -8110a02c: 10c5c83a sub r2,r2,r3 -8110a030: 8080bb15 stw r2,748(r16) -8110a034: 8480be15 stw r18,760(r16) -8110a038: 8480bf15 stw r18,764(r16) -8110a03c: 8809883a mov r4,r17 -8110a040: 11023600 call 81102360 -8110a044: 003f1306 br 81109c94 <__reset+0xfb0e9c94> -8110a048: 000b883a mov r5,zero -8110a04c: 8809883a mov r4,r17 -8110a050: 1102a900 call 81102a90 -8110a054: 003f6c06 br 81109e08 <__reset+0xfb0e9e08> -8110a058: 8809883a mov r4,r17 -8110a05c: 1102a900 call 81102a90 -8110a060: 003f5a06 br 81109dcc <__reset+0xfb0e9dcc> -8110a064: 8000b815 stw zero,736(r16) -8110a068: 003fdb06 br 81109fd8 <__reset+0xfb0e9fd8> -8110a06c: 81800003 ldbu r6,0(r16) -8110a070: d1207917 ldw r4,-32284(gp) -8110a074: 01604574 movhi r5,33045 -8110a078: 29625804 addi r5,r5,-30368 -8110a07c: 112b5e00 call 8112b5e0 -8110a080: 003f5b06 br 81109df0 <__reset+0xfb0e9df0> -8110a084: 80813117 ldw r2,1220(r16) -8110a088: 8000bb15 stw zero,748(r16) -8110a08c: 8480be15 stw r18,760(r16) -8110a090: 8080ba15 stw r2,744(r16) -8110a094: 8000bf15 stw zero,764(r16) -8110a098: 003fe806 br 8110a03c <__reset+0xfb0ea03c> - -8110a09c : -8110a09c: 1109a8c1 jmpi 81109a8c - -8110a0a0 : -8110a0a0: defffe04 addi sp,sp,-8 -8110a0a4: dfc00115 stw ra,4(sp) -8110a0a8: dc000015 stw r16,0(sp) -8110a0ac: 2021883a mov r16,r4 -8110a0b0: 1104ae00 call 81104ae0 -8110a0b4: 8009883a mov r4,r16 -8110a0b8: 80001b15 stw zero,108(r16) -8110a0bc: 80001c15 stw zero,112(r16) -8110a0c0: 1104ab80 call 81104ab8 -8110a0c4: 00800044 movi r2,1 -8110a0c8: dfc00117 ldw ra,4(sp) -8110a0cc: dc000017 ldw r16,0(sp) -8110a0d0: dec00204 addi sp,sp,8 -8110a0d4: f800283a ret - -8110a0d8 : -8110a0d8: defffd04 addi sp,sp,-12 -8110a0dc: dfc00215 stw ra,8(sp) -8110a0e0: dc400115 stw r17,4(sp) -8110a0e4: dc000015 stw r16,0(sp) -8110a0e8: 2023883a mov r17,r4 -8110a0ec: 04000044 movi r16,1 -8110a0f0: 1104ae00 call 81104ae0 -8110a0f4: 8809883a mov r4,r17 -8110a0f8: 8c001b15 stw r16,108(r17) -8110a0fc: 8c001c15 stw r16,112(r17) -8110a100: 1104ab80 call 81104ab8 -8110a104: 8005883a mov r2,r16 -8110a108: dfc00217 ldw ra,8(sp) -8110a10c: dc400117 ldw r17,4(sp) -8110a110: dc000017 ldw r16,0(sp) -8110a114: dec00304 addi sp,sp,12 -8110a118: f800283a ret - -8110a11c : -8110a11c: defffd04 addi sp,sp,-12 -8110a120: dfc00215 stw ra,8(sp) -8110a124: dc400115 stw r17,4(sp) -8110a128: dc000015 stw r16,0(sp) -8110a12c: 04400044 movi r17,1 -8110a130: 2021883a mov r16,r4 -8110a134: 1104fa00 call 81104fa0 -8110a138: 8009883a mov r4,r16 -8110a13c: 80000315 stw zero,12(r16) -8110a140: 80000415 stw zero,16(r16) -8110a144: 84400215 stw r17,8(r16) -8110a148: 1104f600 call 81104f60 -8110a14c: 8805883a mov r2,r17 -8110a150: dfc00217 ldw ra,8(sp) -8110a154: dc400117 ldw r17,4(sp) -8110a158: dc000017 ldw r16,0(sp) -8110a15c: dec00304 addi sp,sp,12 -8110a160: f800283a ret - -8110a164 : -8110a164: defffc04 addi sp,sp,-16 -8110a168: dc000015 stw r16,0(sp) -8110a16c: 2821883a mov r16,r5 -8110a170: 84003fcc andi r16,r16,255 -8110a174: dfc00315 stw ra,12(sp) -8110a178: dc800215 stw r18,8(sp) -8110a17c: dc400115 stw r17,4(sp) -8110a180: 84000524 muli r16,r16,20 -8110a184: 2023883a mov r17,r4 -8110a188: 1104fa00 call 81104fa0 -8110a18c: 01604634 movhi r5,33048 -8110a190: 294eea04 addi r5,r5,15272 -8110a194: 2c0b883a add r5,r5,r16 -8110a198: 28c00017 ldw r3,0(r5) -8110a19c: 28800117 ldw r2,4(r5) -8110a1a0: 04800044 movi r18,1 -8110a1a4: 8c800115 stw r18,4(r17) -8110a1a8: 8809883a mov r4,r17 -8110a1ac: 88c00315 stw r3,12(r17) -8110a1b0: 88800415 stw r2,16(r17) -8110a1b4: 88000215 stw zero,8(r17) -8110a1b8: 1104f600 call 81104f60 -8110a1bc: 9005883a mov r2,r18 -8110a1c0: dfc00317 ldw ra,12(sp) -8110a1c4: dc800217 ldw r18,8(sp) -8110a1c8: dc400117 ldw r17,4(sp) -8110a1cc: dc000017 ldw r16,0(sp) -8110a1d0: dec00404 addi sp,sp,16 -8110a1d4: f800283a ret - -8110a1d8 : -8110a1d8: defffd04 addi sp,sp,-12 -8110a1dc: 2809883a mov r4,r5 -8110a1e0: dfc00215 stw ra,8(sp) -8110a1e4: dc400115 stw r17,4(sp) -8110a1e8: dc000015 stw r16,0(sp) -8110a1ec: 2821883a mov r16,r5 -8110a1f0: 1103d200 call 81103d20 -8110a1f4: 8009883a mov r4,r16 -8110a1f8: 1103d400 call 81103d40 -8110a1fc: 8009883a mov r4,r16 -8110a200: 1103d000 call 81103d00 -8110a204: 8009883a mov r4,r16 -8110a208: 11038900 call 81103890 -8110a20c: 04400044 movi r17,1 -8110a210: 84402715 stw r17,156(r16) -8110a214: 84402615 stw r17,152(r16) -8110a218: 8009883a mov r4,r16 -8110a21c: 11038680 call 81103868 -8110a220: 8805883a mov r2,r17 -8110a224: dfc00217 ldw ra,8(sp) -8110a228: dc400117 ldw r17,4(sp) -8110a22c: dc000017 ldw r16,0(sp) -8110a230: dec00304 addi sp,sp,12 -8110a234: f800283a ret - -8110a238 : -8110a238: defffe04 addi sp,sp,-8 -8110a23c: dfc00115 stw ra,4(sp) -8110a240: dc000015 stw r16,0(sp) -8110a244: 2021883a mov r16,r4 -8110a248: 11038900 call 81103890 +8110a024: 8809883a mov r4,r17 +8110a028: 80c00915 stw r3,36(r16) +8110a02c: 80800a15 stw r2,40(r16) +8110a030: 11023980 call 81102398 +8110a034: 81413017 ldw r5,1216(r16) +8110a038: 81013117 ldw r4,1220(r16) +8110a03c: 80813117 ldw r2,1220(r16) +8110a040: 80c04c0b ldhu r3,304(r16) +8110a044: 8140b815 stw r5,736(r16) +8110a048: 8100b915 stw r4,740(r16) +8110a04c: 10800044 addi r2,r2,1 +8110a050: 1880192e bgeu r3,r2,8110a0b8 +8110a054: 80813117 ldw r2,1220(r16) +8110a058: 193fffc4 addi r4,r3,-1 +8110a05c: 8100ba15 stw r4,744(r16) +8110a060: 10c5c83a sub r2,r2,r3 +8110a064: 8080bb15 stw r2,748(r16) +8110a068: 8480be15 stw r18,760(r16) +8110a06c: 8480bf15 stw r18,764(r16) +8110a070: 8809883a mov r4,r17 +8110a074: 11023600 call 81102360 +8110a078: 003f1306 br 81109cc8 <__reset+0xfb0e9cc8> +8110a07c: 000b883a mov r5,zero +8110a080: 8809883a mov r4,r17 +8110a084: 1102a900 call 81102a90 +8110a088: 003f6c06 br 81109e3c <__reset+0xfb0e9e3c> +8110a08c: 8809883a mov r4,r17 +8110a090: 1102a900 call 81102a90 +8110a094: 003f5a06 br 81109e00 <__reset+0xfb0e9e00> +8110a098: 8000b815 stw zero,736(r16) +8110a09c: 003fdb06 br 8110a00c <__reset+0xfb0ea00c> +8110a0a0: 81800003 ldbu r6,0(r16) +8110a0a4: d1207917 ldw r4,-32284(gp) +8110a0a8: 01604574 movhi r5,33045 +8110a0ac: 29626804 addi r5,r5,-30304 +8110a0b0: 112b6200 call 8112b620 +8110a0b4: 003f5b06 br 81109e24 <__reset+0xfb0e9e24> +8110a0b8: 80813117 ldw r2,1220(r16) +8110a0bc: 8000bb15 stw zero,748(r16) +8110a0c0: 8480be15 stw r18,760(r16) +8110a0c4: 8080ba15 stw r2,744(r16) +8110a0c8: 8000bf15 stw zero,764(r16) +8110a0cc: 003fe806 br 8110a070 <__reset+0xfb0ea070> + +8110a0d0 : +8110a0d0: 1109ac01 jmpi 81109ac0 + +8110a0d4 : +8110a0d4: defffe04 addi sp,sp,-8 +8110a0d8: dfc00115 stw ra,4(sp) +8110a0dc: dc000015 stw r16,0(sp) +8110a0e0: 2021883a mov r16,r4 +8110a0e4: 1104ae00 call 81104ae0 +8110a0e8: 8009883a mov r4,r16 +8110a0ec: 80001b15 stw zero,108(r16) +8110a0f0: 80001c15 stw zero,112(r16) +8110a0f4: 1104ab80 call 81104ab8 +8110a0f8: 00800044 movi r2,1 +8110a0fc: dfc00117 ldw ra,4(sp) +8110a100: dc000017 ldw r16,0(sp) +8110a104: dec00204 addi sp,sp,8 +8110a108: f800283a ret + +8110a10c : +8110a10c: defffd04 addi sp,sp,-12 +8110a110: dfc00215 stw ra,8(sp) +8110a114: dc400115 stw r17,4(sp) +8110a118: dc000015 stw r16,0(sp) +8110a11c: 2023883a mov r17,r4 +8110a120: 04000044 movi r16,1 +8110a124: 1104ae00 call 81104ae0 +8110a128: 8809883a mov r4,r17 +8110a12c: 8c001b15 stw r16,108(r17) +8110a130: 8c001c15 stw r16,112(r17) +8110a134: 1104ab80 call 81104ab8 +8110a138: 8005883a mov r2,r16 +8110a13c: dfc00217 ldw ra,8(sp) +8110a140: dc400117 ldw r17,4(sp) +8110a144: dc000017 ldw r16,0(sp) +8110a148: dec00304 addi sp,sp,12 +8110a14c: f800283a ret + +8110a150 : +8110a150: defffd04 addi sp,sp,-12 +8110a154: dfc00215 stw ra,8(sp) +8110a158: dc400115 stw r17,4(sp) +8110a15c: dc000015 stw r16,0(sp) +8110a160: 04400044 movi r17,1 +8110a164: 2021883a mov r16,r4 +8110a168: 1104fd40 call 81104fd4 +8110a16c: 8009883a mov r4,r16 +8110a170: 80000315 stw zero,12(r16) +8110a174: 80000415 stw zero,16(r16) +8110a178: 84400215 stw r17,8(r16) +8110a17c: 1104f940 call 81104f94 +8110a180: 8805883a mov r2,r17 +8110a184: dfc00217 ldw ra,8(sp) +8110a188: dc400117 ldw r17,4(sp) +8110a18c: dc000017 ldw r16,0(sp) +8110a190: dec00304 addi sp,sp,12 +8110a194: f800283a ret + +8110a198 : +8110a198: defffc04 addi sp,sp,-16 +8110a19c: dc000015 stw r16,0(sp) +8110a1a0: 2821883a mov r16,r5 +8110a1a4: 84003fcc andi r16,r16,255 +8110a1a8: dfc00315 stw ra,12(sp) +8110a1ac: dc800215 stw r18,8(sp) +8110a1b0: dc400115 stw r17,4(sp) +8110a1b4: 84000524 muli r16,r16,20 +8110a1b8: 2023883a mov r17,r4 +8110a1bc: 1104fd40 call 81104fd4 +8110a1c0: 01604634 movhi r5,33048 +8110a1c4: 294efb04 addi r5,r5,15340 +8110a1c8: 2c0b883a add r5,r5,r16 +8110a1cc: 28c00017 ldw r3,0(r5) +8110a1d0: 28800117 ldw r2,4(r5) +8110a1d4: 04800044 movi r18,1 +8110a1d8: 8c800115 stw r18,4(r17) +8110a1dc: 8809883a mov r4,r17 +8110a1e0: 88c00315 stw r3,12(r17) +8110a1e4: 88800415 stw r2,16(r17) +8110a1e8: 88000215 stw zero,8(r17) +8110a1ec: 1104f940 call 81104f94 +8110a1f0: 9005883a mov r2,r18 +8110a1f4: dfc00317 ldw ra,12(sp) +8110a1f8: dc800217 ldw r18,8(sp) +8110a1fc: dc400117 ldw r17,4(sp) +8110a200: dc000017 ldw r16,0(sp) +8110a204: dec00404 addi sp,sp,16 +8110a208: f800283a ret + +8110a20c : +8110a20c: defffd04 addi sp,sp,-12 +8110a210: 2809883a mov r4,r5 +8110a214: dfc00215 stw ra,8(sp) +8110a218: dc400115 stw r17,4(sp) +8110a21c: dc000015 stw r16,0(sp) +8110a220: 2821883a mov r16,r5 +8110a224: 1103d200 call 81103d20 +8110a228: 8009883a mov r4,r16 +8110a22c: 1103d400 call 81103d40 +8110a230: 8009883a mov r4,r16 +8110a234: 1103d000 call 81103d00 +8110a238: 8009883a mov r4,r16 +8110a23c: 11038900 call 81103890 +8110a240: 04400044 movi r17,1 +8110a244: 84402715 stw r17,156(r16) +8110a248: 84402615 stw r17,152(r16) 8110a24c: 8009883a mov r4,r16 -8110a250: 80002715 stw zero,156(r16) -8110a254: 80002615 stw zero,152(r16) -8110a258: 11038680 call 81103868 -8110a25c: 8009883a mov r4,r16 -8110a260: 1103d200 call 81103d20 -8110a264: 8009883a mov r4,r16 -8110a268: 1103d400 call 81103d40 -8110a26c: 8009883a mov r4,r16 -8110a270: 1103d000 call 81103d00 -8110a274: 00800044 movi r2,1 -8110a278: dfc00117 ldw ra,4(sp) -8110a27c: dc000017 ldw r16,0(sp) -8110a280: dec00204 addi sp,sp,8 -8110a284: f800283a ret - -8110a288 : -8110a288: 20812217 ldw r2,1160(r4) -8110a28c: defffc04 addi sp,sp,-16 -8110a290: dfc00315 stw ra,12(sp) -8110a294: dc800215 stw r18,8(sp) -8110a298: dc400115 stw r17,4(sp) -8110a29c: dc000015 stw r16,0(sp) -8110a2a0: 1000021e bne r2,zero,8110a2ac -8110a2a4: 20812317 ldw r2,1164(r4) -8110a2a8: 10001c26 beq r2,zero,8110a31c -8110a2ac: 24412217 ldw r17,1160(r4) -8110a2b0: 00800044 movi r2,1 -8110a2b4: 2021883a mov r16,r4 -8110a2b8: 88803626 beq r17,r2,8110a394 -8110a2bc: 84412317 ldw r17,1164(r16) -8110a2c0: 00800044 movi r2,1 -8110a2c4: 88801e26 beq r17,r2,8110a340 -8110a2c8: 84412617 ldw r17,1176(r16) -8110a2cc: 00800044 movi r2,1 -8110a2d0: 88805326 beq r17,r2,8110a420 -8110a2d4: 80c12717 ldw r3,1180(r16) -8110a2d8: 00800044 movi r2,1 -8110a2dc: 18804526 beq r3,r2,8110a3f4 -8110a2e0: 80c12417 ldw r3,1168(r16) +8110a250: 11038680 call 81103868 +8110a254: 8805883a mov r2,r17 +8110a258: dfc00217 ldw ra,8(sp) +8110a25c: dc400117 ldw r17,4(sp) +8110a260: dc000017 ldw r16,0(sp) +8110a264: dec00304 addi sp,sp,12 +8110a268: f800283a ret + +8110a26c : +8110a26c: defffe04 addi sp,sp,-8 +8110a270: dfc00115 stw ra,4(sp) +8110a274: dc000015 stw r16,0(sp) +8110a278: 2021883a mov r16,r4 +8110a27c: 11038900 call 81103890 +8110a280: 8009883a mov r4,r16 +8110a284: 80002715 stw zero,156(r16) +8110a288: 80002615 stw zero,152(r16) +8110a28c: 11038680 call 81103868 +8110a290: 8009883a mov r4,r16 +8110a294: 1103d200 call 81103d20 +8110a298: 8009883a mov r4,r16 +8110a29c: 1103d400 call 81103d40 +8110a2a0: 8009883a mov r4,r16 +8110a2a4: 1103d000 call 81103d00 +8110a2a8: 00800044 movi r2,1 +8110a2ac: dfc00117 ldw ra,4(sp) +8110a2b0: dc000017 ldw r16,0(sp) +8110a2b4: dec00204 addi sp,sp,8 +8110a2b8: f800283a ret + +8110a2bc : +8110a2bc: 20812217 ldw r2,1160(r4) +8110a2c0: defffc04 addi sp,sp,-16 +8110a2c4: dfc00315 stw ra,12(sp) +8110a2c8: dc800215 stw r18,8(sp) +8110a2cc: dc400115 stw r17,4(sp) +8110a2d0: dc000015 stw r16,0(sp) +8110a2d4: 1000021e bne r2,zero,8110a2e0 +8110a2d8: 20812317 ldw r2,1164(r4) +8110a2dc: 10001c26 beq r2,zero,8110a350 +8110a2e0: 24412217 ldw r17,1160(r4) 8110a2e4: 00800044 movi r2,1 -8110a2e8: 18802026 beq r3,r2,8110a36c -8110a2ec: 80c12517 ldw r3,1172(r16) -8110a2f0: 00800044 movi r2,1 -8110a2f4: 1880031e bne r3,r2,8110a304 -8110a2f8: 80012515 stw zero,1172(r16) -8110a2fc: 80813317 ldw r2,1228(r16) -8110a300: 80800c15 stw r2,48(r16) -8110a304: dfc00317 ldw ra,12(sp) -8110a308: dc800217 ldw r18,8(sp) -8110a30c: dc400117 ldw r17,4(sp) -8110a310: dc000017 ldw r16,0(sp) -8110a314: dec00404 addi sp,sp,16 -8110a318: f800283a ret -8110a31c: 20812617 ldw r2,1176(r4) -8110a320: 103fe21e bne r2,zero,8110a2ac <__reset+0xfb0ea2ac> -8110a324: 20812417 ldw r2,1168(r4) -8110a328: 103fe01e bne r2,zero,8110a2ac <__reset+0xfb0ea2ac> -8110a32c: 20812717 ldw r2,1180(r4) -8110a330: 103fde1e bne r2,zero,8110a2ac <__reset+0xfb0ea2ac> -8110a334: 20812517 ldw r2,1172(r4) -8110a338: 103fdc1e bne r2,zero,8110a2ac <__reset+0xfb0ea2ac> -8110a33c: 003ff106 br 8110a304 <__reset+0xfb0ea304> -8110a340: 8480b304 addi r18,r16,716 -8110a344: 9009883a mov r4,r18 -8110a348: 11023980 call 81102398 -8110a34c: 80012315 stw zero,1164(r16) -8110a350: 80817417 ldw r2,1488(r16) -8110a354: 14405326 beq r2,r17,8110a4a4 -8110a358: 80813017 ldw r2,1216(r16) -8110a35c: 8080b815 stw r2,736(r16) -8110a360: 9009883a mov r4,r18 -8110a364: 11023600 call 81102360 -8110a368: 003fd706 br 8110a2c8 <__reset+0xfb0ea2c8> -8110a36c: 80012415 stw zero,1168(r16) -8110a370: 81415543 ldbu r5,1365(r16) -8110a374: 81015583 ldbu r4,1366(r16) -8110a378: 80c155c3 ldbu r3,1367(r16) -8110a37c: 80815603 ldbu r2,1368(r16) -8110a380: 81402e45 stb r5,185(r16) -8110a384: 81002e85 stb r4,186(r16) -8110a388: 80c02ec5 stb r3,187(r16) -8110a38c: 80802f05 stb r2,188(r16) -8110a390: 003fd606 br 8110a2ec <__reset+0xfb0ea2ec> -8110a394: 80012215 stw zero,1160(r16) -8110a398: 80c13017 ldw r3,1216(r16) -8110a39c: 80813117 ldw r2,1220(r16) -8110a3a0: 8480b304 addi r18,r16,716 -8110a3a4: 80c00915 stw r3,36(r16) -8110a3a8: 9009883a mov r4,r18 -8110a3ac: 80800a15 stw r2,40(r16) -8110a3b0: 11023980 call 81102398 -8110a3b4: 81413017 ldw r5,1216(r16) -8110a3b8: 81013117 ldw r4,1220(r16) -8110a3bc: 80813117 ldw r2,1220(r16) -8110a3c0: 80c04c0b ldhu r3,304(r16) -8110a3c4: 8140b815 stw r5,736(r16) -8110a3c8: 8100b915 stw r4,740(r16) -8110a3cc: 10800044 addi r2,r2,1 -8110a3d0: 18802c36 bltu r3,r2,8110a484 -8110a3d4: 80813117 ldw r2,1220(r16) -8110a3d8: 8000bb15 stw zero,748(r16) -8110a3dc: 8440be15 stw r17,760(r16) -8110a3e0: 8080ba15 stw r2,744(r16) -8110a3e4: 8000bf15 stw zero,764(r16) -8110a3e8: 9009883a mov r4,r18 -8110a3ec: 11023600 call 81102360 -8110a3f0: 003fb206 br 8110a2bc <__reset+0xfb0ea2bc> -8110a3f4: 84406404 addi r17,r16,400 -8110a3f8: 8809883a mov r4,r17 -8110a3fc: 80012715 stw zero,1180(r16) -8110a400: 1103bd00 call 81103bd0 -8110a404: 80c17217 ldw r3,1480(r16) -8110a408: 80817317 ldw r2,1484(r16) -8110a40c: 8809883a mov r4,r17 -8110a410: 80c06b15 stw r3,428(r16) -8110a414: 80806c15 stw r2,432(r16) -8110a418: 1103c380 call 81103c38 -8110a41c: 003fb006 br 8110a2e0 <__reset+0xfb0ea2e0> -8110a420: 8480b304 addi r18,r16,716 -8110a424: 9009883a mov r4,r18 -8110a428: 80012615 stw zero,1176(r16) -8110a42c: 11023980 call 81102398 -8110a430: 8081710b ldhu r2,1476(r16) -8110a434: 9009883a mov r4,r18 -8110a438: 84806404 addi r18,r16,400 -8110a43c: 10bfffcc andi r2,r2,65535 -8110a440: 8080c015 stw r2,768(r16) -8110a444: 11023600 call 81102360 -8110a448: 81c1710b ldhu r7,1476(r16) -8110a44c: 018006f4 movhi r6,27 -8110a450: 9009883a mov r4,r18 -8110a454: 31b85204 addi r6,r6,-7864 -8110a458: 000b883a mov r5,zero -8110a45c: 39ffffcc andi r7,r7,65535 -8110a460: 1103d640 call 81103d64 -8110a464: 81c1710b ldhu r7,1476(r16) -8110a468: 018006f4 movhi r6,27 -8110a46c: 31b85204 addi r6,r6,-7864 -8110a470: 880b883a mov r5,r17 -8110a474: 39ffffcc andi r7,r7,65535 -8110a478: 9009883a mov r4,r18 -8110a47c: 1103d640 call 81103d64 -8110a480: 003f9406 br 8110a2d4 <__reset+0xfb0ea2d4> -8110a484: 80813117 ldw r2,1220(r16) -8110a488: 193fffc4 addi r4,r3,-1 -8110a48c: 8100ba15 stw r4,744(r16) -8110a490: 10c7c83a sub r3,r2,r3 -8110a494: 80c0bb15 stw r3,748(r16) -8110a498: 8440be15 stw r17,760(r16) -8110a49c: 8440bf15 stw r17,764(r16) -8110a4a0: 003fd106 br 8110a3e8 <__reset+0xfb0ea3e8> -8110a4a4: 8000b815 stw zero,736(r16) -8110a4a8: 003fad06 br 8110a360 <__reset+0xfb0ea360> - -8110a4ac : -8110a4ac: defffc04 addi sp,sp,-16 -8110a4b0: dc400115 stw r17,4(sp) -8110a4b4: 24442d17 ldw r17,4276(r4) -8110a4b8: dc000015 stw r16,0(sp) -8110a4bc: dfc00315 stw ra,12(sp) -8110a4c0: dc800215 stw r18,8(sp) -8110a4c4: 00800044 movi r2,1 -8110a4c8: 2021883a mov r16,r4 -8110a4cc: 88801926 beq r17,r2,8110a534 -8110a4d0: 84442e17 ldw r17,4280(r16) -8110a4d4: 00800044 movi r2,1 -8110a4d8: 88800626 beq r17,r2,8110a4f4 -8110a4dc: dfc00317 ldw ra,12(sp) -8110a4e0: dc800217 ldw r18,8(sp) -8110a4e4: dc400117 ldw r17,4(sp) -8110a4e8: dc000017 ldw r16,0(sp) -8110a4ec: dec00404 addi sp,sp,16 -8110a4f0: f800283a ret -8110a4f4: 8480b304 addi r18,r16,716 -8110a4f8: 9009883a mov r4,r18 -8110a4fc: 11027800 call 81102780 -8110a500: 8140fe17 ldw r5,1016(r16) -8110a504: 2c403d26 beq r5,r17,8110a5fc -8110a508: 01400044 movi r5,1 -8110a50c: 9009883a mov r4,r18 -8110a510: 1102a080 call 81102a08 -8110a514: 10001726 beq r2,zero,8110a574 -8110a518: 00a04634 movhi r2,33048 -8110a51c: 108f0804 addi r2,r2,15392 -8110a520: 10800803 ldbu r2,32(r2) -8110a524: 00c001c4 movi r3,7 -8110a528: 18802e2e bgeu r3,r2,8110a5e4 -8110a52c: 80042e15 stw zero,4280(r16) -8110a530: 003fea06 br 8110a4dc <__reset+0xfb0ea4dc> -8110a534: 2480b304 addi r18,r4,716 -8110a538: 9009883a mov r4,r18 -8110a53c: 11027100 call 81102710 -8110a540: 8080ef17 ldw r2,956(r16) -8110a544: 14403026 beq r2,r17,8110a608 -8110a548: 000b883a mov r5,zero -8110a54c: 9009883a mov r4,r18 -8110a550: 1102a080 call 81102a08 -8110a554: 10001226 beq r2,zero,8110a5a0 -8110a558: 00a04634 movhi r2,33048 -8110a55c: 108f0804 addi r2,r2,15392 -8110a560: 10800803 ldbu r2,32(r2) -8110a564: 00c001c4 movi r3,7 -8110a568: 1880182e bgeu r3,r2,8110a5cc -8110a56c: 80042d15 stw zero,4276(r16) -8110a570: 003fd706 br 8110a4d0 <__reset+0xfb0ea4d0> -8110a574: 00a04634 movhi r2,33048 -8110a578: 108f0804 addi r2,r2,15392 -8110a57c: 10800803 ldbu r2,32(r2) -8110a580: 00c001c4 movi r3,7 -8110a584: 18bfe936 bltu r3,r2,8110a52c <__reset+0xfb0ea52c> -8110a588: 81800003 ldbu r6,0(r16) -8110a58c: d1207917 ldw r4,-32284(gp) -8110a590: 01604574 movhi r5,33045 -8110a594: 29626a04 addi r5,r5,-30296 -8110a598: 112b5e00 call 8112b5e0 -8110a59c: 003fe306 br 8110a52c <__reset+0xfb0ea52c> -8110a5a0: 00a04634 movhi r2,33048 -8110a5a4: 108f0804 addi r2,r2,15392 -8110a5a8: 10800803 ldbu r2,32(r2) -8110a5ac: 00c001c4 movi r3,7 -8110a5b0: 18bfee36 bltu r3,r2,8110a56c <__reset+0xfb0ea56c> -8110a5b4: 81800003 ldbu r6,0(r16) -8110a5b8: d1207917 ldw r4,-32284(gp) -8110a5bc: 01604574 movhi r5,33045 -8110a5c0: 29624404 addi r5,r5,-30448 -8110a5c4: 112b5e00 call 8112b5e0 -8110a5c8: 003fe806 br 8110a56c <__reset+0xfb0ea56c> -8110a5cc: 81800003 ldbu r6,0(r16) -8110a5d0: d1207917 ldw r4,-32284(gp) -8110a5d4: 01604574 movhi r5,33045 -8110a5d8: 29623204 addi r5,r5,-30520 -8110a5dc: 112b5e00 call 8112b5e0 -8110a5e0: 003fe206 br 8110a56c <__reset+0xfb0ea56c> -8110a5e4: 81800003 ldbu r6,0(r16) -8110a5e8: d1207917 ldw r4,-32284(gp) -8110a5ec: 01604574 movhi r5,33045 -8110a5f0: 29625804 addi r5,r5,-30368 -8110a5f4: 112b5e00 call 8112b5e0 -8110a5f8: 003fcc06 br 8110a52c <__reset+0xfb0ea52c> -8110a5fc: 9009883a mov r4,r18 -8110a600: 1102a900 call 81102a90 -8110a604: 003fc006 br 8110a508 <__reset+0xfb0ea508> -8110a608: 000b883a mov r5,zero -8110a60c: 9009883a mov r4,r18 -8110a610: 1102a900 call 81102a90 -8110a614: 003fcc06 br 8110a548 <__reset+0xfb0ea548> - -8110a618 : -8110a618: 20c1ab17 ldw r3,1708(r4) -8110a61c: 00800044 movi r2,1 -8110a620: 18800126 beq r3,r2,8110a628 -8110a624: f800283a ret -8110a628: defffe04 addi sp,sp,-8 -8110a62c: dc000015 stw r16,0(sp) -8110a630: 2021883a mov r16,r4 -8110a634: 2100b304 addi r4,r4,716 -8110a638: dfc00115 stw ra,4(sp) -8110a63c: 1102d340 call 81102d34 -8110a640: 10000a26 beq r2,zero,8110a66c -8110a644: 00a04634 movhi r2,33048 -8110a648: 108f0804 addi r2,r2,15392 -8110a64c: 10800803 ldbu r2,32(r2) -8110a650: 00c001c4 movi r3,7 -8110a654: 1880102e bgeu r3,r2,8110a698 -8110a658: 8001ab15 stw zero,1708(r16) -8110a65c: dfc00117 ldw ra,4(sp) -8110a660: dc000017 ldw r16,0(sp) -8110a664: dec00204 addi sp,sp,8 -8110a668: f800283a ret -8110a66c: 00a04634 movhi r2,33048 -8110a670: 108f0804 addi r2,r2,15392 -8110a674: 10800803 ldbu r2,32(r2) -8110a678: 00c001c4 movi r3,7 -8110a67c: 18bff636 bltu r3,r2,8110a658 <__reset+0xfb0ea658> -8110a680: 81800003 ldbu r6,0(r16) -8110a684: d1207917 ldw r4,-32284(gp) -8110a688: 01604574 movhi r5,33045 -8110a68c: 29628d04 addi r5,r5,-30156 -8110a690: 112b5e00 call 8112b5e0 -8110a694: 003ff006 br 8110a658 <__reset+0xfb0ea658> -8110a698: 81800003 ldbu r6,0(r16) -8110a69c: d1207917 ldw r4,-32284(gp) -8110a6a0: 01604574 movhi r5,33045 -8110a6a4: 29627e04 addi r5,r5,-30216 -8110a6a8: 112b5e00 call 8112b5e0 -8110a6ac: 003fea06 br 8110a658 <__reset+0xfb0ea658> - -8110a6b0 : -8110a6b0: defffc04 addi sp,sp,-16 -8110a6b4: dc400115 stw r17,4(sp) -8110a6b8: dc000015 stw r16,0(sp) -8110a6bc: dfc00315 stw ra,12(sp) -8110a6c0: dc800215 stw r18,8(sp) -8110a6c4: 2023883a mov r17,r4 -8110a6c8: 21000003 ldbu r4,0(r4) -8110a6cc: 2820d23a srli r16,r5,8 -8110a6d0: 01604574 movhi r5,33045 -8110a6d4: 21000044 addi r4,r4,1 -8110a6d8: 297337c4 addi r5,r5,-13089 -8110a6dc: 21003fcc andi r4,r4,255 -8110a6e0: 111e1a00 call 8111e1a0 -8110a6e4: 81803fcc andi r6,r16,255 -8110a6e8: 00801a04 movi r2,104 -8110a6ec: 1180d236 bltu r2,r6,8110aa38 -8110a6f0: 300690ba slli r3,r6,2 -8110a6f4: 00a04474 movhi r2,33041 -8110a6f8: 10a9c204 addi r2,r2,-22776 -8110a6fc: 1885883a add r2,r3,r2 -8110a700: 10800017 ldw r2,0(r2) -8110a704: 1000683a jmp r2 -8110a708: 8110aa18 cmpnei r4,r16,17064 -8110a70c: 8110aa38 rdprs r4,r16,17064 -8110a710: 8110aa38 rdprs r4,r16,17064 -8110a714: 8110aa38 rdprs r4,r16,17064 -8110a718: 8110a9c0 call 88110a9c <__reset+0x20f0a9c> -8110a71c: 8110aa38 rdprs r4,r16,17064 -8110a720: 8110aa38 rdprs r4,r16,17064 -8110a724: 8110aa38 rdprs r4,r16,17064 -8110a728: 8110a9e8 cmpgeui r4,r16,17063 -8110a72c: 8110aa38 rdprs r4,r16,17064 -8110a730: 8110aa38 rdprs r4,r16,17064 -8110a734: 8110aa38 rdprs r4,r16,17064 -8110a738: 8110a944 addi r4,r16,17061 -8110a73c: 8110aa38 rdprs r4,r16,17064 -8110a740: 8110aa38 rdprs r4,r16,17064 -8110a744: 8110aa38 rdprs r4,r16,17064 -8110a748: 8110a968 cmpgeui r4,r16,17061 -8110a74c: 8110aa38 rdprs r4,r16,17064 -8110a750: 8110aa38 rdprs r4,r16,17064 -8110a754: 8110aa38 rdprs r4,r16,17064 -8110a758: 8110a980 call 88110a98 <__reset+0x20f0a98> -8110a75c: 8110aa38 rdprs r4,r16,17064 -8110a760: 8110aa38 rdprs r4,r16,17064 -8110a764: 8110aa38 rdprs r4,r16,17064 -8110a768: 8110a8ac andhi r4,r16,17058 -8110a76c: 8110aa38 rdprs r4,r16,17064 -8110a770: 8110aa38 rdprs r4,r16,17064 -8110a774: 8110aa38 rdprs r4,r16,17064 -8110a778: 8110a8ac andhi r4,r16,17058 -8110a77c: 8110aa38 rdprs r4,r16,17064 -8110a780: 8110aa38 rdprs r4,r16,17064 -8110a784: 8110aa38 rdprs r4,r16,17064 -8110a788: 8110a8ac andhi r4,r16,17058 -8110a78c: 8110aa38 rdprs r4,r16,17064 -8110a790: 8110aa38 rdprs r4,r16,17064 -8110a794: 8110aa38 rdprs r4,r16,17064 -8110a798: 8110a8ac andhi r4,r16,17058 -8110a79c: 8110aa38 rdprs r4,r16,17064 -8110a7a0: 8110aa38 rdprs r4,r16,17064 -8110a7a4: 8110aa38 rdprs r4,r16,17064 -8110a7a8: 8110a8ac andhi r4,r16,17058 -8110a7ac: 8110aa38 rdprs r4,r16,17064 -8110a7b0: 8110aa38 rdprs r4,r16,17064 -8110a7b4: 8110aa38 rdprs r4,r16,17064 -8110a7b8: 8110a8ac andhi r4,r16,17058 -8110a7bc: 8110aa38 rdprs r4,r16,17064 -8110a7c0: 8110aa38 rdprs r4,r16,17064 -8110a7c4: 8110aa38 rdprs r4,r16,17064 -8110a7c8: 8110a8ac andhi r4,r16,17058 -8110a7cc: 8110aa38 rdprs r4,r16,17064 -8110a7d0: 8110aa38 rdprs r4,r16,17064 -8110a7d4: 8110aa38 rdprs r4,r16,17064 -8110a7d8: 8110a8ac andhi r4,r16,17058 -8110a7dc: 8110aa38 rdprs r4,r16,17064 -8110a7e0: 8110aa38 rdprs r4,r16,17064 -8110a7e4: 8110aa38 rdprs r4,r16,17064 -8110a7e8: 8110a8ac andhi r4,r16,17058 -8110a7ec: 8110aa38 rdprs r4,r16,17064 -8110a7f0: 8110aa38 rdprs r4,r16,17064 -8110a7f4: 8110aa38 rdprs r4,r16,17064 -8110a7f8: 8110a8ac andhi r4,r16,17058 -8110a7fc: 8110aa38 rdprs r4,r16,17064 -8110a800: 8110aa38 rdprs r4,r16,17064 -8110a804: 8110aa38 rdprs r4,r16,17064 -8110a808: 8110a8ac andhi r4,r16,17058 -8110a80c: 8110aa38 rdprs r4,r16,17064 -8110a810: 8110aa38 rdprs r4,r16,17064 -8110a814: 8110aa38 rdprs r4,r16,17064 -8110a818: 8110a8ac andhi r4,r16,17058 -8110a81c: 8110aa38 rdprs r4,r16,17064 -8110a820: 8110aa38 rdprs r4,r16,17064 -8110a824: 8110aa38 rdprs r4,r16,17064 -8110a828: 8110a8ac andhi r4,r16,17058 -8110a82c: 8110aa38 rdprs r4,r16,17064 -8110a830: 8110aa38 rdprs r4,r16,17064 -8110a834: 8110aa38 rdprs r4,r16,17064 -8110a838: 8110a8ac andhi r4,r16,17058 -8110a83c: 8110aa38 rdprs r4,r16,17064 -8110a840: 8110aa38 rdprs r4,r16,17064 -8110a844: 8110aa38 rdprs r4,r16,17064 -8110a848: 8110a8ac andhi r4,r16,17058 -8110a84c: 8110aa38 rdprs r4,r16,17064 -8110a850: 8110aa38 rdprs r4,r16,17064 -8110a854: 8110aa38 rdprs r4,r16,17064 -8110a858: 8110a8d8 cmpnei r4,r16,17059 -8110a85c: 8110aa38 rdprs r4,r16,17064 -8110a860: 8110aa38 rdprs r4,r16,17064 -8110a864: 8110aa38 rdprs r4,r16,17064 -8110a868: 8110a9a0 cmpeqi r4,r16,17062 -8110a86c: 8110aa38 rdprs r4,r16,17064 -8110a870: 8110aa38 rdprs r4,r16,17064 -8110a874: 8110aa38 rdprs r4,r16,17064 -8110a878: 8110a9a0 cmpeqi r4,r16,17062 -8110a87c: 8110aa38 rdprs r4,r16,17064 -8110a880: 8110aa38 rdprs r4,r16,17064 -8110a884: 8110aa38 rdprs r4,r16,17064 -8110a888: 8110a9a0 cmpeqi r4,r16,17062 -8110a88c: 8110aa38 rdprs r4,r16,17064 -8110a890: 8110aa38 rdprs r4,r16,17064 -8110a894: 8110aa38 rdprs r4,r16,17064 -8110a898: 8110a9a0 cmpeqi r4,r16,17062 -8110a89c: 8110aa38 rdprs r4,r16,17064 -8110a8a0: 8110aa38 rdprs r4,r16,17064 -8110a8a4: 8110aa38 rdprs r4,r16,17064 -8110a8a8: 8110a9a0 cmpeqi r4,r16,17062 -8110a8ac: 00a04634 movhi r2,33048 -8110a8b0: 108f0804 addi r2,r2,15392 -8110a8b4: 10800803 ldbu r2,32(r2) -8110a8b8: 00c001c4 movi r3,7 -8110a8bc: 1880662e bgeu r3,r2,8110aa58 -8110a8c0: dfc00317 ldw ra,12(sp) -8110a8c4: dc800217 ldw r18,8(sp) -8110a8c8: dc400117 ldw r17,4(sp) -8110a8cc: dc000017 ldw r16,0(sp) -8110a8d0: dec00404 addi sp,sp,16 -8110a8d4: f800283a ret -8110a8d8: 8880ab17 ldw r2,684(r17) -8110a8dc: 88000b15 stw zero,44(r17) -8110a8e0: 00c003c4 movi r3,15 -8110a8e4: 10804017 ldw r2,256(r2) -8110a8e8: 1880c636 bltu r3,r2,8110ac04 -8110a8ec: 100490ba slli r2,r2,2 -8110a8f0: 00e04474 movhi r3,33041 -8110a8f4: 18ea4104 addi r3,r3,-22268 -8110a8f8: 10c5883a add r2,r2,r3 -8110a8fc: 10800017 ldw r2,0(r2) -8110a900: 1000683a jmp r2 -8110a904: 8110aad8 cmpnei r4,r16,17067 -8110a908: 8110abdc xori r4,r16,17071 -8110a90c: 8110abb4 orhi r4,r16,17070 -8110a910: 8110ac04 addi r4,r16,17072 -8110a914: 8110ab8c andi r4,r16,17070 -8110a918: 8110aa7c xorhi r4,r16,17065 -8110a91c: 8110aa7c xorhi r4,r16,17065 -8110a920: 8110ab04 addi r4,r16,17068 -8110a924: 8110aad8 cmpnei r4,r16,17067 -8110a928: 8110aa7c xorhi r4,r16,17065 -8110a92c: 8110aa7c xorhi r4,r16,17065 -8110a930: 8110aa7c xorhi r4,r16,17065 -8110a934: 8110aa7c xorhi r4,r16,17065 -8110a938: 8110ab48 cmpgei r4,r16,17069 -8110a93c: 8110ab48 cmpgei r4,r16,17069 -8110a940: 8110ab48 cmpgei r4,r16,17069 -8110a944: 88c0ab17 ldw r3,684(r17) -8110a948: 00800044 movi r2,1 -8110a94c: 88812515 stw r2,1172(r17) -8110a950: 19000b17 ldw r4,44(r3) -8110a954: 18c00c17 ldw r3,48(r3) -8110a958: 89013315 stw r4,1228(r17) -8110a95c: 88812315 stw r2,1164(r17) -8110a960: 88c17415 stw r3,1488(r17) -8110a964: 003fd606 br 8110a8c0 <__reset+0xfb0ea8c0> -8110a968: 8880ab17 ldw r2,684(r17) -8110a96c: 00c00044 movi r3,1 -8110a970: 88c12615 stw r3,1176(r17) -8110a974: 10801017 ldw r2,64(r2) -8110a978: 8881710d sth r2,1476(r17) -8110a97c: 003fd006 br 8110a8c0 <__reset+0xfb0ea8c0> -8110a980: 8880ab17 ldw r2,684(r17) -8110a984: 00c00044 movi r3,1 -8110a988: 88c12715 stw r3,1180(r17) -8110a98c: 10c01517 ldw r3,84(r2) -8110a990: 10801717 ldw r2,92(r2) -8110a994: 88c17215 stw r3,1480(r17) -8110a998: 88817315 stw r2,1484(r17) -8110a99c: 003fc806 br 8110a8c0 <__reset+0xfb0ea8c0> -8110a9a0: 00a04634 movhi r2,33048 -8110a9a4: 108f0804 addi r2,r2,15392 -8110a9a8: 10800803 ldbu r2,32(r2) -8110a9ac: 00c001c4 movi r3,7 -8110a9b0: 18bfc336 bltu r3,r2,8110a8c0 <__reset+0xfb0ea8c0> -8110a9b4: 01604574 movhi r5,33045 -8110a9b8: 29632004 addi r5,r5,-29568 -8110a9bc: 00002806 br 8110aa60 -8110a9c0: 00a04634 movhi r2,33048 -8110a9c4: 108f0804 addi r2,r2,15392 -8110a9c8: 10800803 ldbu r2,32(r2) -8110a9cc: 00c001c4 movi r3,7 -8110a9d0: 18bfbb36 bltu r3,r2,8110a8c0 <__reset+0xfb0ea8c0> -8110a9d4: 01604574 movhi r5,33045 -8110a9d8: 2962cd04 addi r5,r5,-29900 -8110a9dc: d1207917 ldw r4,-32284(gp) -8110a9e0: 01800104 movi r6,4 -8110a9e4: 00001f06 br 8110aa64 -8110a9e8: 8880ab17 ldw r2,684(r17) -8110a9ec: 00c00044 movi r3,1 -8110a9f0: 88c12415 stw r3,1168(r17) -8110a9f4: 10c00617 ldw r3,24(r2) -8110a9f8: 88c15545 stb r3,1365(r17) -8110a9fc: 10c00717 ldw r3,28(r2) -8110aa00: 88c15585 stb r3,1366(r17) -8110aa04: 10c00817 ldw r3,32(r2) -8110aa08: 88c155c5 stb r3,1367(r17) -8110aa0c: 10800917 ldw r2,36(r2) -8110aa10: 88815605 stb r2,1368(r17) -8110aa14: 003faa06 br 8110a8c0 <__reset+0xfb0ea8c0> -8110aa18: 8880ab17 ldw r2,684(r17) -8110aa1c: 00c00044 movi r3,1 -8110aa20: 88c12215 stw r3,1160(r17) -8110aa24: 10c00017 ldw r3,0(r2) -8110aa28: 10800117 ldw r2,4(r2) -8110aa2c: 88c13015 stw r3,1216(r17) -8110aa30: 88813115 stw r2,1220(r17) -8110aa34: 003fa206 br 8110a8c0 <__reset+0xfb0ea8c0> -8110aa38: 00a04634 movhi r2,33048 -8110aa3c: 108f0804 addi r2,r2,15392 -8110aa40: 10800803 ldbu r2,32(r2) -8110aa44: 00c001c4 movi r3,7 -8110aa48: 18bf9d36 bltu r3,r2,8110a8c0 <__reset+0xfb0ea8c0> -8110aa4c: 01604574 movhi r5,33045 -8110aa50: 29632904 addi r5,r5,-29532 -8110aa54: 00000206 br 8110aa60 -8110aa58: 01604574 movhi r5,33045 -8110aa5c: 2962cd04 addi r5,r5,-29900 -8110aa60: d1207917 ldw r4,-32284(gp) -8110aa64: dfc00317 ldw ra,12(sp) -8110aa68: dc800217 ldw r18,8(sp) -8110aa6c: dc400117 ldw r17,4(sp) -8110aa70: dc000017 ldw r16,0(sp) -8110aa74: dec00404 addi sp,sp,16 -8110aa78: 112b5e01 jmpi 8112b5e0 -8110aa7c: 8c00b304 addi r16,r17,716 -8110aa80: 8009883a mov r4,r16 -8110aa84: 11023f00 call 811023f0 -8110aa88: 04800044 movi r18,1 -8110aa8c: 8c80c515 stw r18,788(r17) -8110aa90: 8009883a mov r4,r16 -8110aa94: 11023d00 call 811023d0 -8110aa98: 00a04634 movhi r2,33048 -8110aa9c: 108f0804 addi r2,r2,15392 -8110aaa0: 10800803 ldbu r2,32(r2) -8110aaa4: 00c001c4 movi r3,7 -8110aaa8: 18bf8536 bltu r3,r2,8110a8c0 <__reset+0xfb0ea8c0> -8110aaac: d1e07917 ldw r7,-32284(gp) -8110aab0: 01204574 movhi r4,33045 -8110aab4: 2122e704 addi r4,r4,-29796 -8110aab8: 01801004 movi r6,64 -8110aabc: 900b883a mov r5,r18 -8110aac0: dfc00317 ldw ra,12(sp) -8110aac4: dc800217 ldw r18,8(sp) -8110aac8: dc400117 ldw r17,4(sp) -8110aacc: dc000017 ldw r16,0(sp) -8110aad0: dec00404 addi sp,sp,16 -8110aad4: 112bc4c1 jmpi 8112bc4c -8110aad8: 00a04634 movhi r2,33048 -8110aadc: 108f0804 addi r2,r2,15392 -8110aae0: 10800803 ldbu r2,32(r2) -8110aae4: 00c001c4 movi r3,7 -8110aae8: 18bf7536 bltu r3,r2,8110a8c0 <__reset+0xfb0ea8c0> -8110aaec: 01204574 movhi r4,33045 -8110aaf0: d1e07917 ldw r7,-32284(gp) -8110aaf4: 2122db04 addi r4,r4,-29844 -8110aaf8: 01800bc4 movi r6,47 -8110aafc: 01400044 movi r5,1 -8110ab00: 003fef06 br 8110aac0 <__reset+0xfb0eaac0> -8110ab04: 8c00b304 addi r16,r17,716 -8110ab08: 8009883a mov r4,r16 -8110ab0c: 11023f00 call 811023f0 -8110ab10: 04800044 movi r18,1 -8110ab14: 8c80c515 stw r18,788(r17) -8110ab18: 8009883a mov r4,r16 -8110ab1c: 11023d00 call 811023d0 -8110ab20: 00a04634 movhi r2,33048 -8110ab24: 108f0804 addi r2,r2,15392 -8110ab28: 10800803 ldbu r2,32(r2) -8110ab2c: 00c001c4 movi r3,7 -8110ab30: 18bf6336 bltu r3,r2,8110a8c0 <__reset+0xfb0ea8c0> -8110ab34: 01204574 movhi r4,33045 -8110ab38: d1e07917 ldw r7,-32284(gp) -8110ab3c: 2122f804 addi r4,r4,-29728 -8110ab40: 01800d84 movi r6,54 -8110ab44: 003fdd06 br 8110aabc <__reset+0xfb0eaabc> -8110ab48: 8c00b304 addi r16,r17,716 +8110a2e8: 2021883a mov r16,r4 +8110a2ec: 88803626 beq r17,r2,8110a3c8 +8110a2f0: 84412317 ldw r17,1164(r16) +8110a2f4: 00800044 movi r2,1 +8110a2f8: 88801e26 beq r17,r2,8110a374 +8110a2fc: 84412617 ldw r17,1176(r16) +8110a300: 00800044 movi r2,1 +8110a304: 88805326 beq r17,r2,8110a454 +8110a308: 80c12717 ldw r3,1180(r16) +8110a30c: 00800044 movi r2,1 +8110a310: 18804526 beq r3,r2,8110a428 +8110a314: 80c12417 ldw r3,1168(r16) +8110a318: 00800044 movi r2,1 +8110a31c: 18802026 beq r3,r2,8110a3a0 +8110a320: 80c12517 ldw r3,1172(r16) +8110a324: 00800044 movi r2,1 +8110a328: 1880031e bne r3,r2,8110a338 +8110a32c: 80012515 stw zero,1172(r16) +8110a330: 80813317 ldw r2,1228(r16) +8110a334: 80800c15 stw r2,48(r16) +8110a338: dfc00317 ldw ra,12(sp) +8110a33c: dc800217 ldw r18,8(sp) +8110a340: dc400117 ldw r17,4(sp) +8110a344: dc000017 ldw r16,0(sp) +8110a348: dec00404 addi sp,sp,16 +8110a34c: f800283a ret +8110a350: 20812617 ldw r2,1176(r4) +8110a354: 103fe21e bne r2,zero,8110a2e0 <__reset+0xfb0ea2e0> +8110a358: 20812417 ldw r2,1168(r4) +8110a35c: 103fe01e bne r2,zero,8110a2e0 <__reset+0xfb0ea2e0> +8110a360: 20812717 ldw r2,1180(r4) +8110a364: 103fde1e bne r2,zero,8110a2e0 <__reset+0xfb0ea2e0> +8110a368: 20812517 ldw r2,1172(r4) +8110a36c: 103fdc1e bne r2,zero,8110a2e0 <__reset+0xfb0ea2e0> +8110a370: 003ff106 br 8110a338 <__reset+0xfb0ea338> +8110a374: 8480b304 addi r18,r16,716 +8110a378: 9009883a mov r4,r18 +8110a37c: 11023980 call 81102398 +8110a380: 80012315 stw zero,1164(r16) +8110a384: 80817417 ldw r2,1488(r16) +8110a388: 14405326 beq r2,r17,8110a4d8 +8110a38c: 80813017 ldw r2,1216(r16) +8110a390: 8080b815 stw r2,736(r16) +8110a394: 9009883a mov r4,r18 +8110a398: 11023600 call 81102360 +8110a39c: 003fd706 br 8110a2fc <__reset+0xfb0ea2fc> +8110a3a0: 80012415 stw zero,1168(r16) +8110a3a4: 81415543 ldbu r5,1365(r16) +8110a3a8: 81015583 ldbu r4,1366(r16) +8110a3ac: 80c155c3 ldbu r3,1367(r16) +8110a3b0: 80815603 ldbu r2,1368(r16) +8110a3b4: 81402e45 stb r5,185(r16) +8110a3b8: 81002e85 stb r4,186(r16) +8110a3bc: 80c02ec5 stb r3,187(r16) +8110a3c0: 80802f05 stb r2,188(r16) +8110a3c4: 003fd606 br 8110a320 <__reset+0xfb0ea320> +8110a3c8: 80012215 stw zero,1160(r16) +8110a3cc: 80c13017 ldw r3,1216(r16) +8110a3d0: 80813117 ldw r2,1220(r16) +8110a3d4: 8480b304 addi r18,r16,716 +8110a3d8: 80c00915 stw r3,36(r16) +8110a3dc: 9009883a mov r4,r18 +8110a3e0: 80800a15 stw r2,40(r16) +8110a3e4: 11023980 call 81102398 +8110a3e8: 81413017 ldw r5,1216(r16) +8110a3ec: 81013117 ldw r4,1220(r16) +8110a3f0: 80813117 ldw r2,1220(r16) +8110a3f4: 80c04c0b ldhu r3,304(r16) +8110a3f8: 8140b815 stw r5,736(r16) +8110a3fc: 8100b915 stw r4,740(r16) +8110a400: 10800044 addi r2,r2,1 +8110a404: 18802c36 bltu r3,r2,8110a4b8 +8110a408: 80813117 ldw r2,1220(r16) +8110a40c: 8000bb15 stw zero,748(r16) +8110a410: 8440be15 stw r17,760(r16) +8110a414: 8080ba15 stw r2,744(r16) +8110a418: 8000bf15 stw zero,764(r16) +8110a41c: 9009883a mov r4,r18 +8110a420: 11023600 call 81102360 +8110a424: 003fb206 br 8110a2f0 <__reset+0xfb0ea2f0> +8110a428: 84406404 addi r17,r16,400 +8110a42c: 8809883a mov r4,r17 +8110a430: 80012715 stw zero,1180(r16) +8110a434: 1103bd00 call 81103bd0 +8110a438: 80c17217 ldw r3,1480(r16) +8110a43c: 80817317 ldw r2,1484(r16) +8110a440: 8809883a mov r4,r17 +8110a444: 80c06b15 stw r3,428(r16) +8110a448: 80806c15 stw r2,432(r16) +8110a44c: 1103c380 call 81103c38 +8110a450: 003fb006 br 8110a314 <__reset+0xfb0ea314> +8110a454: 8480b304 addi r18,r16,716 +8110a458: 9009883a mov r4,r18 +8110a45c: 80012615 stw zero,1176(r16) +8110a460: 11023980 call 81102398 +8110a464: 8081710b ldhu r2,1476(r16) +8110a468: 9009883a mov r4,r18 +8110a46c: 84806404 addi r18,r16,400 +8110a470: 10bfffcc andi r2,r2,65535 +8110a474: 8080c015 stw r2,768(r16) +8110a478: 11023600 call 81102360 +8110a47c: 81c1710b ldhu r7,1476(r16) +8110a480: 018006f4 movhi r6,27 +8110a484: 9009883a mov r4,r18 +8110a488: 31b85204 addi r6,r6,-7864 +8110a48c: 000b883a mov r5,zero +8110a490: 39ffffcc andi r7,r7,65535 +8110a494: 1103d640 call 81103d64 +8110a498: 81c1710b ldhu r7,1476(r16) +8110a49c: 018006f4 movhi r6,27 +8110a4a0: 31b85204 addi r6,r6,-7864 +8110a4a4: 880b883a mov r5,r17 +8110a4a8: 39ffffcc andi r7,r7,65535 +8110a4ac: 9009883a mov r4,r18 +8110a4b0: 1103d640 call 81103d64 +8110a4b4: 003f9406 br 8110a308 <__reset+0xfb0ea308> +8110a4b8: 80813117 ldw r2,1220(r16) +8110a4bc: 193fffc4 addi r4,r3,-1 +8110a4c0: 8100ba15 stw r4,744(r16) +8110a4c4: 10c7c83a sub r3,r2,r3 +8110a4c8: 80c0bb15 stw r3,748(r16) +8110a4cc: 8440be15 stw r17,760(r16) +8110a4d0: 8440bf15 stw r17,764(r16) +8110a4d4: 003fd106 br 8110a41c <__reset+0xfb0ea41c> +8110a4d8: 8000b815 stw zero,736(r16) +8110a4dc: 003fad06 br 8110a394 <__reset+0xfb0ea394> + +8110a4e0 : +8110a4e0: defffc04 addi sp,sp,-16 +8110a4e4: dc400115 stw r17,4(sp) +8110a4e8: 24442d17 ldw r17,4276(r4) +8110a4ec: dc000015 stw r16,0(sp) +8110a4f0: dfc00315 stw ra,12(sp) +8110a4f4: dc800215 stw r18,8(sp) +8110a4f8: 00800044 movi r2,1 +8110a4fc: 2021883a mov r16,r4 +8110a500: 88801926 beq r17,r2,8110a568 +8110a504: 84442e17 ldw r17,4280(r16) +8110a508: 00800044 movi r2,1 +8110a50c: 88800626 beq r17,r2,8110a528 +8110a510: dfc00317 ldw ra,12(sp) +8110a514: dc800217 ldw r18,8(sp) +8110a518: dc400117 ldw r17,4(sp) +8110a51c: dc000017 ldw r16,0(sp) +8110a520: dec00404 addi sp,sp,16 +8110a524: f800283a ret +8110a528: 8480b304 addi r18,r16,716 +8110a52c: 9009883a mov r4,r18 +8110a530: 11027800 call 81102780 +8110a534: 8140fe17 ldw r5,1016(r16) +8110a538: 2c403d26 beq r5,r17,8110a630 +8110a53c: 01400044 movi r5,1 +8110a540: 9009883a mov r4,r18 +8110a544: 1102a080 call 81102a08 +8110a548: 10001726 beq r2,zero,8110a5a8 +8110a54c: 00a04634 movhi r2,33048 +8110a550: 108f1904 addi r2,r2,15460 +8110a554: 10800803 ldbu r2,32(r2) +8110a558: 00c001c4 movi r3,7 +8110a55c: 18802e2e bgeu r3,r2,8110a618 +8110a560: 80042e15 stw zero,4280(r16) +8110a564: 003fea06 br 8110a510 <__reset+0xfb0ea510> +8110a568: 2480b304 addi r18,r4,716 +8110a56c: 9009883a mov r4,r18 +8110a570: 11027100 call 81102710 +8110a574: 8080ef17 ldw r2,956(r16) +8110a578: 14403026 beq r2,r17,8110a63c +8110a57c: 000b883a mov r5,zero +8110a580: 9009883a mov r4,r18 +8110a584: 1102a080 call 81102a08 +8110a588: 10001226 beq r2,zero,8110a5d4 +8110a58c: 00a04634 movhi r2,33048 +8110a590: 108f1904 addi r2,r2,15460 +8110a594: 10800803 ldbu r2,32(r2) +8110a598: 00c001c4 movi r3,7 +8110a59c: 1880182e bgeu r3,r2,8110a600 +8110a5a0: 80042d15 stw zero,4276(r16) +8110a5a4: 003fd706 br 8110a504 <__reset+0xfb0ea504> +8110a5a8: 00a04634 movhi r2,33048 +8110a5ac: 108f1904 addi r2,r2,15460 +8110a5b0: 10800803 ldbu r2,32(r2) +8110a5b4: 00c001c4 movi r3,7 +8110a5b8: 18bfe936 bltu r3,r2,8110a560 <__reset+0xfb0ea560> +8110a5bc: 81800003 ldbu r6,0(r16) +8110a5c0: d1207917 ldw r4,-32284(gp) +8110a5c4: 01604574 movhi r5,33045 +8110a5c8: 29627a04 addi r5,r5,-30232 +8110a5cc: 112b6200 call 8112b620 +8110a5d0: 003fe306 br 8110a560 <__reset+0xfb0ea560> +8110a5d4: 00a04634 movhi r2,33048 +8110a5d8: 108f1904 addi r2,r2,15460 +8110a5dc: 10800803 ldbu r2,32(r2) +8110a5e0: 00c001c4 movi r3,7 +8110a5e4: 18bfee36 bltu r3,r2,8110a5a0 <__reset+0xfb0ea5a0> +8110a5e8: 81800003 ldbu r6,0(r16) +8110a5ec: d1207917 ldw r4,-32284(gp) +8110a5f0: 01604574 movhi r5,33045 +8110a5f4: 29625404 addi r5,r5,-30384 +8110a5f8: 112b6200 call 8112b620 +8110a5fc: 003fe806 br 8110a5a0 <__reset+0xfb0ea5a0> +8110a600: 81800003 ldbu r6,0(r16) +8110a604: d1207917 ldw r4,-32284(gp) +8110a608: 01604574 movhi r5,33045 +8110a60c: 29624204 addi r5,r5,-30456 +8110a610: 112b6200 call 8112b620 +8110a614: 003fe206 br 8110a5a0 <__reset+0xfb0ea5a0> +8110a618: 81800003 ldbu r6,0(r16) +8110a61c: d1207917 ldw r4,-32284(gp) +8110a620: 01604574 movhi r5,33045 +8110a624: 29626804 addi r5,r5,-30304 +8110a628: 112b6200 call 8112b620 +8110a62c: 003fcc06 br 8110a560 <__reset+0xfb0ea560> +8110a630: 9009883a mov r4,r18 +8110a634: 1102a900 call 81102a90 +8110a638: 003fc006 br 8110a53c <__reset+0xfb0ea53c> +8110a63c: 000b883a mov r5,zero +8110a640: 9009883a mov r4,r18 +8110a644: 1102a900 call 81102a90 +8110a648: 003fcc06 br 8110a57c <__reset+0xfb0ea57c> + +8110a64c : +8110a64c: 20c1ab17 ldw r3,1708(r4) +8110a650: 00800044 movi r2,1 +8110a654: 18800126 beq r3,r2,8110a65c +8110a658: f800283a ret +8110a65c: defffe04 addi sp,sp,-8 +8110a660: dc000015 stw r16,0(sp) +8110a664: 2021883a mov r16,r4 +8110a668: 2100b304 addi r4,r4,716 +8110a66c: dfc00115 stw ra,4(sp) +8110a670: 1102d340 call 81102d34 +8110a674: 10000a26 beq r2,zero,8110a6a0 +8110a678: 00a04634 movhi r2,33048 +8110a67c: 108f1904 addi r2,r2,15460 +8110a680: 10800803 ldbu r2,32(r2) +8110a684: 00c001c4 movi r3,7 +8110a688: 1880102e bgeu r3,r2,8110a6cc +8110a68c: 8001ab15 stw zero,1708(r16) +8110a690: dfc00117 ldw ra,4(sp) +8110a694: dc000017 ldw r16,0(sp) +8110a698: dec00204 addi sp,sp,8 +8110a69c: f800283a ret +8110a6a0: 00a04634 movhi r2,33048 +8110a6a4: 108f1904 addi r2,r2,15460 +8110a6a8: 10800803 ldbu r2,32(r2) +8110a6ac: 00c001c4 movi r3,7 +8110a6b0: 18bff636 bltu r3,r2,8110a68c <__reset+0xfb0ea68c> +8110a6b4: 81800003 ldbu r6,0(r16) +8110a6b8: d1207917 ldw r4,-32284(gp) +8110a6bc: 01604574 movhi r5,33045 +8110a6c0: 29629d04 addi r5,r5,-30092 +8110a6c4: 112b6200 call 8112b620 +8110a6c8: 003ff006 br 8110a68c <__reset+0xfb0ea68c> +8110a6cc: 81800003 ldbu r6,0(r16) +8110a6d0: d1207917 ldw r4,-32284(gp) +8110a6d4: 01604574 movhi r5,33045 +8110a6d8: 29628e04 addi r5,r5,-30152 +8110a6dc: 112b6200 call 8112b620 +8110a6e0: 003fea06 br 8110a68c <__reset+0xfb0ea68c> + +8110a6e4 : +8110a6e4: defffc04 addi sp,sp,-16 +8110a6e8: dc400115 stw r17,4(sp) +8110a6ec: dc000015 stw r16,0(sp) +8110a6f0: dfc00315 stw ra,12(sp) +8110a6f4: dc800215 stw r18,8(sp) +8110a6f8: 2023883a mov r17,r4 +8110a6fc: 21000003 ldbu r4,0(r4) +8110a700: 2820d23a srli r16,r5,8 +8110a704: 01604574 movhi r5,33045 +8110a708: 21000044 addi r4,r4,1 +8110a70c: 297348c4 addi r5,r5,-13021 +8110a710: 21003fcc andi r4,r4,255 +8110a714: 111e1e00 call 8111e1e0 +8110a718: 81803fcc andi r6,r16,255 +8110a71c: 00801a04 movi r2,104 +8110a720: 1180d236 bltu r2,r6,8110aa6c +8110a724: 300690ba slli r3,r6,2 +8110a728: 00a04474 movhi r2,33041 +8110a72c: 10a9cf04 addi r2,r2,-22724 +8110a730: 1885883a add r2,r3,r2 +8110a734: 10800017 ldw r2,0(r2) +8110a738: 1000683a jmp r2 +8110a73c: 8110aa4c andi r4,r16,17065 +8110a740: 8110aa6c andhi r4,r16,17065 +8110a744: 8110aa6c andhi r4,r16,17065 +8110a748: 8110aa6c andhi r4,r16,17065 +8110a74c: 8110a9f4 orhi r4,r16,17063 +8110a750: 8110aa6c andhi r4,r16,17065 +8110a754: 8110aa6c andhi r4,r16,17065 +8110a758: 8110aa6c andhi r4,r16,17065 +8110a75c: 8110aa1c xori r4,r16,17064 +8110a760: 8110aa6c andhi r4,r16,17065 +8110a764: 8110aa6c andhi r4,r16,17065 +8110a768: 8110aa6c andhi r4,r16,17065 +8110a76c: 8110a978 rdprs r4,r16,17061 +8110a770: 8110aa6c andhi r4,r16,17065 +8110a774: 8110aa6c andhi r4,r16,17065 +8110a778: 8110aa6c andhi r4,r16,17065 +8110a77c: 8110a99c xori r4,r16,17062 +8110a780: 8110aa6c andhi r4,r16,17065 +8110a784: 8110aa6c andhi r4,r16,17065 +8110a788: 8110aa6c andhi r4,r16,17065 +8110a78c: 8110a9b4 orhi r4,r16,17062 +8110a790: 8110aa6c andhi r4,r16,17065 +8110a794: 8110aa6c andhi r4,r16,17065 +8110a798: 8110aa6c andhi r4,r16,17065 +8110a79c: 8110a8e0 cmpeqi r4,r16,17059 +8110a7a0: 8110aa6c andhi r4,r16,17065 +8110a7a4: 8110aa6c andhi r4,r16,17065 +8110a7a8: 8110aa6c andhi r4,r16,17065 +8110a7ac: 8110a8e0 cmpeqi r4,r16,17059 +8110a7b0: 8110aa6c andhi r4,r16,17065 +8110a7b4: 8110aa6c andhi r4,r16,17065 +8110a7b8: 8110aa6c andhi r4,r16,17065 +8110a7bc: 8110a8e0 cmpeqi r4,r16,17059 +8110a7c0: 8110aa6c andhi r4,r16,17065 +8110a7c4: 8110aa6c andhi r4,r16,17065 +8110a7c8: 8110aa6c andhi r4,r16,17065 +8110a7cc: 8110a8e0 cmpeqi r4,r16,17059 +8110a7d0: 8110aa6c andhi r4,r16,17065 +8110a7d4: 8110aa6c andhi r4,r16,17065 +8110a7d8: 8110aa6c andhi r4,r16,17065 +8110a7dc: 8110a8e0 cmpeqi r4,r16,17059 +8110a7e0: 8110aa6c andhi r4,r16,17065 +8110a7e4: 8110aa6c andhi r4,r16,17065 +8110a7e8: 8110aa6c andhi r4,r16,17065 +8110a7ec: 8110a8e0 cmpeqi r4,r16,17059 +8110a7f0: 8110aa6c andhi r4,r16,17065 +8110a7f4: 8110aa6c andhi r4,r16,17065 +8110a7f8: 8110aa6c andhi r4,r16,17065 +8110a7fc: 8110a8e0 cmpeqi r4,r16,17059 +8110a800: 8110aa6c andhi r4,r16,17065 +8110a804: 8110aa6c andhi r4,r16,17065 +8110a808: 8110aa6c andhi r4,r16,17065 +8110a80c: 8110a8e0 cmpeqi r4,r16,17059 +8110a810: 8110aa6c andhi r4,r16,17065 +8110a814: 8110aa6c andhi r4,r16,17065 +8110a818: 8110aa6c andhi r4,r16,17065 +8110a81c: 8110a8e0 cmpeqi r4,r16,17059 +8110a820: 8110aa6c andhi r4,r16,17065 +8110a824: 8110aa6c andhi r4,r16,17065 +8110a828: 8110aa6c andhi r4,r16,17065 +8110a82c: 8110a8e0 cmpeqi r4,r16,17059 +8110a830: 8110aa6c andhi r4,r16,17065 +8110a834: 8110aa6c andhi r4,r16,17065 +8110a838: 8110aa6c andhi r4,r16,17065 +8110a83c: 8110a8e0 cmpeqi r4,r16,17059 +8110a840: 8110aa6c andhi r4,r16,17065 +8110a844: 8110aa6c andhi r4,r16,17065 +8110a848: 8110aa6c andhi r4,r16,17065 +8110a84c: 8110a8e0 cmpeqi r4,r16,17059 +8110a850: 8110aa6c andhi r4,r16,17065 +8110a854: 8110aa6c andhi r4,r16,17065 +8110a858: 8110aa6c andhi r4,r16,17065 +8110a85c: 8110a8e0 cmpeqi r4,r16,17059 +8110a860: 8110aa6c andhi r4,r16,17065 +8110a864: 8110aa6c andhi r4,r16,17065 +8110a868: 8110aa6c andhi r4,r16,17065 +8110a86c: 8110a8e0 cmpeqi r4,r16,17059 +8110a870: 8110aa6c andhi r4,r16,17065 +8110a874: 8110aa6c andhi r4,r16,17065 +8110a878: 8110aa6c andhi r4,r16,17065 +8110a87c: 8110a8e0 cmpeqi r4,r16,17059 +8110a880: 8110aa6c andhi r4,r16,17065 +8110a884: 8110aa6c andhi r4,r16,17065 +8110a888: 8110aa6c andhi r4,r16,17065 +8110a88c: 8110a90c andi r4,r16,17060 +8110a890: 8110aa6c andhi r4,r16,17065 +8110a894: 8110aa6c andhi r4,r16,17065 +8110a898: 8110aa6c andhi r4,r16,17065 +8110a89c: 8110a9d4 ori r4,r16,17063 +8110a8a0: 8110aa6c andhi r4,r16,17065 +8110a8a4: 8110aa6c andhi r4,r16,17065 +8110a8a8: 8110aa6c andhi r4,r16,17065 +8110a8ac: 8110a9d4 ori r4,r16,17063 +8110a8b0: 8110aa6c andhi r4,r16,17065 +8110a8b4: 8110aa6c andhi r4,r16,17065 +8110a8b8: 8110aa6c andhi r4,r16,17065 +8110a8bc: 8110a9d4 ori r4,r16,17063 +8110a8c0: 8110aa6c andhi r4,r16,17065 +8110a8c4: 8110aa6c andhi r4,r16,17065 +8110a8c8: 8110aa6c andhi r4,r16,17065 +8110a8cc: 8110a9d4 ori r4,r16,17063 +8110a8d0: 8110aa6c andhi r4,r16,17065 +8110a8d4: 8110aa6c andhi r4,r16,17065 +8110a8d8: 8110aa6c andhi r4,r16,17065 +8110a8dc: 8110a9d4 ori r4,r16,17063 +8110a8e0: 00a04634 movhi r2,33048 +8110a8e4: 108f1904 addi r2,r2,15460 +8110a8e8: 10800803 ldbu r2,32(r2) +8110a8ec: 00c001c4 movi r3,7 +8110a8f0: 1880662e bgeu r3,r2,8110aa8c +8110a8f4: dfc00317 ldw ra,12(sp) +8110a8f8: dc800217 ldw r18,8(sp) +8110a8fc: dc400117 ldw r17,4(sp) +8110a900: dc000017 ldw r16,0(sp) +8110a904: dec00404 addi sp,sp,16 +8110a908: f800283a ret +8110a90c: 8880ab17 ldw r2,684(r17) +8110a910: 88000b15 stw zero,44(r17) +8110a914: 00c003c4 movi r3,15 +8110a918: 10804017 ldw r2,256(r2) +8110a91c: 1880c636 bltu r3,r2,8110ac38 +8110a920: 100490ba slli r2,r2,2 +8110a924: 00e04474 movhi r3,33041 +8110a928: 18ea4e04 addi r3,r3,-22216 +8110a92c: 10c5883a add r2,r2,r3 +8110a930: 10800017 ldw r2,0(r2) +8110a934: 1000683a jmp r2 +8110a938: 8110ab0c andi r4,r16,17068 +8110a93c: 8110ac10 cmplti r4,r16,17072 +8110a940: 8110abe8 cmpgeui r4,r16,17071 +8110a944: 8110ac38 rdprs r4,r16,17072 +8110a948: 8110abc0 call 88110abc <__reset+0x20f0abc> +8110a94c: 8110aab0 cmpltui r4,r16,17066 +8110a950: 8110aab0 cmpltui r4,r16,17066 +8110a954: 8110ab38 rdprs r4,r16,17068 +8110a958: 8110ab0c andi r4,r16,17068 +8110a95c: 8110aab0 cmpltui r4,r16,17066 +8110a960: 8110aab0 cmpltui r4,r16,17066 +8110a964: 8110aab0 cmpltui r4,r16,17066 +8110a968: 8110aab0 cmpltui r4,r16,17066 +8110a96c: 8110ab7c xorhi r4,r16,17069 +8110a970: 8110ab7c xorhi r4,r16,17069 +8110a974: 8110ab7c xorhi r4,r16,17069 +8110a978: 88c0ab17 ldw r3,684(r17) +8110a97c: 00800044 movi r2,1 +8110a980: 88812515 stw r2,1172(r17) +8110a984: 19000b17 ldw r4,44(r3) +8110a988: 18c00c17 ldw r3,48(r3) +8110a98c: 89013315 stw r4,1228(r17) +8110a990: 88812315 stw r2,1164(r17) +8110a994: 88c17415 stw r3,1488(r17) +8110a998: 003fd606 br 8110a8f4 <__reset+0xfb0ea8f4> +8110a99c: 8880ab17 ldw r2,684(r17) +8110a9a0: 00c00044 movi r3,1 +8110a9a4: 88c12615 stw r3,1176(r17) +8110a9a8: 10801017 ldw r2,64(r2) +8110a9ac: 8881710d sth r2,1476(r17) +8110a9b0: 003fd006 br 8110a8f4 <__reset+0xfb0ea8f4> +8110a9b4: 8880ab17 ldw r2,684(r17) +8110a9b8: 00c00044 movi r3,1 +8110a9bc: 88c12715 stw r3,1180(r17) +8110a9c0: 10c01517 ldw r3,84(r2) +8110a9c4: 10801717 ldw r2,92(r2) +8110a9c8: 88c17215 stw r3,1480(r17) +8110a9cc: 88817315 stw r2,1484(r17) +8110a9d0: 003fc806 br 8110a8f4 <__reset+0xfb0ea8f4> +8110a9d4: 00a04634 movhi r2,33048 +8110a9d8: 108f1904 addi r2,r2,15460 +8110a9dc: 10800803 ldbu r2,32(r2) +8110a9e0: 00c001c4 movi r3,7 +8110a9e4: 18bfc336 bltu r3,r2,8110a8f4 <__reset+0xfb0ea8f4> +8110a9e8: 01604574 movhi r5,33045 +8110a9ec: 29633004 addi r5,r5,-29504 +8110a9f0: 00002806 br 8110aa94 +8110a9f4: 00a04634 movhi r2,33048 +8110a9f8: 108f1904 addi r2,r2,15460 +8110a9fc: 10800803 ldbu r2,32(r2) +8110aa00: 00c001c4 movi r3,7 +8110aa04: 18bfbb36 bltu r3,r2,8110a8f4 <__reset+0xfb0ea8f4> +8110aa08: 01604574 movhi r5,33045 +8110aa0c: 2962dd04 addi r5,r5,-29836 +8110aa10: d1207917 ldw r4,-32284(gp) +8110aa14: 01800104 movi r6,4 +8110aa18: 00001f06 br 8110aa98 +8110aa1c: 8880ab17 ldw r2,684(r17) +8110aa20: 00c00044 movi r3,1 +8110aa24: 88c12415 stw r3,1168(r17) +8110aa28: 10c00617 ldw r3,24(r2) +8110aa2c: 88c15545 stb r3,1365(r17) +8110aa30: 10c00717 ldw r3,28(r2) +8110aa34: 88c15585 stb r3,1366(r17) +8110aa38: 10c00817 ldw r3,32(r2) +8110aa3c: 88c155c5 stb r3,1367(r17) +8110aa40: 10800917 ldw r2,36(r2) +8110aa44: 88815605 stb r2,1368(r17) +8110aa48: 003faa06 br 8110a8f4 <__reset+0xfb0ea8f4> +8110aa4c: 8880ab17 ldw r2,684(r17) +8110aa50: 00c00044 movi r3,1 +8110aa54: 88c12215 stw r3,1160(r17) +8110aa58: 10c00017 ldw r3,0(r2) +8110aa5c: 10800117 ldw r2,4(r2) +8110aa60: 88c13015 stw r3,1216(r17) +8110aa64: 88813115 stw r2,1220(r17) +8110aa68: 003fa206 br 8110a8f4 <__reset+0xfb0ea8f4> +8110aa6c: 00a04634 movhi r2,33048 +8110aa70: 108f1904 addi r2,r2,15460 +8110aa74: 10800803 ldbu r2,32(r2) +8110aa78: 00c001c4 movi r3,7 +8110aa7c: 18bf9d36 bltu r3,r2,8110a8f4 <__reset+0xfb0ea8f4> +8110aa80: 01604574 movhi r5,33045 +8110aa84: 29633904 addi r5,r5,-29468 +8110aa88: 00000206 br 8110aa94 +8110aa8c: 01604574 movhi r5,33045 +8110aa90: 2962dd04 addi r5,r5,-29836 +8110aa94: d1207917 ldw r4,-32284(gp) +8110aa98: dfc00317 ldw ra,12(sp) +8110aa9c: dc800217 ldw r18,8(sp) +8110aaa0: dc400117 ldw r17,4(sp) +8110aaa4: dc000017 ldw r16,0(sp) +8110aaa8: dec00404 addi sp,sp,16 +8110aaac: 112b6201 jmpi 8112b620 +8110aab0: 8c00b304 addi r16,r17,716 +8110aab4: 8009883a mov r4,r16 +8110aab8: 11023f00 call 811023f0 +8110aabc: 04800044 movi r18,1 +8110aac0: 8c80c515 stw r18,788(r17) +8110aac4: 8009883a mov r4,r16 +8110aac8: 11023d00 call 811023d0 +8110aacc: 00a04634 movhi r2,33048 +8110aad0: 108f1904 addi r2,r2,15460 +8110aad4: 10800803 ldbu r2,32(r2) +8110aad8: 00c001c4 movi r3,7 +8110aadc: 18bf8536 bltu r3,r2,8110a8f4 <__reset+0xfb0ea8f4> +8110aae0: d1e07917 ldw r7,-32284(gp) +8110aae4: 01204574 movhi r4,33045 +8110aae8: 2122f704 addi r4,r4,-29732 +8110aaec: 01801004 movi r6,64 +8110aaf0: 900b883a mov r5,r18 +8110aaf4: dfc00317 ldw ra,12(sp) +8110aaf8: dc800217 ldw r18,8(sp) +8110aafc: dc400117 ldw r17,4(sp) +8110ab00: dc000017 ldw r16,0(sp) +8110ab04: dec00404 addi sp,sp,16 +8110ab08: 112bc8c1 jmpi 8112bc8c +8110ab0c: 00a04634 movhi r2,33048 +8110ab10: 108f1904 addi r2,r2,15460 +8110ab14: 10800803 ldbu r2,32(r2) +8110ab18: 00c001c4 movi r3,7 +8110ab1c: 18bf7536 bltu r3,r2,8110a8f4 <__reset+0xfb0ea8f4> +8110ab20: 01204574 movhi r4,33045 +8110ab24: d1e07917 ldw r7,-32284(gp) +8110ab28: 2122eb04 addi r4,r4,-29780 +8110ab2c: 01800bc4 movi r6,47 +8110ab30: 01400044 movi r5,1 +8110ab34: 003fef06 br 8110aaf4 <__reset+0xfb0eaaf4> +8110ab38: 8c00b304 addi r16,r17,716 +8110ab3c: 8009883a mov r4,r16 +8110ab40: 11023f00 call 811023f0 +8110ab44: 04800044 movi r18,1 +8110ab48: 8c80c515 stw r18,788(r17) 8110ab4c: 8009883a mov r4,r16 -8110ab50: 11023f00 call 811023f0 -8110ab54: 04800044 movi r18,1 -8110ab58: 8c80c515 stw r18,788(r17) -8110ab5c: 8009883a mov r4,r16 -8110ab60: 11023d00 call 811023d0 -8110ab64: 00a04634 movhi r2,33048 -8110ab68: 108f0804 addi r2,r2,15392 -8110ab6c: 10800803 ldbu r2,32(r2) -8110ab70: 00c001c4 movi r3,7 -8110ab74: 18bf5236 bltu r3,r2,8110a8c0 <__reset+0xfb0ea8c0> -8110ab78: 01204574 movhi r4,33045 -8110ab7c: d1e07917 ldw r7,-32284(gp) -8110ab80: 21230604 addi r4,r4,-29672 -8110ab84: 01800644 movi r6,25 -8110ab88: 003fcc06 br 8110aabc <__reset+0xfb0eaabc> -8110ab8c: 00c00044 movi r3,1 -8110ab90: 88c02b15 stw r3,172(r17) -8110ab94: 00c00344 movi r3,13 -8110ab98: 00800084 movi r2,2 -8110ab9c: 88c03315 stw r3,204(r17) -8110aba0: 00c00384 movi r3,14 -8110aba4: 88803415 stw r2,208(r17) -8110aba8: 88c03515 stw r3,212(r17) -8110abac: 88803115 stw r2,196(r17) -8110abb0: 003f4306 br 8110a8c0 <__reset+0xfb0ea8c0> -8110abb4: 00c00044 movi r3,1 -8110abb8: 88c02b15 stw r3,172(r17) -8110abbc: 00c00344 movi r3,13 -8110abc0: 00800084 movi r2,2 -8110abc4: 88c03315 stw r3,204(r17) -8110abc8: 00c00444 movi r3,17 -8110abcc: 88803415 stw r2,208(r17) -8110abd0: 88c03515 stw r3,212(r17) -8110abd4: 88803115 stw r2,196(r17) -8110abd8: 003f3906 br 8110a8c0 <__reset+0xfb0ea8c0> -8110abdc: 00c00044 movi r3,1 -8110abe0: 88c02b15 stw r3,172(r17) -8110abe4: 00c00344 movi r3,13 -8110abe8: 00800084 movi r2,2 -8110abec: 88c03315 stw r3,204(r17) -8110abf0: 00c003c4 movi r3,15 -8110abf4: 88803415 stw r2,208(r17) -8110abf8: 88c03515 stw r3,212(r17) -8110abfc: 88803115 stw r2,196(r17) -8110ac00: 003f2f06 br 8110a8c0 <__reset+0xfb0ea8c0> -8110ac04: 8c00b304 addi r16,r17,716 -8110ac08: 8009883a mov r4,r16 -8110ac0c: 11023f00 call 811023f0 -8110ac10: 00800044 movi r2,1 -8110ac14: 8880c515 stw r2,788(r17) -8110ac18: 8009883a mov r4,r16 -8110ac1c: 11023d00 call 811023d0 -8110ac20: 00a04634 movhi r2,33048 -8110ac24: 108f0804 addi r2,r2,15392 -8110ac28: 10800803 ldbu r2,32(r2) -8110ac2c: 00c001c4 movi r3,7 -8110ac30: 18bf2336 bltu r3,r2,8110a8c0 <__reset+0xfb0ea8c0> -8110ac34: 8880ab17 ldw r2,684(r17) -8110ac38: 01604574 movhi r5,33045 -8110ac3c: 29630d04 addi r5,r5,-29644 -8110ac40: d1207917 ldw r4,-32284(gp) -8110ac44: 11804003 ldbu r6,256(r2) -8110ac48: 003f8606 br 8110aa64 <__reset+0xfb0eaa64> - -8110ac4c : -8110ac4c: 21800003 ldbu r6,0(r4) -8110ac50: 2806d63a srli r3,r5,24 -8110ac54: 30800444 addi r2,r6,17 -8110ac58: 18800126 beq r3,r2,8110ac60 -8110ac5c: f800283a ret -8110ac60: 280ed43a srli r7,r5,16 -8110ac64: 008023c4 movi r2,143 -8110ac68: 39c03fcc andi r7,r7,255 -8110ac6c: 3880d026 beq r7,r2,8110afb0 -8110ac70: defffc04 addi sp,sp,-16 -8110ac74: dc400115 stw r17,4(sp) -8110ac78: dc000015 stw r16,0(sp) -8110ac7c: dfc00315 stw ra,12(sp) -8110ac80: dc800215 stw r18,8(sp) -8110ac84: 2821883a mov r16,r5 -8110ac88: 2023883a mov r17,r4 -8110ac8c: 11c01636 bltu r2,r7,8110ace8 -8110ac90: 00800184 movi r2,6 -8110ac94: 38805a26 beq r7,r2,8110ae00 -8110ac98: 11c0382e bgeu r2,r7,8110ad7c -8110ac9c: 00801c44 movi r2,113 -8110aca0: 38803026 beq r7,r2,8110ad64 -8110aca4: 11c02736 bltu r2,r7,8110ad44 -8110aca8: 00800304 movi r2,12 -8110acac: 11c06a36 bltu r2,r7,8110ae58 -8110acb0: 00a04634 movhi r2,33048 -8110acb4: 108f0804 addi r2,r2,15392 -8110acb8: 10800803 ldbu r2,32(r2) -8110acbc: 00c001c4 movi r3,7 -8110acc0: 18802836 bltu r3,r2,8110ad64 -8110acc4: d1207917 ldw r4,-32284(gp) -8110acc8: 01604574 movhi r5,33045 -8110accc: 29629e04 addi r5,r5,-30088 -8110acd0: dfc00317 ldw ra,12(sp) -8110acd4: dc800217 ldw r18,8(sp) -8110acd8: dc400117 ldw r17,4(sp) -8110acdc: dc000017 ldw r16,0(sp) -8110ace0: dec00404 addi sp,sp,16 -8110ace4: 112b5e01 jmpi 8112b5e0 -8110ace8: 00803884 movi r2,226 -8110acec: 11c03b2e bgeu r2,r7,8110addc -8110acf0: 00803a04 movi r2,232 -8110acf4: 38807326 beq r7,r2,8110aec4 -8110acf8: 00803c04 movi r2,240 -8110acfc: 3880641e bne r7,r2,8110ae90 -8110ad00: 00a04634 movhi r2,33048 -8110ad04: 108f0804 addi r2,r2,15392 -8110ad08: 10800803 ldbu r2,32(r2) -8110ad0c: 00c00104 movi r3,4 -8110ad10: 18800436 bltu r3,r2,8110ad24 -8110ad14: d1207917 ldw r4,-32284(gp) -8110ad18: 01604574 movhi r5,33045 -8110ad1c: 29633304 addi r5,r5,-29492 -8110ad20: 112b5e00 call 8112b5e0 -8110ad24: 800b883a mov r5,r16 -8110ad28: 8809883a mov r4,r17 -8110ad2c: dfc00317 ldw ra,12(sp) -8110ad30: dc800217 ldw r18,8(sp) -8110ad34: dc400117 ldw r17,4(sp) -8110ad38: dc000017 ldw r16,0(sp) -8110ad3c: dec00404 addi sp,sp,16 -8110ad40: 110a6b01 jmpi 8110a6b0 -8110ad44: 00801d84 movi r2,118 -8110ad48: 3880431e bne r7,r2,8110ae58 -8110ad4c: 29403fcc andi r5,r5,255 -8110ad50: 28009c26 beq r5,zero,8110afc4 -8110ad54: 00800044 movi r2,1 -8110ad58: 28800126 beq r5,r2,8110ad60 -8110ad5c: 00800084 movi r2,2 -8110ad60: 88804915 stw r2,292(r17) -8110ad64: dfc00317 ldw ra,12(sp) -8110ad68: dc800217 ldw r18,8(sp) -8110ad6c: dc400117 ldw r17,4(sp) -8110ad70: dc000017 ldw r16,0(sp) -8110ad74: dec00404 addi sp,sp,16 -8110ad78: f800283a ret -8110ad7c: 00800104 movi r2,4 -8110ad80: 38802926 beq r7,r2,8110ae28 -8110ad84: 11c04536 bltu r2,r7,8110ae9c +8110ab50: 11023d00 call 811023d0 +8110ab54: 00a04634 movhi r2,33048 +8110ab58: 108f1904 addi r2,r2,15460 +8110ab5c: 10800803 ldbu r2,32(r2) +8110ab60: 00c001c4 movi r3,7 +8110ab64: 18bf6336 bltu r3,r2,8110a8f4 <__reset+0xfb0ea8f4> +8110ab68: 01204574 movhi r4,33045 +8110ab6c: d1e07917 ldw r7,-32284(gp) +8110ab70: 21230804 addi r4,r4,-29664 +8110ab74: 01800d84 movi r6,54 +8110ab78: 003fdd06 br 8110aaf0 <__reset+0xfb0eaaf0> +8110ab7c: 8c00b304 addi r16,r17,716 +8110ab80: 8009883a mov r4,r16 +8110ab84: 11023f00 call 811023f0 +8110ab88: 04800044 movi r18,1 +8110ab8c: 8c80c515 stw r18,788(r17) +8110ab90: 8009883a mov r4,r16 +8110ab94: 11023d00 call 811023d0 +8110ab98: 00a04634 movhi r2,33048 +8110ab9c: 108f1904 addi r2,r2,15460 +8110aba0: 10800803 ldbu r2,32(r2) +8110aba4: 00c001c4 movi r3,7 +8110aba8: 18bf5236 bltu r3,r2,8110a8f4 <__reset+0xfb0ea8f4> +8110abac: 01204574 movhi r4,33045 +8110abb0: d1e07917 ldw r7,-32284(gp) +8110abb4: 21231604 addi r4,r4,-29608 +8110abb8: 01800644 movi r6,25 +8110abbc: 003fcc06 br 8110aaf0 <__reset+0xfb0eaaf0> +8110abc0: 00c00044 movi r3,1 +8110abc4: 88c02b15 stw r3,172(r17) +8110abc8: 00c00344 movi r3,13 +8110abcc: 00800084 movi r2,2 +8110abd0: 88c03315 stw r3,204(r17) +8110abd4: 00c00384 movi r3,14 +8110abd8: 88803415 stw r2,208(r17) +8110abdc: 88c03515 stw r3,212(r17) +8110abe0: 88803115 stw r2,196(r17) +8110abe4: 003f4306 br 8110a8f4 <__reset+0xfb0ea8f4> +8110abe8: 00c00044 movi r3,1 +8110abec: 88c02b15 stw r3,172(r17) +8110abf0: 00c00344 movi r3,13 +8110abf4: 00800084 movi r2,2 +8110abf8: 88c03315 stw r3,204(r17) +8110abfc: 00c00444 movi r3,17 +8110ac00: 88803415 stw r2,208(r17) +8110ac04: 88c03515 stw r3,212(r17) +8110ac08: 88803115 stw r2,196(r17) +8110ac0c: 003f3906 br 8110a8f4 <__reset+0xfb0ea8f4> +8110ac10: 00c00044 movi r3,1 +8110ac14: 88c02b15 stw r3,172(r17) +8110ac18: 00c00344 movi r3,13 +8110ac1c: 00800084 movi r2,2 +8110ac20: 88c03315 stw r3,204(r17) +8110ac24: 00c003c4 movi r3,15 +8110ac28: 88803415 stw r2,208(r17) +8110ac2c: 88c03515 stw r3,212(r17) +8110ac30: 88803115 stw r2,196(r17) +8110ac34: 003f2f06 br 8110a8f4 <__reset+0xfb0ea8f4> +8110ac38: 8c00b304 addi r16,r17,716 +8110ac3c: 8009883a mov r4,r16 +8110ac40: 11023f00 call 811023f0 +8110ac44: 00800044 movi r2,1 +8110ac48: 8880c515 stw r2,788(r17) +8110ac4c: 8009883a mov r4,r16 +8110ac50: 11023d00 call 811023d0 +8110ac54: 00a04634 movhi r2,33048 +8110ac58: 108f1904 addi r2,r2,15460 +8110ac5c: 10800803 ldbu r2,32(r2) +8110ac60: 00c001c4 movi r3,7 +8110ac64: 18bf2336 bltu r3,r2,8110a8f4 <__reset+0xfb0ea8f4> +8110ac68: 8880ab17 ldw r2,684(r17) +8110ac6c: 01604574 movhi r5,33045 +8110ac70: 29631d04 addi r5,r5,-29580 +8110ac74: d1207917 ldw r4,-32284(gp) +8110ac78: 11804003 ldbu r6,256(r2) +8110ac7c: 003f8606 br 8110aa98 <__reset+0xfb0eaa98> + +8110ac80 : +8110ac80: 21800003 ldbu r6,0(r4) +8110ac84: 2806d63a srli r3,r5,24 +8110ac88: 30800444 addi r2,r6,17 +8110ac8c: 18800126 beq r3,r2,8110ac94 +8110ac90: f800283a ret +8110ac94: 280ed43a srli r7,r5,16 +8110ac98: 008023c4 movi r2,143 +8110ac9c: 39c03fcc andi r7,r7,255 +8110aca0: 3880d026 beq r7,r2,8110afe4 +8110aca4: defffc04 addi sp,sp,-16 +8110aca8: dc400115 stw r17,4(sp) +8110acac: dc000015 stw r16,0(sp) +8110acb0: dfc00315 stw ra,12(sp) +8110acb4: dc800215 stw r18,8(sp) +8110acb8: 2821883a mov r16,r5 +8110acbc: 2023883a mov r17,r4 +8110acc0: 11c01636 bltu r2,r7,8110ad1c +8110acc4: 00800184 movi r2,6 +8110acc8: 38805a26 beq r7,r2,8110ae34 +8110accc: 11c0382e bgeu r2,r7,8110adb0 +8110acd0: 00801c44 movi r2,113 +8110acd4: 38803026 beq r7,r2,8110ad98 +8110acd8: 11c02736 bltu r2,r7,8110ad78 +8110acdc: 00800304 movi r2,12 +8110ace0: 11c06a36 bltu r2,r7,8110ae8c +8110ace4: 00a04634 movhi r2,33048 +8110ace8: 108f1904 addi r2,r2,15460 +8110acec: 10800803 ldbu r2,32(r2) +8110acf0: 00c001c4 movi r3,7 +8110acf4: 18802836 bltu r3,r2,8110ad98 +8110acf8: d1207917 ldw r4,-32284(gp) +8110acfc: 01604574 movhi r5,33045 +8110ad00: 2962ae04 addi r5,r5,-30024 +8110ad04: dfc00317 ldw ra,12(sp) +8110ad08: dc800217 ldw r18,8(sp) +8110ad0c: dc400117 ldw r17,4(sp) +8110ad10: dc000017 ldw r16,0(sp) +8110ad14: dec00404 addi sp,sp,16 +8110ad18: 112b6201 jmpi 8112b620 +8110ad1c: 00803884 movi r2,226 +8110ad20: 11c03b2e bgeu r2,r7,8110ae10 +8110ad24: 00803a04 movi r2,232 +8110ad28: 38807326 beq r7,r2,8110aef8 +8110ad2c: 00803c04 movi r2,240 +8110ad30: 3880641e bne r7,r2,8110aec4 +8110ad34: 00a04634 movhi r2,33048 +8110ad38: 108f1904 addi r2,r2,15460 +8110ad3c: 10800803 ldbu r2,32(r2) +8110ad40: 00c00104 movi r3,4 +8110ad44: 18800436 bltu r3,r2,8110ad58 +8110ad48: d1207917 ldw r4,-32284(gp) +8110ad4c: 01604574 movhi r5,33045 +8110ad50: 29634304 addi r5,r5,-29428 +8110ad54: 112b6200 call 8112b620 +8110ad58: 800b883a mov r5,r16 +8110ad5c: 8809883a mov r4,r17 +8110ad60: dfc00317 ldw ra,12(sp) +8110ad64: dc800217 ldw r18,8(sp) +8110ad68: dc400117 ldw r17,4(sp) +8110ad6c: dc000017 ldw r16,0(sp) +8110ad70: dec00404 addi sp,sp,16 +8110ad74: 110a6e41 jmpi 8110a6e4 +8110ad78: 00801d84 movi r2,118 +8110ad7c: 3880431e bne r7,r2,8110ae8c +8110ad80: 29403fcc andi r5,r5,255 +8110ad84: 28009c26 beq r5,zero,8110aff8 8110ad88: 00800044 movi r2,1 -8110ad8c: 3880321e bne r7,r2,8110ae58 -8110ad90: 00800044 movi r2,1 -8110ad94: 8c00b304 addi r16,r17,716 -8110ad98: 00c00344 movi r3,13 -8110ad9c: 88803415 stw r2,208(r17) -8110ada0: 88803515 stw r2,212(r17) -8110ada4: 00800304 movi r2,12 -8110ada8: 8009883a mov r4,r16 -8110adac: 88002b15 stw zero,172(r17) -8110adb0: 88c03315 stw r3,204(r17) -8110adb4: 88803115 stw r2,196(r17) -8110adb8: 11023980 call 81102398 -8110adbc: 8009883a mov r4,r16 -8110adc0: 8800c315 stw zero,780(r17) -8110adc4: dfc00317 ldw ra,12(sp) -8110adc8: dc800217 ldw r18,8(sp) -8110adcc: dc400117 ldw r17,4(sp) -8110add0: dc000017 ldw r16,0(sp) -8110add4: dec00404 addi sp,sp,16 -8110add8: 11023601 jmpi 81102360 -8110addc: 00803804 movi r2,224 -8110ade0: 38bfe02e bgeu r7,r2,8110ad64 <__reset+0xfb0ead64> -8110ade4: 008028c4 movi r2,163 -8110ade8: 38bfde26 beq r7,r2,8110ad64 <__reset+0xfb0ead64> -8110adec: 11c0182e bgeu r2,r7,8110ae50 -8110adf0: 00802944 movi r2,165 -8110adf4: 38802926 beq r7,r2,8110ae9c -8110adf8: 00802984 movi r2,166 -8110adfc: 3880161e bne r7,r2,8110ae58 -8110ae00: 00c00044 movi r3,1 -8110ae04: 88c02b15 stw r3,172(r17) -8110ae08: 00c00344 movi r3,13 -8110ae0c: 00800084 movi r2,2 -8110ae10: 88c03315 stw r3,204(r17) -8110ae14: 00c00444 movi r3,17 -8110ae18: 88803415 stw r2,208(r17) -8110ae1c: 88c03515 stw r3,212(r17) -8110ae20: 88803115 stw r2,196(r17) -8110ae24: 003fcf06 br 8110ad64 <__reset+0xfb0ead64> -8110ae28: 00c00044 movi r3,1 -8110ae2c: 20c02b15 stw r3,172(r4) -8110ae30: 00c00344 movi r3,13 -8110ae34: 00800084 movi r2,2 -8110ae38: 20c03315 stw r3,204(r4) -8110ae3c: 00c00384 movi r3,14 -8110ae40: 20803415 stw r2,208(r4) -8110ae44: 20c03515 stw r3,212(r4) -8110ae48: 20803115 stw r2,196(r4) -8110ae4c: 003fc506 br 8110ad64 <__reset+0xfb0ead64> -8110ae50: 00802844 movi r2,161 -8110ae54: 38bfce26 beq r7,r2,8110ad90 <__reset+0xfb0ead90> -8110ae58: 00a04634 movhi r2,33048 -8110ae5c: 108f0804 addi r2,r2,15392 -8110ae60: 10800803 ldbu r2,32(r2) -8110ae64: 00c001c4 movi r3,7 -8110ae68: 18bfbe36 bltu r3,r2,8110ad64 <__reset+0xfb0ead64> -8110ae6c: d1207917 ldw r4,-32284(gp) -8110ae70: 01604574 movhi r5,33045 -8110ae74: 29633b04 addi r5,r5,-29460 -8110ae78: dfc00317 ldw ra,12(sp) -8110ae7c: dc800217 ldw r18,8(sp) -8110ae80: dc400117 ldw r17,4(sp) -8110ae84: dc000017 ldw r16,0(sp) -8110ae88: dec00404 addi sp,sp,16 -8110ae8c: 112b5e01 jmpi 8112b5e0 -8110ae90: 00803904 movi r2,228 -8110ae94: 38bff01e bne r7,r2,8110ae58 <__reset+0xfb0eae58> -8110ae98: 003fb206 br 8110ad64 <__reset+0xfb0ead64> -8110ae9c: 00c00044 movi r3,1 -8110aea0: 88c02b15 stw r3,172(r17) -8110aea4: 00c00344 movi r3,13 -8110aea8: 00800084 movi r2,2 -8110aeac: 88c03315 stw r3,204(r17) -8110aeb0: 00c003c4 movi r3,15 -8110aeb4: 88803415 stw r2,208(r17) -8110aeb8: 88c03515 stw r3,212(r17) -8110aebc: 88803115 stw r2,196(r17) -8110aec0: 003fa806 br 8110ad64 <__reset+0xfb0ead64> -8110aec4: 20812217 ldw r2,1160(r4) -8110aec8: 10000a1e bne r2,zero,8110aef4 -8110aecc: 20812317 ldw r2,1164(r4) -8110aed0: 1000081e bne r2,zero,8110aef4 -8110aed4: 20812617 ldw r2,1176(r4) -8110aed8: 1000061e bne r2,zero,8110aef4 -8110aedc: 20812417 ldw r2,1168(r4) -8110aee0: 1000041e bne r2,zero,8110aef4 -8110aee4: 20812717 ldw r2,1180(r4) -8110aee8: 1000021e bne r2,zero,8110aef4 -8110aeec: 20812517 ldw r2,1172(r4) -8110aef0: 10001526 beq r2,zero,8110af48 -8110aef4: 8c012217 ldw r16,1160(r17) -8110aef8: 00800044 movi r2,1 -8110aefc: 80809226 beq r16,r2,8110b148 -8110af00: 8c012317 ldw r16,1164(r17) -8110af04: 00800044 movi r2,1 -8110af08: 8080cd26 beq r16,r2,8110b240 -8110af0c: 8c012617 ldw r16,1176(r17) -8110af10: 00800044 movi r2,1 -8110af14: 8080b126 beq r16,r2,8110b1dc -8110af18: 88c12717 ldw r3,1180(r17) -8110af1c: 00800044 movi r2,1 -8110af20: 1880a326 beq r3,r2,8110b1b0 -8110af24: 88c12417 ldw r3,1168(r17) -8110af28: 00800044 movi r2,1 -8110af2c: 18805126 beq r3,r2,8110b074 -8110af30: 88c12517 ldw r3,1172(r17) -8110af34: 00800044 movi r2,1 -8110af38: 1880031e bne r3,r2,8110af48 -8110af3c: 88012515 stw zero,1172(r17) -8110af40: 88813317 ldw r2,1228(r17) -8110af44: 88800c15 stw r2,48(r17) -8110af48: 8c042d17 ldw r16,4276(r17) -8110af4c: 00800044 movi r2,1 -8110af50: 80802e26 beq r16,r2,8110b00c -8110af54: 8c042e17 ldw r16,4280(r17) -8110af58: 00800044 movi r2,1 -8110af5c: 80801b26 beq r16,r2,8110afcc -8110af60: 88c1ab17 ldw r3,1708(r17) -8110af64: 00800044 movi r2,1 -8110af68: 18803826 beq r3,r2,8110b04c -8110af6c: 88803517 ldw r2,212(r17) -8110af70: 88c03417 ldw r3,208(r17) -8110af74: 10ff7b26 beq r2,r3,8110ad64 <__reset+0xfb0ead64> -8110af78: 88803115 stw r2,196(r17) -8110af7c: 00c00384 movi r3,14 -8110af80: 10c05126 beq r2,r3,8110b0c8 -8110af84: 00c003c4 movi r3,15 -8110af88: 10c06a26 beq r2,r3,8110b134 -8110af8c: 00c00444 movi r3,17 -8110af90: 10ff741e bne r2,r3,8110ad64 <__reset+0xfb0ead64> -8110af94: 8c00b304 addi r16,r17,716 -8110af98: 8009883a mov r4,r16 -8110af9c: 11023980 call 81102398 -8110afa0: 008000c4 movi r2,3 -8110afa4: 8880c315 stw r2,780(r17) -8110afa8: 8009883a mov r4,r16 -8110afac: 003f8506 br 8110adc4 <__reset+0xfb0eadc4> -8110afb0: 280ad23a srli r5,r5,8 -8110afb4: 20002615 stw zero,152(r4) -8110afb8: 01002044 movi r4,129 -8110afbc: 29403fcc andi r5,r5,255 -8110afc0: 1109a8c1 jmpi 81109a8c -8110afc4: 20004915 stw zero,292(r4) -8110afc8: 003f6606 br 8110ad64 <__reset+0xfb0ead64> -8110afcc: 8c80b304 addi r18,r17,716 -8110afd0: 9009883a mov r4,r18 -8110afd4: 11027800 call 81102780 -8110afd8: 8940fe17 ldw r5,1016(r17) -8110afdc: 2c00a926 beq r5,r16,8110b284 -8110afe0: 01400044 movi r5,1 -8110afe4: 9009883a mov r4,r18 -8110afe8: 1102a080 call 81102a08 -8110afec: 10002b26 beq r2,zero,8110b09c -8110aff0: 00a04634 movhi r2,33048 -8110aff4: 108f0804 addi r2,r2,15392 -8110aff8: 10800803 ldbu r2,32(r2) -8110affc: 00c001c4 movi r3,7 -8110b000: 18809a2e bgeu r3,r2,8110b26c -8110b004: 88042e15 stw zero,4280(r17) -8110b008: 003fd506 br 8110af60 <__reset+0xfb0eaf60> -8110b00c: 8c80b304 addi r18,r17,716 -8110b010: 9009883a mov r4,r18 -8110b014: 11027100 call 81102710 -8110b018: 8880ef17 ldw r2,956(r17) -8110b01c: 1400ae26 beq r2,r16,8110b2d8 -8110b020: 000b883a mov r5,zero -8110b024: 9009883a mov r4,r18 -8110b028: 1102a080 call 81102a08 -8110b02c: 10002b26 beq r2,zero,8110b0dc -8110b030: 00a04634 movhi r2,33048 -8110b034: 108f0804 addi r2,r2,15392 -8110b038: 10800803 ldbu r2,32(r2) -8110b03c: 00c001c4 movi r3,7 -8110b040: 1880992e bgeu r3,r2,8110b2a8 -8110b044: 88042d15 stw zero,4276(r17) -8110b048: 003fc206 br 8110af54 <__reset+0xfb0eaf54> -8110b04c: 8900b304 addi r4,r17,716 -8110b050: 1102d340 call 81102d34 -8110b054: 10002c26 beq r2,zero,8110b108 -8110b058: 00a04634 movhi r2,33048 -8110b05c: 108f0804 addi r2,r2,15392 -8110b060: 10800803 ldbu r2,32(r2) -8110b064: 00c001c4 movi r3,7 -8110b068: 1880952e bgeu r3,r2,8110b2c0 -8110b06c: 8801ab15 stw zero,1708(r17) -8110b070: 003fbe06 br 8110af6c <__reset+0xfb0eaf6c> -8110b074: 88012415 stw zero,1168(r17) -8110b078: 89415543 ldbu r5,1365(r17) -8110b07c: 89015583 ldbu r4,1366(r17) -8110b080: 88c155c3 ldbu r3,1367(r17) -8110b084: 88815603 ldbu r2,1368(r17) -8110b088: 89402e45 stb r5,185(r17) -8110b08c: 89002e85 stb r4,186(r17) -8110b090: 88c02ec5 stb r3,187(r17) -8110b094: 88802f05 stb r2,188(r17) -8110b098: 003fa506 br 8110af30 <__reset+0xfb0eaf30> -8110b09c: 00a04634 movhi r2,33048 -8110b0a0: 108f0804 addi r2,r2,15392 -8110b0a4: 10800803 ldbu r2,32(r2) -8110b0a8: 00c001c4 movi r3,7 -8110b0ac: 18bfd536 bltu r3,r2,8110b004 <__reset+0xfb0eb004> -8110b0b0: 89800003 ldbu r6,0(r17) -8110b0b4: d1207917 ldw r4,-32284(gp) -8110b0b8: 01604574 movhi r5,33045 -8110b0bc: 29626a04 addi r5,r5,-30296 -8110b0c0: 112b5e00 call 8112b5e0 -8110b0c4: 003fcf06 br 8110b004 <__reset+0xfb0eb004> -8110b0c8: 8c00b304 addi r16,r17,716 -8110b0cc: 8009883a mov r4,r16 -8110b0d0: 11023980 call 81102398 -8110b0d4: 00800104 movi r2,4 -8110b0d8: 003fb206 br 8110afa4 <__reset+0xfb0eafa4> -8110b0dc: 00a04634 movhi r2,33048 -8110b0e0: 108f0804 addi r2,r2,15392 -8110b0e4: 10800803 ldbu r2,32(r2) -8110b0e8: 00c001c4 movi r3,7 -8110b0ec: 18bfd536 bltu r3,r2,8110b044 <__reset+0xfb0eb044> -8110b0f0: 89800003 ldbu r6,0(r17) -8110b0f4: d1207917 ldw r4,-32284(gp) -8110b0f8: 01604574 movhi r5,33045 -8110b0fc: 29624404 addi r5,r5,-30448 -8110b100: 112b5e00 call 8112b5e0 -8110b104: 003fcf06 br 8110b044 <__reset+0xfb0eb044> -8110b108: 00a04634 movhi r2,33048 -8110b10c: 108f0804 addi r2,r2,15392 -8110b110: 10800803 ldbu r2,32(r2) -8110b114: 00c001c4 movi r3,7 -8110b118: 18bfd436 bltu r3,r2,8110b06c <__reset+0xfb0eb06c> -8110b11c: 89800003 ldbu r6,0(r17) -8110b120: d1207917 ldw r4,-32284(gp) -8110b124: 01604574 movhi r5,33045 -8110b128: 29628d04 addi r5,r5,-30156 -8110b12c: 112b5e00 call 8112b5e0 -8110b130: 003fce06 br 8110b06c <__reset+0xfb0eb06c> -8110b134: 8c00b304 addi r16,r17,716 -8110b138: 8009883a mov r4,r16 -8110b13c: 11023980 call 81102398 -8110b140: 00800084 movi r2,2 -8110b144: 003f9706 br 8110afa4 <__reset+0xfb0eafa4> -8110b148: 88012215 stw zero,1160(r17) -8110b14c: 88c13017 ldw r3,1216(r17) -8110b150: 88813117 ldw r2,1220(r17) -8110b154: 8c80b304 addi r18,r17,716 -8110b158: 88c00915 stw r3,36(r17) -8110b15c: 9009883a mov r4,r18 -8110b160: 88800a15 stw r2,40(r17) -8110b164: 11023980 call 81102398 -8110b168: 89413017 ldw r5,1216(r17) -8110b16c: 89013117 ldw r4,1220(r17) -8110b170: 88813117 ldw r2,1220(r17) -8110b174: 88c04c0b ldhu r3,304(r17) -8110b178: 8940b815 stw r5,736(r17) -8110b17c: 8900b915 stw r4,740(r17) -8110b180: 10800044 addi r2,r2,1 -8110b184: 1880422e bgeu r3,r2,8110b290 -8110b188: 88813117 ldw r2,1220(r17) -8110b18c: 193fffc4 addi r4,r3,-1 -8110b190: 8900ba15 stw r4,744(r17) -8110b194: 10c5c83a sub r2,r2,r3 -8110b198: 8880bb15 stw r2,748(r17) -8110b19c: 8c00be15 stw r16,760(r17) -8110b1a0: 8c00bf15 stw r16,764(r17) -8110b1a4: 9009883a mov r4,r18 -8110b1a8: 11023600 call 81102360 -8110b1ac: 003f5406 br 8110af00 <__reset+0xfb0eaf00> -8110b1b0: 8c006404 addi r16,r17,400 -8110b1b4: 8009883a mov r4,r16 -8110b1b8: 88012715 stw zero,1180(r17) -8110b1bc: 1103bd00 call 81103bd0 -8110b1c0: 88c17217 ldw r3,1480(r17) -8110b1c4: 88817317 ldw r2,1484(r17) -8110b1c8: 8009883a mov r4,r16 -8110b1cc: 88c06b15 stw r3,428(r17) -8110b1d0: 88806c15 stw r2,432(r17) -8110b1d4: 1103c380 call 81103c38 -8110b1d8: 003f5206 br 8110af24 <__reset+0xfb0eaf24> -8110b1dc: 8c80b304 addi r18,r17,716 -8110b1e0: 9009883a mov r4,r18 -8110b1e4: 88012615 stw zero,1176(r17) -8110b1e8: 11023980 call 81102398 -8110b1ec: 8881710b ldhu r2,1476(r17) -8110b1f0: 9009883a mov r4,r18 -8110b1f4: 8c806404 addi r18,r17,400 -8110b1f8: 10bfffcc andi r2,r2,65535 -8110b1fc: 8880c015 stw r2,768(r17) -8110b200: 11023600 call 81102360 -8110b204: 89c1710b ldhu r7,1476(r17) -8110b208: 018006f4 movhi r6,27 -8110b20c: 9009883a mov r4,r18 -8110b210: 31b85204 addi r6,r6,-7864 -8110b214: 000b883a mov r5,zero -8110b218: 39ffffcc andi r7,r7,65535 -8110b21c: 1103d640 call 81103d64 -8110b220: 89c1710b ldhu r7,1476(r17) -8110b224: 018006f4 movhi r6,27 -8110b228: 31b85204 addi r6,r6,-7864 -8110b22c: 800b883a mov r5,r16 -8110b230: 39ffffcc andi r7,r7,65535 -8110b234: 9009883a mov r4,r18 -8110b238: 1103d640 call 81103d64 -8110b23c: 003f3606 br 8110af18 <__reset+0xfb0eaf18> -8110b240: 8c80b304 addi r18,r17,716 -8110b244: 9009883a mov r4,r18 -8110b248: 11023980 call 81102398 -8110b24c: 88012315 stw zero,1164(r17) -8110b250: 88817417 ldw r2,1488(r17) -8110b254: 14002426 beq r2,r16,8110b2e8 -8110b258: 88813017 ldw r2,1216(r17) -8110b25c: 8880b815 stw r2,736(r17) -8110b260: 9009883a mov r4,r18 -8110b264: 11023600 call 81102360 -8110b268: 003f2806 br 8110af0c <__reset+0xfb0eaf0c> -8110b26c: 89800003 ldbu r6,0(r17) -8110b270: d1207917 ldw r4,-32284(gp) -8110b274: 01604574 movhi r5,33045 -8110b278: 29625804 addi r5,r5,-30368 -8110b27c: 112b5e00 call 8112b5e0 -8110b280: 003f6006 br 8110b004 <__reset+0xfb0eb004> -8110b284: 9009883a mov r4,r18 -8110b288: 1102a900 call 81102a90 -8110b28c: 003f5406 br 8110afe0 <__reset+0xfb0eafe0> -8110b290: 88813117 ldw r2,1220(r17) -8110b294: 8800bb15 stw zero,748(r17) -8110b298: 8c00be15 stw r16,760(r17) -8110b29c: 8880ba15 stw r2,744(r17) -8110b2a0: 8800bf15 stw zero,764(r17) -8110b2a4: 003fbf06 br 8110b1a4 <__reset+0xfb0eb1a4> -8110b2a8: 89800003 ldbu r6,0(r17) -8110b2ac: d1207917 ldw r4,-32284(gp) -8110b2b0: 01604574 movhi r5,33045 -8110b2b4: 29623204 addi r5,r5,-30520 -8110b2b8: 112b5e00 call 8112b5e0 -8110b2bc: 003f6106 br 8110b044 <__reset+0xfb0eb044> -8110b2c0: 89800003 ldbu r6,0(r17) -8110b2c4: d1207917 ldw r4,-32284(gp) -8110b2c8: 01604574 movhi r5,33045 -8110b2cc: 29627e04 addi r5,r5,-30216 -8110b2d0: 112b5e00 call 8112b5e0 -8110b2d4: 003f6506 br 8110b06c <__reset+0xfb0eb06c> -8110b2d8: 000b883a mov r5,zero -8110b2dc: 9009883a mov r4,r18 -8110b2e0: 1102a900 call 81102a90 -8110b2e4: 003f4e06 br 8110b020 <__reset+0xfb0eb020> -8110b2e8: 8800b815 stw zero,736(r17) -8110b2ec: 003fdc06 br 8110b260 <__reset+0xfb0eb260> - -8110b2f0 : -8110b2f0: defffc04 addi sp,sp,-16 -8110b2f4: dc400115 stw r17,4(sp) -8110b2f8: dc000015 stw r16,0(sp) -8110b2fc: dfc00315 stw ra,12(sp) -8110b300: dc800215 stw r18,8(sp) -8110b304: 2023883a mov r17,r4 -8110b308: 21000003 ldbu r4,0(r4) -8110b30c: 2820d23a srli r16,r5,8 -8110b310: 01604574 movhi r5,33045 -8110b314: 21000044 addi r4,r4,1 -8110b318: 297337c4 addi r5,r5,-13089 -8110b31c: 21003fcc andi r4,r4,255 -8110b320: 111e1a00 call 8111e1a0 -8110b324: 81803fcc andi r6,r16,255 -8110b328: 00801a04 movi r2,104 -8110b32c: 1180d236 bltu r2,r6,8110b678 -8110b330: 300690ba slli r3,r6,2 -8110b334: 00a04474 movhi r2,33041 -8110b338: 10acd204 addi r2,r2,-19640 -8110b33c: 1885883a add r2,r3,r2 -8110b340: 10800017 ldw r2,0(r2) -8110b344: 1000683a jmp r2 -8110b348: 8110b658 cmpnei r4,r16,17113 -8110b34c: 8110b678 rdprs r4,r16,17113 -8110b350: 8110b678 rdprs r4,r16,17113 -8110b354: 8110b678 rdprs r4,r16,17113 -8110b358: 8110b600 call 88110b60 <__reset+0x20f0b60> -8110b35c: 8110b678 rdprs r4,r16,17113 -8110b360: 8110b678 rdprs r4,r16,17113 -8110b364: 8110b678 rdprs r4,r16,17113 -8110b368: 8110b628 cmpgeui r4,r16,17112 -8110b36c: 8110b678 rdprs r4,r16,17113 -8110b370: 8110b678 rdprs r4,r16,17113 -8110b374: 8110b678 rdprs r4,r16,17113 -8110b378: 8110b584 addi r4,r16,17110 -8110b37c: 8110b678 rdprs r4,r16,17113 -8110b380: 8110b678 rdprs r4,r16,17113 -8110b384: 8110b678 rdprs r4,r16,17113 -8110b388: 8110b5a8 cmpgeui r4,r16,17110 -8110b38c: 8110b678 rdprs r4,r16,17113 -8110b390: 8110b678 rdprs r4,r16,17113 -8110b394: 8110b678 rdprs r4,r16,17113 -8110b398: 8110b5c0 call 88110b5c <__reset+0x20f0b5c> -8110b39c: 8110b678 rdprs r4,r16,17113 -8110b3a0: 8110b678 rdprs r4,r16,17113 -8110b3a4: 8110b678 rdprs r4,r16,17113 -8110b3a8: 8110b4ec andhi r4,r16,17107 -8110b3ac: 8110b678 rdprs r4,r16,17113 -8110b3b0: 8110b678 rdprs r4,r16,17113 -8110b3b4: 8110b678 rdprs r4,r16,17113 -8110b3b8: 8110b4ec andhi r4,r16,17107 -8110b3bc: 8110b678 rdprs r4,r16,17113 -8110b3c0: 8110b678 rdprs r4,r16,17113 -8110b3c4: 8110b678 rdprs r4,r16,17113 -8110b3c8: 8110b4ec andhi r4,r16,17107 -8110b3cc: 8110b678 rdprs r4,r16,17113 -8110b3d0: 8110b678 rdprs r4,r16,17113 -8110b3d4: 8110b678 rdprs r4,r16,17113 -8110b3d8: 8110b4ec andhi r4,r16,17107 -8110b3dc: 8110b678 rdprs r4,r16,17113 -8110b3e0: 8110b678 rdprs r4,r16,17113 -8110b3e4: 8110b678 rdprs r4,r16,17113 -8110b3e8: 8110b4ec andhi r4,r16,17107 -8110b3ec: 8110b678 rdprs r4,r16,17113 -8110b3f0: 8110b678 rdprs r4,r16,17113 -8110b3f4: 8110b678 rdprs r4,r16,17113 -8110b3f8: 8110b4ec andhi r4,r16,17107 -8110b3fc: 8110b678 rdprs r4,r16,17113 -8110b400: 8110b678 rdprs r4,r16,17113 -8110b404: 8110b678 rdprs r4,r16,17113 -8110b408: 8110b4ec andhi r4,r16,17107 -8110b40c: 8110b678 rdprs r4,r16,17113 -8110b410: 8110b678 rdprs r4,r16,17113 -8110b414: 8110b678 rdprs r4,r16,17113 -8110b418: 8110b4ec andhi r4,r16,17107 -8110b41c: 8110b678 rdprs r4,r16,17113 -8110b420: 8110b678 rdprs r4,r16,17113 -8110b424: 8110b678 rdprs r4,r16,17113 -8110b428: 8110b4ec andhi r4,r16,17107 -8110b42c: 8110b678 rdprs r4,r16,17113 -8110b430: 8110b678 rdprs r4,r16,17113 -8110b434: 8110b678 rdprs r4,r16,17113 -8110b438: 8110b4ec andhi r4,r16,17107 -8110b43c: 8110b678 rdprs r4,r16,17113 -8110b440: 8110b678 rdprs r4,r16,17113 -8110b444: 8110b678 rdprs r4,r16,17113 -8110b448: 8110b4ec andhi r4,r16,17107 -8110b44c: 8110b678 rdprs r4,r16,17113 -8110b450: 8110b678 rdprs r4,r16,17113 -8110b454: 8110b678 rdprs r4,r16,17113 -8110b458: 8110b4ec andhi r4,r16,17107 -8110b45c: 8110b678 rdprs r4,r16,17113 -8110b460: 8110b678 rdprs r4,r16,17113 -8110b464: 8110b678 rdprs r4,r16,17113 -8110b468: 8110b4ec andhi r4,r16,17107 -8110b46c: 8110b678 rdprs r4,r16,17113 -8110b470: 8110b678 rdprs r4,r16,17113 -8110b474: 8110b678 rdprs r4,r16,17113 -8110b478: 8110b4ec andhi r4,r16,17107 -8110b47c: 8110b678 rdprs r4,r16,17113 -8110b480: 8110b678 rdprs r4,r16,17113 -8110b484: 8110b678 rdprs r4,r16,17113 -8110b488: 8110b4ec andhi r4,r16,17107 -8110b48c: 8110b678 rdprs r4,r16,17113 -8110b490: 8110b678 rdprs r4,r16,17113 -8110b494: 8110b678 rdprs r4,r16,17113 -8110b498: 8110b518 cmpnei r4,r16,17108 -8110b49c: 8110b678 rdprs r4,r16,17113 -8110b4a0: 8110b678 rdprs r4,r16,17113 -8110b4a4: 8110b678 rdprs r4,r16,17113 -8110b4a8: 8110b5e0 cmpeqi r4,r16,17111 -8110b4ac: 8110b678 rdprs r4,r16,17113 -8110b4b0: 8110b678 rdprs r4,r16,17113 -8110b4b4: 8110b678 rdprs r4,r16,17113 -8110b4b8: 8110b5e0 cmpeqi r4,r16,17111 -8110b4bc: 8110b678 rdprs r4,r16,17113 -8110b4c0: 8110b678 rdprs r4,r16,17113 -8110b4c4: 8110b678 rdprs r4,r16,17113 -8110b4c8: 8110b5e0 cmpeqi r4,r16,17111 -8110b4cc: 8110b678 rdprs r4,r16,17113 -8110b4d0: 8110b678 rdprs r4,r16,17113 -8110b4d4: 8110b678 rdprs r4,r16,17113 -8110b4d8: 8110b5e0 cmpeqi r4,r16,17111 -8110b4dc: 8110b678 rdprs r4,r16,17113 -8110b4e0: 8110b678 rdprs r4,r16,17113 -8110b4e4: 8110b678 rdprs r4,r16,17113 -8110b4e8: 8110b5e0 cmpeqi r4,r16,17111 -8110b4ec: 00a04634 movhi r2,33048 -8110b4f0: 108f0804 addi r2,r2,15392 -8110b4f4: 10800803 ldbu r2,32(r2) -8110b4f8: 00c001c4 movi r3,7 -8110b4fc: 1880662e bgeu r3,r2,8110b698 -8110b500: dfc00317 ldw ra,12(sp) -8110b504: dc800217 ldw r18,8(sp) -8110b508: dc400117 ldw r17,4(sp) -8110b50c: dc000017 ldw r16,0(sp) -8110b510: dec00404 addi sp,sp,16 -8110b514: f800283a ret -8110b518: 8880ab17 ldw r2,684(r17) -8110b51c: 88000b15 stw zero,44(r17) -8110b520: 00c003c4 movi r3,15 -8110b524: 10804017 ldw r2,256(r2) -8110b528: 1880d536 bltu r3,r2,8110b880 -8110b52c: 100490ba slli r2,r2,2 -8110b530: 00e04474 movhi r3,33041 -8110b534: 18ed5104 addi r3,r3,-19132 -8110b538: 10c5883a add r2,r2,r3 -8110b53c: 10800017 ldw r2,0(r2) -8110b540: 1000683a jmp r2 -8110b544: 8110b82c andhi r4,r16,17120 -8110b548: 8110b6bc xorhi r4,r16,17114 -8110b54c: 8110b6bc xorhi r4,r16,17114 -8110b550: 8110b880 call 88110b88 <__reset+0x20f0b88> -8110b554: 8110b7bc xorhi r4,r16,17118 -8110b558: 8110b6bc xorhi r4,r16,17114 -8110b55c: 8110b6bc xorhi r4,r16,17114 -8110b560: 8110b718 cmpnei r4,r16,17116 -8110b564: 8110b75c xori r4,r16,17117 -8110b568: 8110b6bc xorhi r4,r16,17114 -8110b56c: 8110b6bc xorhi r4,r16,17114 -8110b570: 8110b6bc xorhi r4,r16,17114 -8110b574: 8110b6bc xorhi r4,r16,17114 -8110b578: 8110b7e8 cmpgeui r4,r16,17119 -8110b57c: 8110b7e8 cmpgeui r4,r16,17119 -8110b580: 8110b7e8 cmpgeui r4,r16,17119 -8110b584: 88c0ab17 ldw r3,684(r17) -8110b588: 00800044 movi r2,1 -8110b58c: 88812515 stw r2,1172(r17) -8110b590: 19000b17 ldw r4,44(r3) -8110b594: 18c00c17 ldw r3,48(r3) -8110b598: 89013315 stw r4,1228(r17) -8110b59c: 88812315 stw r2,1164(r17) -8110b5a0: 88c17415 stw r3,1488(r17) -8110b5a4: 003fd606 br 8110b500 <__reset+0xfb0eb500> -8110b5a8: 8880ab17 ldw r2,684(r17) -8110b5ac: 00c00044 movi r3,1 -8110b5b0: 88c12615 stw r3,1176(r17) -8110b5b4: 10801017 ldw r2,64(r2) -8110b5b8: 8881710d sth r2,1476(r17) -8110b5bc: 003fd006 br 8110b500 <__reset+0xfb0eb500> -8110b5c0: 8880ab17 ldw r2,684(r17) -8110b5c4: 00c00044 movi r3,1 -8110b5c8: 88c12715 stw r3,1180(r17) -8110b5cc: 10c01517 ldw r3,84(r2) -8110b5d0: 10801717 ldw r2,92(r2) -8110b5d4: 88c17215 stw r3,1480(r17) -8110b5d8: 88817315 stw r2,1484(r17) -8110b5dc: 003fc806 br 8110b500 <__reset+0xfb0eb500> -8110b5e0: 00a04634 movhi r2,33048 -8110b5e4: 108f0804 addi r2,r2,15392 -8110b5e8: 10800803 ldbu r2,32(r2) -8110b5ec: 00c001c4 movi r3,7 -8110b5f0: 18bfc336 bltu r3,r2,8110b500 <__reset+0xfb0eb500> -8110b5f4: 01604574 movhi r5,33045 -8110b5f8: 29632004 addi r5,r5,-29568 -8110b5fc: 00002806 br 8110b6a0 -8110b600: 00a04634 movhi r2,33048 -8110b604: 108f0804 addi r2,r2,15392 -8110b608: 10800803 ldbu r2,32(r2) -8110b60c: 00c001c4 movi r3,7 -8110b610: 18bfbb36 bltu r3,r2,8110b500 <__reset+0xfb0eb500> -8110b614: 01604574 movhi r5,33045 -8110b618: 2962cd04 addi r5,r5,-29900 -8110b61c: d1207917 ldw r4,-32284(gp) -8110b620: 01800104 movi r6,4 -8110b624: 00001f06 br 8110b6a4 -8110b628: 8880ab17 ldw r2,684(r17) -8110b62c: 00c00044 movi r3,1 -8110b630: 88c12415 stw r3,1168(r17) -8110b634: 10c00617 ldw r3,24(r2) -8110b638: 88c15545 stb r3,1365(r17) -8110b63c: 10c00717 ldw r3,28(r2) -8110b640: 88c15585 stb r3,1366(r17) -8110b644: 10c00817 ldw r3,32(r2) -8110b648: 88c155c5 stb r3,1367(r17) -8110b64c: 10800917 ldw r2,36(r2) -8110b650: 88815605 stb r2,1368(r17) -8110b654: 003faa06 br 8110b500 <__reset+0xfb0eb500> -8110b658: 8880ab17 ldw r2,684(r17) -8110b65c: 00c00044 movi r3,1 -8110b660: 88c12215 stw r3,1160(r17) -8110b664: 10c00017 ldw r3,0(r2) -8110b668: 10800117 ldw r2,4(r2) -8110b66c: 88c13015 stw r3,1216(r17) -8110b670: 88813115 stw r2,1220(r17) -8110b674: 003fa206 br 8110b500 <__reset+0xfb0eb500> -8110b678: 00a04634 movhi r2,33048 -8110b67c: 108f0804 addi r2,r2,15392 -8110b680: 10800803 ldbu r2,32(r2) -8110b684: 00c001c4 movi r3,7 -8110b688: 18bf9d36 bltu r3,r2,8110b500 <__reset+0xfb0eb500> -8110b68c: 01604574 movhi r5,33045 -8110b690: 29632904 addi r5,r5,-29532 -8110b694: 00000206 br 8110b6a0 -8110b698: 01604574 movhi r5,33045 -8110b69c: 2962cd04 addi r5,r5,-29900 -8110b6a0: d1207917 ldw r4,-32284(gp) -8110b6a4: dfc00317 ldw ra,12(sp) -8110b6a8: dc800217 ldw r18,8(sp) -8110b6ac: dc400117 ldw r17,4(sp) -8110b6b0: dc000017 ldw r16,0(sp) -8110b6b4: dec00404 addi sp,sp,16 -8110b6b8: 112b5e01 jmpi 8112b5e0 -8110b6bc: 8c00b304 addi r16,r17,716 -8110b6c0: 8009883a mov r4,r16 -8110b6c4: 11023f00 call 811023f0 -8110b6c8: 04800044 movi r18,1 -8110b6cc: 8c80c515 stw r18,788(r17) -8110b6d0: 8009883a mov r4,r16 -8110b6d4: 11023d00 call 811023d0 -8110b6d8: 00a04634 movhi r2,33048 -8110b6dc: 108f0804 addi r2,r2,15392 -8110b6e0: 10800803 ldbu r2,32(r2) -8110b6e4: 00c001c4 movi r3,7 -8110b6e8: 18bf8536 bltu r3,r2,8110b500 <__reset+0xfb0eb500> -8110b6ec: d1e07917 ldw r7,-32284(gp) -8110b6f0: 01204574 movhi r4,33045 -8110b6f4: 21234c04 addi r4,r4,-29392 -8110b6f8: 01800d84 movi r6,54 -8110b6fc: 900b883a mov r5,r18 -8110b700: dfc00317 ldw ra,12(sp) -8110b704: dc800217 ldw r18,8(sp) -8110b708: dc400117 ldw r17,4(sp) -8110b70c: dc000017 ldw r16,0(sp) -8110b710: dec00404 addi sp,sp,16 -8110b714: 112bc4c1 jmpi 8112bc4c -8110b718: 8c00b304 addi r16,r17,716 -8110b71c: 8009883a mov r4,r16 -8110b720: 11023f00 call 811023f0 -8110b724: 04800044 movi r18,1 -8110b728: 8c80c515 stw r18,788(r17) -8110b72c: 8009883a mov r4,r16 -8110b730: 11023d00 call 811023d0 -8110b734: 00a04634 movhi r2,33048 -8110b738: 108f0804 addi r2,r2,15392 -8110b73c: 10800803 ldbu r2,32(r2) -8110b740: 00c001c4 movi r3,7 -8110b744: 18bf6e36 bltu r3,r2,8110b500 <__reset+0xfb0eb500> -8110b748: 01204574 movhi r4,33045 -8110b74c: d1e07917 ldw r7,-32284(gp) -8110b750: 2122f804 addi r4,r4,-29728 -8110b754: 01800d84 movi r6,54 -8110b758: 003fe806 br 8110b6fc <__reset+0xfb0eb6fc> -8110b75c: 00c00304 movi r3,12 -8110b760: 00800344 movi r2,13 -8110b764: 8c00b304 addi r16,r17,716 -8110b768: 88c03315 stw r3,204(r17) -8110b76c: 00c00084 movi r3,2 -8110b770: 8009883a mov r4,r16 -8110b774: 88c03415 stw r3,208(r17) -8110b778: 88002b15 stw zero,172(r17) -8110b77c: 88803515 stw r2,212(r17) -8110b780: 88803115 stw r2,196(r17) -8110b784: 11023980 call 81102398 -8110b788: 00800044 movi r2,1 -8110b78c: 8009883a mov r4,r16 -8110b790: 8880c315 stw r2,780(r17) -8110b794: 11023600 call 81102360 -8110b798: 89800003 ldbu r6,0(r17) -8110b79c: 000b883a mov r5,zero -8110b7a0: 01002044 movi r4,129 -8110b7a4: dfc00317 ldw ra,12(sp) -8110b7a8: dc800217 ldw r18,8(sp) -8110b7ac: dc400117 ldw r17,4(sp) -8110b7b0: dc000017 ldw r16,0(sp) -8110b7b4: dec00404 addi sp,sp,16 -8110b7b8: 1109a8c1 jmpi 81109a8c -8110b7bc: 88803417 ldw r2,208(r17) -8110b7c0: 00c00144 movi r3,5 -8110b7c4: 10bffe84 addi r2,r2,-6 -8110b7c8: 18803f36 bltu r3,r2,8110b8c8 -8110b7cc: 88803317 ldw r2,204(r17) -8110b7d0: 00c00044 movi r3,1 -8110b7d4: 88c02b15 stw r3,172(r17) -8110b7d8: 00c008c4 movi r3,35 -8110b7dc: 88c03115 stw r3,196(r17) -8110b7e0: 88803515 stw r2,212(r17) -8110b7e4: 003f4606 br 8110b500 <__reset+0xfb0eb500> -8110b7e8: 8c00b304 addi r16,r17,716 -8110b7ec: 8009883a mov r4,r16 -8110b7f0: 11023f00 call 811023f0 -8110b7f4: 04800044 movi r18,1 -8110b7f8: 8c80c515 stw r18,788(r17) -8110b7fc: 8009883a mov r4,r16 -8110b800: 11023d00 call 811023d0 -8110b804: 00a04634 movhi r2,33048 -8110b808: 108f0804 addi r2,r2,15392 -8110b80c: 10800803 ldbu r2,32(r2) -8110b810: 00c001c4 movi r3,7 -8110b814: 18bf3a36 bltu r3,r2,8110b500 <__reset+0xfb0eb500> -8110b818: 01204574 movhi r4,33045 -8110b81c: d1e07917 ldw r7,-32284(gp) -8110b820: 21230604 addi r4,r4,-29672 -8110b824: 01800644 movi r6,25 -8110b828: 003fb406 br 8110b6fc <__reset+0xfb0eb6fc> -8110b82c: 88803417 ldw r2,208(r17) -8110b830: 04000044 movi r16,1 -8110b834: 10bfff04 addi r2,r2,-4 -8110b838: 8080332e bgeu r16,r2,8110b908 -8110b83c: 8c80b304 addi r18,r17,716 -8110b840: 9009883a mov r4,r18 -8110b844: 11023f00 call 811023f0 -8110b848: 9009883a mov r4,r18 -8110b84c: 8c00c515 stw r16,788(r17) -8110b850: 11023d00 call 811023d0 -8110b854: 00a04634 movhi r2,33048 -8110b858: 108f0804 addi r2,r2,15392 -8110b85c: 10800803 ldbu r2,32(r2) -8110b860: 00c001c4 movi r3,7 -8110b864: 18bf2636 bltu r3,r2,8110b500 <__reset+0xfb0eb500> -8110b868: 01204574 movhi r4,33045 -8110b86c: d1e07917 ldw r7,-32284(gp) -8110b870: 21234c04 addi r4,r4,-29392 -8110b874: 01800d84 movi r6,54 -8110b878: 800b883a mov r5,r16 -8110b87c: 003fa006 br 8110b700 <__reset+0xfb0eb700> -8110b880: 8c00b304 addi r16,r17,716 -8110b884: 8009883a mov r4,r16 -8110b888: 11023f00 call 811023f0 -8110b88c: 00800044 movi r2,1 -8110b890: 8880c515 stw r2,788(r17) -8110b894: 8009883a mov r4,r16 -8110b898: 11023d00 call 811023d0 -8110b89c: 00a04634 movhi r2,33048 -8110b8a0: 108f0804 addi r2,r2,15392 -8110b8a4: 10800803 ldbu r2,32(r2) -8110b8a8: 00c001c4 movi r3,7 -8110b8ac: 18bf1436 bltu r3,r2,8110b500 <__reset+0xfb0eb500> -8110b8b0: 8880ab17 ldw r2,684(r17) -8110b8b4: 01604574 movhi r5,33045 -8110b8b8: 29630d04 addi r5,r5,-29644 -8110b8bc: d1207917 ldw r4,-32284(gp) -8110b8c0: 11804003 ldbu r6,256(r2) -8110b8c4: 003f7706 br 8110b6a4 <__reset+0xfb0eb6a4> -8110b8c8: 8c00b304 addi r16,r17,716 -8110b8cc: 8009883a mov r4,r16 -8110b8d0: 11023f00 call 811023f0 -8110b8d4: 00800044 movi r2,1 -8110b8d8: 8880c515 stw r2,788(r17) -8110b8dc: 8009883a mov r4,r16 -8110b8e0: 11023d00 call 811023d0 -8110b8e4: 00a04634 movhi r2,33048 -8110b8e8: 108f0804 addi r2,r2,15392 -8110b8ec: 10800803 ldbu r2,32(r2) -8110b8f0: 00c001c4 movi r3,7 -8110b8f4: 18bf0236 bltu r3,r2,8110b500 <__reset+0xfb0eb500> -8110b8f8: 01604574 movhi r5,33045 -8110b8fc: 89800003 ldbu r6,0(r17) -8110b900: 29635a04 addi r5,r5,-29336 -8110b904: 003f6606 br 8110b6a0 <__reset+0xfb0eb6a0> -8110b908: 88803317 ldw r2,204(r17) -8110b90c: 00c008c4 movi r3,35 -8110b910: 8c002b15 stw r16,172(r17) -8110b914: 88c03115 stw r3,196(r17) -8110b918: 88803515 stw r2,212(r17) -8110b91c: 003ef806 br 8110b500 <__reset+0xfb0eb500> - -8110b920 : -8110b920: 21800003 ldbu r6,0(r4) -8110b924: 2806d63a srli r3,r5,24 -8110b928: 30800444 addi r2,r6,17 -8110b92c: 18800126 beq r3,r2,8110b934 -8110b930: f800283a ret -8110b934: 2804d43a srli r2,r5,16 -8110b938: 00c023c4 movi r3,143 -8110b93c: 10803fcc andi r2,r2,255 -8110b940: 10c0dd26 beq r2,r3,8110bcb8 -8110b944: defffc04 addi sp,sp,-16 -8110b948: dc400115 stw r17,4(sp) -8110b94c: dc000015 stw r16,0(sp) -8110b950: dfc00315 stw ra,12(sp) -8110b954: dc800215 stw r18,8(sp) -8110b958: 2821883a mov r16,r5 -8110b95c: 2023883a mov r17,r4 -8110b960: 18801236 bltu r3,r2,8110b9ac -8110b964: 00c00304 movi r3,12 -8110b968: 18803e36 bltu r3,r2,8110ba64 -8110b96c: 00c00144 movi r3,5 -8110b970: 10c0d42e bgeu r2,r3,8110bcc4 -8110b974: 010000c4 movi r4,3 -8110b978: 1100c526 beq r2,r4,8110bc90 -8110b97c: 2080912e bgeu r4,r2,8110bbc4 -8110b980: 88803417 ldw r2,208(r17) -8110b984: 10bffe84 addi r2,r2,-6 -8110b988: 1880d62e bgeu r3,r2,8110bce4 -8110b98c: 00a04634 movhi r2,33048 -8110b990: 108f0804 addi r2,r2,15392 -8110b994: 10800803 ldbu r2,32(r2) -8110b998: 00c001c4 movi r3,7 -8110b99c: 18807236 bltu r3,r2,8110bb68 -8110b9a0: 01604574 movhi r5,33045 -8110b9a4: 29635a04 addi r5,r5,-29336 -8110b9a8: 00003906 br 8110ba90 -8110b9ac: 00c03884 movi r3,226 -8110b9b0: 18800e2e bgeu r3,r2,8110b9ec -8110b9b4: 00c03a04 movi r3,232 -8110b9b8: 10c03c26 beq r2,r3,8110baac -8110b9bc: 00c03c04 movi r3,240 -8110b9c0: 10c06f26 beq r2,r3,8110bb80 -8110b9c4: 00c03904 movi r3,228 -8110b9c8: 10c08d26 beq r2,r3,8110bc00 -8110b9cc: 00a04634 movhi r2,33048 -8110b9d0: 108f0804 addi r2,r2,15392 -8110b9d4: 10800803 ldbu r2,32(r2) -8110b9d8: 00c001c4 movi r3,7 -8110b9dc: 18806236 bltu r3,r2,8110bb68 -8110b9e0: 01604574 movhi r5,33045 -8110b9e4: 2963a604 addi r5,r5,-29032 -8110b9e8: 00002906 br 8110ba90 -8110b9ec: 00c03804 movi r3,224 -8110b9f0: 10c0862e bgeu r2,r3,8110bc0c -8110b9f4: 00c02844 movi r3,161 -8110b9f8: 10c07426 beq r2,r3,8110bbcc -8110b9fc: 00c028c4 movi r3,163 -8110ba00: 10fff21e bne r2,r3,8110b9cc <__reset+0xfb0eb9cc> -8110ba04: 00c00304 movi r3,12 -8110ba08: 00800344 movi r2,13 -8110ba0c: 2400b304 addi r16,r4,716 -8110ba10: 88c03315 stw r3,204(r17) -8110ba14: 00c00084 movi r3,2 -8110ba18: 8009883a mov r4,r16 -8110ba1c: 88002b15 stw zero,172(r17) -8110ba20: 88c03415 stw r3,208(r17) -8110ba24: 88803515 stw r2,212(r17) -8110ba28: 88803115 stw r2,196(r17) -8110ba2c: 11023980 call 81102398 -8110ba30: 00800044 movi r2,1 -8110ba34: 8009883a mov r4,r16 -8110ba38: 8880c315 stw r2,780(r17) -8110ba3c: 11023600 call 81102360 -8110ba40: 89800003 ldbu r6,0(r17) -8110ba44: 000b883a mov r5,zero -8110ba48: 01002044 movi r4,129 -8110ba4c: dfc00317 ldw ra,12(sp) -8110ba50: dc800217 ldw r18,8(sp) -8110ba54: dc400117 ldw r17,4(sp) -8110ba58: dc000017 ldw r16,0(sp) -8110ba5c: dec00404 addi sp,sp,16 -8110ba60: 1109a8c1 jmpi 81109a8c -8110ba64: 00c01c44 movi r3,113 -8110ba68: 10c03f26 beq r2,r3,8110bb68 -8110ba6c: 00c01d84 movi r3,118 -8110ba70: 10ffd61e bne r2,r3,8110b9cc <__reset+0xfb0eb9cc> -8110ba74: 00a04634 movhi r2,33048 -8110ba78: 108f0804 addi r2,r2,15392 -8110ba7c: 10800803 ldbu r2,32(r2) -8110ba80: 00c001c4 movi r3,7 -8110ba84: 18803836 bltu r3,r2,8110bb68 -8110ba88: 01604574 movhi r5,33045 -8110ba8c: 29636d04 addi r5,r5,-29260 -8110ba90: d1207917 ldw r4,-32284(gp) -8110ba94: dfc00317 ldw ra,12(sp) -8110ba98: dc800217 ldw r18,8(sp) -8110ba9c: dc400117 ldw r17,4(sp) -8110baa0: dc000017 ldw r16,0(sp) -8110baa4: dec00404 addi sp,sp,16 -8110baa8: 112b5e01 jmpi 8112b5e0 -8110baac: 20812217 ldw r2,1160(r4) -8110bab0: 10000a1e bne r2,zero,8110badc -8110bab4: 20812317 ldw r2,1164(r4) -8110bab8: 1000081e bne r2,zero,8110badc -8110babc: 20812617 ldw r2,1176(r4) -8110bac0: 1000061e bne r2,zero,8110badc -8110bac4: 20812417 ldw r2,1168(r4) -8110bac8: 1000041e bne r2,zero,8110badc -8110bacc: 20812717 ldw r2,1180(r4) -8110bad0: 1000021e bne r2,zero,8110badc -8110bad4: 20812517 ldw r2,1172(r4) -8110bad8: 10001526 beq r2,zero,8110bb30 -8110badc: 8c012217 ldw r16,1160(r17) -8110bae0: 00800044 movi r2,1 -8110bae4: 80810a26 beq r16,r2,8110bf10 -8110bae8: 8c012317 ldw r16,1164(r17) -8110baec: 00800044 movi r2,1 -8110baf0: 8080fc26 beq r16,r2,8110bee4 -8110baf4: 8c012617 ldw r16,1176(r17) -8110baf8: 00800044 movi r2,1 -8110bafc: 8080e026 beq r16,r2,8110be80 -8110bb00: 88c12717 ldw r3,1180(r17) -8110bb04: 00800044 movi r2,1 -8110bb08: 1880d226 beq r3,r2,8110be54 -8110bb0c: 88c12417 ldw r3,1168(r17) -8110bb10: 00800044 movi r2,1 -8110bb14: 1880a426 beq r3,r2,8110bda8 -8110bb18: 88c12517 ldw r3,1172(r17) -8110bb1c: 00800044 movi r2,1 -8110bb20: 1880031e bne r3,r2,8110bb30 -8110bb24: 88012515 stw zero,1172(r17) -8110bb28: 88813317 ldw r2,1228(r17) -8110bb2c: 88800c15 stw r2,48(r17) -8110bb30: 8c042d17 ldw r16,4276(r17) -8110bb34: 00800044 movi r2,1 -8110bb38: 80808126 beq r16,r2,8110bd40 -8110bb3c: 8c042e17 ldw r16,4280(r17) -8110bb40: 00800044 movi r2,1 -8110bb44: 80806e26 beq r16,r2,8110bd00 -8110bb48: 88c1ab17 ldw r3,1708(r17) -8110bb4c: 00800044 movi r2,1 -8110bb50: 18808b26 beq r3,r2,8110bd80 -8110bb54: 88c03517 ldw r3,212(r17) -8110bb58: 88803317 ldw r2,204(r17) -8110bb5c: 1880281e bne r3,r2,8110bc00 -8110bb60: 00800884 movi r2,34 -8110bb64: 88803115 stw r2,196(r17) -8110bb68: dfc00317 ldw ra,12(sp) -8110bb6c: dc800217 ldw r18,8(sp) -8110bb70: dc400117 ldw r17,4(sp) -8110bb74: dc000017 ldw r16,0(sp) -8110bb78: dec00404 addi sp,sp,16 -8110bb7c: f800283a ret -8110bb80: 00a04634 movhi r2,33048 -8110bb84: 108f0804 addi r2,r2,15392 -8110bb88: 10800803 ldbu r2,32(r2) -8110bb8c: 00c00104 movi r3,4 -8110bb90: 18800436 bltu r3,r2,8110bba4 -8110bb94: d1207917 ldw r4,-32284(gp) -8110bb98: 01604574 movhi r5,33045 -8110bb9c: 29637b04 addi r5,r5,-29204 -8110bba0: 112b5e00 call 8112b5e0 -8110bba4: 800b883a mov r5,r16 -8110bba8: 8809883a mov r4,r17 -8110bbac: dfc00317 ldw ra,12(sp) -8110bbb0: dc800217 ldw r18,8(sp) -8110bbb4: dc400117 ldw r17,4(sp) -8110bbb8: dc000017 ldw r16,0(sp) -8110bbbc: dec00404 addi sp,sp,16 -8110bbc0: 110b2f01 jmpi 8110b2f0 -8110bbc4: 00c00044 movi r3,1 -8110bbc8: 10ff801e bne r2,r3,8110b9cc <__reset+0xfb0eb9cc> -8110bbcc: 00800044 movi r2,1 -8110bbd0: 8c00b304 addi r16,r17,716 -8110bbd4: 88803415 stw r2,208(r17) -8110bbd8: 88803515 stw r2,212(r17) -8110bbdc: 00800304 movi r2,12 -8110bbe0: 8009883a mov r4,r16 -8110bbe4: 88002b15 stw zero,172(r17) -8110bbe8: 88003315 stw zero,204(r17) -8110bbec: 88803115 stw r2,196(r17) -8110bbf0: 11023980 call 81102398 -8110bbf4: 8009883a mov r4,r16 -8110bbf8: 8800c315 stw zero,780(r17) -8110bbfc: 003f8f06 br 8110ba3c <__reset+0xfb0eba3c> -8110bc00: 00800904 movi r2,36 -8110bc04: 88803115 stw r2,196(r17) -8110bc08: 003fd706 br 8110bb68 <__reset+0xfb0ebb68> -8110bc0c: 20803817 ldw r2,224(r4) -8110bc10: 103fd51e bne r2,zero,8110bb68 <__reset+0xfb0ebb68> -8110bc14: 00a04634 movhi r2,33048 -8110bc18: 108f0804 addi r2,r2,15392 -8110bc1c: 10800803 ldbu r2,32(r2) -8110bc20: 00c001c4 movi r3,7 -8110bc24: 18800936 bltu r3,r2,8110bc4c -8110bc28: d1207917 ldw r4,-32284(gp) -8110bc2c: 01604574 movhi r5,33045 -8110bc30: 29638304 addi r5,r5,-29172 -8110bc34: 112b5e00 call 8112b5e0 -8110bc38: 89800003 ldbu r6,0(r17) -8110bc3c: d1207917 ldw r4,-32284(gp) -8110bc40: 01604574 movhi r5,33045 -8110bc44: 29639c04 addi r5,r5,-29072 -8110bc48: 112b5e00 call 8112b5e0 -8110bc4c: 00800044 movi r2,1 -8110bc50: 8c00b304 addi r16,r17,716 -8110bc54: 88803415 stw r2,208(r17) -8110bc58: 00800304 movi r2,12 -8110bc5c: 8009883a mov r4,r16 -8110bc60: 88002b15 stw zero,172(r17) -8110bc64: 88003315 stw zero,204(r17) -8110bc68: 88803115 stw r2,196(r17) -8110bc6c: 11023980 call 81102398 -8110bc70: 8009883a mov r4,r16 -8110bc74: 8800c315 stw zero,780(r17) -8110bc78: dfc00317 ldw ra,12(sp) -8110bc7c: dc800217 ldw r18,8(sp) -8110bc80: dc400117 ldw r17,4(sp) -8110bc84: dc000017 ldw r16,0(sp) -8110bc88: dec00404 addi sp,sp,16 -8110bc8c: 11023601 jmpi 81102360 -8110bc90: 88803417 ldw r2,208(r17) -8110bc94: 00c00044 movi r3,1 -8110bc98: 10bfff04 addi r2,r2,-4 -8110bc9c: 18bf7536 bltu r3,r2,8110ba74 <__reset+0xfb0eba74> -8110bca0: 88803317 ldw r2,204(r17) -8110bca4: 88c02b15 stw r3,172(r17) -8110bca8: 00c008c4 movi r3,35 -8110bcac: 88c03115 stw r3,196(r17) -8110bcb0: 88803515 stw r2,212(r17) -8110bcb4: 003fac06 br 8110bb68 <__reset+0xfb0ebb68> -8110bcb8: 000b883a mov r5,zero -8110bcbc: 01002044 movi r4,129 -8110bcc0: 1109a8c1 jmpi 81109a8c -8110bcc4: 00a04634 movhi r2,33048 -8110bcc8: 108f0804 addi r2,r2,15392 -8110bccc: 10800803 ldbu r2,32(r2) -8110bcd0: 00c001c4 movi r3,7 -8110bcd4: 18bfa436 bltu r3,r2,8110bb68 <__reset+0xfb0ebb68> -8110bcd8: 01604574 movhi r5,33045 -8110bcdc: 29629e04 addi r5,r5,-30088 -8110bce0: 003f6b06 br 8110ba90 <__reset+0xfb0eba90> -8110bce4: 88803317 ldw r2,204(r17) -8110bce8: 00c00044 movi r3,1 -8110bcec: 88c02b15 stw r3,172(r17) -8110bcf0: 00c008c4 movi r3,35 -8110bcf4: 88c03115 stw r3,196(r17) -8110bcf8: 88803515 stw r2,212(r17) -8110bcfc: 003f9a06 br 8110bb68 <__reset+0xfb0ebb68> -8110bd00: 8c80b304 addi r18,r17,716 -8110bd04: 9009883a mov r4,r18 -8110bd08: 11027800 call 81102780 -8110bd0c: 8940fe17 ldw r5,1016(r17) -8110bd10: 2c009926 beq r5,r16,8110bf78 -8110bd14: 01400044 movi r5,1 -8110bd18: 9009883a mov r4,r18 -8110bd1c: 1102a080 call 81102a08 -8110bd20: 10002b26 beq r2,zero,8110bdd0 -8110bd24: 00a04634 movhi r2,33048 -8110bd28: 108f0804 addi r2,r2,15392 -8110bd2c: 10800803 ldbu r2,32(r2) -8110bd30: 00c001c4 movi r3,7 -8110bd34: 1880992e bgeu r3,r2,8110bf9c -8110bd38: 88042e15 stw zero,4280(r17) -8110bd3c: 003f8206 br 8110bb48 <__reset+0xfb0ebb48> -8110bd40: 8c80b304 addi r18,r17,716 -8110bd44: 9009883a mov r4,r18 -8110bd48: 11027100 call 81102710 -8110bd4c: 8880ef17 ldw r2,956(r17) -8110bd50: 1400a426 beq r2,r16,8110bfe4 -8110bd54: 000b883a mov r5,zero -8110bd58: 9009883a mov r4,r18 -8110bd5c: 1102a080 call 81102a08 -8110bd60: 10002626 beq r2,zero,8110bdfc -8110bd64: 00a04634 movhi r2,33048 -8110bd68: 108f0804 addi r2,r2,15392 -8110bd6c: 10800803 ldbu r2,32(r2) -8110bd70: 00c001c4 movi r3,7 -8110bd74: 18808f2e bgeu r3,r2,8110bfb4 -8110bd78: 88042d15 stw zero,4276(r17) -8110bd7c: 003f6f06 br 8110bb3c <__reset+0xfb0ebb3c> -8110bd80: 8900b304 addi r4,r17,716 -8110bd84: 1102d340 call 81102d34 -8110bd88: 10002726 beq r2,zero,8110be28 -8110bd8c: 00a04634 movhi r2,33048 -8110bd90: 108f0804 addi r2,r2,15392 -8110bd94: 10800803 ldbu r2,32(r2) -8110bd98: 00c001c4 movi r3,7 -8110bd9c: 18808b2e bgeu r3,r2,8110bfcc -8110bda0: 8801ab15 stw zero,1708(r17) -8110bda4: 003f6b06 br 8110bb54 <__reset+0xfb0ebb54> -8110bda8: 88012415 stw zero,1168(r17) -8110bdac: 89415543 ldbu r5,1365(r17) -8110bdb0: 89015583 ldbu r4,1366(r17) -8110bdb4: 88c155c3 ldbu r3,1367(r17) -8110bdb8: 88815603 ldbu r2,1368(r17) -8110bdbc: 89402e45 stb r5,185(r17) -8110bdc0: 89002e85 stb r4,186(r17) -8110bdc4: 88c02ec5 stb r3,187(r17) -8110bdc8: 88802f05 stb r2,188(r17) -8110bdcc: 003f5206 br 8110bb18 <__reset+0xfb0ebb18> -8110bdd0: 00a04634 movhi r2,33048 -8110bdd4: 108f0804 addi r2,r2,15392 -8110bdd8: 10800803 ldbu r2,32(r2) -8110bddc: 00c001c4 movi r3,7 -8110bde0: 18bfd536 bltu r3,r2,8110bd38 <__reset+0xfb0ebd38> -8110bde4: 89800003 ldbu r6,0(r17) -8110bde8: d1207917 ldw r4,-32284(gp) -8110bdec: 01604574 movhi r5,33045 -8110bdf0: 29626a04 addi r5,r5,-30296 -8110bdf4: 112b5e00 call 8112b5e0 -8110bdf8: 003fcf06 br 8110bd38 <__reset+0xfb0ebd38> -8110bdfc: 00a04634 movhi r2,33048 -8110be00: 108f0804 addi r2,r2,15392 -8110be04: 10800803 ldbu r2,32(r2) -8110be08: 00c001c4 movi r3,7 -8110be0c: 18bfda36 bltu r3,r2,8110bd78 <__reset+0xfb0ebd78> -8110be10: 89800003 ldbu r6,0(r17) -8110be14: d1207917 ldw r4,-32284(gp) -8110be18: 01604574 movhi r5,33045 -8110be1c: 29624404 addi r5,r5,-30448 -8110be20: 112b5e00 call 8112b5e0 -8110be24: 003fd406 br 8110bd78 <__reset+0xfb0ebd78> -8110be28: 00a04634 movhi r2,33048 -8110be2c: 108f0804 addi r2,r2,15392 -8110be30: 10800803 ldbu r2,32(r2) -8110be34: 00c001c4 movi r3,7 -8110be38: 18bfd936 bltu r3,r2,8110bda0 <__reset+0xfb0ebda0> -8110be3c: 89800003 ldbu r6,0(r17) -8110be40: d1207917 ldw r4,-32284(gp) -8110be44: 01604574 movhi r5,33045 -8110be48: 29628d04 addi r5,r5,-30156 -8110be4c: 112b5e00 call 8112b5e0 -8110be50: 003fd306 br 8110bda0 <__reset+0xfb0ebda0> -8110be54: 8c006404 addi r16,r17,400 -8110be58: 8009883a mov r4,r16 -8110be5c: 88012715 stw zero,1180(r17) -8110be60: 1103bd00 call 81103bd0 -8110be64: 88c17217 ldw r3,1480(r17) -8110be68: 88817317 ldw r2,1484(r17) -8110be6c: 8009883a mov r4,r16 -8110be70: 88c06b15 stw r3,428(r17) -8110be74: 88806c15 stw r2,432(r17) -8110be78: 1103c380 call 81103c38 -8110be7c: 003f2306 br 8110bb0c <__reset+0xfb0ebb0c> -8110be80: 8c80b304 addi r18,r17,716 -8110be84: 9009883a mov r4,r18 -8110be88: 88012615 stw zero,1176(r17) -8110be8c: 11023980 call 81102398 -8110be90: 8881710b ldhu r2,1476(r17) -8110be94: 9009883a mov r4,r18 -8110be98: 8c806404 addi r18,r17,400 -8110be9c: 10bfffcc andi r2,r2,65535 -8110bea0: 8880c015 stw r2,768(r17) -8110bea4: 11023600 call 81102360 -8110bea8: 89c1710b ldhu r7,1476(r17) -8110beac: 018006f4 movhi r6,27 -8110beb0: 9009883a mov r4,r18 -8110beb4: 31b85204 addi r6,r6,-7864 -8110beb8: 000b883a mov r5,zero -8110bebc: 39ffffcc andi r7,r7,65535 -8110bec0: 1103d640 call 81103d64 -8110bec4: 89c1710b ldhu r7,1476(r17) -8110bec8: 018006f4 movhi r6,27 -8110becc: 31b85204 addi r6,r6,-7864 -8110bed0: 800b883a mov r5,r16 -8110bed4: 39ffffcc andi r7,r7,65535 -8110bed8: 9009883a mov r4,r18 -8110bedc: 1103d640 call 81103d64 -8110bee0: 003f0706 br 8110bb00 <__reset+0xfb0ebb00> -8110bee4: 8c80b304 addi r18,r17,716 -8110bee8: 9009883a mov r4,r18 -8110beec: 11023980 call 81102398 -8110bef0: 88012315 stw zero,1164(r17) -8110bef4: 88817417 ldw r2,1488(r17) -8110bef8: 14003e26 beq r2,r16,8110bff4 -8110befc: 88813017 ldw r2,1216(r17) -8110bf00: 8880b815 stw r2,736(r17) -8110bf04: 9009883a mov r4,r18 -8110bf08: 11023600 call 81102360 -8110bf0c: 003ef906 br 8110baf4 <__reset+0xfb0ebaf4> -8110bf10: 88012215 stw zero,1160(r17) -8110bf14: 88c13017 ldw r3,1216(r17) -8110bf18: 88813117 ldw r2,1220(r17) -8110bf1c: 8c80b304 addi r18,r17,716 -8110bf20: 88c00915 stw r3,36(r17) -8110bf24: 9009883a mov r4,r18 -8110bf28: 88800a15 stw r2,40(r17) -8110bf2c: 11023980 call 81102398 -8110bf30: 89413017 ldw r5,1216(r17) -8110bf34: 89013117 ldw r4,1220(r17) -8110bf38: 88813117 ldw r2,1220(r17) -8110bf3c: 88c04c0b ldhu r3,304(r17) -8110bf40: 8940b815 stw r5,736(r17) -8110bf44: 8900b915 stw r4,740(r17) -8110bf48: 10800044 addi r2,r2,1 -8110bf4c: 18800d2e bgeu r3,r2,8110bf84 -8110bf50: 88813117 ldw r2,1220(r17) -8110bf54: 193fffc4 addi r4,r3,-1 -8110bf58: 8900ba15 stw r4,744(r17) -8110bf5c: 10c5c83a sub r2,r2,r3 -8110bf60: 8880bb15 stw r2,748(r17) -8110bf64: 8c00be15 stw r16,760(r17) -8110bf68: 8c00bf15 stw r16,764(r17) -8110bf6c: 9009883a mov r4,r18 -8110bf70: 11023600 call 81102360 -8110bf74: 003edc06 br 8110bae8 <__reset+0xfb0ebae8> -8110bf78: 9009883a mov r4,r18 -8110bf7c: 1102a900 call 81102a90 -8110bf80: 003f6406 br 8110bd14 <__reset+0xfb0ebd14> +8110ad8c: 28800126 beq r5,r2,8110ad94 +8110ad90: 00800084 movi r2,2 +8110ad94: 88804915 stw r2,292(r17) +8110ad98: dfc00317 ldw ra,12(sp) +8110ad9c: dc800217 ldw r18,8(sp) +8110ada0: dc400117 ldw r17,4(sp) +8110ada4: dc000017 ldw r16,0(sp) +8110ada8: dec00404 addi sp,sp,16 +8110adac: f800283a ret +8110adb0: 00800104 movi r2,4 +8110adb4: 38802926 beq r7,r2,8110ae5c +8110adb8: 11c04536 bltu r2,r7,8110aed0 +8110adbc: 00800044 movi r2,1 +8110adc0: 3880321e bne r7,r2,8110ae8c +8110adc4: 00800044 movi r2,1 +8110adc8: 8c00b304 addi r16,r17,716 +8110adcc: 00c00344 movi r3,13 +8110add0: 88803415 stw r2,208(r17) +8110add4: 88803515 stw r2,212(r17) +8110add8: 00800304 movi r2,12 +8110addc: 8009883a mov r4,r16 +8110ade0: 88002b15 stw zero,172(r17) +8110ade4: 88c03315 stw r3,204(r17) +8110ade8: 88803115 stw r2,196(r17) +8110adec: 11023980 call 81102398 +8110adf0: 8009883a mov r4,r16 +8110adf4: 8800c315 stw zero,780(r17) +8110adf8: dfc00317 ldw ra,12(sp) +8110adfc: dc800217 ldw r18,8(sp) +8110ae00: dc400117 ldw r17,4(sp) +8110ae04: dc000017 ldw r16,0(sp) +8110ae08: dec00404 addi sp,sp,16 +8110ae0c: 11023601 jmpi 81102360 +8110ae10: 00803804 movi r2,224 +8110ae14: 38bfe02e bgeu r7,r2,8110ad98 <__reset+0xfb0ead98> +8110ae18: 008028c4 movi r2,163 +8110ae1c: 38bfde26 beq r7,r2,8110ad98 <__reset+0xfb0ead98> +8110ae20: 11c0182e bgeu r2,r7,8110ae84 +8110ae24: 00802944 movi r2,165 +8110ae28: 38802926 beq r7,r2,8110aed0 +8110ae2c: 00802984 movi r2,166 +8110ae30: 3880161e bne r7,r2,8110ae8c +8110ae34: 00c00044 movi r3,1 +8110ae38: 88c02b15 stw r3,172(r17) +8110ae3c: 00c00344 movi r3,13 +8110ae40: 00800084 movi r2,2 +8110ae44: 88c03315 stw r3,204(r17) +8110ae48: 00c00444 movi r3,17 +8110ae4c: 88803415 stw r2,208(r17) +8110ae50: 88c03515 stw r3,212(r17) +8110ae54: 88803115 stw r2,196(r17) +8110ae58: 003fcf06 br 8110ad98 <__reset+0xfb0ead98> +8110ae5c: 00c00044 movi r3,1 +8110ae60: 20c02b15 stw r3,172(r4) +8110ae64: 00c00344 movi r3,13 +8110ae68: 00800084 movi r2,2 +8110ae6c: 20c03315 stw r3,204(r4) +8110ae70: 00c00384 movi r3,14 +8110ae74: 20803415 stw r2,208(r4) +8110ae78: 20c03515 stw r3,212(r4) +8110ae7c: 20803115 stw r2,196(r4) +8110ae80: 003fc506 br 8110ad98 <__reset+0xfb0ead98> +8110ae84: 00802844 movi r2,161 +8110ae88: 38bfce26 beq r7,r2,8110adc4 <__reset+0xfb0eadc4> +8110ae8c: 00a04634 movhi r2,33048 +8110ae90: 108f1904 addi r2,r2,15460 +8110ae94: 10800803 ldbu r2,32(r2) +8110ae98: 00c001c4 movi r3,7 +8110ae9c: 18bfbe36 bltu r3,r2,8110ad98 <__reset+0xfb0ead98> +8110aea0: d1207917 ldw r4,-32284(gp) +8110aea4: 01604574 movhi r5,33045 +8110aea8: 29634b04 addi r5,r5,-29396 +8110aeac: dfc00317 ldw ra,12(sp) +8110aeb0: dc800217 ldw r18,8(sp) +8110aeb4: dc400117 ldw r17,4(sp) +8110aeb8: dc000017 ldw r16,0(sp) +8110aebc: dec00404 addi sp,sp,16 +8110aec0: 112b6201 jmpi 8112b620 +8110aec4: 00803904 movi r2,228 +8110aec8: 38bff01e bne r7,r2,8110ae8c <__reset+0xfb0eae8c> +8110aecc: 003fb206 br 8110ad98 <__reset+0xfb0ead98> +8110aed0: 00c00044 movi r3,1 +8110aed4: 88c02b15 stw r3,172(r17) +8110aed8: 00c00344 movi r3,13 +8110aedc: 00800084 movi r2,2 +8110aee0: 88c03315 stw r3,204(r17) +8110aee4: 00c003c4 movi r3,15 +8110aee8: 88803415 stw r2,208(r17) +8110aeec: 88c03515 stw r3,212(r17) +8110aef0: 88803115 stw r2,196(r17) +8110aef4: 003fa806 br 8110ad98 <__reset+0xfb0ead98> +8110aef8: 20812217 ldw r2,1160(r4) +8110aefc: 10000a1e bne r2,zero,8110af28 +8110af00: 20812317 ldw r2,1164(r4) +8110af04: 1000081e bne r2,zero,8110af28 +8110af08: 20812617 ldw r2,1176(r4) +8110af0c: 1000061e bne r2,zero,8110af28 +8110af10: 20812417 ldw r2,1168(r4) +8110af14: 1000041e bne r2,zero,8110af28 +8110af18: 20812717 ldw r2,1180(r4) +8110af1c: 1000021e bne r2,zero,8110af28 +8110af20: 20812517 ldw r2,1172(r4) +8110af24: 10001526 beq r2,zero,8110af7c +8110af28: 8c012217 ldw r16,1160(r17) +8110af2c: 00800044 movi r2,1 +8110af30: 80809226 beq r16,r2,8110b17c +8110af34: 8c012317 ldw r16,1164(r17) +8110af38: 00800044 movi r2,1 +8110af3c: 8080cd26 beq r16,r2,8110b274 +8110af40: 8c012617 ldw r16,1176(r17) +8110af44: 00800044 movi r2,1 +8110af48: 8080b126 beq r16,r2,8110b210 +8110af4c: 88c12717 ldw r3,1180(r17) +8110af50: 00800044 movi r2,1 +8110af54: 1880a326 beq r3,r2,8110b1e4 +8110af58: 88c12417 ldw r3,1168(r17) +8110af5c: 00800044 movi r2,1 +8110af60: 18805126 beq r3,r2,8110b0a8 +8110af64: 88c12517 ldw r3,1172(r17) +8110af68: 00800044 movi r2,1 +8110af6c: 1880031e bne r3,r2,8110af7c +8110af70: 88012515 stw zero,1172(r17) +8110af74: 88813317 ldw r2,1228(r17) +8110af78: 88800c15 stw r2,48(r17) +8110af7c: 8c042d17 ldw r16,4276(r17) +8110af80: 00800044 movi r2,1 +8110af84: 80802e26 beq r16,r2,8110b040 +8110af88: 8c042e17 ldw r16,4280(r17) +8110af8c: 00800044 movi r2,1 +8110af90: 80801b26 beq r16,r2,8110b000 +8110af94: 88c1ab17 ldw r3,1708(r17) +8110af98: 00800044 movi r2,1 +8110af9c: 18803826 beq r3,r2,8110b080 +8110afa0: 88803517 ldw r2,212(r17) +8110afa4: 88c03417 ldw r3,208(r17) +8110afa8: 10ff7b26 beq r2,r3,8110ad98 <__reset+0xfb0ead98> +8110afac: 88803115 stw r2,196(r17) +8110afb0: 00c00384 movi r3,14 +8110afb4: 10c05126 beq r2,r3,8110b0fc +8110afb8: 00c003c4 movi r3,15 +8110afbc: 10c06a26 beq r2,r3,8110b168 +8110afc0: 00c00444 movi r3,17 +8110afc4: 10ff741e bne r2,r3,8110ad98 <__reset+0xfb0ead98> +8110afc8: 8c00b304 addi r16,r17,716 +8110afcc: 8009883a mov r4,r16 +8110afd0: 11023980 call 81102398 +8110afd4: 008000c4 movi r2,3 +8110afd8: 8880c315 stw r2,780(r17) +8110afdc: 8009883a mov r4,r16 +8110afe0: 003f8506 br 8110adf8 <__reset+0xfb0eadf8> +8110afe4: 280ad23a srli r5,r5,8 +8110afe8: 20002615 stw zero,152(r4) +8110afec: 01002044 movi r4,129 +8110aff0: 29403fcc andi r5,r5,255 +8110aff4: 1109ac01 jmpi 81109ac0 +8110aff8: 20004915 stw zero,292(r4) +8110affc: 003f6606 br 8110ad98 <__reset+0xfb0ead98> +8110b000: 8c80b304 addi r18,r17,716 +8110b004: 9009883a mov r4,r18 +8110b008: 11027800 call 81102780 +8110b00c: 8940fe17 ldw r5,1016(r17) +8110b010: 2c00a926 beq r5,r16,8110b2b8 +8110b014: 01400044 movi r5,1 +8110b018: 9009883a mov r4,r18 +8110b01c: 1102a080 call 81102a08 +8110b020: 10002b26 beq r2,zero,8110b0d0 +8110b024: 00a04634 movhi r2,33048 +8110b028: 108f1904 addi r2,r2,15460 +8110b02c: 10800803 ldbu r2,32(r2) +8110b030: 00c001c4 movi r3,7 +8110b034: 18809a2e bgeu r3,r2,8110b2a0 +8110b038: 88042e15 stw zero,4280(r17) +8110b03c: 003fd506 br 8110af94 <__reset+0xfb0eaf94> +8110b040: 8c80b304 addi r18,r17,716 +8110b044: 9009883a mov r4,r18 +8110b048: 11027100 call 81102710 +8110b04c: 8880ef17 ldw r2,956(r17) +8110b050: 1400ae26 beq r2,r16,8110b30c +8110b054: 000b883a mov r5,zero +8110b058: 9009883a mov r4,r18 +8110b05c: 1102a080 call 81102a08 +8110b060: 10002b26 beq r2,zero,8110b110 +8110b064: 00a04634 movhi r2,33048 +8110b068: 108f1904 addi r2,r2,15460 +8110b06c: 10800803 ldbu r2,32(r2) +8110b070: 00c001c4 movi r3,7 +8110b074: 1880992e bgeu r3,r2,8110b2dc +8110b078: 88042d15 stw zero,4276(r17) +8110b07c: 003fc206 br 8110af88 <__reset+0xfb0eaf88> +8110b080: 8900b304 addi r4,r17,716 +8110b084: 1102d340 call 81102d34 +8110b088: 10002c26 beq r2,zero,8110b13c +8110b08c: 00a04634 movhi r2,33048 +8110b090: 108f1904 addi r2,r2,15460 +8110b094: 10800803 ldbu r2,32(r2) +8110b098: 00c001c4 movi r3,7 +8110b09c: 1880952e bgeu r3,r2,8110b2f4 +8110b0a0: 8801ab15 stw zero,1708(r17) +8110b0a4: 003fbe06 br 8110afa0 <__reset+0xfb0eafa0> +8110b0a8: 88012415 stw zero,1168(r17) +8110b0ac: 89415543 ldbu r5,1365(r17) +8110b0b0: 89015583 ldbu r4,1366(r17) +8110b0b4: 88c155c3 ldbu r3,1367(r17) +8110b0b8: 88815603 ldbu r2,1368(r17) +8110b0bc: 89402e45 stb r5,185(r17) +8110b0c0: 89002e85 stb r4,186(r17) +8110b0c4: 88c02ec5 stb r3,187(r17) +8110b0c8: 88802f05 stb r2,188(r17) +8110b0cc: 003fa506 br 8110af64 <__reset+0xfb0eaf64> +8110b0d0: 00a04634 movhi r2,33048 +8110b0d4: 108f1904 addi r2,r2,15460 +8110b0d8: 10800803 ldbu r2,32(r2) +8110b0dc: 00c001c4 movi r3,7 +8110b0e0: 18bfd536 bltu r3,r2,8110b038 <__reset+0xfb0eb038> +8110b0e4: 89800003 ldbu r6,0(r17) +8110b0e8: d1207917 ldw r4,-32284(gp) +8110b0ec: 01604574 movhi r5,33045 +8110b0f0: 29627a04 addi r5,r5,-30232 +8110b0f4: 112b6200 call 8112b620 +8110b0f8: 003fcf06 br 8110b038 <__reset+0xfb0eb038> +8110b0fc: 8c00b304 addi r16,r17,716 +8110b100: 8009883a mov r4,r16 +8110b104: 11023980 call 81102398 +8110b108: 00800104 movi r2,4 +8110b10c: 003fb206 br 8110afd8 <__reset+0xfb0eafd8> +8110b110: 00a04634 movhi r2,33048 +8110b114: 108f1904 addi r2,r2,15460 +8110b118: 10800803 ldbu r2,32(r2) +8110b11c: 00c001c4 movi r3,7 +8110b120: 18bfd536 bltu r3,r2,8110b078 <__reset+0xfb0eb078> +8110b124: 89800003 ldbu r6,0(r17) +8110b128: d1207917 ldw r4,-32284(gp) +8110b12c: 01604574 movhi r5,33045 +8110b130: 29625404 addi r5,r5,-30384 +8110b134: 112b6200 call 8112b620 +8110b138: 003fcf06 br 8110b078 <__reset+0xfb0eb078> +8110b13c: 00a04634 movhi r2,33048 +8110b140: 108f1904 addi r2,r2,15460 +8110b144: 10800803 ldbu r2,32(r2) +8110b148: 00c001c4 movi r3,7 +8110b14c: 18bfd436 bltu r3,r2,8110b0a0 <__reset+0xfb0eb0a0> +8110b150: 89800003 ldbu r6,0(r17) +8110b154: d1207917 ldw r4,-32284(gp) +8110b158: 01604574 movhi r5,33045 +8110b15c: 29629d04 addi r5,r5,-30092 +8110b160: 112b6200 call 8112b620 +8110b164: 003fce06 br 8110b0a0 <__reset+0xfb0eb0a0> +8110b168: 8c00b304 addi r16,r17,716 +8110b16c: 8009883a mov r4,r16 +8110b170: 11023980 call 81102398 +8110b174: 00800084 movi r2,2 +8110b178: 003f9706 br 8110afd8 <__reset+0xfb0eafd8> +8110b17c: 88012215 stw zero,1160(r17) +8110b180: 88c13017 ldw r3,1216(r17) +8110b184: 88813117 ldw r2,1220(r17) +8110b188: 8c80b304 addi r18,r17,716 +8110b18c: 88c00915 stw r3,36(r17) +8110b190: 9009883a mov r4,r18 +8110b194: 88800a15 stw r2,40(r17) +8110b198: 11023980 call 81102398 +8110b19c: 89413017 ldw r5,1216(r17) +8110b1a0: 89013117 ldw r4,1220(r17) +8110b1a4: 88813117 ldw r2,1220(r17) +8110b1a8: 88c04c0b ldhu r3,304(r17) +8110b1ac: 8940b815 stw r5,736(r17) +8110b1b0: 8900b915 stw r4,740(r17) +8110b1b4: 10800044 addi r2,r2,1 +8110b1b8: 1880422e bgeu r3,r2,8110b2c4 +8110b1bc: 88813117 ldw r2,1220(r17) +8110b1c0: 193fffc4 addi r4,r3,-1 +8110b1c4: 8900ba15 stw r4,744(r17) +8110b1c8: 10c5c83a sub r2,r2,r3 +8110b1cc: 8880bb15 stw r2,748(r17) +8110b1d0: 8c00be15 stw r16,760(r17) +8110b1d4: 8c00bf15 stw r16,764(r17) +8110b1d8: 9009883a mov r4,r18 +8110b1dc: 11023600 call 81102360 +8110b1e0: 003f5406 br 8110af34 <__reset+0xfb0eaf34> +8110b1e4: 8c006404 addi r16,r17,400 +8110b1e8: 8009883a mov r4,r16 +8110b1ec: 88012715 stw zero,1180(r17) +8110b1f0: 1103bd00 call 81103bd0 +8110b1f4: 88c17217 ldw r3,1480(r17) +8110b1f8: 88817317 ldw r2,1484(r17) +8110b1fc: 8009883a mov r4,r16 +8110b200: 88c06b15 stw r3,428(r17) +8110b204: 88806c15 stw r2,432(r17) +8110b208: 1103c380 call 81103c38 +8110b20c: 003f5206 br 8110af58 <__reset+0xfb0eaf58> +8110b210: 8c80b304 addi r18,r17,716 +8110b214: 9009883a mov r4,r18 +8110b218: 88012615 stw zero,1176(r17) +8110b21c: 11023980 call 81102398 +8110b220: 8881710b ldhu r2,1476(r17) +8110b224: 9009883a mov r4,r18 +8110b228: 8c806404 addi r18,r17,400 +8110b22c: 10bfffcc andi r2,r2,65535 +8110b230: 8880c015 stw r2,768(r17) +8110b234: 11023600 call 81102360 +8110b238: 89c1710b ldhu r7,1476(r17) +8110b23c: 018006f4 movhi r6,27 +8110b240: 9009883a mov r4,r18 +8110b244: 31b85204 addi r6,r6,-7864 +8110b248: 000b883a mov r5,zero +8110b24c: 39ffffcc andi r7,r7,65535 +8110b250: 1103d640 call 81103d64 +8110b254: 89c1710b ldhu r7,1476(r17) +8110b258: 018006f4 movhi r6,27 +8110b25c: 31b85204 addi r6,r6,-7864 +8110b260: 800b883a mov r5,r16 +8110b264: 39ffffcc andi r7,r7,65535 +8110b268: 9009883a mov r4,r18 +8110b26c: 1103d640 call 81103d64 +8110b270: 003f3606 br 8110af4c <__reset+0xfb0eaf4c> +8110b274: 8c80b304 addi r18,r17,716 +8110b278: 9009883a mov r4,r18 +8110b27c: 11023980 call 81102398 +8110b280: 88012315 stw zero,1164(r17) +8110b284: 88817417 ldw r2,1488(r17) +8110b288: 14002426 beq r2,r16,8110b31c +8110b28c: 88813017 ldw r2,1216(r17) +8110b290: 8880b815 stw r2,736(r17) +8110b294: 9009883a mov r4,r18 +8110b298: 11023600 call 81102360 +8110b29c: 003f2806 br 8110af40 <__reset+0xfb0eaf40> +8110b2a0: 89800003 ldbu r6,0(r17) +8110b2a4: d1207917 ldw r4,-32284(gp) +8110b2a8: 01604574 movhi r5,33045 +8110b2ac: 29626804 addi r5,r5,-30304 +8110b2b0: 112b6200 call 8112b620 +8110b2b4: 003f6006 br 8110b038 <__reset+0xfb0eb038> +8110b2b8: 9009883a mov r4,r18 +8110b2bc: 1102a900 call 81102a90 +8110b2c0: 003f5406 br 8110b014 <__reset+0xfb0eb014> +8110b2c4: 88813117 ldw r2,1220(r17) +8110b2c8: 8800bb15 stw zero,748(r17) +8110b2cc: 8c00be15 stw r16,760(r17) +8110b2d0: 8880ba15 stw r2,744(r17) +8110b2d4: 8800bf15 stw zero,764(r17) +8110b2d8: 003fbf06 br 8110b1d8 <__reset+0xfb0eb1d8> +8110b2dc: 89800003 ldbu r6,0(r17) +8110b2e0: d1207917 ldw r4,-32284(gp) +8110b2e4: 01604574 movhi r5,33045 +8110b2e8: 29624204 addi r5,r5,-30456 +8110b2ec: 112b6200 call 8112b620 +8110b2f0: 003f6106 br 8110b078 <__reset+0xfb0eb078> +8110b2f4: 89800003 ldbu r6,0(r17) +8110b2f8: d1207917 ldw r4,-32284(gp) +8110b2fc: 01604574 movhi r5,33045 +8110b300: 29628e04 addi r5,r5,-30152 +8110b304: 112b6200 call 8112b620 +8110b308: 003f6506 br 8110b0a0 <__reset+0xfb0eb0a0> +8110b30c: 000b883a mov r5,zero +8110b310: 9009883a mov r4,r18 +8110b314: 1102a900 call 81102a90 +8110b318: 003f4e06 br 8110b054 <__reset+0xfb0eb054> +8110b31c: 8800b815 stw zero,736(r17) +8110b320: 003fdc06 br 8110b294 <__reset+0xfb0eb294> + +8110b324 : +8110b324: defffc04 addi sp,sp,-16 +8110b328: dc400115 stw r17,4(sp) +8110b32c: dc000015 stw r16,0(sp) +8110b330: dfc00315 stw ra,12(sp) +8110b334: dc800215 stw r18,8(sp) +8110b338: 2023883a mov r17,r4 +8110b33c: 21000003 ldbu r4,0(r4) +8110b340: 2820d23a srli r16,r5,8 +8110b344: 01604574 movhi r5,33045 +8110b348: 21000044 addi r4,r4,1 +8110b34c: 297348c4 addi r5,r5,-13021 +8110b350: 21003fcc andi r4,r4,255 +8110b354: 111e1e00 call 8111e1e0 +8110b358: 81803fcc andi r6,r16,255 +8110b35c: 00801a04 movi r2,104 +8110b360: 1180d236 bltu r2,r6,8110b6ac +8110b364: 300690ba slli r3,r6,2 +8110b368: 00a04474 movhi r2,33041 +8110b36c: 10acdf04 addi r2,r2,-19588 +8110b370: 1885883a add r2,r3,r2 +8110b374: 10800017 ldw r2,0(r2) +8110b378: 1000683a jmp r2 +8110b37c: 8110b68c andi r4,r16,17114 +8110b380: 8110b6ac andhi r4,r16,17114 +8110b384: 8110b6ac andhi r4,r16,17114 +8110b388: 8110b6ac andhi r4,r16,17114 +8110b38c: 8110b634 orhi r4,r16,17112 +8110b390: 8110b6ac andhi r4,r16,17114 +8110b394: 8110b6ac andhi r4,r16,17114 +8110b398: 8110b6ac andhi r4,r16,17114 +8110b39c: 8110b65c xori r4,r16,17113 +8110b3a0: 8110b6ac andhi r4,r16,17114 +8110b3a4: 8110b6ac andhi r4,r16,17114 +8110b3a8: 8110b6ac andhi r4,r16,17114 +8110b3ac: 8110b5b8 rdprs r4,r16,17110 +8110b3b0: 8110b6ac andhi r4,r16,17114 +8110b3b4: 8110b6ac andhi r4,r16,17114 +8110b3b8: 8110b6ac andhi r4,r16,17114 +8110b3bc: 8110b5dc xori r4,r16,17111 +8110b3c0: 8110b6ac andhi r4,r16,17114 +8110b3c4: 8110b6ac andhi r4,r16,17114 +8110b3c8: 8110b6ac andhi r4,r16,17114 +8110b3cc: 8110b5f4 orhi r4,r16,17111 +8110b3d0: 8110b6ac andhi r4,r16,17114 +8110b3d4: 8110b6ac andhi r4,r16,17114 +8110b3d8: 8110b6ac andhi r4,r16,17114 +8110b3dc: 8110b520 cmpeqi r4,r16,17108 +8110b3e0: 8110b6ac andhi r4,r16,17114 +8110b3e4: 8110b6ac andhi r4,r16,17114 +8110b3e8: 8110b6ac andhi r4,r16,17114 +8110b3ec: 8110b520 cmpeqi r4,r16,17108 +8110b3f0: 8110b6ac andhi r4,r16,17114 +8110b3f4: 8110b6ac andhi r4,r16,17114 +8110b3f8: 8110b6ac andhi r4,r16,17114 +8110b3fc: 8110b520 cmpeqi r4,r16,17108 +8110b400: 8110b6ac andhi r4,r16,17114 +8110b404: 8110b6ac andhi r4,r16,17114 +8110b408: 8110b6ac andhi r4,r16,17114 +8110b40c: 8110b520 cmpeqi r4,r16,17108 +8110b410: 8110b6ac andhi r4,r16,17114 +8110b414: 8110b6ac andhi r4,r16,17114 +8110b418: 8110b6ac andhi r4,r16,17114 +8110b41c: 8110b520 cmpeqi r4,r16,17108 +8110b420: 8110b6ac andhi r4,r16,17114 +8110b424: 8110b6ac andhi r4,r16,17114 +8110b428: 8110b6ac andhi r4,r16,17114 +8110b42c: 8110b520 cmpeqi r4,r16,17108 +8110b430: 8110b6ac andhi r4,r16,17114 +8110b434: 8110b6ac andhi r4,r16,17114 +8110b438: 8110b6ac andhi r4,r16,17114 +8110b43c: 8110b520 cmpeqi r4,r16,17108 +8110b440: 8110b6ac andhi r4,r16,17114 +8110b444: 8110b6ac andhi r4,r16,17114 +8110b448: 8110b6ac andhi r4,r16,17114 +8110b44c: 8110b520 cmpeqi r4,r16,17108 +8110b450: 8110b6ac andhi r4,r16,17114 +8110b454: 8110b6ac andhi r4,r16,17114 +8110b458: 8110b6ac andhi r4,r16,17114 +8110b45c: 8110b520 cmpeqi r4,r16,17108 +8110b460: 8110b6ac andhi r4,r16,17114 +8110b464: 8110b6ac andhi r4,r16,17114 +8110b468: 8110b6ac andhi r4,r16,17114 +8110b46c: 8110b520 cmpeqi r4,r16,17108 +8110b470: 8110b6ac andhi r4,r16,17114 +8110b474: 8110b6ac andhi r4,r16,17114 +8110b478: 8110b6ac andhi r4,r16,17114 +8110b47c: 8110b520 cmpeqi r4,r16,17108 +8110b480: 8110b6ac andhi r4,r16,17114 +8110b484: 8110b6ac andhi r4,r16,17114 +8110b488: 8110b6ac andhi r4,r16,17114 +8110b48c: 8110b520 cmpeqi r4,r16,17108 +8110b490: 8110b6ac andhi r4,r16,17114 +8110b494: 8110b6ac andhi r4,r16,17114 +8110b498: 8110b6ac andhi r4,r16,17114 +8110b49c: 8110b520 cmpeqi r4,r16,17108 +8110b4a0: 8110b6ac andhi r4,r16,17114 +8110b4a4: 8110b6ac andhi r4,r16,17114 +8110b4a8: 8110b6ac andhi r4,r16,17114 +8110b4ac: 8110b520 cmpeqi r4,r16,17108 +8110b4b0: 8110b6ac andhi r4,r16,17114 +8110b4b4: 8110b6ac andhi r4,r16,17114 +8110b4b8: 8110b6ac andhi r4,r16,17114 +8110b4bc: 8110b520 cmpeqi r4,r16,17108 +8110b4c0: 8110b6ac andhi r4,r16,17114 +8110b4c4: 8110b6ac andhi r4,r16,17114 +8110b4c8: 8110b6ac andhi r4,r16,17114 +8110b4cc: 8110b54c andi r4,r16,17109 +8110b4d0: 8110b6ac andhi r4,r16,17114 +8110b4d4: 8110b6ac andhi r4,r16,17114 +8110b4d8: 8110b6ac andhi r4,r16,17114 +8110b4dc: 8110b614 ori r4,r16,17112 +8110b4e0: 8110b6ac andhi r4,r16,17114 +8110b4e4: 8110b6ac andhi r4,r16,17114 +8110b4e8: 8110b6ac andhi r4,r16,17114 +8110b4ec: 8110b614 ori r4,r16,17112 +8110b4f0: 8110b6ac andhi r4,r16,17114 +8110b4f4: 8110b6ac andhi r4,r16,17114 +8110b4f8: 8110b6ac andhi r4,r16,17114 +8110b4fc: 8110b614 ori r4,r16,17112 +8110b500: 8110b6ac andhi r4,r16,17114 +8110b504: 8110b6ac andhi r4,r16,17114 +8110b508: 8110b6ac andhi r4,r16,17114 +8110b50c: 8110b614 ori r4,r16,17112 +8110b510: 8110b6ac andhi r4,r16,17114 +8110b514: 8110b6ac andhi r4,r16,17114 +8110b518: 8110b6ac andhi r4,r16,17114 +8110b51c: 8110b614 ori r4,r16,17112 +8110b520: 00a04634 movhi r2,33048 +8110b524: 108f1904 addi r2,r2,15460 +8110b528: 10800803 ldbu r2,32(r2) +8110b52c: 00c001c4 movi r3,7 +8110b530: 1880662e bgeu r3,r2,8110b6cc +8110b534: dfc00317 ldw ra,12(sp) +8110b538: dc800217 ldw r18,8(sp) +8110b53c: dc400117 ldw r17,4(sp) +8110b540: dc000017 ldw r16,0(sp) +8110b544: dec00404 addi sp,sp,16 +8110b548: f800283a ret +8110b54c: 8880ab17 ldw r2,684(r17) +8110b550: 88000b15 stw zero,44(r17) +8110b554: 00c003c4 movi r3,15 +8110b558: 10804017 ldw r2,256(r2) +8110b55c: 1880d536 bltu r3,r2,8110b8b4 +8110b560: 100490ba slli r2,r2,2 +8110b564: 00e04474 movhi r3,33041 +8110b568: 18ed5e04 addi r3,r3,-19080 +8110b56c: 10c5883a add r2,r2,r3 +8110b570: 10800017 ldw r2,0(r2) +8110b574: 1000683a jmp r2 +8110b578: 8110b860 cmpeqi r4,r16,17121 +8110b57c: 8110b6f0 cmpltui r4,r16,17115 +8110b580: 8110b6f0 cmpltui r4,r16,17115 +8110b584: 8110b8b4 orhi r4,r16,17122 +8110b588: 8110b7f0 cmpltui r4,r16,17119 +8110b58c: 8110b6f0 cmpltui r4,r16,17115 +8110b590: 8110b6f0 cmpltui r4,r16,17115 +8110b594: 8110b74c andi r4,r16,17117 +8110b598: 8110b790 cmplti r4,r16,17118 +8110b59c: 8110b6f0 cmpltui r4,r16,17115 +8110b5a0: 8110b6f0 cmpltui r4,r16,17115 +8110b5a4: 8110b6f0 cmpltui r4,r16,17115 +8110b5a8: 8110b6f0 cmpltui r4,r16,17115 +8110b5ac: 8110b81c xori r4,r16,17120 +8110b5b0: 8110b81c xori r4,r16,17120 +8110b5b4: 8110b81c xori r4,r16,17120 +8110b5b8: 88c0ab17 ldw r3,684(r17) +8110b5bc: 00800044 movi r2,1 +8110b5c0: 88812515 stw r2,1172(r17) +8110b5c4: 19000b17 ldw r4,44(r3) +8110b5c8: 18c00c17 ldw r3,48(r3) +8110b5cc: 89013315 stw r4,1228(r17) +8110b5d0: 88812315 stw r2,1164(r17) +8110b5d4: 88c17415 stw r3,1488(r17) +8110b5d8: 003fd606 br 8110b534 <__reset+0xfb0eb534> +8110b5dc: 8880ab17 ldw r2,684(r17) +8110b5e0: 00c00044 movi r3,1 +8110b5e4: 88c12615 stw r3,1176(r17) +8110b5e8: 10801017 ldw r2,64(r2) +8110b5ec: 8881710d sth r2,1476(r17) +8110b5f0: 003fd006 br 8110b534 <__reset+0xfb0eb534> +8110b5f4: 8880ab17 ldw r2,684(r17) +8110b5f8: 00c00044 movi r3,1 +8110b5fc: 88c12715 stw r3,1180(r17) +8110b600: 10c01517 ldw r3,84(r2) +8110b604: 10801717 ldw r2,92(r2) +8110b608: 88c17215 stw r3,1480(r17) +8110b60c: 88817315 stw r2,1484(r17) +8110b610: 003fc806 br 8110b534 <__reset+0xfb0eb534> +8110b614: 00a04634 movhi r2,33048 +8110b618: 108f1904 addi r2,r2,15460 +8110b61c: 10800803 ldbu r2,32(r2) +8110b620: 00c001c4 movi r3,7 +8110b624: 18bfc336 bltu r3,r2,8110b534 <__reset+0xfb0eb534> +8110b628: 01604574 movhi r5,33045 +8110b62c: 29633004 addi r5,r5,-29504 +8110b630: 00002806 br 8110b6d4 +8110b634: 00a04634 movhi r2,33048 +8110b638: 108f1904 addi r2,r2,15460 +8110b63c: 10800803 ldbu r2,32(r2) +8110b640: 00c001c4 movi r3,7 +8110b644: 18bfbb36 bltu r3,r2,8110b534 <__reset+0xfb0eb534> +8110b648: 01604574 movhi r5,33045 +8110b64c: 2962dd04 addi r5,r5,-29836 +8110b650: d1207917 ldw r4,-32284(gp) +8110b654: 01800104 movi r6,4 +8110b658: 00001f06 br 8110b6d8 +8110b65c: 8880ab17 ldw r2,684(r17) +8110b660: 00c00044 movi r3,1 +8110b664: 88c12415 stw r3,1168(r17) +8110b668: 10c00617 ldw r3,24(r2) +8110b66c: 88c15545 stb r3,1365(r17) +8110b670: 10c00717 ldw r3,28(r2) +8110b674: 88c15585 stb r3,1366(r17) +8110b678: 10c00817 ldw r3,32(r2) +8110b67c: 88c155c5 stb r3,1367(r17) +8110b680: 10800917 ldw r2,36(r2) +8110b684: 88815605 stb r2,1368(r17) +8110b688: 003faa06 br 8110b534 <__reset+0xfb0eb534> +8110b68c: 8880ab17 ldw r2,684(r17) +8110b690: 00c00044 movi r3,1 +8110b694: 88c12215 stw r3,1160(r17) +8110b698: 10c00017 ldw r3,0(r2) +8110b69c: 10800117 ldw r2,4(r2) +8110b6a0: 88c13015 stw r3,1216(r17) +8110b6a4: 88813115 stw r2,1220(r17) +8110b6a8: 003fa206 br 8110b534 <__reset+0xfb0eb534> +8110b6ac: 00a04634 movhi r2,33048 +8110b6b0: 108f1904 addi r2,r2,15460 +8110b6b4: 10800803 ldbu r2,32(r2) +8110b6b8: 00c001c4 movi r3,7 +8110b6bc: 18bf9d36 bltu r3,r2,8110b534 <__reset+0xfb0eb534> +8110b6c0: 01604574 movhi r5,33045 +8110b6c4: 29633904 addi r5,r5,-29468 +8110b6c8: 00000206 br 8110b6d4 +8110b6cc: 01604574 movhi r5,33045 +8110b6d0: 2962dd04 addi r5,r5,-29836 +8110b6d4: d1207917 ldw r4,-32284(gp) +8110b6d8: dfc00317 ldw ra,12(sp) +8110b6dc: dc800217 ldw r18,8(sp) +8110b6e0: dc400117 ldw r17,4(sp) +8110b6e4: dc000017 ldw r16,0(sp) +8110b6e8: dec00404 addi sp,sp,16 +8110b6ec: 112b6201 jmpi 8112b620 +8110b6f0: 8c00b304 addi r16,r17,716 +8110b6f4: 8009883a mov r4,r16 +8110b6f8: 11023f00 call 811023f0 +8110b6fc: 04800044 movi r18,1 +8110b700: 8c80c515 stw r18,788(r17) +8110b704: 8009883a mov r4,r16 +8110b708: 11023d00 call 811023d0 +8110b70c: 00a04634 movhi r2,33048 +8110b710: 108f1904 addi r2,r2,15460 +8110b714: 10800803 ldbu r2,32(r2) +8110b718: 00c001c4 movi r3,7 +8110b71c: 18bf8536 bltu r3,r2,8110b534 <__reset+0xfb0eb534> +8110b720: d1e07917 ldw r7,-32284(gp) +8110b724: 01204574 movhi r4,33045 +8110b728: 21235c04 addi r4,r4,-29328 +8110b72c: 01800d84 movi r6,54 +8110b730: 900b883a mov r5,r18 +8110b734: dfc00317 ldw ra,12(sp) +8110b738: dc800217 ldw r18,8(sp) +8110b73c: dc400117 ldw r17,4(sp) +8110b740: dc000017 ldw r16,0(sp) +8110b744: dec00404 addi sp,sp,16 +8110b748: 112bc8c1 jmpi 8112bc8c +8110b74c: 8c00b304 addi r16,r17,716 +8110b750: 8009883a mov r4,r16 +8110b754: 11023f00 call 811023f0 +8110b758: 04800044 movi r18,1 +8110b75c: 8c80c515 stw r18,788(r17) +8110b760: 8009883a mov r4,r16 +8110b764: 11023d00 call 811023d0 +8110b768: 00a04634 movhi r2,33048 +8110b76c: 108f1904 addi r2,r2,15460 +8110b770: 10800803 ldbu r2,32(r2) +8110b774: 00c001c4 movi r3,7 +8110b778: 18bf6e36 bltu r3,r2,8110b534 <__reset+0xfb0eb534> +8110b77c: 01204574 movhi r4,33045 +8110b780: d1e07917 ldw r7,-32284(gp) +8110b784: 21230804 addi r4,r4,-29664 +8110b788: 01800d84 movi r6,54 +8110b78c: 003fe806 br 8110b730 <__reset+0xfb0eb730> +8110b790: 00c00304 movi r3,12 +8110b794: 00800344 movi r2,13 +8110b798: 8c00b304 addi r16,r17,716 +8110b79c: 88c03315 stw r3,204(r17) +8110b7a0: 00c00084 movi r3,2 +8110b7a4: 8009883a mov r4,r16 +8110b7a8: 88c03415 stw r3,208(r17) +8110b7ac: 88002b15 stw zero,172(r17) +8110b7b0: 88803515 stw r2,212(r17) +8110b7b4: 88803115 stw r2,196(r17) +8110b7b8: 11023980 call 81102398 +8110b7bc: 00800044 movi r2,1 +8110b7c0: 8009883a mov r4,r16 +8110b7c4: 8880c315 stw r2,780(r17) +8110b7c8: 11023600 call 81102360 +8110b7cc: 89800003 ldbu r6,0(r17) +8110b7d0: 000b883a mov r5,zero +8110b7d4: 01002044 movi r4,129 +8110b7d8: dfc00317 ldw ra,12(sp) +8110b7dc: dc800217 ldw r18,8(sp) +8110b7e0: dc400117 ldw r17,4(sp) +8110b7e4: dc000017 ldw r16,0(sp) +8110b7e8: dec00404 addi sp,sp,16 +8110b7ec: 1109ac01 jmpi 81109ac0 +8110b7f0: 88803417 ldw r2,208(r17) +8110b7f4: 00c00144 movi r3,5 +8110b7f8: 10bffe84 addi r2,r2,-6 +8110b7fc: 18803f36 bltu r3,r2,8110b8fc +8110b800: 88803317 ldw r2,204(r17) +8110b804: 00c00044 movi r3,1 +8110b808: 88c02b15 stw r3,172(r17) +8110b80c: 00c008c4 movi r3,35 +8110b810: 88c03115 stw r3,196(r17) +8110b814: 88803515 stw r2,212(r17) +8110b818: 003f4606 br 8110b534 <__reset+0xfb0eb534> +8110b81c: 8c00b304 addi r16,r17,716 +8110b820: 8009883a mov r4,r16 +8110b824: 11023f00 call 811023f0 +8110b828: 04800044 movi r18,1 +8110b82c: 8c80c515 stw r18,788(r17) +8110b830: 8009883a mov r4,r16 +8110b834: 11023d00 call 811023d0 +8110b838: 00a04634 movhi r2,33048 +8110b83c: 108f1904 addi r2,r2,15460 +8110b840: 10800803 ldbu r2,32(r2) +8110b844: 00c001c4 movi r3,7 +8110b848: 18bf3a36 bltu r3,r2,8110b534 <__reset+0xfb0eb534> +8110b84c: 01204574 movhi r4,33045 +8110b850: d1e07917 ldw r7,-32284(gp) +8110b854: 21231604 addi r4,r4,-29608 +8110b858: 01800644 movi r6,25 +8110b85c: 003fb406 br 8110b730 <__reset+0xfb0eb730> +8110b860: 88803417 ldw r2,208(r17) +8110b864: 04000044 movi r16,1 +8110b868: 10bfff04 addi r2,r2,-4 +8110b86c: 8080332e bgeu r16,r2,8110b93c +8110b870: 8c80b304 addi r18,r17,716 +8110b874: 9009883a mov r4,r18 +8110b878: 11023f00 call 811023f0 +8110b87c: 9009883a mov r4,r18 +8110b880: 8c00c515 stw r16,788(r17) +8110b884: 11023d00 call 811023d0 +8110b888: 00a04634 movhi r2,33048 +8110b88c: 108f1904 addi r2,r2,15460 +8110b890: 10800803 ldbu r2,32(r2) +8110b894: 00c001c4 movi r3,7 +8110b898: 18bf2636 bltu r3,r2,8110b534 <__reset+0xfb0eb534> +8110b89c: 01204574 movhi r4,33045 +8110b8a0: d1e07917 ldw r7,-32284(gp) +8110b8a4: 21235c04 addi r4,r4,-29328 +8110b8a8: 01800d84 movi r6,54 +8110b8ac: 800b883a mov r5,r16 +8110b8b0: 003fa006 br 8110b734 <__reset+0xfb0eb734> +8110b8b4: 8c00b304 addi r16,r17,716 +8110b8b8: 8009883a mov r4,r16 +8110b8bc: 11023f00 call 811023f0 +8110b8c0: 00800044 movi r2,1 +8110b8c4: 8880c515 stw r2,788(r17) +8110b8c8: 8009883a mov r4,r16 +8110b8cc: 11023d00 call 811023d0 +8110b8d0: 00a04634 movhi r2,33048 +8110b8d4: 108f1904 addi r2,r2,15460 +8110b8d8: 10800803 ldbu r2,32(r2) +8110b8dc: 00c001c4 movi r3,7 +8110b8e0: 18bf1436 bltu r3,r2,8110b534 <__reset+0xfb0eb534> +8110b8e4: 8880ab17 ldw r2,684(r17) +8110b8e8: 01604574 movhi r5,33045 +8110b8ec: 29631d04 addi r5,r5,-29580 +8110b8f0: d1207917 ldw r4,-32284(gp) +8110b8f4: 11804003 ldbu r6,256(r2) +8110b8f8: 003f7706 br 8110b6d8 <__reset+0xfb0eb6d8> +8110b8fc: 8c00b304 addi r16,r17,716 +8110b900: 8009883a mov r4,r16 +8110b904: 11023f00 call 811023f0 +8110b908: 00800044 movi r2,1 +8110b90c: 8880c515 stw r2,788(r17) +8110b910: 8009883a mov r4,r16 +8110b914: 11023d00 call 811023d0 +8110b918: 00a04634 movhi r2,33048 +8110b91c: 108f1904 addi r2,r2,15460 +8110b920: 10800803 ldbu r2,32(r2) +8110b924: 00c001c4 movi r3,7 +8110b928: 18bf0236 bltu r3,r2,8110b534 <__reset+0xfb0eb534> +8110b92c: 01604574 movhi r5,33045 +8110b930: 89800003 ldbu r6,0(r17) +8110b934: 29636a04 addi r5,r5,-29272 +8110b938: 003f6606 br 8110b6d4 <__reset+0xfb0eb6d4> +8110b93c: 88803317 ldw r2,204(r17) +8110b940: 00c008c4 movi r3,35 +8110b944: 8c002b15 stw r16,172(r17) +8110b948: 88c03115 stw r3,196(r17) +8110b94c: 88803515 stw r2,212(r17) +8110b950: 003ef806 br 8110b534 <__reset+0xfb0eb534> + +8110b954 : +8110b954: 21800003 ldbu r6,0(r4) +8110b958: 2806d63a srli r3,r5,24 +8110b95c: 30800444 addi r2,r6,17 +8110b960: 18800126 beq r3,r2,8110b968 +8110b964: f800283a ret +8110b968: 2804d43a srli r2,r5,16 +8110b96c: 00c023c4 movi r3,143 +8110b970: 10803fcc andi r2,r2,255 +8110b974: 10c0dd26 beq r2,r3,8110bcec +8110b978: defffc04 addi sp,sp,-16 +8110b97c: dc400115 stw r17,4(sp) +8110b980: dc000015 stw r16,0(sp) +8110b984: dfc00315 stw ra,12(sp) +8110b988: dc800215 stw r18,8(sp) +8110b98c: 2821883a mov r16,r5 +8110b990: 2023883a mov r17,r4 +8110b994: 18801236 bltu r3,r2,8110b9e0 +8110b998: 00c00304 movi r3,12 +8110b99c: 18803e36 bltu r3,r2,8110ba98 +8110b9a0: 00c00144 movi r3,5 +8110b9a4: 10c0d42e bgeu r2,r3,8110bcf8 +8110b9a8: 010000c4 movi r4,3 +8110b9ac: 1100c526 beq r2,r4,8110bcc4 +8110b9b0: 2080912e bgeu r4,r2,8110bbf8 +8110b9b4: 88803417 ldw r2,208(r17) +8110b9b8: 10bffe84 addi r2,r2,-6 +8110b9bc: 1880d62e bgeu r3,r2,8110bd18 +8110b9c0: 00a04634 movhi r2,33048 +8110b9c4: 108f1904 addi r2,r2,15460 +8110b9c8: 10800803 ldbu r2,32(r2) +8110b9cc: 00c001c4 movi r3,7 +8110b9d0: 18807236 bltu r3,r2,8110bb9c +8110b9d4: 01604574 movhi r5,33045 +8110b9d8: 29636a04 addi r5,r5,-29272 +8110b9dc: 00003906 br 8110bac4 +8110b9e0: 00c03884 movi r3,226 +8110b9e4: 18800e2e bgeu r3,r2,8110ba20 +8110b9e8: 00c03a04 movi r3,232 +8110b9ec: 10c03c26 beq r2,r3,8110bae0 +8110b9f0: 00c03c04 movi r3,240 +8110b9f4: 10c06f26 beq r2,r3,8110bbb4 +8110b9f8: 00c03904 movi r3,228 +8110b9fc: 10c08d26 beq r2,r3,8110bc34 +8110ba00: 00a04634 movhi r2,33048 +8110ba04: 108f1904 addi r2,r2,15460 +8110ba08: 10800803 ldbu r2,32(r2) +8110ba0c: 00c001c4 movi r3,7 +8110ba10: 18806236 bltu r3,r2,8110bb9c +8110ba14: 01604574 movhi r5,33045 +8110ba18: 2963b604 addi r5,r5,-28968 +8110ba1c: 00002906 br 8110bac4 +8110ba20: 00c03804 movi r3,224 +8110ba24: 10c0862e bgeu r2,r3,8110bc40 +8110ba28: 00c02844 movi r3,161 +8110ba2c: 10c07426 beq r2,r3,8110bc00 +8110ba30: 00c028c4 movi r3,163 +8110ba34: 10fff21e bne r2,r3,8110ba00 <__reset+0xfb0eba00> +8110ba38: 00c00304 movi r3,12 +8110ba3c: 00800344 movi r2,13 +8110ba40: 2400b304 addi r16,r4,716 +8110ba44: 88c03315 stw r3,204(r17) +8110ba48: 00c00084 movi r3,2 +8110ba4c: 8009883a mov r4,r16 +8110ba50: 88002b15 stw zero,172(r17) +8110ba54: 88c03415 stw r3,208(r17) +8110ba58: 88803515 stw r2,212(r17) +8110ba5c: 88803115 stw r2,196(r17) +8110ba60: 11023980 call 81102398 +8110ba64: 00800044 movi r2,1 +8110ba68: 8009883a mov r4,r16 +8110ba6c: 8880c315 stw r2,780(r17) +8110ba70: 11023600 call 81102360 +8110ba74: 89800003 ldbu r6,0(r17) +8110ba78: 000b883a mov r5,zero +8110ba7c: 01002044 movi r4,129 +8110ba80: dfc00317 ldw ra,12(sp) +8110ba84: dc800217 ldw r18,8(sp) +8110ba88: dc400117 ldw r17,4(sp) +8110ba8c: dc000017 ldw r16,0(sp) +8110ba90: dec00404 addi sp,sp,16 +8110ba94: 1109ac01 jmpi 81109ac0 +8110ba98: 00c01c44 movi r3,113 +8110ba9c: 10c03f26 beq r2,r3,8110bb9c +8110baa0: 00c01d84 movi r3,118 +8110baa4: 10ffd61e bne r2,r3,8110ba00 <__reset+0xfb0eba00> +8110baa8: 00a04634 movhi r2,33048 +8110baac: 108f1904 addi r2,r2,15460 +8110bab0: 10800803 ldbu r2,32(r2) +8110bab4: 00c001c4 movi r3,7 +8110bab8: 18803836 bltu r3,r2,8110bb9c +8110babc: 01604574 movhi r5,33045 +8110bac0: 29637d04 addi r5,r5,-29196 +8110bac4: d1207917 ldw r4,-32284(gp) +8110bac8: dfc00317 ldw ra,12(sp) +8110bacc: dc800217 ldw r18,8(sp) +8110bad0: dc400117 ldw r17,4(sp) +8110bad4: dc000017 ldw r16,0(sp) +8110bad8: dec00404 addi sp,sp,16 +8110badc: 112b6201 jmpi 8112b620 +8110bae0: 20812217 ldw r2,1160(r4) +8110bae4: 10000a1e bne r2,zero,8110bb10 +8110bae8: 20812317 ldw r2,1164(r4) +8110baec: 1000081e bne r2,zero,8110bb10 +8110baf0: 20812617 ldw r2,1176(r4) +8110baf4: 1000061e bne r2,zero,8110bb10 +8110baf8: 20812417 ldw r2,1168(r4) +8110bafc: 1000041e bne r2,zero,8110bb10 +8110bb00: 20812717 ldw r2,1180(r4) +8110bb04: 1000021e bne r2,zero,8110bb10 +8110bb08: 20812517 ldw r2,1172(r4) +8110bb0c: 10001526 beq r2,zero,8110bb64 +8110bb10: 8c012217 ldw r16,1160(r17) +8110bb14: 00800044 movi r2,1 +8110bb18: 80810a26 beq r16,r2,8110bf44 +8110bb1c: 8c012317 ldw r16,1164(r17) +8110bb20: 00800044 movi r2,1 +8110bb24: 8080fc26 beq r16,r2,8110bf18 +8110bb28: 8c012617 ldw r16,1176(r17) +8110bb2c: 00800044 movi r2,1 +8110bb30: 8080e026 beq r16,r2,8110beb4 +8110bb34: 88c12717 ldw r3,1180(r17) +8110bb38: 00800044 movi r2,1 +8110bb3c: 1880d226 beq r3,r2,8110be88 +8110bb40: 88c12417 ldw r3,1168(r17) +8110bb44: 00800044 movi r2,1 +8110bb48: 1880a426 beq r3,r2,8110bddc +8110bb4c: 88c12517 ldw r3,1172(r17) +8110bb50: 00800044 movi r2,1 +8110bb54: 1880031e bne r3,r2,8110bb64 +8110bb58: 88012515 stw zero,1172(r17) +8110bb5c: 88813317 ldw r2,1228(r17) +8110bb60: 88800c15 stw r2,48(r17) +8110bb64: 8c042d17 ldw r16,4276(r17) +8110bb68: 00800044 movi r2,1 +8110bb6c: 80808126 beq r16,r2,8110bd74 +8110bb70: 8c042e17 ldw r16,4280(r17) +8110bb74: 00800044 movi r2,1 +8110bb78: 80806e26 beq r16,r2,8110bd34 +8110bb7c: 88c1ab17 ldw r3,1708(r17) +8110bb80: 00800044 movi r2,1 +8110bb84: 18808b26 beq r3,r2,8110bdb4 +8110bb88: 88c03517 ldw r3,212(r17) +8110bb8c: 88803317 ldw r2,204(r17) +8110bb90: 1880281e bne r3,r2,8110bc34 +8110bb94: 00800884 movi r2,34 +8110bb98: 88803115 stw r2,196(r17) +8110bb9c: dfc00317 ldw ra,12(sp) +8110bba0: dc800217 ldw r18,8(sp) +8110bba4: dc400117 ldw r17,4(sp) +8110bba8: dc000017 ldw r16,0(sp) +8110bbac: dec00404 addi sp,sp,16 +8110bbb0: f800283a ret +8110bbb4: 00a04634 movhi r2,33048 +8110bbb8: 108f1904 addi r2,r2,15460 +8110bbbc: 10800803 ldbu r2,32(r2) +8110bbc0: 00c00104 movi r3,4 +8110bbc4: 18800436 bltu r3,r2,8110bbd8 +8110bbc8: d1207917 ldw r4,-32284(gp) +8110bbcc: 01604574 movhi r5,33045 +8110bbd0: 29638b04 addi r5,r5,-29140 +8110bbd4: 112b6200 call 8112b620 +8110bbd8: 800b883a mov r5,r16 +8110bbdc: 8809883a mov r4,r17 +8110bbe0: dfc00317 ldw ra,12(sp) +8110bbe4: dc800217 ldw r18,8(sp) +8110bbe8: dc400117 ldw r17,4(sp) +8110bbec: dc000017 ldw r16,0(sp) +8110bbf0: dec00404 addi sp,sp,16 +8110bbf4: 110b3241 jmpi 8110b324 +8110bbf8: 00c00044 movi r3,1 +8110bbfc: 10ff801e bne r2,r3,8110ba00 <__reset+0xfb0eba00> +8110bc00: 00800044 movi r2,1 +8110bc04: 8c00b304 addi r16,r17,716 +8110bc08: 88803415 stw r2,208(r17) +8110bc0c: 88803515 stw r2,212(r17) +8110bc10: 00800304 movi r2,12 +8110bc14: 8009883a mov r4,r16 +8110bc18: 88002b15 stw zero,172(r17) +8110bc1c: 88003315 stw zero,204(r17) +8110bc20: 88803115 stw r2,196(r17) +8110bc24: 11023980 call 81102398 +8110bc28: 8009883a mov r4,r16 +8110bc2c: 8800c315 stw zero,780(r17) +8110bc30: 003f8f06 br 8110ba70 <__reset+0xfb0eba70> +8110bc34: 00800904 movi r2,36 +8110bc38: 88803115 stw r2,196(r17) +8110bc3c: 003fd706 br 8110bb9c <__reset+0xfb0ebb9c> +8110bc40: 20803817 ldw r2,224(r4) +8110bc44: 103fd51e bne r2,zero,8110bb9c <__reset+0xfb0ebb9c> +8110bc48: 00a04634 movhi r2,33048 +8110bc4c: 108f1904 addi r2,r2,15460 +8110bc50: 10800803 ldbu r2,32(r2) +8110bc54: 00c001c4 movi r3,7 +8110bc58: 18800936 bltu r3,r2,8110bc80 +8110bc5c: d1207917 ldw r4,-32284(gp) +8110bc60: 01604574 movhi r5,33045 +8110bc64: 29639304 addi r5,r5,-29108 +8110bc68: 112b6200 call 8112b620 +8110bc6c: 89800003 ldbu r6,0(r17) +8110bc70: d1207917 ldw r4,-32284(gp) +8110bc74: 01604574 movhi r5,33045 +8110bc78: 2963ac04 addi r5,r5,-29008 +8110bc7c: 112b6200 call 8112b620 +8110bc80: 00800044 movi r2,1 +8110bc84: 8c00b304 addi r16,r17,716 +8110bc88: 88803415 stw r2,208(r17) +8110bc8c: 00800304 movi r2,12 +8110bc90: 8009883a mov r4,r16 +8110bc94: 88002b15 stw zero,172(r17) +8110bc98: 88003315 stw zero,204(r17) +8110bc9c: 88803115 stw r2,196(r17) +8110bca0: 11023980 call 81102398 +8110bca4: 8009883a mov r4,r16 +8110bca8: 8800c315 stw zero,780(r17) +8110bcac: dfc00317 ldw ra,12(sp) +8110bcb0: dc800217 ldw r18,8(sp) +8110bcb4: dc400117 ldw r17,4(sp) +8110bcb8: dc000017 ldw r16,0(sp) +8110bcbc: dec00404 addi sp,sp,16 +8110bcc0: 11023601 jmpi 81102360 +8110bcc4: 88803417 ldw r2,208(r17) +8110bcc8: 00c00044 movi r3,1 +8110bccc: 10bfff04 addi r2,r2,-4 +8110bcd0: 18bf7536 bltu r3,r2,8110baa8 <__reset+0xfb0ebaa8> +8110bcd4: 88803317 ldw r2,204(r17) +8110bcd8: 88c02b15 stw r3,172(r17) +8110bcdc: 00c008c4 movi r3,35 +8110bce0: 88c03115 stw r3,196(r17) +8110bce4: 88803515 stw r2,212(r17) +8110bce8: 003fac06 br 8110bb9c <__reset+0xfb0ebb9c> +8110bcec: 000b883a mov r5,zero +8110bcf0: 01002044 movi r4,129 +8110bcf4: 1109ac01 jmpi 81109ac0 +8110bcf8: 00a04634 movhi r2,33048 +8110bcfc: 108f1904 addi r2,r2,15460 +8110bd00: 10800803 ldbu r2,32(r2) +8110bd04: 00c001c4 movi r3,7 +8110bd08: 18bfa436 bltu r3,r2,8110bb9c <__reset+0xfb0ebb9c> +8110bd0c: 01604574 movhi r5,33045 +8110bd10: 2962ae04 addi r5,r5,-30024 +8110bd14: 003f6b06 br 8110bac4 <__reset+0xfb0ebac4> +8110bd18: 88803317 ldw r2,204(r17) +8110bd1c: 00c00044 movi r3,1 +8110bd20: 88c02b15 stw r3,172(r17) +8110bd24: 00c008c4 movi r3,35 +8110bd28: 88c03115 stw r3,196(r17) +8110bd2c: 88803515 stw r2,212(r17) +8110bd30: 003f9a06 br 8110bb9c <__reset+0xfb0ebb9c> +8110bd34: 8c80b304 addi r18,r17,716 +8110bd38: 9009883a mov r4,r18 +8110bd3c: 11027800 call 81102780 +8110bd40: 8940fe17 ldw r5,1016(r17) +8110bd44: 2c009926 beq r5,r16,8110bfac +8110bd48: 01400044 movi r5,1 +8110bd4c: 9009883a mov r4,r18 +8110bd50: 1102a080 call 81102a08 +8110bd54: 10002b26 beq r2,zero,8110be04 +8110bd58: 00a04634 movhi r2,33048 +8110bd5c: 108f1904 addi r2,r2,15460 +8110bd60: 10800803 ldbu r2,32(r2) +8110bd64: 00c001c4 movi r3,7 +8110bd68: 1880992e bgeu r3,r2,8110bfd0 +8110bd6c: 88042e15 stw zero,4280(r17) +8110bd70: 003f8206 br 8110bb7c <__reset+0xfb0ebb7c> +8110bd74: 8c80b304 addi r18,r17,716 +8110bd78: 9009883a mov r4,r18 +8110bd7c: 11027100 call 81102710 +8110bd80: 8880ef17 ldw r2,956(r17) +8110bd84: 1400a426 beq r2,r16,8110c018 +8110bd88: 000b883a mov r5,zero +8110bd8c: 9009883a mov r4,r18 +8110bd90: 1102a080 call 81102a08 +8110bd94: 10002626 beq r2,zero,8110be30 +8110bd98: 00a04634 movhi r2,33048 +8110bd9c: 108f1904 addi r2,r2,15460 +8110bda0: 10800803 ldbu r2,32(r2) +8110bda4: 00c001c4 movi r3,7 +8110bda8: 18808f2e bgeu r3,r2,8110bfe8 +8110bdac: 88042d15 stw zero,4276(r17) +8110bdb0: 003f6f06 br 8110bb70 <__reset+0xfb0ebb70> +8110bdb4: 8900b304 addi r4,r17,716 +8110bdb8: 1102d340 call 81102d34 +8110bdbc: 10002726 beq r2,zero,8110be5c +8110bdc0: 00a04634 movhi r2,33048 +8110bdc4: 108f1904 addi r2,r2,15460 +8110bdc8: 10800803 ldbu r2,32(r2) +8110bdcc: 00c001c4 movi r3,7 +8110bdd0: 18808b2e bgeu r3,r2,8110c000 +8110bdd4: 8801ab15 stw zero,1708(r17) +8110bdd8: 003f6b06 br 8110bb88 <__reset+0xfb0ebb88> +8110bddc: 88012415 stw zero,1168(r17) +8110bde0: 89415543 ldbu r5,1365(r17) +8110bde4: 89015583 ldbu r4,1366(r17) +8110bde8: 88c155c3 ldbu r3,1367(r17) +8110bdec: 88815603 ldbu r2,1368(r17) +8110bdf0: 89402e45 stb r5,185(r17) +8110bdf4: 89002e85 stb r4,186(r17) +8110bdf8: 88c02ec5 stb r3,187(r17) +8110bdfc: 88802f05 stb r2,188(r17) +8110be00: 003f5206 br 8110bb4c <__reset+0xfb0ebb4c> +8110be04: 00a04634 movhi r2,33048 +8110be08: 108f1904 addi r2,r2,15460 +8110be0c: 10800803 ldbu r2,32(r2) +8110be10: 00c001c4 movi r3,7 +8110be14: 18bfd536 bltu r3,r2,8110bd6c <__reset+0xfb0ebd6c> +8110be18: 89800003 ldbu r6,0(r17) +8110be1c: d1207917 ldw r4,-32284(gp) +8110be20: 01604574 movhi r5,33045 +8110be24: 29627a04 addi r5,r5,-30232 +8110be28: 112b6200 call 8112b620 +8110be2c: 003fcf06 br 8110bd6c <__reset+0xfb0ebd6c> +8110be30: 00a04634 movhi r2,33048 +8110be34: 108f1904 addi r2,r2,15460 +8110be38: 10800803 ldbu r2,32(r2) +8110be3c: 00c001c4 movi r3,7 +8110be40: 18bfda36 bltu r3,r2,8110bdac <__reset+0xfb0ebdac> +8110be44: 89800003 ldbu r6,0(r17) +8110be48: d1207917 ldw r4,-32284(gp) +8110be4c: 01604574 movhi r5,33045 +8110be50: 29625404 addi r5,r5,-30384 +8110be54: 112b6200 call 8112b620 +8110be58: 003fd406 br 8110bdac <__reset+0xfb0ebdac> +8110be5c: 00a04634 movhi r2,33048 +8110be60: 108f1904 addi r2,r2,15460 +8110be64: 10800803 ldbu r2,32(r2) +8110be68: 00c001c4 movi r3,7 +8110be6c: 18bfd936 bltu r3,r2,8110bdd4 <__reset+0xfb0ebdd4> +8110be70: 89800003 ldbu r6,0(r17) +8110be74: d1207917 ldw r4,-32284(gp) +8110be78: 01604574 movhi r5,33045 +8110be7c: 29629d04 addi r5,r5,-30092 +8110be80: 112b6200 call 8112b620 +8110be84: 003fd306 br 8110bdd4 <__reset+0xfb0ebdd4> +8110be88: 8c006404 addi r16,r17,400 +8110be8c: 8009883a mov r4,r16 +8110be90: 88012715 stw zero,1180(r17) +8110be94: 1103bd00 call 81103bd0 +8110be98: 88c17217 ldw r3,1480(r17) +8110be9c: 88817317 ldw r2,1484(r17) +8110bea0: 8009883a mov r4,r16 +8110bea4: 88c06b15 stw r3,428(r17) +8110bea8: 88806c15 stw r2,432(r17) +8110beac: 1103c380 call 81103c38 +8110beb0: 003f2306 br 8110bb40 <__reset+0xfb0ebb40> +8110beb4: 8c80b304 addi r18,r17,716 +8110beb8: 9009883a mov r4,r18 +8110bebc: 88012615 stw zero,1176(r17) +8110bec0: 11023980 call 81102398 +8110bec4: 8881710b ldhu r2,1476(r17) +8110bec8: 9009883a mov r4,r18 +8110becc: 8c806404 addi r18,r17,400 +8110bed0: 10bfffcc andi r2,r2,65535 +8110bed4: 8880c015 stw r2,768(r17) +8110bed8: 11023600 call 81102360 +8110bedc: 89c1710b ldhu r7,1476(r17) +8110bee0: 018006f4 movhi r6,27 +8110bee4: 9009883a mov r4,r18 +8110bee8: 31b85204 addi r6,r6,-7864 +8110beec: 000b883a mov r5,zero +8110bef0: 39ffffcc andi r7,r7,65535 +8110bef4: 1103d640 call 81103d64 +8110bef8: 89c1710b ldhu r7,1476(r17) +8110befc: 018006f4 movhi r6,27 +8110bf00: 31b85204 addi r6,r6,-7864 +8110bf04: 800b883a mov r5,r16 +8110bf08: 39ffffcc andi r7,r7,65535 +8110bf0c: 9009883a mov r4,r18 +8110bf10: 1103d640 call 81103d64 +8110bf14: 003f0706 br 8110bb34 <__reset+0xfb0ebb34> +8110bf18: 8c80b304 addi r18,r17,716 +8110bf1c: 9009883a mov r4,r18 +8110bf20: 11023980 call 81102398 +8110bf24: 88012315 stw zero,1164(r17) +8110bf28: 88817417 ldw r2,1488(r17) +8110bf2c: 14003e26 beq r2,r16,8110c028 +8110bf30: 88813017 ldw r2,1216(r17) +8110bf34: 8880b815 stw r2,736(r17) +8110bf38: 9009883a mov r4,r18 +8110bf3c: 11023600 call 81102360 +8110bf40: 003ef906 br 8110bb28 <__reset+0xfb0ebb28> +8110bf44: 88012215 stw zero,1160(r17) +8110bf48: 88c13017 ldw r3,1216(r17) +8110bf4c: 88813117 ldw r2,1220(r17) +8110bf50: 8c80b304 addi r18,r17,716 +8110bf54: 88c00915 stw r3,36(r17) +8110bf58: 9009883a mov r4,r18 +8110bf5c: 88800a15 stw r2,40(r17) +8110bf60: 11023980 call 81102398 +8110bf64: 89413017 ldw r5,1216(r17) +8110bf68: 89013117 ldw r4,1220(r17) +8110bf6c: 88813117 ldw r2,1220(r17) +8110bf70: 88c04c0b ldhu r3,304(r17) +8110bf74: 8940b815 stw r5,736(r17) +8110bf78: 8900b915 stw r4,740(r17) +8110bf7c: 10800044 addi r2,r2,1 +8110bf80: 18800d2e bgeu r3,r2,8110bfb8 8110bf84: 88813117 ldw r2,1220(r17) -8110bf88: 8800bb15 stw zero,748(r17) -8110bf8c: 8c00be15 stw r16,760(r17) -8110bf90: 8880ba15 stw r2,744(r17) -8110bf94: 8800bf15 stw zero,764(r17) -8110bf98: 003ff406 br 8110bf6c <__reset+0xfb0ebf6c> -8110bf9c: 89800003 ldbu r6,0(r17) -8110bfa0: d1207917 ldw r4,-32284(gp) -8110bfa4: 01604574 movhi r5,33045 -8110bfa8: 29625804 addi r5,r5,-30368 -8110bfac: 112b5e00 call 8112b5e0 -8110bfb0: 003f6106 br 8110bd38 <__reset+0xfb0ebd38> -8110bfb4: 89800003 ldbu r6,0(r17) -8110bfb8: d1207917 ldw r4,-32284(gp) -8110bfbc: 01604574 movhi r5,33045 -8110bfc0: 29623204 addi r5,r5,-30520 -8110bfc4: 112b5e00 call 8112b5e0 -8110bfc8: 003f6b06 br 8110bd78 <__reset+0xfb0ebd78> -8110bfcc: 89800003 ldbu r6,0(r17) -8110bfd0: d1207917 ldw r4,-32284(gp) -8110bfd4: 01604574 movhi r5,33045 -8110bfd8: 29627e04 addi r5,r5,-30216 -8110bfdc: 112b5e00 call 8112b5e0 -8110bfe0: 003f6f06 br 8110bda0 <__reset+0xfb0ebda0> -8110bfe4: 000b883a mov r5,zero -8110bfe8: 9009883a mov r4,r18 -8110bfec: 1102a900 call 81102a90 -8110bff0: 003f5806 br 8110bd54 <__reset+0xfb0ebd54> -8110bff4: 8800b815 stw zero,736(r17) -8110bff8: 003fc206 br 8110bf04 <__reset+0xfb0ebf04> - -8110bffc : -8110bffc: defffc04 addi sp,sp,-16 -8110c000: dc400115 stw r17,4(sp) -8110c004: dc000015 stw r16,0(sp) -8110c008: dfc00315 stw ra,12(sp) -8110c00c: dc800215 stw r18,8(sp) -8110c010: 2023883a mov r17,r4 -8110c014: 21000003 ldbu r4,0(r4) -8110c018: 2820d23a srli r16,r5,8 -8110c01c: 01604574 movhi r5,33045 -8110c020: 21000044 addi r4,r4,1 -8110c024: 297337c4 addi r5,r5,-13089 -8110c028: 21003fcc andi r4,r4,255 -8110c02c: 111e1a00 call 8111e1a0 -8110c030: 81803fcc andi r6,r16,255 -8110c034: 00801a04 movi r2,104 -8110c038: 1180d236 bltu r2,r6,8110c384 -8110c03c: 300690ba slli r3,r6,2 -8110c040: 00a04474 movhi r2,33041 -8110c044: 10b01504 addi r2,r2,-16300 -8110c048: 1885883a add r2,r3,r2 -8110c04c: 10800017 ldw r2,0(r2) -8110c050: 1000683a jmp r2 -8110c054: 8110c364 muli r4,r16,17165 -8110c058: 8110c384 addi r4,r16,17166 -8110c05c: 8110c384 addi r4,r16,17166 -8110c060: 8110c384 addi r4,r16,17166 -8110c064: 8110c30c andi r4,r16,17164 -8110c068: 8110c384 addi r4,r16,17166 -8110c06c: 8110c384 addi r4,r16,17166 -8110c070: 8110c384 addi r4,r16,17166 -8110c074: 8110c334 orhi r4,r16,17164 -8110c078: 8110c384 addi r4,r16,17166 -8110c07c: 8110c384 addi r4,r16,17166 -8110c080: 8110c384 addi r4,r16,17166 -8110c084: 8110c290 cmplti r4,r16,17162 -8110c088: 8110c384 addi r4,r16,17166 -8110c08c: 8110c384 addi r4,r16,17166 -8110c090: 8110c384 addi r4,r16,17166 -8110c094: 8110c2b4 orhi r4,r16,17162 -8110c098: 8110c384 addi r4,r16,17166 -8110c09c: 8110c384 addi r4,r16,17166 -8110c0a0: 8110c384 addi r4,r16,17166 -8110c0a4: 8110c2cc andi r4,r16,17163 -8110c0a8: 8110c384 addi r4,r16,17166 -8110c0ac: 8110c384 addi r4,r16,17166 -8110c0b0: 8110c384 addi r4,r16,17166 -8110c0b4: 8110c1f8 rdprs r4,r16,17159 -8110c0b8: 8110c384 addi r4,r16,17166 -8110c0bc: 8110c384 addi r4,r16,17166 -8110c0c0: 8110c384 addi r4,r16,17166 -8110c0c4: 8110c1f8 rdprs r4,r16,17159 -8110c0c8: 8110c384 addi r4,r16,17166 -8110c0cc: 8110c384 addi r4,r16,17166 -8110c0d0: 8110c384 addi r4,r16,17166 -8110c0d4: 8110c1f8 rdprs r4,r16,17159 -8110c0d8: 8110c384 addi r4,r16,17166 -8110c0dc: 8110c384 addi r4,r16,17166 -8110c0e0: 8110c384 addi r4,r16,17166 -8110c0e4: 8110c1f8 rdprs r4,r16,17159 -8110c0e8: 8110c384 addi r4,r16,17166 -8110c0ec: 8110c384 addi r4,r16,17166 -8110c0f0: 8110c384 addi r4,r16,17166 -8110c0f4: 8110c1f8 rdprs r4,r16,17159 -8110c0f8: 8110c384 addi r4,r16,17166 -8110c0fc: 8110c384 addi r4,r16,17166 -8110c100: 8110c384 addi r4,r16,17166 -8110c104: 8110c1f8 rdprs r4,r16,17159 -8110c108: 8110c384 addi r4,r16,17166 -8110c10c: 8110c384 addi r4,r16,17166 -8110c110: 8110c384 addi r4,r16,17166 -8110c114: 8110c1f8 rdprs r4,r16,17159 -8110c118: 8110c384 addi r4,r16,17166 -8110c11c: 8110c384 addi r4,r16,17166 -8110c120: 8110c384 addi r4,r16,17166 -8110c124: 8110c1f8 rdprs r4,r16,17159 -8110c128: 8110c384 addi r4,r16,17166 -8110c12c: 8110c384 addi r4,r16,17166 -8110c130: 8110c384 addi r4,r16,17166 -8110c134: 8110c1f8 rdprs r4,r16,17159 -8110c138: 8110c384 addi r4,r16,17166 -8110c13c: 8110c384 addi r4,r16,17166 -8110c140: 8110c384 addi r4,r16,17166 -8110c144: 8110c1f8 rdprs r4,r16,17159 -8110c148: 8110c384 addi r4,r16,17166 -8110c14c: 8110c384 addi r4,r16,17166 -8110c150: 8110c384 addi r4,r16,17166 -8110c154: 8110c1f8 rdprs r4,r16,17159 -8110c158: 8110c384 addi r4,r16,17166 -8110c15c: 8110c384 addi r4,r16,17166 -8110c160: 8110c384 addi r4,r16,17166 -8110c164: 8110c1f8 rdprs r4,r16,17159 -8110c168: 8110c384 addi r4,r16,17166 -8110c16c: 8110c384 addi r4,r16,17166 -8110c170: 8110c384 addi r4,r16,17166 -8110c174: 8110c1f8 rdprs r4,r16,17159 -8110c178: 8110c384 addi r4,r16,17166 -8110c17c: 8110c384 addi r4,r16,17166 -8110c180: 8110c384 addi r4,r16,17166 -8110c184: 8110c1f8 rdprs r4,r16,17159 -8110c188: 8110c384 addi r4,r16,17166 -8110c18c: 8110c384 addi r4,r16,17166 -8110c190: 8110c384 addi r4,r16,17166 -8110c194: 8110c1f8 rdprs r4,r16,17159 -8110c198: 8110c384 addi r4,r16,17166 -8110c19c: 8110c384 addi r4,r16,17166 -8110c1a0: 8110c384 addi r4,r16,17166 -8110c1a4: 8110c224 muli r4,r16,17160 -8110c1a8: 8110c384 addi r4,r16,17166 -8110c1ac: 8110c384 addi r4,r16,17166 -8110c1b0: 8110c384 addi r4,r16,17166 -8110c1b4: 8110c2ec andhi r4,r16,17163 -8110c1b8: 8110c384 addi r4,r16,17166 -8110c1bc: 8110c384 addi r4,r16,17166 -8110c1c0: 8110c384 addi r4,r16,17166 -8110c1c4: 8110c2ec andhi r4,r16,17163 -8110c1c8: 8110c384 addi r4,r16,17166 -8110c1cc: 8110c384 addi r4,r16,17166 -8110c1d0: 8110c384 addi r4,r16,17166 -8110c1d4: 8110c2ec andhi r4,r16,17163 -8110c1d8: 8110c384 addi r4,r16,17166 -8110c1dc: 8110c384 addi r4,r16,17166 -8110c1e0: 8110c384 addi r4,r16,17166 -8110c1e4: 8110c2ec andhi r4,r16,17163 -8110c1e8: 8110c384 addi r4,r16,17166 -8110c1ec: 8110c384 addi r4,r16,17166 -8110c1f0: 8110c384 addi r4,r16,17166 -8110c1f4: 8110c2ec andhi r4,r16,17163 -8110c1f8: 00a04634 movhi r2,33048 -8110c1fc: 108f0804 addi r2,r2,15392 -8110c200: 10800803 ldbu r2,32(r2) -8110c204: 00c001c4 movi r3,7 -8110c208: 1880662e bgeu r3,r2,8110c3a4 -8110c20c: dfc00317 ldw ra,12(sp) -8110c210: dc800217 ldw r18,8(sp) -8110c214: dc400117 ldw r17,4(sp) -8110c218: dc000017 ldw r16,0(sp) -8110c21c: dec00404 addi sp,sp,16 -8110c220: f800283a ret -8110c224: 8880ab17 ldw r2,684(r17) -8110c228: 88000b15 stw zero,44(r17) -8110c22c: 00c003c4 movi r3,15 -8110c230: 10804017 ldw r2,256(r2) -8110c234: 1880d536 bltu r3,r2,8110c58c -8110c238: 100490ba slli r2,r2,2 -8110c23c: 00e04474 movhi r3,33041 -8110c240: 18f09404 addi r3,r3,-15792 -8110c244: 10c5883a add r2,r2,r3 -8110c248: 10800017 ldw r2,0(r2) -8110c24c: 1000683a jmp r2 -8110c250: 8110c538 rdprs r4,r16,17172 -8110c254: 8110c3c8 cmpgei r4,r16,17167 -8110c258: 8110c3c8 cmpgei r4,r16,17167 -8110c25c: 8110c58c andi r4,r16,17174 -8110c260: 8110c4c8 cmpgei r4,r16,17171 -8110c264: 8110c3c8 cmpgei r4,r16,17167 -8110c268: 8110c3c8 cmpgei r4,r16,17167 -8110c26c: 8110c424 muli r4,r16,17168 -8110c270: 8110c468 cmpgeui r4,r16,17169 -8110c274: 8110c3c8 cmpgei r4,r16,17167 -8110c278: 8110c3c8 cmpgei r4,r16,17167 -8110c27c: 8110c3c8 cmpgei r4,r16,17167 -8110c280: 8110c3c8 cmpgei r4,r16,17167 -8110c284: 8110c4f4 orhi r4,r16,17171 -8110c288: 8110c4f4 orhi r4,r16,17171 -8110c28c: 8110c4f4 orhi r4,r16,17171 -8110c290: 88c0ab17 ldw r3,684(r17) -8110c294: 00800044 movi r2,1 -8110c298: 88812515 stw r2,1172(r17) -8110c29c: 19000b17 ldw r4,44(r3) -8110c2a0: 18c00c17 ldw r3,48(r3) -8110c2a4: 89013315 stw r4,1228(r17) -8110c2a8: 88812315 stw r2,1164(r17) -8110c2ac: 88c17415 stw r3,1488(r17) -8110c2b0: 003fd606 br 8110c20c <__reset+0xfb0ec20c> -8110c2b4: 8880ab17 ldw r2,684(r17) -8110c2b8: 00c00044 movi r3,1 -8110c2bc: 88c12615 stw r3,1176(r17) -8110c2c0: 10801017 ldw r2,64(r2) -8110c2c4: 8881710d sth r2,1476(r17) -8110c2c8: 003fd006 br 8110c20c <__reset+0xfb0ec20c> -8110c2cc: 8880ab17 ldw r2,684(r17) -8110c2d0: 00c00044 movi r3,1 -8110c2d4: 88c12715 stw r3,1180(r17) -8110c2d8: 10c01517 ldw r3,84(r2) -8110c2dc: 10801717 ldw r2,92(r2) -8110c2e0: 88c17215 stw r3,1480(r17) -8110c2e4: 88817315 stw r2,1484(r17) -8110c2e8: 003fc806 br 8110c20c <__reset+0xfb0ec20c> -8110c2ec: 00a04634 movhi r2,33048 -8110c2f0: 108f0804 addi r2,r2,15392 -8110c2f4: 10800803 ldbu r2,32(r2) -8110c2f8: 00c001c4 movi r3,7 -8110c2fc: 18bfc336 bltu r3,r2,8110c20c <__reset+0xfb0ec20c> -8110c300: 01604574 movhi r5,33045 -8110c304: 29632004 addi r5,r5,-29568 -8110c308: 00002806 br 8110c3ac -8110c30c: 00a04634 movhi r2,33048 -8110c310: 108f0804 addi r2,r2,15392 -8110c314: 10800803 ldbu r2,32(r2) -8110c318: 00c001c4 movi r3,7 -8110c31c: 18bfbb36 bltu r3,r2,8110c20c <__reset+0xfb0ec20c> -8110c320: 01604574 movhi r5,33045 -8110c324: 2962cd04 addi r5,r5,-29900 -8110c328: d1207917 ldw r4,-32284(gp) -8110c32c: 01800104 movi r6,4 -8110c330: 00001f06 br 8110c3b0 -8110c334: 8880ab17 ldw r2,684(r17) -8110c338: 00c00044 movi r3,1 -8110c33c: 88c12415 stw r3,1168(r17) -8110c340: 10c00617 ldw r3,24(r2) -8110c344: 88c15545 stb r3,1365(r17) -8110c348: 10c00717 ldw r3,28(r2) -8110c34c: 88c15585 stb r3,1366(r17) -8110c350: 10c00817 ldw r3,32(r2) -8110c354: 88c155c5 stb r3,1367(r17) -8110c358: 10800917 ldw r2,36(r2) -8110c35c: 88815605 stb r2,1368(r17) -8110c360: 003faa06 br 8110c20c <__reset+0xfb0ec20c> -8110c364: 8880ab17 ldw r2,684(r17) -8110c368: 00c00044 movi r3,1 -8110c36c: 88c12215 stw r3,1160(r17) -8110c370: 10c00017 ldw r3,0(r2) -8110c374: 10800117 ldw r2,4(r2) -8110c378: 88c13015 stw r3,1216(r17) -8110c37c: 88813115 stw r2,1220(r17) -8110c380: 003fa206 br 8110c20c <__reset+0xfb0ec20c> -8110c384: 00a04634 movhi r2,33048 -8110c388: 108f0804 addi r2,r2,15392 -8110c38c: 10800803 ldbu r2,32(r2) -8110c390: 00c001c4 movi r3,7 -8110c394: 18bf9d36 bltu r3,r2,8110c20c <__reset+0xfb0ec20c> -8110c398: 01604574 movhi r5,33045 -8110c39c: 29632904 addi r5,r5,-29532 -8110c3a0: 00000206 br 8110c3ac -8110c3a4: 01604574 movhi r5,33045 -8110c3a8: 2962cd04 addi r5,r5,-29900 -8110c3ac: d1207917 ldw r4,-32284(gp) -8110c3b0: dfc00317 ldw ra,12(sp) -8110c3b4: dc800217 ldw r18,8(sp) -8110c3b8: dc400117 ldw r17,4(sp) -8110c3bc: dc000017 ldw r16,0(sp) -8110c3c0: dec00404 addi sp,sp,16 -8110c3c4: 112b5e01 jmpi 8112b5e0 -8110c3c8: 8c00b304 addi r16,r17,716 -8110c3cc: 8009883a mov r4,r16 -8110c3d0: 11023f00 call 811023f0 -8110c3d4: 04800044 movi r18,1 -8110c3d8: 8c80c515 stw r18,788(r17) -8110c3dc: 8009883a mov r4,r16 -8110c3e0: 11023d00 call 811023d0 -8110c3e4: 00a04634 movhi r2,33048 -8110c3e8: 108f0804 addi r2,r2,15392 -8110c3ec: 10800803 ldbu r2,32(r2) -8110c3f0: 00c001c4 movi r3,7 -8110c3f4: 18bf8536 bltu r3,r2,8110c20c <__reset+0xfb0ec20c> -8110c3f8: d1e07917 ldw r7,-32284(gp) -8110c3fc: 01204574 movhi r4,33045 -8110c400: 21234c04 addi r4,r4,-29392 -8110c404: 01800d84 movi r6,54 -8110c408: 900b883a mov r5,r18 -8110c40c: dfc00317 ldw ra,12(sp) -8110c410: dc800217 ldw r18,8(sp) -8110c414: dc400117 ldw r17,4(sp) -8110c418: dc000017 ldw r16,0(sp) -8110c41c: dec00404 addi sp,sp,16 -8110c420: 112bc4c1 jmpi 8112bc4c -8110c424: 8c00b304 addi r16,r17,716 -8110c428: 8009883a mov r4,r16 -8110c42c: 11023f00 call 811023f0 -8110c430: 04800044 movi r18,1 -8110c434: 8c80c515 stw r18,788(r17) -8110c438: 8009883a mov r4,r16 -8110c43c: 11023d00 call 811023d0 -8110c440: 00a04634 movhi r2,33048 -8110c444: 108f0804 addi r2,r2,15392 -8110c448: 10800803 ldbu r2,32(r2) -8110c44c: 00c001c4 movi r3,7 -8110c450: 18bf6e36 bltu r3,r2,8110c20c <__reset+0xfb0ec20c> -8110c454: 01204574 movhi r4,33045 -8110c458: d1e07917 ldw r7,-32284(gp) -8110c45c: 2122f804 addi r4,r4,-29728 -8110c460: 01800d84 movi r6,54 -8110c464: 003fe806 br 8110c408 <__reset+0xfb0ec408> -8110c468: 00c00304 movi r3,12 -8110c46c: 00800344 movi r2,13 -8110c470: 8c00b304 addi r16,r17,716 -8110c474: 88c03315 stw r3,204(r17) -8110c478: 00c00084 movi r3,2 -8110c47c: 8009883a mov r4,r16 -8110c480: 88c03415 stw r3,208(r17) -8110c484: 88002b15 stw zero,172(r17) -8110c488: 88803515 stw r2,212(r17) -8110c48c: 88803115 stw r2,196(r17) -8110c490: 11023980 call 81102398 -8110c494: 00800044 movi r2,1 -8110c498: 8009883a mov r4,r16 -8110c49c: 8880c315 stw r2,780(r17) -8110c4a0: 11023600 call 81102360 -8110c4a4: 89800003 ldbu r6,0(r17) -8110c4a8: 000b883a mov r5,zero -8110c4ac: 01002044 movi r4,129 -8110c4b0: dfc00317 ldw ra,12(sp) -8110c4b4: dc800217 ldw r18,8(sp) -8110c4b8: dc400117 ldw r17,4(sp) -8110c4bc: dc000017 ldw r16,0(sp) -8110c4c0: dec00404 addi sp,sp,16 -8110c4c4: 1109a8c1 jmpi 81109a8c -8110c4c8: 88803417 ldw r2,208(r17) -8110c4cc: 00c00144 movi r3,5 -8110c4d0: 10bffe84 addi r2,r2,-6 -8110c4d4: 18bfbc36 bltu r3,r2,8110c3c8 <__reset+0xfb0ec3c8> -8110c4d8: 88803317 ldw r2,204(r17) -8110c4dc: 00c00044 movi r3,1 -8110c4e0: 88c02b15 stw r3,172(r17) -8110c4e4: 00c00904 movi r3,36 -8110c4e8: 88c03115 stw r3,196(r17) -8110c4ec: 88803515 stw r2,212(r17) -8110c4f0: 003f4606 br 8110c20c <__reset+0xfb0ec20c> -8110c4f4: 8c00b304 addi r16,r17,716 -8110c4f8: 8009883a mov r4,r16 -8110c4fc: 11023f00 call 811023f0 -8110c500: 04800044 movi r18,1 -8110c504: 8c80c515 stw r18,788(r17) -8110c508: 8009883a mov r4,r16 -8110c50c: 11023d00 call 811023d0 -8110c510: 00a04634 movhi r2,33048 -8110c514: 108f0804 addi r2,r2,15392 -8110c518: 10800803 ldbu r2,32(r2) -8110c51c: 00c001c4 movi r3,7 -8110c520: 18bf3a36 bltu r3,r2,8110c20c <__reset+0xfb0ec20c> -8110c524: 01204574 movhi r4,33045 -8110c528: d1e07917 ldw r7,-32284(gp) -8110c52c: 21230604 addi r4,r4,-29672 -8110c530: 01800644 movi r6,25 -8110c534: 003fb406 br 8110c408 <__reset+0xfb0ec408> -8110c538: 88803417 ldw r2,208(r17) -8110c53c: 04000044 movi r16,1 -8110c540: 10bfff04 addi r2,r2,-4 -8110c544: 8080232e bgeu r16,r2,8110c5d4 -8110c548: 8c80b304 addi r18,r17,716 -8110c54c: 9009883a mov r4,r18 -8110c550: 11023f00 call 811023f0 -8110c554: 9009883a mov r4,r18 -8110c558: 8c00c515 stw r16,788(r17) -8110c55c: 11023d00 call 811023d0 -8110c560: 00a04634 movhi r2,33048 -8110c564: 108f0804 addi r2,r2,15392 -8110c568: 10800803 ldbu r2,32(r2) -8110c56c: 00c001c4 movi r3,7 -8110c570: 18bf2636 bltu r3,r2,8110c20c <__reset+0xfb0ec20c> -8110c574: 01204574 movhi r4,33045 -8110c578: d1e07917 ldw r7,-32284(gp) -8110c57c: 21234c04 addi r4,r4,-29392 -8110c580: 01800d84 movi r6,54 -8110c584: 800b883a mov r5,r16 -8110c588: 003fa006 br 8110c40c <__reset+0xfb0ec40c> -8110c58c: 8c00b304 addi r16,r17,716 -8110c590: 8009883a mov r4,r16 -8110c594: 11023f00 call 811023f0 -8110c598: 00800044 movi r2,1 -8110c59c: 8880c515 stw r2,788(r17) -8110c5a0: 8009883a mov r4,r16 -8110c5a4: 11023d00 call 811023d0 -8110c5a8: 00a04634 movhi r2,33048 -8110c5ac: 108f0804 addi r2,r2,15392 -8110c5b0: 10800803 ldbu r2,32(r2) -8110c5b4: 00c001c4 movi r3,7 -8110c5b8: 18bf1436 bltu r3,r2,8110c20c <__reset+0xfb0ec20c> -8110c5bc: 8880ab17 ldw r2,684(r17) -8110c5c0: 01604574 movhi r5,33045 -8110c5c4: 29630d04 addi r5,r5,-29644 -8110c5c8: d1207917 ldw r4,-32284(gp) -8110c5cc: 11804003 ldbu r6,256(r2) -8110c5d0: 003f7706 br 8110c3b0 <__reset+0xfb0ec3b0> -8110c5d4: 88803317 ldw r2,204(r17) -8110c5d8: 00c00904 movi r3,36 -8110c5dc: 8c002b15 stw r16,172(r17) -8110c5e0: 88c03115 stw r3,196(r17) -8110c5e4: 88803515 stw r2,212(r17) -8110c5e8: 003f0806 br 8110c20c <__reset+0xfb0ec20c> - -8110c5ec : -8110c5ec: 21800003 ldbu r6,0(r4) -8110c5f0: 2806d63a srli r3,r5,24 -8110c5f4: 30800444 addi r2,r6,17 -8110c5f8: 18800a26 beq r3,r2,8110c624 -8110c5fc: 00a04634 movhi r2,33048 -8110c600: 108f0804 addi r2,r2,15392 -8110c604: 10800803 ldbu r2,32(r2) -8110c608: 00c001c4 movi r3,7 -8110c60c: 1880012e bgeu r3,r2,8110c614 -8110c610: f800283a ret -8110c614: d1207917 ldw r4,-32284(gp) -8110c618: 01604574 movhi r5,33045 -8110c61c: 2962c304 addi r5,r5,-29940 -8110c620: 112b5e01 jmpi 8112b5e0 -8110c624: 280ed43a srli r7,r5,16 -8110c628: 00801d84 movi r2,118 -8110c62c: 39c03fcc andi r7,r7,255 -8110c630: 3880e326 beq r7,r2,8110c9c0 -8110c634: defffc04 addi sp,sp,-16 -8110c638: dc400115 stw r17,4(sp) -8110c63c: dc000015 stw r16,0(sp) -8110c640: dfc00315 stw ra,12(sp) -8110c644: dc800215 stw r18,8(sp) -8110c648: 2821883a mov r16,r5 -8110c64c: 2023883a mov r17,r4 -8110c650: 11c0162e bgeu r2,r7,8110c6ac -8110c654: 00803884 movi r2,226 -8110c658: 11c0232e bgeu r2,r7,8110c6e8 -8110c65c: 00803a04 movi r2,232 -8110c660: 38809826 beq r7,r2,8110c8c4 -8110c664: 00803c04 movi r2,240 -8110c668: 38803d26 beq r7,r2,8110c760 -8110c66c: 00803904 movi r2,228 -8110c670: 38801726 beq r7,r2,8110c6d0 -8110c674: 00a04634 movhi r2,33048 -8110c678: 108f0804 addi r2,r2,15392 -8110c67c: 10800803 ldbu r2,32(r2) -8110c680: 00c001c4 movi r3,7 -8110c684: 18801236 bltu r3,r2,8110c6d0 -8110c688: d1207917 ldw r4,-32284(gp) -8110c68c: 01604574 movhi r5,33045 -8110c690: 2963cf04 addi r5,r5,-28868 -8110c694: dfc00317 ldw ra,12(sp) -8110c698: dc800217 ldw r18,8(sp) -8110c69c: dc400117 ldw r17,4(sp) -8110c6a0: dc000017 ldw r16,0(sp) -8110c6a4: dec00404 addi sp,sp,16 -8110c6a8: 112b5e01 jmpi 8112b5e0 -8110c6ac: 00800104 movi r2,4 -8110c6b0: 3880b826 beq r7,r2,8110c994 -8110c6b4: 11c0552e bgeu r2,r7,8110c80c -8110c6b8: 00800304 movi r2,12 -8110c6bc: 11c0392e bgeu r2,r7,8110c7a4 -8110c6c0: 00801c44 movi r2,113 -8110c6c4: 38bfeb1e bne r7,r2,8110c674 <__reset+0xfb0ec674> -8110c6c8: 00800944 movi r2,37 -8110c6cc: 20803115 stw r2,196(r4) -8110c6d0: dfc00317 ldw ra,12(sp) -8110c6d4: dc800217 ldw r18,8(sp) -8110c6d8: dc400117 ldw r17,4(sp) -8110c6dc: dc000017 ldw r16,0(sp) -8110c6e0: dec00404 addi sp,sp,16 -8110c6e4: f800283a ret -8110c6e8: 00803804 movi r2,224 -8110c6ec: 3880562e bgeu r7,r2,8110c848 -8110c6f0: 00802844 movi r2,161 -8110c6f4: 38803926 beq r7,r2,8110c7dc -8110c6f8: 008028c4 movi r2,163 -8110c6fc: 38bfdd1e bne r7,r2,8110c674 <__reset+0xfb0ec674> -8110c700: 00c00304 movi r3,12 -8110c704: 00800344 movi r2,13 -8110c708: 2400b304 addi r16,r4,716 -8110c70c: 88c03315 stw r3,204(r17) -8110c710: 00c00084 movi r3,2 -8110c714: 8009883a mov r4,r16 -8110c718: 88002b15 stw zero,172(r17) -8110c71c: 88c03415 stw r3,208(r17) -8110c720: 88803515 stw r2,212(r17) -8110c724: 88803115 stw r2,196(r17) -8110c728: 11023980 call 81102398 -8110c72c: 00800044 movi r2,1 -8110c730: 8009883a mov r4,r16 -8110c734: 8880c315 stw r2,780(r17) -8110c738: 11023600 call 81102360 -8110c73c: 89800003 ldbu r6,0(r17) -8110c740: 000b883a mov r5,zero -8110c744: 01002044 movi r4,129 -8110c748: dfc00317 ldw ra,12(sp) -8110c74c: dc800217 ldw r18,8(sp) -8110c750: dc400117 ldw r17,4(sp) -8110c754: dc000017 ldw r16,0(sp) -8110c758: dec00404 addi sp,sp,16 -8110c75c: 1109a8c1 jmpi 81109a8c -8110c760: 00a04634 movhi r2,33048 -8110c764: 108f0804 addi r2,r2,15392 -8110c768: 10800803 ldbu r2,32(r2) -8110c76c: 00c00104 movi r3,4 -8110c770: 18800436 bltu r3,r2,8110c784 -8110c774: d1207917 ldw r4,-32284(gp) -8110c778: 01604574 movhi r5,33045 -8110c77c: 29633304 addi r5,r5,-29492 -8110c780: 112b5e00 call 8112b5e0 -8110c784: 800b883a mov r5,r16 -8110c788: 8809883a mov r4,r17 -8110c78c: dfc00317 ldw ra,12(sp) -8110c790: dc800217 ldw r18,8(sp) -8110c794: dc400117 ldw r17,4(sp) -8110c798: dc000017 ldw r16,0(sp) -8110c79c: dec00404 addi sp,sp,16 -8110c7a0: 110bffc1 jmpi 8110bffc -8110c7a4: 00a04634 movhi r2,33048 -8110c7a8: 108f0804 addi r2,r2,15392 -8110c7ac: 10800803 ldbu r2,32(r2) -8110c7b0: 00c001c4 movi r3,7 -8110c7b4: 18bfc636 bltu r3,r2,8110c6d0 <__reset+0xfb0ec6d0> -8110c7b8: 01604574 movhi r5,33045 -8110c7bc: 29629e04 addi r5,r5,-30088 -8110c7c0: d1207917 ldw r4,-32284(gp) -8110c7c4: dfc00317 ldw ra,12(sp) -8110c7c8: dc800217 ldw r18,8(sp) -8110c7cc: dc400117 ldw r17,4(sp) -8110c7d0: dc000017 ldw r16,0(sp) -8110c7d4: dec00404 addi sp,sp,16 -8110c7d8: 112b5e01 jmpi 8112b5e0 -8110c7dc: 00800044 movi r2,1 -8110c7e0: 8c00b304 addi r16,r17,716 -8110c7e4: 88803415 stw r2,208(r17) -8110c7e8: 00800304 movi r2,12 -8110c7ec: 8009883a mov r4,r16 -8110c7f0: 88002b15 stw zero,172(r17) -8110c7f4: 88003315 stw zero,204(r17) -8110c7f8: 88803115 stw r2,196(r17) -8110c7fc: 11023980 call 81102398 -8110c800: 8009883a mov r4,r16 -8110c804: 8800c315 stw zero,780(r17) -8110c808: 003fcb06 br 8110c738 <__reset+0xfb0ec738> -8110c80c: 00800044 movi r2,1 -8110c810: 38bff226 beq r7,r2,8110c7dc <__reset+0xfb0ec7dc> -8110c814: 00c000c4 movi r3,3 -8110c818: 38ff961e bne r7,r3,8110c674 <__reset+0xfb0ec674> -8110c81c: 20c03417 ldw r3,208(r4) -8110c820: 18ffff04 addi r3,r3,-4 -8110c824: 10c07f2e bgeu r2,r3,8110ca24 -8110c828: 00a04634 movhi r2,33048 -8110c82c: 108f0804 addi r2,r2,15392 -8110c830: 10800803 ldbu r2,32(r2) -8110c834: 00c001c4 movi r3,7 -8110c838: 18bfa536 bltu r3,r2,8110c6d0 <__reset+0xfb0ec6d0> -8110c83c: 01604574 movhi r5,33045 -8110c840: 29635a04 addi r5,r5,-29336 -8110c844: 003fde06 br 8110c7c0 <__reset+0xfb0ec7c0> -8110c848: 00a04634 movhi r2,33048 -8110c84c: 108f0804 addi r2,r2,15392 -8110c850: 10800803 ldbu r2,32(r2) -8110c854: 00c00104 movi r3,4 -8110c858: 18800936 bltu r3,r2,8110c880 -8110c85c: d1207917 ldw r4,-32284(gp) -8110c860: 01604574 movhi r5,33045 -8110c864: 2963b304 addi r5,r5,-28980 -8110c868: 112b5e00 call 8112b5e0 -8110c86c: 89800003 ldbu r6,0(r17) -8110c870: d1207917 ldw r4,-32284(gp) -8110c874: 01604574 movhi r5,33045 -8110c878: 29639c04 addi r5,r5,-29072 -8110c87c: 112b5e00 call 8112b5e0 -8110c880: 00800044 movi r2,1 -8110c884: 8c00b304 addi r16,r17,716 -8110c888: 88803415 stw r2,208(r17) -8110c88c: 00800304 movi r2,12 -8110c890: 8009883a mov r4,r16 -8110c894: 88002b15 stw zero,172(r17) -8110c898: 88003315 stw zero,204(r17) -8110c89c: 88803115 stw r2,196(r17) -8110c8a0: 11023980 call 81102398 -8110c8a4: 8009883a mov r4,r16 -8110c8a8: 8800c315 stw zero,780(r17) -8110c8ac: dfc00317 ldw ra,12(sp) -8110c8b0: dc800217 ldw r18,8(sp) -8110c8b4: dc400117 ldw r17,4(sp) -8110c8b8: dc000017 ldw r16,0(sp) -8110c8bc: dec00404 addi sp,sp,16 -8110c8c0: 11023601 jmpi 81102360 -8110c8c4: 20812217 ldw r2,1160(r4) -8110c8c8: 10000a1e bne r2,zero,8110c8f4 -8110c8cc: 20812317 ldw r2,1164(r4) -8110c8d0: 1000081e bne r2,zero,8110c8f4 -8110c8d4: 20812617 ldw r2,1176(r4) -8110c8d8: 1000061e bne r2,zero,8110c8f4 -8110c8dc: 20812417 ldw r2,1168(r4) -8110c8e0: 1000041e bne r2,zero,8110c8f4 -8110c8e4: 20812717 ldw r2,1180(r4) -8110c8e8: 1000021e bne r2,zero,8110c8f4 -8110c8ec: 20812517 ldw r2,1172(r4) -8110c8f0: 10001526 beq r2,zero,8110c948 -8110c8f4: 8c012217 ldw r16,1160(r17) -8110c8f8: 00800044 movi r2,1 -8110c8fc: 8080b926 beq r16,r2,8110cbe4 -8110c900: 8c012317 ldw r16,1164(r17) -8110c904: 00800044 movi r2,1 -8110c908: 8080ab26 beq r16,r2,8110cbb8 -8110c90c: 8c012617 ldw r16,1176(r17) -8110c910: 00800044 movi r2,1 -8110c914: 80808f26 beq r16,r2,8110cb54 -8110c918: 88c12717 ldw r3,1180(r17) -8110c91c: 00800044 movi r2,1 -8110c920: 18808126 beq r3,r2,8110cb28 -8110c924: 88c12417 ldw r3,1168(r17) -8110c928: 00800044 movi r2,1 -8110c92c: 18805326 beq r3,r2,8110ca7c -8110c930: 88c12517 ldw r3,1172(r17) -8110c934: 00800044 movi r2,1 -8110c938: 1880031e bne r3,r2,8110c948 -8110c93c: 88012515 stw zero,1172(r17) -8110c940: 88813317 ldw r2,1228(r17) -8110c944: 88800c15 stw r2,48(r17) -8110c948: 8c042d17 ldw r16,4276(r17) -8110c94c: 00800044 movi r2,1 -8110c950: 80803a26 beq r16,r2,8110ca3c -8110c954: 8c042e17 ldw r16,4280(r17) -8110c958: 00800044 movi r2,1 -8110c95c: 80802126 beq r16,r2,8110c9e4 -8110c960: 88c1ab17 ldw r3,1708(r17) -8110c964: 00800044 movi r2,1 -8110c968: 18bf591e bne r3,r2,8110c6d0 <__reset+0xfb0ec6d0> -8110c96c: 8900b304 addi r4,r17,716 -8110c970: 1102d340 call 81102d34 -8110c974: 10005626 beq r2,zero,8110cad0 -8110c978: 00a04634 movhi r2,33048 -8110c97c: 108f0804 addi r2,r2,15392 -8110c980: 10800803 ldbu r2,32(r2) -8110c984: 00c001c4 movi r3,7 -8110c988: 1880bf2e bgeu r3,r2,8110cc88 -8110c98c: 8801ab15 stw zero,1708(r17) -8110c990: 003f4f06 br 8110c6d0 <__reset+0xfb0ec6d0> -8110c994: 20803417 ldw r2,208(r4) -8110c998: 00c00144 movi r3,5 -8110c99c: 10bffe84 addi r2,r2,-6 -8110c9a0: 18bfa136 bltu r3,r2,8110c828 <__reset+0xfb0ec828> -8110c9a4: 20803317 ldw r2,204(r4) -8110c9a8: 00c00044 movi r3,1 -8110c9ac: 20c02b15 stw r3,172(r4) -8110c9b0: 00c00904 movi r3,36 -8110c9b4: 20c03115 stw r3,196(r4) -8110c9b8: 20803515 stw r2,212(r4) -8110c9bc: 003f4406 br 8110c6d0 <__reset+0xfb0ec6d0> -8110c9c0: 00a04634 movhi r2,33048 -8110c9c4: 108f0804 addi r2,r2,15392 -8110c9c8: 10800803 ldbu r2,32(r2) -8110c9cc: 00c001c4 movi r3,7 -8110c9d0: 18bf0f36 bltu r3,r2,8110c610 <__reset+0xfb0ec610> -8110c9d4: d1207917 ldw r4,-32284(gp) -8110c9d8: 01604574 movhi r5,33045 -8110c9dc: 29636d04 addi r5,r5,-29260 -8110c9e0: 112b5e01 jmpi 8112b5e0 -8110c9e4: 8c80b304 addi r18,r17,716 -8110c9e8: 9009883a mov r4,r18 -8110c9ec: 11027800 call 81102780 -8110c9f0: 8940fe17 ldw r5,1016(r17) -8110c9f4: 2c009b26 beq r5,r16,8110cc64 -8110c9f8: 01400044 movi r5,1 -8110c9fc: 9009883a mov r4,r18 -8110ca00: 1102a080 call 81102a08 -8110ca04: 10002726 beq r2,zero,8110caa4 -8110ca08: 00a04634 movhi r2,33048 -8110ca0c: 108f0804 addi r2,r2,15392 -8110ca10: 10800803 ldbu r2,32(r2) -8110ca14: 00c001c4 movi r3,7 -8110ca18: 18808c2e bgeu r3,r2,8110cc4c -8110ca1c: 88042e15 stw zero,4280(r17) -8110ca20: 003fcf06 br 8110c960 <__reset+0xfb0ec960> -8110ca24: 20c03317 ldw r3,204(r4) -8110ca28: 20802b15 stw r2,172(r4) -8110ca2c: 00800904 movi r2,36 -8110ca30: 20803115 stw r2,196(r4) -8110ca34: 20c03515 stw r3,212(r4) -8110ca38: 003f2506 br 8110c6d0 <__reset+0xfb0ec6d0> -8110ca3c: 8c80b304 addi r18,r17,716 -8110ca40: 9009883a mov r4,r18 -8110ca44: 11027100 call 81102710 -8110ca48: 8880ef17 ldw r2,956(r17) -8110ca4c: 14009a26 beq r2,r16,8110ccb8 -8110ca50: 000b883a mov r5,zero -8110ca54: 9009883a mov r4,r18 -8110ca58: 1102a080 call 81102a08 -8110ca5c: 10002726 beq r2,zero,8110cafc -8110ca60: 00a04634 movhi r2,33048 -8110ca64: 108f0804 addi r2,r2,15392 -8110ca68: 10800803 ldbu r2,32(r2) -8110ca6c: 00c001c4 movi r3,7 -8110ca70: 18808b2e bgeu r3,r2,8110cca0 -8110ca74: 88042d15 stw zero,4276(r17) -8110ca78: 003fb606 br 8110c954 <__reset+0xfb0ec954> -8110ca7c: 88012415 stw zero,1168(r17) -8110ca80: 89415543 ldbu r5,1365(r17) -8110ca84: 89015583 ldbu r4,1366(r17) -8110ca88: 88c155c3 ldbu r3,1367(r17) -8110ca8c: 88815603 ldbu r2,1368(r17) -8110ca90: 89402e45 stb r5,185(r17) -8110ca94: 89002e85 stb r4,186(r17) -8110ca98: 88c02ec5 stb r3,187(r17) -8110ca9c: 88802f05 stb r2,188(r17) -8110caa0: 003fa306 br 8110c930 <__reset+0xfb0ec930> -8110caa4: 00a04634 movhi r2,33048 -8110caa8: 108f0804 addi r2,r2,15392 -8110caac: 10800803 ldbu r2,32(r2) -8110cab0: 00c001c4 movi r3,7 -8110cab4: 18bfd936 bltu r3,r2,8110ca1c <__reset+0xfb0eca1c> -8110cab8: 89800003 ldbu r6,0(r17) -8110cabc: d1207917 ldw r4,-32284(gp) -8110cac0: 01604574 movhi r5,33045 -8110cac4: 29626a04 addi r5,r5,-30296 -8110cac8: 112b5e00 call 8112b5e0 -8110cacc: 003fd306 br 8110ca1c <__reset+0xfb0eca1c> -8110cad0: 00a04634 movhi r2,33048 -8110cad4: 108f0804 addi r2,r2,15392 -8110cad8: 10800803 ldbu r2,32(r2) -8110cadc: 00c001c4 movi r3,7 -8110cae0: 18bfaa36 bltu r3,r2,8110c98c <__reset+0xfb0ec98c> -8110cae4: 89800003 ldbu r6,0(r17) -8110cae8: d1207917 ldw r4,-32284(gp) -8110caec: 01604574 movhi r5,33045 -8110caf0: 29628d04 addi r5,r5,-30156 -8110caf4: 112b5e00 call 8112b5e0 -8110caf8: 003fa406 br 8110c98c <__reset+0xfb0ec98c> -8110cafc: 00a04634 movhi r2,33048 -8110cb00: 108f0804 addi r2,r2,15392 -8110cb04: 10800803 ldbu r2,32(r2) -8110cb08: 00c001c4 movi r3,7 -8110cb0c: 18bfd936 bltu r3,r2,8110ca74 <__reset+0xfb0eca74> -8110cb10: 89800003 ldbu r6,0(r17) -8110cb14: d1207917 ldw r4,-32284(gp) -8110cb18: 01604574 movhi r5,33045 -8110cb1c: 29624404 addi r5,r5,-30448 -8110cb20: 112b5e00 call 8112b5e0 -8110cb24: 003fd306 br 8110ca74 <__reset+0xfb0eca74> -8110cb28: 8c006404 addi r16,r17,400 -8110cb2c: 8009883a mov r4,r16 -8110cb30: 88012715 stw zero,1180(r17) -8110cb34: 1103bd00 call 81103bd0 -8110cb38: 88c17217 ldw r3,1480(r17) -8110cb3c: 88817317 ldw r2,1484(r17) -8110cb40: 8009883a mov r4,r16 -8110cb44: 88c06b15 stw r3,428(r17) -8110cb48: 88806c15 stw r2,432(r17) -8110cb4c: 1103c380 call 81103c38 -8110cb50: 003f7406 br 8110c924 <__reset+0xfb0ec924> -8110cb54: 8c80b304 addi r18,r17,716 -8110cb58: 9009883a mov r4,r18 -8110cb5c: 88012615 stw zero,1176(r17) -8110cb60: 11023980 call 81102398 -8110cb64: 8881710b ldhu r2,1476(r17) -8110cb68: 9009883a mov r4,r18 -8110cb6c: 8c806404 addi r18,r17,400 -8110cb70: 10bfffcc andi r2,r2,65535 -8110cb74: 8880c015 stw r2,768(r17) -8110cb78: 11023600 call 81102360 -8110cb7c: 89c1710b ldhu r7,1476(r17) -8110cb80: 018006f4 movhi r6,27 -8110cb84: 9009883a mov r4,r18 -8110cb88: 31b85204 addi r6,r6,-7864 -8110cb8c: 000b883a mov r5,zero -8110cb90: 39ffffcc andi r7,r7,65535 -8110cb94: 1103d640 call 81103d64 -8110cb98: 89c1710b ldhu r7,1476(r17) -8110cb9c: 018006f4 movhi r6,27 -8110cba0: 31b85204 addi r6,r6,-7864 -8110cba4: 800b883a mov r5,r16 -8110cba8: 39ffffcc andi r7,r7,65535 -8110cbac: 9009883a mov r4,r18 -8110cbb0: 1103d640 call 81103d64 -8110cbb4: 003f5806 br 8110c918 <__reset+0xfb0ec918> -8110cbb8: 8c80b304 addi r18,r17,716 -8110cbbc: 9009883a mov r4,r18 -8110cbc0: 11023980 call 81102398 -8110cbc4: 88012315 stw zero,1164(r17) -8110cbc8: 88817417 ldw r2,1488(r17) -8110cbcc: 14003e26 beq r2,r16,8110ccc8 -8110cbd0: 88813017 ldw r2,1216(r17) -8110cbd4: 8880b815 stw r2,736(r17) -8110cbd8: 9009883a mov r4,r18 -8110cbdc: 11023600 call 81102360 -8110cbe0: 003f4a06 br 8110c90c <__reset+0xfb0ec90c> -8110cbe4: 88012215 stw zero,1160(r17) -8110cbe8: 88c13017 ldw r3,1216(r17) -8110cbec: 88813117 ldw r2,1220(r17) -8110cbf0: 8c80b304 addi r18,r17,716 -8110cbf4: 88c00915 stw r3,36(r17) -8110cbf8: 9009883a mov r4,r18 -8110cbfc: 88800a15 stw r2,40(r17) -8110cc00: 11023980 call 81102398 -8110cc04: 89413017 ldw r5,1216(r17) -8110cc08: 89013117 ldw r4,1220(r17) -8110cc0c: 88813117 ldw r2,1220(r17) -8110cc10: 88c04c0b ldhu r3,304(r17) -8110cc14: 8940b815 stw r5,736(r17) -8110cc18: 8900b915 stw r4,740(r17) -8110cc1c: 10800044 addi r2,r2,1 -8110cc20: 1880132e bgeu r3,r2,8110cc70 -8110cc24: 88813117 ldw r2,1220(r17) -8110cc28: 193fffc4 addi r4,r3,-1 -8110cc2c: 8900ba15 stw r4,744(r17) -8110cc30: 10c5c83a sub r2,r2,r3 -8110cc34: 8880bb15 stw r2,748(r17) -8110cc38: 8c00be15 stw r16,760(r17) -8110cc3c: 8c00bf15 stw r16,764(r17) -8110cc40: 9009883a mov r4,r18 -8110cc44: 11023600 call 81102360 -8110cc48: 003f2d06 br 8110c900 <__reset+0xfb0ec900> -8110cc4c: 89800003 ldbu r6,0(r17) -8110cc50: d1207917 ldw r4,-32284(gp) -8110cc54: 01604574 movhi r5,33045 -8110cc58: 29625804 addi r5,r5,-30368 -8110cc5c: 112b5e00 call 8112b5e0 -8110cc60: 003f6e06 br 8110ca1c <__reset+0xfb0eca1c> -8110cc64: 9009883a mov r4,r18 -8110cc68: 1102a900 call 81102a90 -8110cc6c: 003f6206 br 8110c9f8 <__reset+0xfb0ec9f8> -8110cc70: 88813117 ldw r2,1220(r17) -8110cc74: 8800bb15 stw zero,748(r17) -8110cc78: 8c00be15 stw r16,760(r17) -8110cc7c: 8880ba15 stw r2,744(r17) -8110cc80: 8800bf15 stw zero,764(r17) -8110cc84: 003fee06 br 8110cc40 <__reset+0xfb0ecc40> -8110cc88: 89800003 ldbu r6,0(r17) -8110cc8c: d1207917 ldw r4,-32284(gp) -8110cc90: 01604574 movhi r5,33045 -8110cc94: 29627e04 addi r5,r5,-30216 -8110cc98: 112b5e00 call 8112b5e0 -8110cc9c: 003f3b06 br 8110c98c <__reset+0xfb0ec98c> -8110cca0: 89800003 ldbu r6,0(r17) -8110cca4: d1207917 ldw r4,-32284(gp) -8110cca8: 01604574 movhi r5,33045 -8110ccac: 29623204 addi r5,r5,-30520 -8110ccb0: 112b5e00 call 8112b5e0 -8110ccb4: 003f6f06 br 8110ca74 <__reset+0xfb0eca74> -8110ccb8: 000b883a mov r5,zero -8110ccbc: 9009883a mov r4,r18 -8110ccc0: 1102a900 call 81102a90 -8110ccc4: 003f6206 br 8110ca50 <__reset+0xfb0eca50> -8110ccc8: 8800b815 stw zero,736(r17) -8110cccc: 003fc206 br 8110cbd8 <__reset+0xfb0ecbd8> - -8110ccd0 : -8110ccd0: defffc04 addi sp,sp,-16 -8110ccd4: dc400115 stw r17,4(sp) -8110ccd8: dc000015 stw r16,0(sp) -8110ccdc: dfc00315 stw ra,12(sp) -8110cce0: dc800215 stw r18,8(sp) -8110cce4: 2023883a mov r17,r4 -8110cce8: 21000003 ldbu r4,0(r4) -8110ccec: 2820d23a srli r16,r5,8 -8110ccf0: 01604574 movhi r5,33045 -8110ccf4: 21000044 addi r4,r4,1 -8110ccf8: 297337c4 addi r5,r5,-13089 -8110ccfc: 21003fcc andi r4,r4,255 -8110cd00: 111e1a00 call 8111e1a0 -8110cd04: 81803fcc andi r6,r16,255 -8110cd08: 00801a04 movi r2,104 -8110cd0c: 1180d236 bltu r2,r6,8110d058 -8110cd10: 300690ba slli r3,r6,2 -8110cd14: 00a04474 movhi r2,33041 -8110cd18: 10b34a04 addi r2,r2,-13016 -8110cd1c: 1885883a add r2,r3,r2 -8110cd20: 10800017 ldw r2,0(r2) -8110cd24: 1000683a jmp r2 -8110cd28: 8110d038 rdprs r4,r16,17216 -8110cd2c: 8110d058 cmpnei r4,r16,17217 -8110cd30: 8110d058 cmpnei r4,r16,17217 -8110cd34: 8110d058 cmpnei r4,r16,17217 -8110cd38: 8110cfe0 cmpeqi r4,r16,17215 -8110cd3c: 8110d058 cmpnei r4,r16,17217 -8110cd40: 8110d058 cmpnei r4,r16,17217 -8110cd44: 8110d058 cmpnei r4,r16,17217 -8110cd48: 8110d008 cmpgei r4,r16,17216 -8110cd4c: 8110d058 cmpnei r4,r16,17217 -8110cd50: 8110d058 cmpnei r4,r16,17217 -8110cd54: 8110d058 cmpnei r4,r16,17217 -8110cd58: 8110cf64 muli r4,r16,17213 -8110cd5c: 8110d058 cmpnei r4,r16,17217 -8110cd60: 8110d058 cmpnei r4,r16,17217 -8110cd64: 8110d058 cmpnei r4,r16,17217 -8110cd68: 8110cf88 cmpgei r4,r16,17214 -8110cd6c: 8110d058 cmpnei r4,r16,17217 -8110cd70: 8110d058 cmpnei r4,r16,17217 -8110cd74: 8110d058 cmpnei r4,r16,17217 -8110cd78: 8110cfa0 cmpeqi r4,r16,17214 -8110cd7c: 8110d058 cmpnei r4,r16,17217 -8110cd80: 8110d058 cmpnei r4,r16,17217 -8110cd84: 8110d058 cmpnei r4,r16,17217 -8110cd88: 8110cecc andi r4,r16,17211 -8110cd8c: 8110d058 cmpnei r4,r16,17217 -8110cd90: 8110d058 cmpnei r4,r16,17217 -8110cd94: 8110d058 cmpnei r4,r16,17217 -8110cd98: 8110cecc andi r4,r16,17211 -8110cd9c: 8110d058 cmpnei r4,r16,17217 -8110cda0: 8110d058 cmpnei r4,r16,17217 -8110cda4: 8110d058 cmpnei r4,r16,17217 -8110cda8: 8110cecc andi r4,r16,17211 -8110cdac: 8110d058 cmpnei r4,r16,17217 -8110cdb0: 8110d058 cmpnei r4,r16,17217 -8110cdb4: 8110d058 cmpnei r4,r16,17217 -8110cdb8: 8110cecc andi r4,r16,17211 -8110cdbc: 8110d058 cmpnei r4,r16,17217 -8110cdc0: 8110d058 cmpnei r4,r16,17217 -8110cdc4: 8110d058 cmpnei r4,r16,17217 -8110cdc8: 8110cecc andi r4,r16,17211 -8110cdcc: 8110d058 cmpnei r4,r16,17217 -8110cdd0: 8110d058 cmpnei r4,r16,17217 -8110cdd4: 8110d058 cmpnei r4,r16,17217 -8110cdd8: 8110cecc andi r4,r16,17211 -8110cddc: 8110d058 cmpnei r4,r16,17217 -8110cde0: 8110d058 cmpnei r4,r16,17217 -8110cde4: 8110d058 cmpnei r4,r16,17217 -8110cde8: 8110cecc andi r4,r16,17211 -8110cdec: 8110d058 cmpnei r4,r16,17217 -8110cdf0: 8110d058 cmpnei r4,r16,17217 -8110cdf4: 8110d058 cmpnei r4,r16,17217 -8110cdf8: 8110cecc andi r4,r16,17211 -8110cdfc: 8110d058 cmpnei r4,r16,17217 -8110ce00: 8110d058 cmpnei r4,r16,17217 -8110ce04: 8110d058 cmpnei r4,r16,17217 -8110ce08: 8110cecc andi r4,r16,17211 -8110ce0c: 8110d058 cmpnei r4,r16,17217 -8110ce10: 8110d058 cmpnei r4,r16,17217 -8110ce14: 8110d058 cmpnei r4,r16,17217 -8110ce18: 8110cecc andi r4,r16,17211 -8110ce1c: 8110d058 cmpnei r4,r16,17217 -8110ce20: 8110d058 cmpnei r4,r16,17217 -8110ce24: 8110d058 cmpnei r4,r16,17217 -8110ce28: 8110cecc andi r4,r16,17211 -8110ce2c: 8110d058 cmpnei r4,r16,17217 -8110ce30: 8110d058 cmpnei r4,r16,17217 -8110ce34: 8110d058 cmpnei r4,r16,17217 -8110ce38: 8110cecc andi r4,r16,17211 -8110ce3c: 8110d058 cmpnei r4,r16,17217 -8110ce40: 8110d058 cmpnei r4,r16,17217 -8110ce44: 8110d058 cmpnei r4,r16,17217 -8110ce48: 8110cecc andi r4,r16,17211 -8110ce4c: 8110d058 cmpnei r4,r16,17217 -8110ce50: 8110d058 cmpnei r4,r16,17217 -8110ce54: 8110d058 cmpnei r4,r16,17217 -8110ce58: 8110cecc andi r4,r16,17211 -8110ce5c: 8110d058 cmpnei r4,r16,17217 -8110ce60: 8110d058 cmpnei r4,r16,17217 -8110ce64: 8110d058 cmpnei r4,r16,17217 -8110ce68: 8110cecc andi r4,r16,17211 -8110ce6c: 8110d058 cmpnei r4,r16,17217 -8110ce70: 8110d058 cmpnei r4,r16,17217 -8110ce74: 8110d058 cmpnei r4,r16,17217 -8110ce78: 8110cef8 rdprs r4,r16,17211 -8110ce7c: 8110d058 cmpnei r4,r16,17217 -8110ce80: 8110d058 cmpnei r4,r16,17217 -8110ce84: 8110d058 cmpnei r4,r16,17217 -8110ce88: 8110cfc0 call 88110cfc <__reset+0x20f0cfc> -8110ce8c: 8110d058 cmpnei r4,r16,17217 -8110ce90: 8110d058 cmpnei r4,r16,17217 -8110ce94: 8110d058 cmpnei r4,r16,17217 -8110ce98: 8110cfc0 call 88110cfc <__reset+0x20f0cfc> -8110ce9c: 8110d058 cmpnei r4,r16,17217 -8110cea0: 8110d058 cmpnei r4,r16,17217 -8110cea4: 8110d058 cmpnei r4,r16,17217 -8110cea8: 8110cfc0 call 88110cfc <__reset+0x20f0cfc> -8110ceac: 8110d058 cmpnei r4,r16,17217 -8110ceb0: 8110d058 cmpnei r4,r16,17217 -8110ceb4: 8110d058 cmpnei r4,r16,17217 -8110ceb8: 8110cfc0 call 88110cfc <__reset+0x20f0cfc> -8110cebc: 8110d058 cmpnei r4,r16,17217 -8110cec0: 8110d058 cmpnei r4,r16,17217 -8110cec4: 8110d058 cmpnei r4,r16,17217 -8110cec8: 8110cfc0 call 88110cfc <__reset+0x20f0cfc> -8110cecc: 00a04634 movhi r2,33048 -8110ced0: 108f0804 addi r2,r2,15392 -8110ced4: 10800803 ldbu r2,32(r2) -8110ced8: 00c001c4 movi r3,7 -8110cedc: 1880662e bgeu r3,r2,8110d078 -8110cee0: dfc00317 ldw ra,12(sp) -8110cee4: dc800217 ldw r18,8(sp) -8110cee8: dc400117 ldw r17,4(sp) -8110ceec: dc000017 ldw r16,0(sp) -8110cef0: dec00404 addi sp,sp,16 -8110cef4: f800283a ret -8110cef8: 8880ab17 ldw r2,684(r17) -8110cefc: 88000b15 stw zero,44(r17) -8110cf00: 00c003c4 movi r3,15 -8110cf04: 10804017 ldw r2,256(r2) -8110cf08: 18810236 bltu r3,r2,8110d314 -8110cf0c: 100490ba slli r2,r2,2 -8110cf10: 00e04474 movhi r3,33041 -8110cf14: 18f3c904 addi r3,r3,-12508 -8110cf18: 10c5883a add r2,r2,r3 -8110cf1c: 10800017 ldw r2,0(r2) -8110cf20: 1000683a jmp r2 -8110cf24: 8110d2ec andhi r4,r16,17227 -8110cf28: 8110d2a8 cmpgeui r4,r16,17226 -8110cf2c: 8110d2a8 cmpgeui r4,r16,17226 -8110cf30: 8110d314 ori r4,r16,17228 -8110cf34: 8110d27c xorhi r4,r16,17225 -8110cf38: 8110d254 ori r4,r16,17225 -8110cf3c: 8110d22c andhi r4,r16,17224 -8110cf40: 8110d1e8 cmpgeui r4,r16,17223 -8110cf44: 8110d198 cmpnei r4,r16,17222 -8110cf48: 8110d170 cmpltui r4,r16,17221 -8110cf4c: 8110d148 cmpgei r4,r16,17221 -8110cf50: 8110d120 cmpeqi r4,r16,17220 -8110cf54: 8110d0f8 rdprs r4,r16,17219 -8110cf58: 8110d09c xori r4,r16,17218 -8110cf5c: 8110d09c xori r4,r16,17218 -8110cf60: 8110d09c xori r4,r16,17218 -8110cf64: 88c0ab17 ldw r3,684(r17) -8110cf68: 00800044 movi r2,1 -8110cf6c: 88812515 stw r2,1172(r17) -8110cf70: 19000b17 ldw r4,44(r3) -8110cf74: 18c00c17 ldw r3,48(r3) -8110cf78: 89013315 stw r4,1228(r17) -8110cf7c: 88812315 stw r2,1164(r17) -8110cf80: 88c17415 stw r3,1488(r17) -8110cf84: 003fd606 br 8110cee0 <__reset+0xfb0ecee0> -8110cf88: 8880ab17 ldw r2,684(r17) -8110cf8c: 00c00044 movi r3,1 -8110cf90: 88c12615 stw r3,1176(r17) -8110cf94: 10801017 ldw r2,64(r2) -8110cf98: 8881710d sth r2,1476(r17) -8110cf9c: 003fd006 br 8110cee0 <__reset+0xfb0ecee0> -8110cfa0: 8880ab17 ldw r2,684(r17) -8110cfa4: 00c00044 movi r3,1 -8110cfa8: 88c12715 stw r3,1180(r17) -8110cfac: 10c01517 ldw r3,84(r2) -8110cfb0: 10801717 ldw r2,92(r2) -8110cfb4: 88c17215 stw r3,1480(r17) -8110cfb8: 88817315 stw r2,1484(r17) -8110cfbc: 003fc806 br 8110cee0 <__reset+0xfb0ecee0> -8110cfc0: 00a04634 movhi r2,33048 -8110cfc4: 108f0804 addi r2,r2,15392 -8110cfc8: 10800803 ldbu r2,32(r2) -8110cfcc: 00c001c4 movi r3,7 -8110cfd0: 18bfc336 bltu r3,r2,8110cee0 <__reset+0xfb0ecee0> -8110cfd4: 01604574 movhi r5,33045 -8110cfd8: 29632004 addi r5,r5,-29568 -8110cfdc: 00002806 br 8110d080 -8110cfe0: 00a04634 movhi r2,33048 -8110cfe4: 108f0804 addi r2,r2,15392 -8110cfe8: 10800803 ldbu r2,32(r2) -8110cfec: 00c001c4 movi r3,7 -8110cff0: 18bfbb36 bltu r3,r2,8110cee0 <__reset+0xfb0ecee0> -8110cff4: 01604574 movhi r5,33045 -8110cff8: 2962cd04 addi r5,r5,-29900 -8110cffc: d1207917 ldw r4,-32284(gp) -8110d000: 01800104 movi r6,4 -8110d004: 00001f06 br 8110d084 -8110d008: 8880ab17 ldw r2,684(r17) -8110d00c: 00c00044 movi r3,1 -8110d010: 88c12415 stw r3,1168(r17) -8110d014: 10c00617 ldw r3,24(r2) -8110d018: 88c15545 stb r3,1365(r17) -8110d01c: 10c00717 ldw r3,28(r2) -8110d020: 88c15585 stb r3,1366(r17) -8110d024: 10c00817 ldw r3,32(r2) -8110d028: 88c155c5 stb r3,1367(r17) -8110d02c: 10800917 ldw r2,36(r2) -8110d030: 88815605 stb r2,1368(r17) -8110d034: 003faa06 br 8110cee0 <__reset+0xfb0ecee0> -8110d038: 8880ab17 ldw r2,684(r17) -8110d03c: 00c00044 movi r3,1 -8110d040: 88c12215 stw r3,1160(r17) -8110d044: 10c00017 ldw r3,0(r2) -8110d048: 10800117 ldw r2,4(r2) -8110d04c: 88c13015 stw r3,1216(r17) -8110d050: 88813115 stw r2,1220(r17) -8110d054: 003fa206 br 8110cee0 <__reset+0xfb0ecee0> -8110d058: 00a04634 movhi r2,33048 -8110d05c: 108f0804 addi r2,r2,15392 -8110d060: 10800803 ldbu r2,32(r2) -8110d064: 00c001c4 movi r3,7 -8110d068: 18bf9d36 bltu r3,r2,8110cee0 <__reset+0xfb0ecee0> -8110d06c: 01604574 movhi r5,33045 -8110d070: 29632904 addi r5,r5,-29532 -8110d074: 00000206 br 8110d080 -8110d078: 01604574 movhi r5,33045 -8110d07c: 2962cd04 addi r5,r5,-29900 -8110d080: d1207917 ldw r4,-32284(gp) -8110d084: dfc00317 ldw ra,12(sp) -8110d088: dc800217 ldw r18,8(sp) -8110d08c: dc400117 ldw r17,4(sp) -8110d090: dc000017 ldw r16,0(sp) -8110d094: dec00404 addi sp,sp,16 -8110d098: 112b5e01 jmpi 8112b5e0 -8110d09c: 8c00b304 addi r16,r17,716 -8110d0a0: 8009883a mov r4,r16 -8110d0a4: 11023f00 call 811023f0 -8110d0a8: 04800044 movi r18,1 -8110d0ac: 8c80c515 stw r18,788(r17) -8110d0b0: 8009883a mov r4,r16 -8110d0b4: 11023d00 call 811023d0 -8110d0b8: 00a04634 movhi r2,33048 -8110d0bc: 108f0804 addi r2,r2,15392 -8110d0c0: 10800803 ldbu r2,32(r2) -8110d0c4: 00c001c4 movi r3,7 -8110d0c8: 18bf8536 bltu r3,r2,8110cee0 <__reset+0xfb0ecee0> -8110d0cc: d1e07917 ldw r7,-32284(gp) -8110d0d0: 01204574 movhi r4,33045 -8110d0d4: 21230604 addi r4,r4,-29672 -8110d0d8: 01800644 movi r6,25 -8110d0dc: 900b883a mov r5,r18 -8110d0e0: dfc00317 ldw ra,12(sp) -8110d0e4: dc800217 ldw r18,8(sp) -8110d0e8: dc400117 ldw r17,4(sp) -8110d0ec: dc000017 ldw r16,0(sp) -8110d0f0: dec00404 addi sp,sp,16 -8110d0f4: 112bc4c1 jmpi 8112bc4c -8110d0f8: 00c00044 movi r3,1 -8110d0fc: 88c02b15 stw r3,172(r17) -8110d100: 00c00384 movi r3,14 -8110d104: 008000c4 movi r2,3 -8110d108: 88c03315 stw r3,204(r17) -8110d10c: 00c00744 movi r3,29 -8110d110: 88803415 stw r2,208(r17) -8110d114: 88c03515 stw r3,212(r17) -8110d118: 88803115 stw r2,196(r17) -8110d11c: 003f7006 br 8110cee0 <__reset+0xfb0ecee0> -8110d120: 00c00044 movi r3,1 -8110d124: 88c02b15 stw r3,172(r17) -8110d128: 00c00384 movi r3,14 -8110d12c: 008000c4 movi r2,3 -8110d130: 88c03315 stw r3,204(r17) -8110d134: 00c006c4 movi r3,27 -8110d138: 88803415 stw r2,208(r17) -8110d13c: 88c03515 stw r3,212(r17) -8110d140: 88803115 stw r2,196(r17) -8110d144: 003f6606 br 8110cee0 <__reset+0xfb0ecee0> -8110d148: 00c00044 movi r3,1 -8110d14c: 88c02b15 stw r3,172(r17) -8110d150: 00c00384 movi r3,14 -8110d154: 008000c4 movi r2,3 -8110d158: 88c03315 stw r3,204(r17) -8110d15c: 00c00644 movi r3,25 -8110d160: 88803415 stw r2,208(r17) -8110d164: 88c03515 stw r3,212(r17) -8110d168: 88803115 stw r2,196(r17) -8110d16c: 003f5c06 br 8110cee0 <__reset+0xfb0ecee0> -8110d170: 00c00044 movi r3,1 -8110d174: 88c02b15 stw r3,172(r17) -8110d178: 00c00384 movi r3,14 -8110d17c: 008000c4 movi r2,3 -8110d180: 88c03315 stw r3,204(r17) -8110d184: 00c005c4 movi r3,23 -8110d188: 88803415 stw r2,208(r17) -8110d18c: 88c03515 stw r3,212(r17) -8110d190: 88803115 stw r2,196(r17) -8110d194: 003f5206 br 8110cee0 <__reset+0xfb0ecee0> -8110d198: 00c00384 movi r3,14 -8110d19c: 00800344 movi r2,13 -8110d1a0: 8c00b304 addi r16,r17,716 -8110d1a4: 88c03315 stw r3,204(r17) -8110d1a8: 00c00084 movi r3,2 -8110d1ac: 8009883a mov r4,r16 -8110d1b0: 88002b15 stw zero,172(r17) -8110d1b4: 88c03415 stw r3,208(r17) -8110d1b8: 88803515 stw r2,212(r17) -8110d1bc: 88803115 stw r2,196(r17) -8110d1c0: 11023980 call 81102398 -8110d1c4: 00800044 movi r2,1 -8110d1c8: 8009883a mov r4,r16 -8110d1cc: 8880c315 stw r2,780(r17) -8110d1d0: dfc00317 ldw ra,12(sp) -8110d1d4: dc800217 ldw r18,8(sp) -8110d1d8: dc400117 ldw r17,4(sp) -8110d1dc: dc000017 ldw r16,0(sp) -8110d1e0: dec00404 addi sp,sp,16 -8110d1e4: 11023601 jmpi 81102360 -8110d1e8: 8c00b304 addi r16,r17,716 -8110d1ec: 8009883a mov r4,r16 -8110d1f0: 11023f00 call 811023f0 -8110d1f4: 04800044 movi r18,1 -8110d1f8: 8c80c515 stw r18,788(r17) +8110bf88: 193fffc4 addi r4,r3,-1 +8110bf8c: 8900ba15 stw r4,744(r17) +8110bf90: 10c5c83a sub r2,r2,r3 +8110bf94: 8880bb15 stw r2,748(r17) +8110bf98: 8c00be15 stw r16,760(r17) +8110bf9c: 8c00bf15 stw r16,764(r17) +8110bfa0: 9009883a mov r4,r18 +8110bfa4: 11023600 call 81102360 +8110bfa8: 003edc06 br 8110bb1c <__reset+0xfb0ebb1c> +8110bfac: 9009883a mov r4,r18 +8110bfb0: 1102a900 call 81102a90 +8110bfb4: 003f6406 br 8110bd48 <__reset+0xfb0ebd48> +8110bfb8: 88813117 ldw r2,1220(r17) +8110bfbc: 8800bb15 stw zero,748(r17) +8110bfc0: 8c00be15 stw r16,760(r17) +8110bfc4: 8880ba15 stw r2,744(r17) +8110bfc8: 8800bf15 stw zero,764(r17) +8110bfcc: 003ff406 br 8110bfa0 <__reset+0xfb0ebfa0> +8110bfd0: 89800003 ldbu r6,0(r17) +8110bfd4: d1207917 ldw r4,-32284(gp) +8110bfd8: 01604574 movhi r5,33045 +8110bfdc: 29626804 addi r5,r5,-30304 +8110bfe0: 112b6200 call 8112b620 +8110bfe4: 003f6106 br 8110bd6c <__reset+0xfb0ebd6c> +8110bfe8: 89800003 ldbu r6,0(r17) +8110bfec: d1207917 ldw r4,-32284(gp) +8110bff0: 01604574 movhi r5,33045 +8110bff4: 29624204 addi r5,r5,-30456 +8110bff8: 112b6200 call 8112b620 +8110bffc: 003f6b06 br 8110bdac <__reset+0xfb0ebdac> +8110c000: 89800003 ldbu r6,0(r17) +8110c004: d1207917 ldw r4,-32284(gp) +8110c008: 01604574 movhi r5,33045 +8110c00c: 29628e04 addi r5,r5,-30152 +8110c010: 112b6200 call 8112b620 +8110c014: 003f6f06 br 8110bdd4 <__reset+0xfb0ebdd4> +8110c018: 000b883a mov r5,zero +8110c01c: 9009883a mov r4,r18 +8110c020: 1102a900 call 81102a90 +8110c024: 003f5806 br 8110bd88 <__reset+0xfb0ebd88> +8110c028: 8800b815 stw zero,736(r17) +8110c02c: 003fc206 br 8110bf38 <__reset+0xfb0ebf38> + +8110c030 : +8110c030: defffc04 addi sp,sp,-16 +8110c034: dc400115 stw r17,4(sp) +8110c038: dc000015 stw r16,0(sp) +8110c03c: dfc00315 stw ra,12(sp) +8110c040: dc800215 stw r18,8(sp) +8110c044: 2023883a mov r17,r4 +8110c048: 21000003 ldbu r4,0(r4) +8110c04c: 2820d23a srli r16,r5,8 +8110c050: 01604574 movhi r5,33045 +8110c054: 21000044 addi r4,r4,1 +8110c058: 297348c4 addi r5,r5,-13021 +8110c05c: 21003fcc andi r4,r4,255 +8110c060: 111e1e00 call 8111e1e0 +8110c064: 81803fcc andi r6,r16,255 +8110c068: 00801a04 movi r2,104 +8110c06c: 1180d236 bltu r2,r6,8110c3b8 +8110c070: 300690ba slli r3,r6,2 +8110c074: 00a04474 movhi r2,33041 +8110c078: 10b02204 addi r2,r2,-16248 +8110c07c: 1885883a add r2,r3,r2 +8110c080: 10800017 ldw r2,0(r2) +8110c084: 1000683a jmp r2 +8110c088: 8110c398 cmpnei r4,r16,17166 +8110c08c: 8110c3b8 rdprs r4,r16,17166 +8110c090: 8110c3b8 rdprs r4,r16,17166 +8110c094: 8110c3b8 rdprs r4,r16,17166 +8110c098: 8110c340 call 88110c34 <__reset+0x20f0c34> +8110c09c: 8110c3b8 rdprs r4,r16,17166 +8110c0a0: 8110c3b8 rdprs r4,r16,17166 +8110c0a4: 8110c3b8 rdprs r4,r16,17166 +8110c0a8: 8110c368 cmpgeui r4,r16,17165 +8110c0ac: 8110c3b8 rdprs r4,r16,17166 +8110c0b0: 8110c3b8 rdprs r4,r16,17166 +8110c0b4: 8110c3b8 rdprs r4,r16,17166 +8110c0b8: 8110c2c4 addi r4,r16,17163 +8110c0bc: 8110c3b8 rdprs r4,r16,17166 +8110c0c0: 8110c3b8 rdprs r4,r16,17166 +8110c0c4: 8110c3b8 rdprs r4,r16,17166 +8110c0c8: 8110c2e8 cmpgeui r4,r16,17163 +8110c0cc: 8110c3b8 rdprs r4,r16,17166 +8110c0d0: 8110c3b8 rdprs r4,r16,17166 +8110c0d4: 8110c3b8 rdprs r4,r16,17166 +8110c0d8: 8110c300 call 88110c30 <__reset+0x20f0c30> +8110c0dc: 8110c3b8 rdprs r4,r16,17166 +8110c0e0: 8110c3b8 rdprs r4,r16,17166 +8110c0e4: 8110c3b8 rdprs r4,r16,17166 +8110c0e8: 8110c22c andhi r4,r16,17160 +8110c0ec: 8110c3b8 rdprs r4,r16,17166 +8110c0f0: 8110c3b8 rdprs r4,r16,17166 +8110c0f4: 8110c3b8 rdprs r4,r16,17166 +8110c0f8: 8110c22c andhi r4,r16,17160 +8110c0fc: 8110c3b8 rdprs r4,r16,17166 +8110c100: 8110c3b8 rdprs r4,r16,17166 +8110c104: 8110c3b8 rdprs r4,r16,17166 +8110c108: 8110c22c andhi r4,r16,17160 +8110c10c: 8110c3b8 rdprs r4,r16,17166 +8110c110: 8110c3b8 rdprs r4,r16,17166 +8110c114: 8110c3b8 rdprs r4,r16,17166 +8110c118: 8110c22c andhi r4,r16,17160 +8110c11c: 8110c3b8 rdprs r4,r16,17166 +8110c120: 8110c3b8 rdprs r4,r16,17166 +8110c124: 8110c3b8 rdprs r4,r16,17166 +8110c128: 8110c22c andhi r4,r16,17160 +8110c12c: 8110c3b8 rdprs r4,r16,17166 +8110c130: 8110c3b8 rdprs r4,r16,17166 +8110c134: 8110c3b8 rdprs r4,r16,17166 +8110c138: 8110c22c andhi r4,r16,17160 +8110c13c: 8110c3b8 rdprs r4,r16,17166 +8110c140: 8110c3b8 rdprs r4,r16,17166 +8110c144: 8110c3b8 rdprs r4,r16,17166 +8110c148: 8110c22c andhi r4,r16,17160 +8110c14c: 8110c3b8 rdprs r4,r16,17166 +8110c150: 8110c3b8 rdprs r4,r16,17166 +8110c154: 8110c3b8 rdprs r4,r16,17166 +8110c158: 8110c22c andhi r4,r16,17160 +8110c15c: 8110c3b8 rdprs r4,r16,17166 +8110c160: 8110c3b8 rdprs r4,r16,17166 +8110c164: 8110c3b8 rdprs r4,r16,17166 +8110c168: 8110c22c andhi r4,r16,17160 +8110c16c: 8110c3b8 rdprs r4,r16,17166 +8110c170: 8110c3b8 rdprs r4,r16,17166 +8110c174: 8110c3b8 rdprs r4,r16,17166 +8110c178: 8110c22c andhi r4,r16,17160 +8110c17c: 8110c3b8 rdprs r4,r16,17166 +8110c180: 8110c3b8 rdprs r4,r16,17166 +8110c184: 8110c3b8 rdprs r4,r16,17166 +8110c188: 8110c22c andhi r4,r16,17160 +8110c18c: 8110c3b8 rdprs r4,r16,17166 +8110c190: 8110c3b8 rdprs r4,r16,17166 +8110c194: 8110c3b8 rdprs r4,r16,17166 +8110c198: 8110c22c andhi r4,r16,17160 +8110c19c: 8110c3b8 rdprs r4,r16,17166 +8110c1a0: 8110c3b8 rdprs r4,r16,17166 +8110c1a4: 8110c3b8 rdprs r4,r16,17166 +8110c1a8: 8110c22c andhi r4,r16,17160 +8110c1ac: 8110c3b8 rdprs r4,r16,17166 +8110c1b0: 8110c3b8 rdprs r4,r16,17166 +8110c1b4: 8110c3b8 rdprs r4,r16,17166 +8110c1b8: 8110c22c andhi r4,r16,17160 +8110c1bc: 8110c3b8 rdprs r4,r16,17166 +8110c1c0: 8110c3b8 rdprs r4,r16,17166 +8110c1c4: 8110c3b8 rdprs r4,r16,17166 +8110c1c8: 8110c22c andhi r4,r16,17160 +8110c1cc: 8110c3b8 rdprs r4,r16,17166 +8110c1d0: 8110c3b8 rdprs r4,r16,17166 +8110c1d4: 8110c3b8 rdprs r4,r16,17166 +8110c1d8: 8110c258 cmpnei r4,r16,17161 +8110c1dc: 8110c3b8 rdprs r4,r16,17166 +8110c1e0: 8110c3b8 rdprs r4,r16,17166 +8110c1e4: 8110c3b8 rdprs r4,r16,17166 +8110c1e8: 8110c320 cmpeqi r4,r16,17164 +8110c1ec: 8110c3b8 rdprs r4,r16,17166 +8110c1f0: 8110c3b8 rdprs r4,r16,17166 +8110c1f4: 8110c3b8 rdprs r4,r16,17166 +8110c1f8: 8110c320 cmpeqi r4,r16,17164 +8110c1fc: 8110c3b8 rdprs r4,r16,17166 +8110c200: 8110c3b8 rdprs r4,r16,17166 +8110c204: 8110c3b8 rdprs r4,r16,17166 +8110c208: 8110c320 cmpeqi r4,r16,17164 +8110c20c: 8110c3b8 rdprs r4,r16,17166 +8110c210: 8110c3b8 rdprs r4,r16,17166 +8110c214: 8110c3b8 rdprs r4,r16,17166 +8110c218: 8110c320 cmpeqi r4,r16,17164 +8110c21c: 8110c3b8 rdprs r4,r16,17166 +8110c220: 8110c3b8 rdprs r4,r16,17166 +8110c224: 8110c3b8 rdprs r4,r16,17166 +8110c228: 8110c320 cmpeqi r4,r16,17164 +8110c22c: 00a04634 movhi r2,33048 +8110c230: 108f1904 addi r2,r2,15460 +8110c234: 10800803 ldbu r2,32(r2) +8110c238: 00c001c4 movi r3,7 +8110c23c: 1880662e bgeu r3,r2,8110c3d8 +8110c240: dfc00317 ldw ra,12(sp) +8110c244: dc800217 ldw r18,8(sp) +8110c248: dc400117 ldw r17,4(sp) +8110c24c: dc000017 ldw r16,0(sp) +8110c250: dec00404 addi sp,sp,16 +8110c254: f800283a ret +8110c258: 8880ab17 ldw r2,684(r17) +8110c25c: 88000b15 stw zero,44(r17) +8110c260: 00c003c4 movi r3,15 +8110c264: 10804017 ldw r2,256(r2) +8110c268: 1880d536 bltu r3,r2,8110c5c0 +8110c26c: 100490ba slli r2,r2,2 +8110c270: 00e04474 movhi r3,33041 +8110c274: 18f0a104 addi r3,r3,-15740 +8110c278: 10c5883a add r2,r2,r3 +8110c27c: 10800017 ldw r2,0(r2) +8110c280: 1000683a jmp r2 +8110c284: 8110c56c andhi r4,r16,17173 +8110c288: 8110c3fc xorhi r4,r16,17167 +8110c28c: 8110c3fc xorhi r4,r16,17167 +8110c290: 8110c5c0 call 88110c5c <__reset+0x20f0c5c> +8110c294: 8110c4fc xorhi r4,r16,17171 +8110c298: 8110c3fc xorhi r4,r16,17167 +8110c29c: 8110c3fc xorhi r4,r16,17167 +8110c2a0: 8110c458 cmpnei r4,r16,17169 +8110c2a4: 8110c49c xori r4,r16,17170 +8110c2a8: 8110c3fc xorhi r4,r16,17167 +8110c2ac: 8110c3fc xorhi r4,r16,17167 +8110c2b0: 8110c3fc xorhi r4,r16,17167 +8110c2b4: 8110c3fc xorhi r4,r16,17167 +8110c2b8: 8110c528 cmpgeui r4,r16,17172 +8110c2bc: 8110c528 cmpgeui r4,r16,17172 +8110c2c0: 8110c528 cmpgeui r4,r16,17172 +8110c2c4: 88c0ab17 ldw r3,684(r17) +8110c2c8: 00800044 movi r2,1 +8110c2cc: 88812515 stw r2,1172(r17) +8110c2d0: 19000b17 ldw r4,44(r3) +8110c2d4: 18c00c17 ldw r3,48(r3) +8110c2d8: 89013315 stw r4,1228(r17) +8110c2dc: 88812315 stw r2,1164(r17) +8110c2e0: 88c17415 stw r3,1488(r17) +8110c2e4: 003fd606 br 8110c240 <__reset+0xfb0ec240> +8110c2e8: 8880ab17 ldw r2,684(r17) +8110c2ec: 00c00044 movi r3,1 +8110c2f0: 88c12615 stw r3,1176(r17) +8110c2f4: 10801017 ldw r2,64(r2) +8110c2f8: 8881710d sth r2,1476(r17) +8110c2fc: 003fd006 br 8110c240 <__reset+0xfb0ec240> +8110c300: 8880ab17 ldw r2,684(r17) +8110c304: 00c00044 movi r3,1 +8110c308: 88c12715 stw r3,1180(r17) +8110c30c: 10c01517 ldw r3,84(r2) +8110c310: 10801717 ldw r2,92(r2) +8110c314: 88c17215 stw r3,1480(r17) +8110c318: 88817315 stw r2,1484(r17) +8110c31c: 003fc806 br 8110c240 <__reset+0xfb0ec240> +8110c320: 00a04634 movhi r2,33048 +8110c324: 108f1904 addi r2,r2,15460 +8110c328: 10800803 ldbu r2,32(r2) +8110c32c: 00c001c4 movi r3,7 +8110c330: 18bfc336 bltu r3,r2,8110c240 <__reset+0xfb0ec240> +8110c334: 01604574 movhi r5,33045 +8110c338: 29633004 addi r5,r5,-29504 +8110c33c: 00002806 br 8110c3e0 +8110c340: 00a04634 movhi r2,33048 +8110c344: 108f1904 addi r2,r2,15460 +8110c348: 10800803 ldbu r2,32(r2) +8110c34c: 00c001c4 movi r3,7 +8110c350: 18bfbb36 bltu r3,r2,8110c240 <__reset+0xfb0ec240> +8110c354: 01604574 movhi r5,33045 +8110c358: 2962dd04 addi r5,r5,-29836 +8110c35c: d1207917 ldw r4,-32284(gp) +8110c360: 01800104 movi r6,4 +8110c364: 00001f06 br 8110c3e4 +8110c368: 8880ab17 ldw r2,684(r17) +8110c36c: 00c00044 movi r3,1 +8110c370: 88c12415 stw r3,1168(r17) +8110c374: 10c00617 ldw r3,24(r2) +8110c378: 88c15545 stb r3,1365(r17) +8110c37c: 10c00717 ldw r3,28(r2) +8110c380: 88c15585 stb r3,1366(r17) +8110c384: 10c00817 ldw r3,32(r2) +8110c388: 88c155c5 stb r3,1367(r17) +8110c38c: 10800917 ldw r2,36(r2) +8110c390: 88815605 stb r2,1368(r17) +8110c394: 003faa06 br 8110c240 <__reset+0xfb0ec240> +8110c398: 8880ab17 ldw r2,684(r17) +8110c39c: 00c00044 movi r3,1 +8110c3a0: 88c12215 stw r3,1160(r17) +8110c3a4: 10c00017 ldw r3,0(r2) +8110c3a8: 10800117 ldw r2,4(r2) +8110c3ac: 88c13015 stw r3,1216(r17) +8110c3b0: 88813115 stw r2,1220(r17) +8110c3b4: 003fa206 br 8110c240 <__reset+0xfb0ec240> +8110c3b8: 00a04634 movhi r2,33048 +8110c3bc: 108f1904 addi r2,r2,15460 +8110c3c0: 10800803 ldbu r2,32(r2) +8110c3c4: 00c001c4 movi r3,7 +8110c3c8: 18bf9d36 bltu r3,r2,8110c240 <__reset+0xfb0ec240> +8110c3cc: 01604574 movhi r5,33045 +8110c3d0: 29633904 addi r5,r5,-29468 +8110c3d4: 00000206 br 8110c3e0 +8110c3d8: 01604574 movhi r5,33045 +8110c3dc: 2962dd04 addi r5,r5,-29836 +8110c3e0: d1207917 ldw r4,-32284(gp) +8110c3e4: dfc00317 ldw ra,12(sp) +8110c3e8: dc800217 ldw r18,8(sp) +8110c3ec: dc400117 ldw r17,4(sp) +8110c3f0: dc000017 ldw r16,0(sp) +8110c3f4: dec00404 addi sp,sp,16 +8110c3f8: 112b6201 jmpi 8112b620 +8110c3fc: 8c00b304 addi r16,r17,716 +8110c400: 8009883a mov r4,r16 +8110c404: 11023f00 call 811023f0 +8110c408: 04800044 movi r18,1 +8110c40c: 8c80c515 stw r18,788(r17) +8110c410: 8009883a mov r4,r16 +8110c414: 11023d00 call 811023d0 +8110c418: 00a04634 movhi r2,33048 +8110c41c: 108f1904 addi r2,r2,15460 +8110c420: 10800803 ldbu r2,32(r2) +8110c424: 00c001c4 movi r3,7 +8110c428: 18bf8536 bltu r3,r2,8110c240 <__reset+0xfb0ec240> +8110c42c: d1e07917 ldw r7,-32284(gp) +8110c430: 01204574 movhi r4,33045 +8110c434: 21235c04 addi r4,r4,-29328 +8110c438: 01800d84 movi r6,54 +8110c43c: 900b883a mov r5,r18 +8110c440: dfc00317 ldw ra,12(sp) +8110c444: dc800217 ldw r18,8(sp) +8110c448: dc400117 ldw r17,4(sp) +8110c44c: dc000017 ldw r16,0(sp) +8110c450: dec00404 addi sp,sp,16 +8110c454: 112bc8c1 jmpi 8112bc8c +8110c458: 8c00b304 addi r16,r17,716 +8110c45c: 8009883a mov r4,r16 +8110c460: 11023f00 call 811023f0 +8110c464: 04800044 movi r18,1 +8110c468: 8c80c515 stw r18,788(r17) +8110c46c: 8009883a mov r4,r16 +8110c470: 11023d00 call 811023d0 +8110c474: 00a04634 movhi r2,33048 +8110c478: 108f1904 addi r2,r2,15460 +8110c47c: 10800803 ldbu r2,32(r2) +8110c480: 00c001c4 movi r3,7 +8110c484: 18bf6e36 bltu r3,r2,8110c240 <__reset+0xfb0ec240> +8110c488: 01204574 movhi r4,33045 +8110c48c: d1e07917 ldw r7,-32284(gp) +8110c490: 21230804 addi r4,r4,-29664 +8110c494: 01800d84 movi r6,54 +8110c498: 003fe806 br 8110c43c <__reset+0xfb0ec43c> +8110c49c: 00c00304 movi r3,12 +8110c4a0: 00800344 movi r2,13 +8110c4a4: 8c00b304 addi r16,r17,716 +8110c4a8: 88c03315 stw r3,204(r17) +8110c4ac: 00c00084 movi r3,2 +8110c4b0: 8009883a mov r4,r16 +8110c4b4: 88c03415 stw r3,208(r17) +8110c4b8: 88002b15 stw zero,172(r17) +8110c4bc: 88803515 stw r2,212(r17) +8110c4c0: 88803115 stw r2,196(r17) +8110c4c4: 11023980 call 81102398 +8110c4c8: 00800044 movi r2,1 +8110c4cc: 8009883a mov r4,r16 +8110c4d0: 8880c315 stw r2,780(r17) +8110c4d4: 11023600 call 81102360 +8110c4d8: 89800003 ldbu r6,0(r17) +8110c4dc: 000b883a mov r5,zero +8110c4e0: 01002044 movi r4,129 +8110c4e4: dfc00317 ldw ra,12(sp) +8110c4e8: dc800217 ldw r18,8(sp) +8110c4ec: dc400117 ldw r17,4(sp) +8110c4f0: dc000017 ldw r16,0(sp) +8110c4f4: dec00404 addi sp,sp,16 +8110c4f8: 1109ac01 jmpi 81109ac0 +8110c4fc: 88803417 ldw r2,208(r17) +8110c500: 00c00144 movi r3,5 +8110c504: 10bffe84 addi r2,r2,-6 +8110c508: 18bfbc36 bltu r3,r2,8110c3fc <__reset+0xfb0ec3fc> +8110c50c: 88803317 ldw r2,204(r17) +8110c510: 00c00044 movi r3,1 +8110c514: 88c02b15 stw r3,172(r17) +8110c518: 00c00904 movi r3,36 +8110c51c: 88c03115 stw r3,196(r17) +8110c520: 88803515 stw r2,212(r17) +8110c524: 003f4606 br 8110c240 <__reset+0xfb0ec240> +8110c528: 8c00b304 addi r16,r17,716 +8110c52c: 8009883a mov r4,r16 +8110c530: 11023f00 call 811023f0 +8110c534: 04800044 movi r18,1 +8110c538: 8c80c515 stw r18,788(r17) +8110c53c: 8009883a mov r4,r16 +8110c540: 11023d00 call 811023d0 +8110c544: 00a04634 movhi r2,33048 +8110c548: 108f1904 addi r2,r2,15460 +8110c54c: 10800803 ldbu r2,32(r2) +8110c550: 00c001c4 movi r3,7 +8110c554: 18bf3a36 bltu r3,r2,8110c240 <__reset+0xfb0ec240> +8110c558: 01204574 movhi r4,33045 +8110c55c: d1e07917 ldw r7,-32284(gp) +8110c560: 21231604 addi r4,r4,-29608 +8110c564: 01800644 movi r6,25 +8110c568: 003fb406 br 8110c43c <__reset+0xfb0ec43c> +8110c56c: 88803417 ldw r2,208(r17) +8110c570: 04000044 movi r16,1 +8110c574: 10bfff04 addi r2,r2,-4 +8110c578: 8080232e bgeu r16,r2,8110c608 +8110c57c: 8c80b304 addi r18,r17,716 +8110c580: 9009883a mov r4,r18 +8110c584: 11023f00 call 811023f0 +8110c588: 9009883a mov r4,r18 +8110c58c: 8c00c515 stw r16,788(r17) +8110c590: 11023d00 call 811023d0 +8110c594: 00a04634 movhi r2,33048 +8110c598: 108f1904 addi r2,r2,15460 +8110c59c: 10800803 ldbu r2,32(r2) +8110c5a0: 00c001c4 movi r3,7 +8110c5a4: 18bf2636 bltu r3,r2,8110c240 <__reset+0xfb0ec240> +8110c5a8: 01204574 movhi r4,33045 +8110c5ac: d1e07917 ldw r7,-32284(gp) +8110c5b0: 21235c04 addi r4,r4,-29328 +8110c5b4: 01800d84 movi r6,54 +8110c5b8: 800b883a mov r5,r16 +8110c5bc: 003fa006 br 8110c440 <__reset+0xfb0ec440> +8110c5c0: 8c00b304 addi r16,r17,716 +8110c5c4: 8009883a mov r4,r16 +8110c5c8: 11023f00 call 811023f0 +8110c5cc: 00800044 movi r2,1 +8110c5d0: 8880c515 stw r2,788(r17) +8110c5d4: 8009883a mov r4,r16 +8110c5d8: 11023d00 call 811023d0 +8110c5dc: 00a04634 movhi r2,33048 +8110c5e0: 108f1904 addi r2,r2,15460 +8110c5e4: 10800803 ldbu r2,32(r2) +8110c5e8: 00c001c4 movi r3,7 +8110c5ec: 18bf1436 bltu r3,r2,8110c240 <__reset+0xfb0ec240> +8110c5f0: 8880ab17 ldw r2,684(r17) +8110c5f4: 01604574 movhi r5,33045 +8110c5f8: 29631d04 addi r5,r5,-29580 +8110c5fc: d1207917 ldw r4,-32284(gp) +8110c600: 11804003 ldbu r6,256(r2) +8110c604: 003f7706 br 8110c3e4 <__reset+0xfb0ec3e4> +8110c608: 88803317 ldw r2,204(r17) +8110c60c: 00c00904 movi r3,36 +8110c610: 8c002b15 stw r16,172(r17) +8110c614: 88c03115 stw r3,196(r17) +8110c618: 88803515 stw r2,212(r17) +8110c61c: 003f0806 br 8110c240 <__reset+0xfb0ec240> + +8110c620 : +8110c620: 21800003 ldbu r6,0(r4) +8110c624: 2806d63a srli r3,r5,24 +8110c628: 30800444 addi r2,r6,17 +8110c62c: 18800a26 beq r3,r2,8110c658 +8110c630: 00a04634 movhi r2,33048 +8110c634: 108f1904 addi r2,r2,15460 +8110c638: 10800803 ldbu r2,32(r2) +8110c63c: 00c001c4 movi r3,7 +8110c640: 1880012e bgeu r3,r2,8110c648 +8110c644: f800283a ret +8110c648: d1207917 ldw r4,-32284(gp) +8110c64c: 01604574 movhi r5,33045 +8110c650: 2962d304 addi r5,r5,-29876 +8110c654: 112b6201 jmpi 8112b620 +8110c658: 280ed43a srli r7,r5,16 +8110c65c: 00801d84 movi r2,118 +8110c660: 39c03fcc andi r7,r7,255 +8110c664: 3880e326 beq r7,r2,8110c9f4 +8110c668: defffc04 addi sp,sp,-16 +8110c66c: dc400115 stw r17,4(sp) +8110c670: dc000015 stw r16,0(sp) +8110c674: dfc00315 stw ra,12(sp) +8110c678: dc800215 stw r18,8(sp) +8110c67c: 2821883a mov r16,r5 +8110c680: 2023883a mov r17,r4 +8110c684: 11c0162e bgeu r2,r7,8110c6e0 +8110c688: 00803884 movi r2,226 +8110c68c: 11c0232e bgeu r2,r7,8110c71c +8110c690: 00803a04 movi r2,232 +8110c694: 38809826 beq r7,r2,8110c8f8 +8110c698: 00803c04 movi r2,240 +8110c69c: 38803d26 beq r7,r2,8110c794 +8110c6a0: 00803904 movi r2,228 +8110c6a4: 38801726 beq r7,r2,8110c704 +8110c6a8: 00a04634 movhi r2,33048 +8110c6ac: 108f1904 addi r2,r2,15460 +8110c6b0: 10800803 ldbu r2,32(r2) +8110c6b4: 00c001c4 movi r3,7 +8110c6b8: 18801236 bltu r3,r2,8110c704 +8110c6bc: d1207917 ldw r4,-32284(gp) +8110c6c0: 01604574 movhi r5,33045 +8110c6c4: 2963df04 addi r5,r5,-28804 +8110c6c8: dfc00317 ldw ra,12(sp) +8110c6cc: dc800217 ldw r18,8(sp) +8110c6d0: dc400117 ldw r17,4(sp) +8110c6d4: dc000017 ldw r16,0(sp) +8110c6d8: dec00404 addi sp,sp,16 +8110c6dc: 112b6201 jmpi 8112b620 +8110c6e0: 00800104 movi r2,4 +8110c6e4: 3880b826 beq r7,r2,8110c9c8 +8110c6e8: 11c0552e bgeu r2,r7,8110c840 +8110c6ec: 00800304 movi r2,12 +8110c6f0: 11c0392e bgeu r2,r7,8110c7d8 +8110c6f4: 00801c44 movi r2,113 +8110c6f8: 38bfeb1e bne r7,r2,8110c6a8 <__reset+0xfb0ec6a8> +8110c6fc: 00800944 movi r2,37 +8110c700: 20803115 stw r2,196(r4) +8110c704: dfc00317 ldw ra,12(sp) +8110c708: dc800217 ldw r18,8(sp) +8110c70c: dc400117 ldw r17,4(sp) +8110c710: dc000017 ldw r16,0(sp) +8110c714: dec00404 addi sp,sp,16 +8110c718: f800283a ret +8110c71c: 00803804 movi r2,224 +8110c720: 3880562e bgeu r7,r2,8110c87c +8110c724: 00802844 movi r2,161 +8110c728: 38803926 beq r7,r2,8110c810 +8110c72c: 008028c4 movi r2,163 +8110c730: 38bfdd1e bne r7,r2,8110c6a8 <__reset+0xfb0ec6a8> +8110c734: 00c00304 movi r3,12 +8110c738: 00800344 movi r2,13 +8110c73c: 2400b304 addi r16,r4,716 +8110c740: 88c03315 stw r3,204(r17) +8110c744: 00c00084 movi r3,2 +8110c748: 8009883a mov r4,r16 +8110c74c: 88002b15 stw zero,172(r17) +8110c750: 88c03415 stw r3,208(r17) +8110c754: 88803515 stw r2,212(r17) +8110c758: 88803115 stw r2,196(r17) +8110c75c: 11023980 call 81102398 +8110c760: 00800044 movi r2,1 +8110c764: 8009883a mov r4,r16 +8110c768: 8880c315 stw r2,780(r17) +8110c76c: 11023600 call 81102360 +8110c770: 89800003 ldbu r6,0(r17) +8110c774: 000b883a mov r5,zero +8110c778: 01002044 movi r4,129 +8110c77c: dfc00317 ldw ra,12(sp) +8110c780: dc800217 ldw r18,8(sp) +8110c784: dc400117 ldw r17,4(sp) +8110c788: dc000017 ldw r16,0(sp) +8110c78c: dec00404 addi sp,sp,16 +8110c790: 1109ac01 jmpi 81109ac0 +8110c794: 00a04634 movhi r2,33048 +8110c798: 108f1904 addi r2,r2,15460 +8110c79c: 10800803 ldbu r2,32(r2) +8110c7a0: 00c00104 movi r3,4 +8110c7a4: 18800436 bltu r3,r2,8110c7b8 +8110c7a8: d1207917 ldw r4,-32284(gp) +8110c7ac: 01604574 movhi r5,33045 +8110c7b0: 29634304 addi r5,r5,-29428 +8110c7b4: 112b6200 call 8112b620 +8110c7b8: 800b883a mov r5,r16 +8110c7bc: 8809883a mov r4,r17 +8110c7c0: dfc00317 ldw ra,12(sp) +8110c7c4: dc800217 ldw r18,8(sp) +8110c7c8: dc400117 ldw r17,4(sp) +8110c7cc: dc000017 ldw r16,0(sp) +8110c7d0: dec00404 addi sp,sp,16 +8110c7d4: 110c0301 jmpi 8110c030 +8110c7d8: 00a04634 movhi r2,33048 +8110c7dc: 108f1904 addi r2,r2,15460 +8110c7e0: 10800803 ldbu r2,32(r2) +8110c7e4: 00c001c4 movi r3,7 +8110c7e8: 18bfc636 bltu r3,r2,8110c704 <__reset+0xfb0ec704> +8110c7ec: 01604574 movhi r5,33045 +8110c7f0: 2962ae04 addi r5,r5,-30024 +8110c7f4: d1207917 ldw r4,-32284(gp) +8110c7f8: dfc00317 ldw ra,12(sp) +8110c7fc: dc800217 ldw r18,8(sp) +8110c800: dc400117 ldw r17,4(sp) +8110c804: dc000017 ldw r16,0(sp) +8110c808: dec00404 addi sp,sp,16 +8110c80c: 112b6201 jmpi 8112b620 +8110c810: 00800044 movi r2,1 +8110c814: 8c00b304 addi r16,r17,716 +8110c818: 88803415 stw r2,208(r17) +8110c81c: 00800304 movi r2,12 +8110c820: 8009883a mov r4,r16 +8110c824: 88002b15 stw zero,172(r17) +8110c828: 88003315 stw zero,204(r17) +8110c82c: 88803115 stw r2,196(r17) +8110c830: 11023980 call 81102398 +8110c834: 8009883a mov r4,r16 +8110c838: 8800c315 stw zero,780(r17) +8110c83c: 003fcb06 br 8110c76c <__reset+0xfb0ec76c> +8110c840: 00800044 movi r2,1 +8110c844: 38bff226 beq r7,r2,8110c810 <__reset+0xfb0ec810> +8110c848: 00c000c4 movi r3,3 +8110c84c: 38ff961e bne r7,r3,8110c6a8 <__reset+0xfb0ec6a8> +8110c850: 20c03417 ldw r3,208(r4) +8110c854: 18ffff04 addi r3,r3,-4 +8110c858: 10c07f2e bgeu r2,r3,8110ca58 +8110c85c: 00a04634 movhi r2,33048 +8110c860: 108f1904 addi r2,r2,15460 +8110c864: 10800803 ldbu r2,32(r2) +8110c868: 00c001c4 movi r3,7 +8110c86c: 18bfa536 bltu r3,r2,8110c704 <__reset+0xfb0ec704> +8110c870: 01604574 movhi r5,33045 +8110c874: 29636a04 addi r5,r5,-29272 +8110c878: 003fde06 br 8110c7f4 <__reset+0xfb0ec7f4> +8110c87c: 00a04634 movhi r2,33048 +8110c880: 108f1904 addi r2,r2,15460 +8110c884: 10800803 ldbu r2,32(r2) +8110c888: 00c00104 movi r3,4 +8110c88c: 18800936 bltu r3,r2,8110c8b4 +8110c890: d1207917 ldw r4,-32284(gp) +8110c894: 01604574 movhi r5,33045 +8110c898: 2963c304 addi r5,r5,-28916 +8110c89c: 112b6200 call 8112b620 +8110c8a0: 89800003 ldbu r6,0(r17) +8110c8a4: d1207917 ldw r4,-32284(gp) +8110c8a8: 01604574 movhi r5,33045 +8110c8ac: 2963ac04 addi r5,r5,-29008 +8110c8b0: 112b6200 call 8112b620 +8110c8b4: 00800044 movi r2,1 +8110c8b8: 8c00b304 addi r16,r17,716 +8110c8bc: 88803415 stw r2,208(r17) +8110c8c0: 00800304 movi r2,12 +8110c8c4: 8009883a mov r4,r16 +8110c8c8: 88002b15 stw zero,172(r17) +8110c8cc: 88003315 stw zero,204(r17) +8110c8d0: 88803115 stw r2,196(r17) +8110c8d4: 11023980 call 81102398 +8110c8d8: 8009883a mov r4,r16 +8110c8dc: 8800c315 stw zero,780(r17) +8110c8e0: dfc00317 ldw ra,12(sp) +8110c8e4: dc800217 ldw r18,8(sp) +8110c8e8: dc400117 ldw r17,4(sp) +8110c8ec: dc000017 ldw r16,0(sp) +8110c8f0: dec00404 addi sp,sp,16 +8110c8f4: 11023601 jmpi 81102360 +8110c8f8: 20812217 ldw r2,1160(r4) +8110c8fc: 10000a1e bne r2,zero,8110c928 +8110c900: 20812317 ldw r2,1164(r4) +8110c904: 1000081e bne r2,zero,8110c928 +8110c908: 20812617 ldw r2,1176(r4) +8110c90c: 1000061e bne r2,zero,8110c928 +8110c910: 20812417 ldw r2,1168(r4) +8110c914: 1000041e bne r2,zero,8110c928 +8110c918: 20812717 ldw r2,1180(r4) +8110c91c: 1000021e bne r2,zero,8110c928 +8110c920: 20812517 ldw r2,1172(r4) +8110c924: 10001526 beq r2,zero,8110c97c +8110c928: 8c012217 ldw r16,1160(r17) +8110c92c: 00800044 movi r2,1 +8110c930: 8080b926 beq r16,r2,8110cc18 +8110c934: 8c012317 ldw r16,1164(r17) +8110c938: 00800044 movi r2,1 +8110c93c: 8080ab26 beq r16,r2,8110cbec +8110c940: 8c012617 ldw r16,1176(r17) +8110c944: 00800044 movi r2,1 +8110c948: 80808f26 beq r16,r2,8110cb88 +8110c94c: 88c12717 ldw r3,1180(r17) +8110c950: 00800044 movi r2,1 +8110c954: 18808126 beq r3,r2,8110cb5c +8110c958: 88c12417 ldw r3,1168(r17) +8110c95c: 00800044 movi r2,1 +8110c960: 18805326 beq r3,r2,8110cab0 +8110c964: 88c12517 ldw r3,1172(r17) +8110c968: 00800044 movi r2,1 +8110c96c: 1880031e bne r3,r2,8110c97c +8110c970: 88012515 stw zero,1172(r17) +8110c974: 88813317 ldw r2,1228(r17) +8110c978: 88800c15 stw r2,48(r17) +8110c97c: 8c042d17 ldw r16,4276(r17) +8110c980: 00800044 movi r2,1 +8110c984: 80803a26 beq r16,r2,8110ca70 +8110c988: 8c042e17 ldw r16,4280(r17) +8110c98c: 00800044 movi r2,1 +8110c990: 80802126 beq r16,r2,8110ca18 +8110c994: 88c1ab17 ldw r3,1708(r17) +8110c998: 00800044 movi r2,1 +8110c99c: 18bf591e bne r3,r2,8110c704 <__reset+0xfb0ec704> +8110c9a0: 8900b304 addi r4,r17,716 +8110c9a4: 1102d340 call 81102d34 +8110c9a8: 10005626 beq r2,zero,8110cb04 +8110c9ac: 00a04634 movhi r2,33048 +8110c9b0: 108f1904 addi r2,r2,15460 +8110c9b4: 10800803 ldbu r2,32(r2) +8110c9b8: 00c001c4 movi r3,7 +8110c9bc: 1880bf2e bgeu r3,r2,8110ccbc +8110c9c0: 8801ab15 stw zero,1708(r17) +8110c9c4: 003f4f06 br 8110c704 <__reset+0xfb0ec704> +8110c9c8: 20803417 ldw r2,208(r4) +8110c9cc: 00c00144 movi r3,5 +8110c9d0: 10bffe84 addi r2,r2,-6 +8110c9d4: 18bfa136 bltu r3,r2,8110c85c <__reset+0xfb0ec85c> +8110c9d8: 20803317 ldw r2,204(r4) +8110c9dc: 00c00044 movi r3,1 +8110c9e0: 20c02b15 stw r3,172(r4) +8110c9e4: 00c00904 movi r3,36 +8110c9e8: 20c03115 stw r3,196(r4) +8110c9ec: 20803515 stw r2,212(r4) +8110c9f0: 003f4406 br 8110c704 <__reset+0xfb0ec704> +8110c9f4: 00a04634 movhi r2,33048 +8110c9f8: 108f1904 addi r2,r2,15460 +8110c9fc: 10800803 ldbu r2,32(r2) +8110ca00: 00c001c4 movi r3,7 +8110ca04: 18bf0f36 bltu r3,r2,8110c644 <__reset+0xfb0ec644> +8110ca08: d1207917 ldw r4,-32284(gp) +8110ca0c: 01604574 movhi r5,33045 +8110ca10: 29637d04 addi r5,r5,-29196 +8110ca14: 112b6201 jmpi 8112b620 +8110ca18: 8c80b304 addi r18,r17,716 +8110ca1c: 9009883a mov r4,r18 +8110ca20: 11027800 call 81102780 +8110ca24: 8940fe17 ldw r5,1016(r17) +8110ca28: 2c009b26 beq r5,r16,8110cc98 +8110ca2c: 01400044 movi r5,1 +8110ca30: 9009883a mov r4,r18 +8110ca34: 1102a080 call 81102a08 +8110ca38: 10002726 beq r2,zero,8110cad8 +8110ca3c: 00a04634 movhi r2,33048 +8110ca40: 108f1904 addi r2,r2,15460 +8110ca44: 10800803 ldbu r2,32(r2) +8110ca48: 00c001c4 movi r3,7 +8110ca4c: 18808c2e bgeu r3,r2,8110cc80 +8110ca50: 88042e15 stw zero,4280(r17) +8110ca54: 003fcf06 br 8110c994 <__reset+0xfb0ec994> +8110ca58: 20c03317 ldw r3,204(r4) +8110ca5c: 20802b15 stw r2,172(r4) +8110ca60: 00800904 movi r2,36 +8110ca64: 20803115 stw r2,196(r4) +8110ca68: 20c03515 stw r3,212(r4) +8110ca6c: 003f2506 br 8110c704 <__reset+0xfb0ec704> +8110ca70: 8c80b304 addi r18,r17,716 +8110ca74: 9009883a mov r4,r18 +8110ca78: 11027100 call 81102710 +8110ca7c: 8880ef17 ldw r2,956(r17) +8110ca80: 14009a26 beq r2,r16,8110ccec +8110ca84: 000b883a mov r5,zero +8110ca88: 9009883a mov r4,r18 +8110ca8c: 1102a080 call 81102a08 +8110ca90: 10002726 beq r2,zero,8110cb30 +8110ca94: 00a04634 movhi r2,33048 +8110ca98: 108f1904 addi r2,r2,15460 +8110ca9c: 10800803 ldbu r2,32(r2) +8110caa0: 00c001c4 movi r3,7 +8110caa4: 18808b2e bgeu r3,r2,8110ccd4 +8110caa8: 88042d15 stw zero,4276(r17) +8110caac: 003fb606 br 8110c988 <__reset+0xfb0ec988> +8110cab0: 88012415 stw zero,1168(r17) +8110cab4: 89415543 ldbu r5,1365(r17) +8110cab8: 89015583 ldbu r4,1366(r17) +8110cabc: 88c155c3 ldbu r3,1367(r17) +8110cac0: 88815603 ldbu r2,1368(r17) +8110cac4: 89402e45 stb r5,185(r17) +8110cac8: 89002e85 stb r4,186(r17) +8110cacc: 88c02ec5 stb r3,187(r17) +8110cad0: 88802f05 stb r2,188(r17) +8110cad4: 003fa306 br 8110c964 <__reset+0xfb0ec964> +8110cad8: 00a04634 movhi r2,33048 +8110cadc: 108f1904 addi r2,r2,15460 +8110cae0: 10800803 ldbu r2,32(r2) +8110cae4: 00c001c4 movi r3,7 +8110cae8: 18bfd936 bltu r3,r2,8110ca50 <__reset+0xfb0eca50> +8110caec: 89800003 ldbu r6,0(r17) +8110caf0: d1207917 ldw r4,-32284(gp) +8110caf4: 01604574 movhi r5,33045 +8110caf8: 29627a04 addi r5,r5,-30232 +8110cafc: 112b6200 call 8112b620 +8110cb00: 003fd306 br 8110ca50 <__reset+0xfb0eca50> +8110cb04: 00a04634 movhi r2,33048 +8110cb08: 108f1904 addi r2,r2,15460 +8110cb0c: 10800803 ldbu r2,32(r2) +8110cb10: 00c001c4 movi r3,7 +8110cb14: 18bfaa36 bltu r3,r2,8110c9c0 <__reset+0xfb0ec9c0> +8110cb18: 89800003 ldbu r6,0(r17) +8110cb1c: d1207917 ldw r4,-32284(gp) +8110cb20: 01604574 movhi r5,33045 +8110cb24: 29629d04 addi r5,r5,-30092 +8110cb28: 112b6200 call 8112b620 +8110cb2c: 003fa406 br 8110c9c0 <__reset+0xfb0ec9c0> +8110cb30: 00a04634 movhi r2,33048 +8110cb34: 108f1904 addi r2,r2,15460 +8110cb38: 10800803 ldbu r2,32(r2) +8110cb3c: 00c001c4 movi r3,7 +8110cb40: 18bfd936 bltu r3,r2,8110caa8 <__reset+0xfb0ecaa8> +8110cb44: 89800003 ldbu r6,0(r17) +8110cb48: d1207917 ldw r4,-32284(gp) +8110cb4c: 01604574 movhi r5,33045 +8110cb50: 29625404 addi r5,r5,-30384 +8110cb54: 112b6200 call 8112b620 +8110cb58: 003fd306 br 8110caa8 <__reset+0xfb0ecaa8> +8110cb5c: 8c006404 addi r16,r17,400 +8110cb60: 8009883a mov r4,r16 +8110cb64: 88012715 stw zero,1180(r17) +8110cb68: 1103bd00 call 81103bd0 +8110cb6c: 88c17217 ldw r3,1480(r17) +8110cb70: 88817317 ldw r2,1484(r17) +8110cb74: 8009883a mov r4,r16 +8110cb78: 88c06b15 stw r3,428(r17) +8110cb7c: 88806c15 stw r2,432(r17) +8110cb80: 1103c380 call 81103c38 +8110cb84: 003f7406 br 8110c958 <__reset+0xfb0ec958> +8110cb88: 8c80b304 addi r18,r17,716 +8110cb8c: 9009883a mov r4,r18 +8110cb90: 88012615 stw zero,1176(r17) +8110cb94: 11023980 call 81102398 +8110cb98: 8881710b ldhu r2,1476(r17) +8110cb9c: 9009883a mov r4,r18 +8110cba0: 8c806404 addi r18,r17,400 +8110cba4: 10bfffcc andi r2,r2,65535 +8110cba8: 8880c015 stw r2,768(r17) +8110cbac: 11023600 call 81102360 +8110cbb0: 89c1710b ldhu r7,1476(r17) +8110cbb4: 018006f4 movhi r6,27 +8110cbb8: 9009883a mov r4,r18 +8110cbbc: 31b85204 addi r6,r6,-7864 +8110cbc0: 000b883a mov r5,zero +8110cbc4: 39ffffcc andi r7,r7,65535 +8110cbc8: 1103d640 call 81103d64 +8110cbcc: 89c1710b ldhu r7,1476(r17) +8110cbd0: 018006f4 movhi r6,27 +8110cbd4: 31b85204 addi r6,r6,-7864 +8110cbd8: 800b883a mov r5,r16 +8110cbdc: 39ffffcc andi r7,r7,65535 +8110cbe0: 9009883a mov r4,r18 +8110cbe4: 1103d640 call 81103d64 +8110cbe8: 003f5806 br 8110c94c <__reset+0xfb0ec94c> +8110cbec: 8c80b304 addi r18,r17,716 +8110cbf0: 9009883a mov r4,r18 +8110cbf4: 11023980 call 81102398 +8110cbf8: 88012315 stw zero,1164(r17) +8110cbfc: 88817417 ldw r2,1488(r17) +8110cc00: 14003e26 beq r2,r16,8110ccfc +8110cc04: 88813017 ldw r2,1216(r17) +8110cc08: 8880b815 stw r2,736(r17) +8110cc0c: 9009883a mov r4,r18 +8110cc10: 11023600 call 81102360 +8110cc14: 003f4a06 br 8110c940 <__reset+0xfb0ec940> +8110cc18: 88012215 stw zero,1160(r17) +8110cc1c: 88c13017 ldw r3,1216(r17) +8110cc20: 88813117 ldw r2,1220(r17) +8110cc24: 8c80b304 addi r18,r17,716 +8110cc28: 88c00915 stw r3,36(r17) +8110cc2c: 9009883a mov r4,r18 +8110cc30: 88800a15 stw r2,40(r17) +8110cc34: 11023980 call 81102398 +8110cc38: 89413017 ldw r5,1216(r17) +8110cc3c: 89013117 ldw r4,1220(r17) +8110cc40: 88813117 ldw r2,1220(r17) +8110cc44: 88c04c0b ldhu r3,304(r17) +8110cc48: 8940b815 stw r5,736(r17) +8110cc4c: 8900b915 stw r4,740(r17) +8110cc50: 10800044 addi r2,r2,1 +8110cc54: 1880132e bgeu r3,r2,8110cca4 +8110cc58: 88813117 ldw r2,1220(r17) +8110cc5c: 193fffc4 addi r4,r3,-1 +8110cc60: 8900ba15 stw r4,744(r17) +8110cc64: 10c5c83a sub r2,r2,r3 +8110cc68: 8880bb15 stw r2,748(r17) +8110cc6c: 8c00be15 stw r16,760(r17) +8110cc70: 8c00bf15 stw r16,764(r17) +8110cc74: 9009883a mov r4,r18 +8110cc78: 11023600 call 81102360 +8110cc7c: 003f2d06 br 8110c934 <__reset+0xfb0ec934> +8110cc80: 89800003 ldbu r6,0(r17) +8110cc84: d1207917 ldw r4,-32284(gp) +8110cc88: 01604574 movhi r5,33045 +8110cc8c: 29626804 addi r5,r5,-30304 +8110cc90: 112b6200 call 8112b620 +8110cc94: 003f6e06 br 8110ca50 <__reset+0xfb0eca50> +8110cc98: 9009883a mov r4,r18 +8110cc9c: 1102a900 call 81102a90 +8110cca0: 003f6206 br 8110ca2c <__reset+0xfb0eca2c> +8110cca4: 88813117 ldw r2,1220(r17) +8110cca8: 8800bb15 stw zero,748(r17) +8110ccac: 8c00be15 stw r16,760(r17) +8110ccb0: 8880ba15 stw r2,744(r17) +8110ccb4: 8800bf15 stw zero,764(r17) +8110ccb8: 003fee06 br 8110cc74 <__reset+0xfb0ecc74> +8110ccbc: 89800003 ldbu r6,0(r17) +8110ccc0: d1207917 ldw r4,-32284(gp) +8110ccc4: 01604574 movhi r5,33045 +8110ccc8: 29628e04 addi r5,r5,-30152 +8110cccc: 112b6200 call 8112b620 +8110ccd0: 003f3b06 br 8110c9c0 <__reset+0xfb0ec9c0> +8110ccd4: 89800003 ldbu r6,0(r17) +8110ccd8: d1207917 ldw r4,-32284(gp) +8110ccdc: 01604574 movhi r5,33045 +8110cce0: 29624204 addi r5,r5,-30456 +8110cce4: 112b6200 call 8112b620 +8110cce8: 003f6f06 br 8110caa8 <__reset+0xfb0ecaa8> +8110ccec: 000b883a mov r5,zero +8110ccf0: 9009883a mov r4,r18 +8110ccf4: 1102a900 call 81102a90 +8110ccf8: 003f6206 br 8110ca84 <__reset+0xfb0eca84> +8110ccfc: 8800b815 stw zero,736(r17) +8110cd00: 003fc206 br 8110cc0c <__reset+0xfb0ecc0c> + +8110cd04 : +8110cd04: defffc04 addi sp,sp,-16 +8110cd08: dc400115 stw r17,4(sp) +8110cd0c: dc000015 stw r16,0(sp) +8110cd10: dfc00315 stw ra,12(sp) +8110cd14: dc800215 stw r18,8(sp) +8110cd18: 2023883a mov r17,r4 +8110cd1c: 21000003 ldbu r4,0(r4) +8110cd20: 2820d23a srli r16,r5,8 +8110cd24: 01604574 movhi r5,33045 +8110cd28: 21000044 addi r4,r4,1 +8110cd2c: 297348c4 addi r5,r5,-13021 +8110cd30: 21003fcc andi r4,r4,255 +8110cd34: 111e1e00 call 8111e1e0 +8110cd38: 81803fcc andi r6,r16,255 +8110cd3c: 00801a04 movi r2,104 +8110cd40: 1180d236 bltu r2,r6,8110d08c +8110cd44: 300690ba slli r3,r6,2 +8110cd48: 00a04474 movhi r2,33041 +8110cd4c: 10b35704 addi r2,r2,-12964 +8110cd50: 1885883a add r2,r3,r2 +8110cd54: 10800017 ldw r2,0(r2) +8110cd58: 1000683a jmp r2 +8110cd5c: 8110d06c andhi r4,r16,17217 +8110cd60: 8110d08c andi r4,r16,17218 +8110cd64: 8110d08c andi r4,r16,17218 +8110cd68: 8110d08c andi r4,r16,17218 +8110cd6c: 8110d014 ori r4,r16,17216 +8110cd70: 8110d08c andi r4,r16,17218 +8110cd74: 8110d08c andi r4,r16,17218 +8110cd78: 8110d08c andi r4,r16,17218 +8110cd7c: 8110d03c xorhi r4,r16,17216 +8110cd80: 8110d08c andi r4,r16,17218 +8110cd84: 8110d08c andi r4,r16,17218 +8110cd88: 8110d08c andi r4,r16,17218 +8110cd8c: 8110cf98 cmpnei r4,r16,17214 +8110cd90: 8110d08c andi r4,r16,17218 +8110cd94: 8110d08c andi r4,r16,17218 +8110cd98: 8110d08c andi r4,r16,17218 +8110cd9c: 8110cfbc xorhi r4,r16,17214 +8110cda0: 8110d08c andi r4,r16,17218 +8110cda4: 8110d08c andi r4,r16,17218 +8110cda8: 8110d08c andi r4,r16,17218 +8110cdac: 8110cfd4 ori r4,r16,17215 +8110cdb0: 8110d08c andi r4,r16,17218 +8110cdb4: 8110d08c andi r4,r16,17218 +8110cdb8: 8110d08c andi r4,r16,17218 +8110cdbc: 8110cf00 call 88110cf0 <__reset+0x20f0cf0> +8110cdc0: 8110d08c andi r4,r16,17218 +8110cdc4: 8110d08c andi r4,r16,17218 +8110cdc8: 8110d08c andi r4,r16,17218 +8110cdcc: 8110cf00 call 88110cf0 <__reset+0x20f0cf0> +8110cdd0: 8110d08c andi r4,r16,17218 +8110cdd4: 8110d08c andi r4,r16,17218 +8110cdd8: 8110d08c andi r4,r16,17218 +8110cddc: 8110cf00 call 88110cf0 <__reset+0x20f0cf0> +8110cde0: 8110d08c andi r4,r16,17218 +8110cde4: 8110d08c andi r4,r16,17218 +8110cde8: 8110d08c andi r4,r16,17218 +8110cdec: 8110cf00 call 88110cf0 <__reset+0x20f0cf0> +8110cdf0: 8110d08c andi r4,r16,17218 +8110cdf4: 8110d08c andi r4,r16,17218 +8110cdf8: 8110d08c andi r4,r16,17218 +8110cdfc: 8110cf00 call 88110cf0 <__reset+0x20f0cf0> +8110ce00: 8110d08c andi r4,r16,17218 +8110ce04: 8110d08c andi r4,r16,17218 +8110ce08: 8110d08c andi r4,r16,17218 +8110ce0c: 8110cf00 call 88110cf0 <__reset+0x20f0cf0> +8110ce10: 8110d08c andi r4,r16,17218 +8110ce14: 8110d08c andi r4,r16,17218 +8110ce18: 8110d08c andi r4,r16,17218 +8110ce1c: 8110cf00 call 88110cf0 <__reset+0x20f0cf0> +8110ce20: 8110d08c andi r4,r16,17218 +8110ce24: 8110d08c andi r4,r16,17218 +8110ce28: 8110d08c andi r4,r16,17218 +8110ce2c: 8110cf00 call 88110cf0 <__reset+0x20f0cf0> +8110ce30: 8110d08c andi r4,r16,17218 +8110ce34: 8110d08c andi r4,r16,17218 +8110ce38: 8110d08c andi r4,r16,17218 +8110ce3c: 8110cf00 call 88110cf0 <__reset+0x20f0cf0> +8110ce40: 8110d08c andi r4,r16,17218 +8110ce44: 8110d08c andi r4,r16,17218 +8110ce48: 8110d08c andi r4,r16,17218 +8110ce4c: 8110cf00 call 88110cf0 <__reset+0x20f0cf0> +8110ce50: 8110d08c andi r4,r16,17218 +8110ce54: 8110d08c andi r4,r16,17218 +8110ce58: 8110d08c andi r4,r16,17218 +8110ce5c: 8110cf00 call 88110cf0 <__reset+0x20f0cf0> +8110ce60: 8110d08c andi r4,r16,17218 +8110ce64: 8110d08c andi r4,r16,17218 +8110ce68: 8110d08c andi r4,r16,17218 +8110ce6c: 8110cf00 call 88110cf0 <__reset+0x20f0cf0> +8110ce70: 8110d08c andi r4,r16,17218 +8110ce74: 8110d08c andi r4,r16,17218 +8110ce78: 8110d08c andi r4,r16,17218 +8110ce7c: 8110cf00 call 88110cf0 <__reset+0x20f0cf0> +8110ce80: 8110d08c andi r4,r16,17218 +8110ce84: 8110d08c andi r4,r16,17218 +8110ce88: 8110d08c andi r4,r16,17218 +8110ce8c: 8110cf00 call 88110cf0 <__reset+0x20f0cf0> +8110ce90: 8110d08c andi r4,r16,17218 +8110ce94: 8110d08c andi r4,r16,17218 +8110ce98: 8110d08c andi r4,r16,17218 +8110ce9c: 8110cf00 call 88110cf0 <__reset+0x20f0cf0> +8110cea0: 8110d08c andi r4,r16,17218 +8110cea4: 8110d08c andi r4,r16,17218 +8110cea8: 8110d08c andi r4,r16,17218 +8110ceac: 8110cf2c andhi r4,r16,17212 +8110ceb0: 8110d08c andi r4,r16,17218 +8110ceb4: 8110d08c andi r4,r16,17218 +8110ceb8: 8110d08c andi r4,r16,17218 +8110cebc: 8110cff4 orhi r4,r16,17215 +8110cec0: 8110d08c andi r4,r16,17218 +8110cec4: 8110d08c andi r4,r16,17218 +8110cec8: 8110d08c andi r4,r16,17218 +8110cecc: 8110cff4 orhi r4,r16,17215 +8110ced0: 8110d08c andi r4,r16,17218 +8110ced4: 8110d08c andi r4,r16,17218 +8110ced8: 8110d08c andi r4,r16,17218 +8110cedc: 8110cff4 orhi r4,r16,17215 +8110cee0: 8110d08c andi r4,r16,17218 +8110cee4: 8110d08c andi r4,r16,17218 +8110cee8: 8110d08c andi r4,r16,17218 +8110ceec: 8110cff4 orhi r4,r16,17215 +8110cef0: 8110d08c andi r4,r16,17218 +8110cef4: 8110d08c andi r4,r16,17218 +8110cef8: 8110d08c andi r4,r16,17218 +8110cefc: 8110cff4 orhi r4,r16,17215 +8110cf00: 00a04634 movhi r2,33048 +8110cf04: 108f1904 addi r2,r2,15460 +8110cf08: 10800803 ldbu r2,32(r2) +8110cf0c: 00c001c4 movi r3,7 +8110cf10: 1880662e bgeu r3,r2,8110d0ac +8110cf14: dfc00317 ldw ra,12(sp) +8110cf18: dc800217 ldw r18,8(sp) +8110cf1c: dc400117 ldw r17,4(sp) +8110cf20: dc000017 ldw r16,0(sp) +8110cf24: dec00404 addi sp,sp,16 +8110cf28: f800283a ret +8110cf2c: 8880ab17 ldw r2,684(r17) +8110cf30: 88000b15 stw zero,44(r17) +8110cf34: 00c003c4 movi r3,15 +8110cf38: 10804017 ldw r2,256(r2) +8110cf3c: 18810236 bltu r3,r2,8110d348 +8110cf40: 100490ba slli r2,r2,2 +8110cf44: 00e04474 movhi r3,33041 +8110cf48: 18f3d604 addi r3,r3,-12456 +8110cf4c: 10c5883a add r2,r2,r3 +8110cf50: 10800017 ldw r2,0(r2) +8110cf54: 1000683a jmp r2 +8110cf58: 8110d320 cmpeqi r4,r16,17228 +8110cf5c: 8110d2dc xori r4,r16,17227 +8110cf60: 8110d2dc xori r4,r16,17227 +8110cf64: 8110d348 cmpgei r4,r16,17229 +8110cf68: 8110d2b0 cmpltui r4,r16,17226 +8110cf6c: 8110d288 cmpgei r4,r16,17226 +8110cf70: 8110d260 cmpeqi r4,r16,17225 +8110cf74: 8110d21c xori r4,r16,17224 +8110cf78: 8110d1cc andi r4,r16,17223 +8110cf7c: 8110d1a4 muli r4,r16,17222 +8110cf80: 8110d17c xorhi r4,r16,17221 +8110cf84: 8110d154 ori r4,r16,17221 +8110cf88: 8110d12c andhi r4,r16,17220 +8110cf8c: 8110d0d0 cmplti r4,r16,17219 +8110cf90: 8110d0d0 cmplti r4,r16,17219 +8110cf94: 8110d0d0 cmplti r4,r16,17219 +8110cf98: 88c0ab17 ldw r3,684(r17) +8110cf9c: 00800044 movi r2,1 +8110cfa0: 88812515 stw r2,1172(r17) +8110cfa4: 19000b17 ldw r4,44(r3) +8110cfa8: 18c00c17 ldw r3,48(r3) +8110cfac: 89013315 stw r4,1228(r17) +8110cfb0: 88812315 stw r2,1164(r17) +8110cfb4: 88c17415 stw r3,1488(r17) +8110cfb8: 003fd606 br 8110cf14 <__reset+0xfb0ecf14> +8110cfbc: 8880ab17 ldw r2,684(r17) +8110cfc0: 00c00044 movi r3,1 +8110cfc4: 88c12615 stw r3,1176(r17) +8110cfc8: 10801017 ldw r2,64(r2) +8110cfcc: 8881710d sth r2,1476(r17) +8110cfd0: 003fd006 br 8110cf14 <__reset+0xfb0ecf14> +8110cfd4: 8880ab17 ldw r2,684(r17) +8110cfd8: 00c00044 movi r3,1 +8110cfdc: 88c12715 stw r3,1180(r17) +8110cfe0: 10c01517 ldw r3,84(r2) +8110cfe4: 10801717 ldw r2,92(r2) +8110cfe8: 88c17215 stw r3,1480(r17) +8110cfec: 88817315 stw r2,1484(r17) +8110cff0: 003fc806 br 8110cf14 <__reset+0xfb0ecf14> +8110cff4: 00a04634 movhi r2,33048 +8110cff8: 108f1904 addi r2,r2,15460 +8110cffc: 10800803 ldbu r2,32(r2) +8110d000: 00c001c4 movi r3,7 +8110d004: 18bfc336 bltu r3,r2,8110cf14 <__reset+0xfb0ecf14> +8110d008: 01604574 movhi r5,33045 +8110d00c: 29633004 addi r5,r5,-29504 +8110d010: 00002806 br 8110d0b4 +8110d014: 00a04634 movhi r2,33048 +8110d018: 108f1904 addi r2,r2,15460 +8110d01c: 10800803 ldbu r2,32(r2) +8110d020: 00c001c4 movi r3,7 +8110d024: 18bfbb36 bltu r3,r2,8110cf14 <__reset+0xfb0ecf14> +8110d028: 01604574 movhi r5,33045 +8110d02c: 2962dd04 addi r5,r5,-29836 +8110d030: d1207917 ldw r4,-32284(gp) +8110d034: 01800104 movi r6,4 +8110d038: 00001f06 br 8110d0b8 +8110d03c: 8880ab17 ldw r2,684(r17) +8110d040: 00c00044 movi r3,1 +8110d044: 88c12415 stw r3,1168(r17) +8110d048: 10c00617 ldw r3,24(r2) +8110d04c: 88c15545 stb r3,1365(r17) +8110d050: 10c00717 ldw r3,28(r2) +8110d054: 88c15585 stb r3,1366(r17) +8110d058: 10c00817 ldw r3,32(r2) +8110d05c: 88c155c5 stb r3,1367(r17) +8110d060: 10800917 ldw r2,36(r2) +8110d064: 88815605 stb r2,1368(r17) +8110d068: 003faa06 br 8110cf14 <__reset+0xfb0ecf14> +8110d06c: 8880ab17 ldw r2,684(r17) +8110d070: 00c00044 movi r3,1 +8110d074: 88c12215 stw r3,1160(r17) +8110d078: 10c00017 ldw r3,0(r2) +8110d07c: 10800117 ldw r2,4(r2) +8110d080: 88c13015 stw r3,1216(r17) +8110d084: 88813115 stw r2,1220(r17) +8110d088: 003fa206 br 8110cf14 <__reset+0xfb0ecf14> +8110d08c: 00a04634 movhi r2,33048 +8110d090: 108f1904 addi r2,r2,15460 +8110d094: 10800803 ldbu r2,32(r2) +8110d098: 00c001c4 movi r3,7 +8110d09c: 18bf9d36 bltu r3,r2,8110cf14 <__reset+0xfb0ecf14> +8110d0a0: 01604574 movhi r5,33045 +8110d0a4: 29633904 addi r5,r5,-29468 +8110d0a8: 00000206 br 8110d0b4 +8110d0ac: 01604574 movhi r5,33045 +8110d0b0: 2962dd04 addi r5,r5,-29836 +8110d0b4: d1207917 ldw r4,-32284(gp) +8110d0b8: dfc00317 ldw ra,12(sp) +8110d0bc: dc800217 ldw r18,8(sp) +8110d0c0: dc400117 ldw r17,4(sp) +8110d0c4: dc000017 ldw r16,0(sp) +8110d0c8: dec00404 addi sp,sp,16 +8110d0cc: 112b6201 jmpi 8112b620 +8110d0d0: 8c00b304 addi r16,r17,716 +8110d0d4: 8009883a mov r4,r16 +8110d0d8: 11023f00 call 811023f0 +8110d0dc: 04800044 movi r18,1 +8110d0e0: 8c80c515 stw r18,788(r17) +8110d0e4: 8009883a mov r4,r16 +8110d0e8: 11023d00 call 811023d0 +8110d0ec: 00a04634 movhi r2,33048 +8110d0f0: 108f1904 addi r2,r2,15460 +8110d0f4: 10800803 ldbu r2,32(r2) +8110d0f8: 00c001c4 movi r3,7 +8110d0fc: 18bf8536 bltu r3,r2,8110cf14 <__reset+0xfb0ecf14> +8110d100: d1e07917 ldw r7,-32284(gp) +8110d104: 01204574 movhi r4,33045 +8110d108: 21231604 addi r4,r4,-29608 +8110d10c: 01800644 movi r6,25 +8110d110: 900b883a mov r5,r18 +8110d114: dfc00317 ldw ra,12(sp) +8110d118: dc800217 ldw r18,8(sp) +8110d11c: dc400117 ldw r17,4(sp) +8110d120: dc000017 ldw r16,0(sp) +8110d124: dec00404 addi sp,sp,16 +8110d128: 112bc8c1 jmpi 8112bc8c +8110d12c: 00c00044 movi r3,1 +8110d130: 88c02b15 stw r3,172(r17) +8110d134: 00c00384 movi r3,14 +8110d138: 008000c4 movi r2,3 +8110d13c: 88c03315 stw r3,204(r17) +8110d140: 00c00744 movi r3,29 +8110d144: 88803415 stw r2,208(r17) +8110d148: 88c03515 stw r3,212(r17) +8110d14c: 88803115 stw r2,196(r17) +8110d150: 003f7006 br 8110cf14 <__reset+0xfb0ecf14> +8110d154: 00c00044 movi r3,1 +8110d158: 88c02b15 stw r3,172(r17) +8110d15c: 00c00384 movi r3,14 +8110d160: 008000c4 movi r2,3 +8110d164: 88c03315 stw r3,204(r17) +8110d168: 00c006c4 movi r3,27 +8110d16c: 88803415 stw r2,208(r17) +8110d170: 88c03515 stw r3,212(r17) +8110d174: 88803115 stw r2,196(r17) +8110d178: 003f6606 br 8110cf14 <__reset+0xfb0ecf14> +8110d17c: 00c00044 movi r3,1 +8110d180: 88c02b15 stw r3,172(r17) +8110d184: 00c00384 movi r3,14 +8110d188: 008000c4 movi r2,3 +8110d18c: 88c03315 stw r3,204(r17) +8110d190: 00c00644 movi r3,25 +8110d194: 88803415 stw r2,208(r17) +8110d198: 88c03515 stw r3,212(r17) +8110d19c: 88803115 stw r2,196(r17) +8110d1a0: 003f5c06 br 8110cf14 <__reset+0xfb0ecf14> +8110d1a4: 00c00044 movi r3,1 +8110d1a8: 88c02b15 stw r3,172(r17) +8110d1ac: 00c00384 movi r3,14 +8110d1b0: 008000c4 movi r2,3 +8110d1b4: 88c03315 stw r3,204(r17) +8110d1b8: 00c005c4 movi r3,23 +8110d1bc: 88803415 stw r2,208(r17) +8110d1c0: 88c03515 stw r3,212(r17) +8110d1c4: 88803115 stw r2,196(r17) +8110d1c8: 003f5206 br 8110cf14 <__reset+0xfb0ecf14> +8110d1cc: 00c00384 movi r3,14 +8110d1d0: 00800344 movi r2,13 +8110d1d4: 8c00b304 addi r16,r17,716 +8110d1d8: 88c03315 stw r3,204(r17) +8110d1dc: 00c00084 movi r3,2 +8110d1e0: 8009883a mov r4,r16 +8110d1e4: 88002b15 stw zero,172(r17) +8110d1e8: 88c03415 stw r3,208(r17) +8110d1ec: 88803515 stw r2,212(r17) +8110d1f0: 88803115 stw r2,196(r17) +8110d1f4: 11023980 call 81102398 +8110d1f8: 00800044 movi r2,1 8110d1fc: 8009883a mov r4,r16 -8110d200: 11023d00 call 811023d0 -8110d204: 00a04634 movhi r2,33048 -8110d208: 108f0804 addi r2,r2,15392 -8110d20c: 10800803 ldbu r2,32(r2) -8110d210: 00c001c4 movi r3,7 -8110d214: 18bf3236 bltu r3,r2,8110cee0 <__reset+0xfb0ecee0> -8110d218: 01204574 movhi r4,33045 -8110d21c: d1e07917 ldw r7,-32284(gp) -8110d220: 2122f804 addi r4,r4,-29728 -8110d224: 01800d84 movi r6,54 -8110d228: 003fac06 br 8110d0dc <__reset+0xfb0ed0dc> -8110d22c: 00c00044 movi r3,1 -8110d230: 88c02b15 stw r3,172(r17) -8110d234: 00c00384 movi r3,14 -8110d238: 008000c4 movi r2,3 -8110d23c: 88c03315 stw r3,204(r17) -8110d240: 00c00544 movi r3,21 -8110d244: 88803415 stw r2,208(r17) -8110d248: 88c03515 stw r3,212(r17) -8110d24c: 88803115 stw r2,196(r17) -8110d250: 003f2306 br 8110cee0 <__reset+0xfb0ecee0> -8110d254: 00c00044 movi r3,1 -8110d258: 88c02b15 stw r3,172(r17) -8110d25c: 00c00384 movi r3,14 -8110d260: 008000c4 movi r2,3 -8110d264: 88c03315 stw r3,204(r17) -8110d268: 00c004c4 movi r3,19 -8110d26c: 88803415 stw r2,208(r17) -8110d270: 88c03515 stw r3,212(r17) -8110d274: 88803115 stw r2,196(r17) -8110d278: 003f1906 br 8110cee0 <__reset+0xfb0ecee0> -8110d27c: 00a04634 movhi r2,33048 -8110d280: 108f0804 addi r2,r2,15392 -8110d284: 10800803 ldbu r2,32(r2) -8110d288: 00c001c4 movi r3,7 -8110d28c: 18bf1436 bltu r3,r2,8110cee0 <__reset+0xfb0ecee0> -8110d290: 01204574 movhi r4,33045 -8110d294: d1e07917 ldw r7,-32284(gp) -8110d298: 2123f504 addi r4,r4,-28716 -8110d29c: 01800d44 movi r6,53 -8110d2a0: 01400044 movi r5,1 -8110d2a4: 003f8e06 br 8110d0e0 <__reset+0xfb0ed0e0> -8110d2a8: 8c00b304 addi r16,r17,716 -8110d2ac: 8009883a mov r4,r16 -8110d2b0: 11023f00 call 811023f0 -8110d2b4: 04800044 movi r18,1 -8110d2b8: 8c80c515 stw r18,788(r17) -8110d2bc: 8009883a mov r4,r16 -8110d2c0: 11023d00 call 811023d0 -8110d2c4: 00a04634 movhi r2,33048 -8110d2c8: 108f0804 addi r2,r2,15392 -8110d2cc: 10800803 ldbu r2,32(r2) -8110d2d0: 00c001c4 movi r3,7 -8110d2d4: 18bf0236 bltu r3,r2,8110cee0 <__reset+0xfb0ecee0> -8110d2d8: 01204574 movhi r4,33045 -8110d2dc: d1e07917 ldw r7,-32284(gp) -8110d2e0: 2123e304 addi r4,r4,-28788 -8110d2e4: 01801184 movi r6,70 -8110d2e8: 003f7c06 br 8110d0dc <__reset+0xfb0ed0dc> -8110d2ec: 00c00044 movi r3,1 -8110d2f0: 88c02b15 stw r3,172(r17) -8110d2f4: 00c00384 movi r3,14 -8110d2f8: 008000c4 movi r2,3 -8110d2fc: 88c03315 stw r3,204(r17) -8110d300: 00c00344 movi r3,13 -8110d304: 88803415 stw r2,208(r17) -8110d308: 88c03515 stw r3,212(r17) -8110d30c: 88803115 stw r2,196(r17) -8110d310: 003ef306 br 8110cee0 <__reset+0xfb0ecee0> -8110d314: 8c00b304 addi r16,r17,716 -8110d318: 8009883a mov r4,r16 -8110d31c: 11023f00 call 811023f0 -8110d320: 00800044 movi r2,1 -8110d324: 8880c515 stw r2,788(r17) -8110d328: 8009883a mov r4,r16 -8110d32c: 11023d00 call 811023d0 -8110d330: 00a04634 movhi r2,33048 -8110d334: 108f0804 addi r2,r2,15392 -8110d338: 10800803 ldbu r2,32(r2) -8110d33c: 00c001c4 movi r3,7 -8110d340: 18bee736 bltu r3,r2,8110cee0 <__reset+0xfb0ecee0> -8110d344: 8880ab17 ldw r2,684(r17) -8110d348: 01604574 movhi r5,33045 -8110d34c: 29630d04 addi r5,r5,-29644 -8110d350: d1207917 ldw r4,-32284(gp) -8110d354: 11804003 ldbu r6,256(r2) -8110d358: 003f4a06 br 8110d084 <__reset+0xfb0ed084> - -8110d35c : -8110d35c: 21800003 ldbu r6,0(r4) -8110d360: 2806d63a srli r3,r5,24 -8110d364: 30800444 addi r2,r6,17 -8110d368: 18800126 beq r3,r2,8110d370 -8110d36c: f800283a ret -8110d370: 280ed43a srli r7,r5,16 -8110d374: defffc04 addi sp,sp,-16 -8110d378: dfc00315 stw ra,12(sp) -8110d37c: dc800215 stw r18,8(sp) -8110d380: dc400115 stw r17,4(sp) -8110d384: dc000015 stw r16,0(sp) -8110d388: 39c03fcc andi r7,r7,255 -8110d38c: 00803c04 movi r2,240 -8110d390: 11c1d736 bltu r2,r7,8110daf0 -8110d394: 380690ba slli r3,r7,2 -8110d398: 00a04474 movhi r2,33041 -8110d39c: 10b4ed04 addi r2,r2,-11340 -8110d3a0: 1885883a add r2,r3,r2 -8110d3a4: 10800017 ldw r2,0(r2) -8110d3a8: 2821883a mov r16,r5 -8110d3ac: 2023883a mov r17,r4 -8110d3b0: 1000683a jmp r2 -8110d3b4: 8110daf0 cmpltui r4,r16,17259 -8110d3b8: 8110d7ec andhi r4,r16,17247 -8110d3bc: 8110daf0 cmpltui r4,r16,17259 -8110d3c0: 8110d838 rdprs r4,r16,17248 -8110d3c4: 8110daf0 cmpltui r4,r16,17259 -8110d3c8: 8110d860 cmpeqi r4,r16,17249 -8110d3cc: 8110d860 cmpeqi r4,r16,17249 -8110d3d0: 8110d898 cmpnei r4,r16,17250 -8110d3d4: 8110d8c0 call 88110d8c <__reset+0x20f0d8c> -8110d3d8: 8110d8e8 cmpgeui r4,r16,17251 -8110d3dc: 8110d910 cmplti r4,r16,17252 -8110d3e0: 8110d938 rdprs r4,r16,17252 -8110d3e4: 8110d960 cmpeqi r4,r16,17253 -8110d3e8: 8110daf0 cmpltui r4,r16,17259 -8110d3ec: 8110daf0 cmpltui r4,r16,17259 -8110d3f0: 8110daf0 cmpltui r4,r16,17259 -8110d3f4: 8110daf0 cmpltui r4,r16,17259 -8110d3f8: 8110daf0 cmpltui r4,r16,17259 -8110d3fc: 8110daf0 cmpltui r4,r16,17259 -8110d400: 8110daf0 cmpltui r4,r16,17259 -8110d404: 8110daf0 cmpltui r4,r16,17259 -8110d408: 8110daf0 cmpltui r4,r16,17259 -8110d40c: 8110daf0 cmpltui r4,r16,17259 -8110d410: 8110daf0 cmpltui r4,r16,17259 -8110d414: 8110daf0 cmpltui r4,r16,17259 -8110d418: 8110daf0 cmpltui r4,r16,17259 -8110d41c: 8110daf0 cmpltui r4,r16,17259 -8110d420: 8110daf0 cmpltui r4,r16,17259 -8110d424: 8110daf0 cmpltui r4,r16,17259 -8110d428: 8110daf0 cmpltui r4,r16,17259 -8110d42c: 8110daf0 cmpltui r4,r16,17259 -8110d430: 8110daf0 cmpltui r4,r16,17259 -8110d434: 8110daf0 cmpltui r4,r16,17259 -8110d438: 8110daf0 cmpltui r4,r16,17259 -8110d43c: 8110daf0 cmpltui r4,r16,17259 -8110d440: 8110daf0 cmpltui r4,r16,17259 -8110d444: 8110daf0 cmpltui r4,r16,17259 -8110d448: 8110daf0 cmpltui r4,r16,17259 -8110d44c: 8110daf0 cmpltui r4,r16,17259 -8110d450: 8110daf0 cmpltui r4,r16,17259 -8110d454: 8110daf0 cmpltui r4,r16,17259 -8110d458: 8110daf0 cmpltui r4,r16,17259 -8110d45c: 8110daf0 cmpltui r4,r16,17259 -8110d460: 8110daf0 cmpltui r4,r16,17259 -8110d464: 8110daf0 cmpltui r4,r16,17259 -8110d468: 8110daf0 cmpltui r4,r16,17259 -8110d46c: 8110daf0 cmpltui r4,r16,17259 -8110d470: 8110daf0 cmpltui r4,r16,17259 -8110d474: 8110daf0 cmpltui r4,r16,17259 -8110d478: 8110daf0 cmpltui r4,r16,17259 -8110d47c: 8110daf0 cmpltui r4,r16,17259 -8110d480: 8110daf0 cmpltui r4,r16,17259 -8110d484: 8110daf0 cmpltui r4,r16,17259 -8110d488: 8110daf0 cmpltui r4,r16,17259 -8110d48c: 8110daf0 cmpltui r4,r16,17259 -8110d490: 8110daf0 cmpltui r4,r16,17259 -8110d494: 8110daf0 cmpltui r4,r16,17259 -8110d498: 8110daf0 cmpltui r4,r16,17259 -8110d49c: 8110daf0 cmpltui r4,r16,17259 -8110d4a0: 8110daf0 cmpltui r4,r16,17259 -8110d4a4: 8110daf0 cmpltui r4,r16,17259 -8110d4a8: 8110daf0 cmpltui r4,r16,17259 -8110d4ac: 8110daf0 cmpltui r4,r16,17259 -8110d4b0: 8110daf0 cmpltui r4,r16,17259 -8110d4b4: 8110daf0 cmpltui r4,r16,17259 -8110d4b8: 8110daf0 cmpltui r4,r16,17259 -8110d4bc: 8110daf0 cmpltui r4,r16,17259 -8110d4c0: 8110daf0 cmpltui r4,r16,17259 -8110d4c4: 8110daf0 cmpltui r4,r16,17259 -8110d4c8: 8110daf0 cmpltui r4,r16,17259 -8110d4cc: 8110daf0 cmpltui r4,r16,17259 -8110d4d0: 8110daf0 cmpltui r4,r16,17259 -8110d4d4: 8110daf0 cmpltui r4,r16,17259 -8110d4d8: 8110daf0 cmpltui r4,r16,17259 -8110d4dc: 8110daf0 cmpltui r4,r16,17259 -8110d4e0: 8110daf0 cmpltui r4,r16,17259 -8110d4e4: 8110daf0 cmpltui r4,r16,17259 -8110d4e8: 8110daf0 cmpltui r4,r16,17259 -8110d4ec: 8110daf0 cmpltui r4,r16,17259 -8110d4f0: 8110daf0 cmpltui r4,r16,17259 -8110d4f4: 8110daf0 cmpltui r4,r16,17259 -8110d4f8: 8110daf0 cmpltui r4,r16,17259 -8110d4fc: 8110daf0 cmpltui r4,r16,17259 -8110d500: 8110daf0 cmpltui r4,r16,17259 -8110d504: 8110daf0 cmpltui r4,r16,17259 -8110d508: 8110daf0 cmpltui r4,r16,17259 -8110d50c: 8110daf0 cmpltui r4,r16,17259 -8110d510: 8110daf0 cmpltui r4,r16,17259 -8110d514: 8110daf0 cmpltui r4,r16,17259 -8110d518: 8110daf0 cmpltui r4,r16,17259 -8110d51c: 8110daf0 cmpltui r4,r16,17259 -8110d520: 8110daf0 cmpltui r4,r16,17259 -8110d524: 8110daf0 cmpltui r4,r16,17259 -8110d528: 8110daf0 cmpltui r4,r16,17259 -8110d52c: 8110daf0 cmpltui r4,r16,17259 -8110d530: 8110daf0 cmpltui r4,r16,17259 -8110d534: 8110daf0 cmpltui r4,r16,17259 -8110d538: 8110daf0 cmpltui r4,r16,17259 -8110d53c: 8110daf0 cmpltui r4,r16,17259 -8110d540: 8110daf0 cmpltui r4,r16,17259 -8110d544: 8110daf0 cmpltui r4,r16,17259 -8110d548: 8110daf0 cmpltui r4,r16,17259 -8110d54c: 8110daf0 cmpltui r4,r16,17259 -8110d550: 8110daf0 cmpltui r4,r16,17259 -8110d554: 8110daf0 cmpltui r4,r16,17259 -8110d558: 8110daf0 cmpltui r4,r16,17259 -8110d55c: 8110daf0 cmpltui r4,r16,17259 -8110d560: 8110daf0 cmpltui r4,r16,17259 -8110d564: 8110daf0 cmpltui r4,r16,17259 -8110d568: 8110daf0 cmpltui r4,r16,17259 -8110d56c: 8110daf0 cmpltui r4,r16,17259 -8110d570: 8110daf0 cmpltui r4,r16,17259 -8110d574: 8110daf0 cmpltui r4,r16,17259 -8110d578: 8110d790 cmplti r4,r16,17246 -8110d57c: 8110daf0 cmpltui r4,r16,17259 -8110d580: 8110daf0 cmpltui r4,r16,17259 -8110d584: 8110daf0 cmpltui r4,r16,17259 -8110d588: 8110daf0 cmpltui r4,r16,17259 -8110d58c: 8110d778 rdprs r4,r16,17245 -8110d590: 8110daf0 cmpltui r4,r16,17259 -8110d594: 8110daf0 cmpltui r4,r16,17259 -8110d598: 8110daf0 cmpltui r4,r16,17259 -8110d59c: 8110daf0 cmpltui r4,r16,17259 -8110d5a0: 8110daf0 cmpltui r4,r16,17259 -8110d5a4: 8110daf0 cmpltui r4,r16,17259 -8110d5a8: 8110daf0 cmpltui r4,r16,17259 -8110d5ac: 8110daf0 cmpltui r4,r16,17259 -8110d5b0: 8110daf0 cmpltui r4,r16,17259 -8110d5b4: 8110daf0 cmpltui r4,r16,17259 -8110d5b8: 8110daf0 cmpltui r4,r16,17259 -8110d5bc: 8110daf0 cmpltui r4,r16,17259 -8110d5c0: 8110daf0 cmpltui r4,r16,17259 -8110d5c4: 8110daf0 cmpltui r4,r16,17259 -8110d5c8: 8110daf0 cmpltui r4,r16,17259 -8110d5cc: 8110daf0 cmpltui r4,r16,17259 -8110d5d0: 8110daf0 cmpltui r4,r16,17259 -8110d5d4: 8110daf0 cmpltui r4,r16,17259 -8110d5d8: 8110daf0 cmpltui r4,r16,17259 -8110d5dc: 8110daf0 cmpltui r4,r16,17259 -8110d5e0: 8110daf0 cmpltui r4,r16,17259 -8110d5e4: 8110daf0 cmpltui r4,r16,17259 -8110d5e8: 8110daf0 cmpltui r4,r16,17259 -8110d5ec: 8110daf0 cmpltui r4,r16,17259 -8110d5f0: 8110d988 cmpgei r4,r16,17254 -8110d5f4: 8110daf0 cmpltui r4,r16,17259 -8110d5f8: 8110daf0 cmpltui r4,r16,17259 -8110d5fc: 8110daf0 cmpltui r4,r16,17259 -8110d600: 8110daf0 cmpltui r4,r16,17259 -8110d604: 8110daf0 cmpltui r4,r16,17259 -8110d608: 8110daf0 cmpltui r4,r16,17259 -8110d60c: 8110daf0 cmpltui r4,r16,17259 -8110d610: 8110daf0 cmpltui r4,r16,17259 -8110d614: 8110daf0 cmpltui r4,r16,17259 -8110d618: 8110daf0 cmpltui r4,r16,17259 -8110d61c: 8110daf0 cmpltui r4,r16,17259 -8110d620: 8110daf0 cmpltui r4,r16,17259 -8110d624: 8110daf0 cmpltui r4,r16,17259 -8110d628: 8110daf0 cmpltui r4,r16,17259 -8110d62c: 8110daf0 cmpltui r4,r16,17259 -8110d630: 8110daf0 cmpltui r4,r16,17259 -8110d634: 8110daf0 cmpltui r4,r16,17259 -8110d638: 8110d7ec andhi r4,r16,17247 -8110d63c: 8110daf0 cmpltui r4,r16,17259 -8110d640: 8110d9b0 cmpltui r4,r16,17254 -8110d644: 8110daf0 cmpltui r4,r16,17259 -8110d648: 8110daf0 cmpltui r4,r16,17259 -8110d64c: 8110daf0 cmpltui r4,r16,17259 -8110d650: 8110d898 cmpnei r4,r16,17250 -8110d654: 8110d8c0 call 88110d8c <__reset+0x20f0d8c> -8110d658: 8110d8e8 cmpgeui r4,r16,17251 -8110d65c: 8110d910 cmplti r4,r16,17252 -8110d660: 8110d938 rdprs r4,r16,17252 -8110d664: 8110d960 cmpeqi r4,r16,17253 -8110d668: 8110daf0 cmpltui r4,r16,17259 -8110d66c: 8110daf0 cmpltui r4,r16,17259 -8110d670: 8110daf0 cmpltui r4,r16,17259 -8110d674: 8110daf0 cmpltui r4,r16,17259 -8110d678: 8110daf0 cmpltui r4,r16,17259 -8110d67c: 8110daf0 cmpltui r4,r16,17259 -8110d680: 8110daf0 cmpltui r4,r16,17259 -8110d684: 8110daf0 cmpltui r4,r16,17259 -8110d688: 8110daf0 cmpltui r4,r16,17259 -8110d68c: 8110daf0 cmpltui r4,r16,17259 -8110d690: 8110daf0 cmpltui r4,r16,17259 -8110d694: 8110daf0 cmpltui r4,r16,17259 -8110d698: 8110daf0 cmpltui r4,r16,17259 -8110d69c: 8110daf0 cmpltui r4,r16,17259 -8110d6a0: 8110daf0 cmpltui r4,r16,17259 -8110d6a4: 8110daf0 cmpltui r4,r16,17259 -8110d6a8: 8110daf0 cmpltui r4,r16,17259 -8110d6ac: 8110daf0 cmpltui r4,r16,17259 -8110d6b0: 8110daf0 cmpltui r4,r16,17259 -8110d6b4: 8110daf0 cmpltui r4,r16,17259 -8110d6b8: 8110daf0 cmpltui r4,r16,17259 -8110d6bc: 8110daf0 cmpltui r4,r16,17259 -8110d6c0: 8110daf0 cmpltui r4,r16,17259 -8110d6c4: 8110daf0 cmpltui r4,r16,17259 -8110d6c8: 8110daf0 cmpltui r4,r16,17259 -8110d6cc: 8110daf0 cmpltui r4,r16,17259 -8110d6d0: 8110daf0 cmpltui r4,r16,17259 -8110d6d4: 8110daf0 cmpltui r4,r16,17259 -8110d6d8: 8110daf0 cmpltui r4,r16,17259 -8110d6dc: 8110daf0 cmpltui r4,r16,17259 -8110d6e0: 8110daf0 cmpltui r4,r16,17259 -8110d6e4: 8110daf0 cmpltui r4,r16,17259 -8110d6e8: 8110daf0 cmpltui r4,r16,17259 -8110d6ec: 8110daf0 cmpltui r4,r16,17259 -8110d6f0: 8110daf0 cmpltui r4,r16,17259 -8110d6f4: 8110daf0 cmpltui r4,r16,17259 -8110d6f8: 8110daf0 cmpltui r4,r16,17259 -8110d6fc: 8110daf0 cmpltui r4,r16,17259 -8110d700: 8110daf0 cmpltui r4,r16,17259 -8110d704: 8110daf0 cmpltui r4,r16,17259 -8110d708: 8110daf0 cmpltui r4,r16,17259 -8110d70c: 8110daf0 cmpltui r4,r16,17259 -8110d710: 8110daf0 cmpltui r4,r16,17259 -8110d714: 8110daf0 cmpltui r4,r16,17259 -8110d718: 8110daf0 cmpltui r4,r16,17259 -8110d71c: 8110daf0 cmpltui r4,r16,17259 -8110d720: 8110daf0 cmpltui r4,r16,17259 -8110d724: 8110daf0 cmpltui r4,r16,17259 -8110d728: 8110daf0 cmpltui r4,r16,17259 -8110d72c: 8110daf0 cmpltui r4,r16,17259 -8110d730: 8110daf0 cmpltui r4,r16,17259 -8110d734: 8110d790 cmplti r4,r16,17246 -8110d738: 8110d790 cmplti r4,r16,17246 -8110d73c: 8110d790 cmplti r4,r16,17246 -8110d740: 8110daf0 cmpltui r4,r16,17259 -8110d744: 8110d790 cmplti r4,r16,17246 -8110d748: 8110daf0 cmpltui r4,r16,17259 -8110d74c: 8110daf0 cmpltui r4,r16,17259 -8110d750: 8110daf0 cmpltui r4,r16,17259 -8110d754: 8110d9ec andhi r4,r16,17255 -8110d758: 8110daf0 cmpltui r4,r16,17259 -8110d75c: 8110daf0 cmpltui r4,r16,17259 -8110d760: 8110daf0 cmpltui r4,r16,17259 -8110d764: 8110daf0 cmpltui r4,r16,17259 -8110d768: 8110daf0 cmpltui r4,r16,17259 -8110d76c: 8110daf0 cmpltui r4,r16,17259 -8110d770: 8110daf0 cmpltui r4,r16,17259 -8110d774: 8110d7a8 cmpgeui r4,r16,17246 -8110d778: 29403fcc andi r5,r5,255 -8110d77c: 2800ea26 beq r5,zero,8110db28 -8110d780: 00800044 movi r2,1 -8110d784: 2880fa26 beq r5,r2,8110db70 -8110d788: 00800084 movi r2,2 -8110d78c: 20804915 stw r2,292(r4) -8110d790: dfc00317 ldw ra,12(sp) -8110d794: dc800217 ldw r18,8(sp) -8110d798: dc400117 ldw r17,4(sp) -8110d79c: dc000017 ldw r16,0(sp) -8110d7a0: dec00404 addi sp,sp,16 -8110d7a4: f800283a ret -8110d7a8: 00a04634 movhi r2,33048 -8110d7ac: 108f0804 addi r2,r2,15392 -8110d7b0: 10800803 ldbu r2,32(r2) -8110d7b4: 00c00104 movi r3,4 -8110d7b8: 18800436 bltu r3,r2,8110d7cc -8110d7bc: d1207917 ldw r4,-32284(gp) -8110d7c0: 01604574 movhi r5,33045 -8110d7c4: 29633304 addi r5,r5,-29492 -8110d7c8: 112b5e00 call 8112b5e0 -8110d7cc: 800b883a mov r5,r16 -8110d7d0: 8809883a mov r4,r17 -8110d7d4: dfc00317 ldw ra,12(sp) -8110d7d8: dc800217 ldw r18,8(sp) -8110d7dc: dc400117 ldw r17,4(sp) -8110d7e0: dc000017 ldw r16,0(sp) -8110d7e4: dec00404 addi sp,sp,16 -8110d7e8: 110ccd01 jmpi 8110ccd0 -8110d7ec: 00800044 movi r2,1 -8110d7f0: 2400b304 addi r16,r4,716 -8110d7f4: 00c00344 movi r3,13 -8110d7f8: 88803415 stw r2,208(r17) -8110d7fc: 88803515 stw r2,212(r17) -8110d800: 00800304 movi r2,12 -8110d804: 8009883a mov r4,r16 -8110d808: 88002b15 stw zero,172(r17) -8110d80c: 88c03315 stw r3,204(r17) -8110d810: 88803115 stw r2,196(r17) -8110d814: 11023980 call 81102398 -8110d818: 8009883a mov r4,r16 -8110d81c: 8800c315 stw zero,780(r17) -8110d820: dfc00317 ldw ra,12(sp) -8110d824: dc800217 ldw r18,8(sp) -8110d828: dc400117 ldw r17,4(sp) -8110d82c: dc000017 ldw r16,0(sp) -8110d830: dec00404 addi sp,sp,16 -8110d834: 11023601 jmpi 81102360 -8110d838: 00c00044 movi r3,1 -8110d83c: 20c02b15 stw r3,172(r4) -8110d840: 00c00384 movi r3,14 -8110d844: 008000c4 movi r2,3 -8110d848: 20c03315 stw r3,204(r4) -8110d84c: 00c00344 movi r3,13 -8110d850: 20803415 stw r2,208(r4) -8110d854: 20c03515 stw r3,212(r4) -8110d858: 20803115 stw r2,196(r4) -8110d85c: 003fcc06 br 8110d790 <__reset+0xfb0ed790> -8110d860: 00a04634 movhi r2,33048 -8110d864: 108f0804 addi r2,r2,15392 -8110d868: 10800803 ldbu r2,32(r2) -8110d86c: 00c001c4 movi r3,7 -8110d870: 18bfc736 bltu r3,r2,8110d790 <__reset+0xfb0ed790> -8110d874: d1207917 ldw r4,-32284(gp) -8110d878: 01604574 movhi r5,33045 -8110d87c: 29629e04 addi r5,r5,-30088 -8110d880: dfc00317 ldw ra,12(sp) -8110d884: dc800217 ldw r18,8(sp) -8110d888: dc400117 ldw r17,4(sp) -8110d88c: dc000017 ldw r16,0(sp) -8110d890: dec00404 addi sp,sp,16 -8110d894: 112b5e01 jmpi 8112b5e0 -8110d898: 00c00044 movi r3,1 -8110d89c: 20c02b15 stw r3,172(r4) -8110d8a0: 00c00384 movi r3,14 -8110d8a4: 008000c4 movi r2,3 -8110d8a8: 20c03315 stw r3,204(r4) -8110d8ac: 00c004c4 movi r3,19 -8110d8b0: 20803415 stw r2,208(r4) -8110d8b4: 20c03515 stw r3,212(r4) -8110d8b8: 20803115 stw r2,196(r4) -8110d8bc: 003fb406 br 8110d790 <__reset+0xfb0ed790> -8110d8c0: 00c00044 movi r3,1 -8110d8c4: 20c02b15 stw r3,172(r4) -8110d8c8: 00c00384 movi r3,14 -8110d8cc: 008000c4 movi r2,3 -8110d8d0: 20c03315 stw r3,204(r4) -8110d8d4: 00c00544 movi r3,21 -8110d8d8: 20803415 stw r2,208(r4) -8110d8dc: 20c03515 stw r3,212(r4) -8110d8e0: 20803115 stw r2,196(r4) -8110d8e4: 003faa06 br 8110d790 <__reset+0xfb0ed790> -8110d8e8: 00c00044 movi r3,1 -8110d8ec: 20c02b15 stw r3,172(r4) -8110d8f0: 00c00384 movi r3,14 -8110d8f4: 008000c4 movi r2,3 -8110d8f8: 20c03315 stw r3,204(r4) -8110d8fc: 00c005c4 movi r3,23 -8110d900: 20803415 stw r2,208(r4) -8110d904: 20c03515 stw r3,212(r4) -8110d908: 20803115 stw r2,196(r4) -8110d90c: 003fa006 br 8110d790 <__reset+0xfb0ed790> -8110d910: 00c00044 movi r3,1 -8110d914: 20c02b15 stw r3,172(r4) -8110d918: 00c00384 movi r3,14 -8110d91c: 008000c4 movi r2,3 -8110d920: 20c03315 stw r3,204(r4) -8110d924: 00c00644 movi r3,25 -8110d928: 20803415 stw r2,208(r4) -8110d92c: 20c03515 stw r3,212(r4) -8110d930: 20803115 stw r2,196(r4) -8110d934: 003f9606 br 8110d790 <__reset+0xfb0ed790> -8110d938: 00c00044 movi r3,1 -8110d93c: 20c02b15 stw r3,172(r4) -8110d940: 00c00384 movi r3,14 -8110d944: 008000c4 movi r2,3 -8110d948: 20c03315 stw r3,204(r4) -8110d94c: 00c006c4 movi r3,27 -8110d950: 20803415 stw r2,208(r4) -8110d954: 20c03515 stw r3,212(r4) -8110d958: 20803115 stw r2,196(r4) -8110d95c: 003f8c06 br 8110d790 <__reset+0xfb0ed790> -8110d960: 00c00044 movi r3,1 -8110d964: 20c02b15 stw r3,172(r4) -8110d968: 00c00384 movi r3,14 -8110d96c: 008000c4 movi r2,3 -8110d970: 20c03315 stw r3,204(r4) -8110d974: 00c00744 movi r3,29 -8110d978: 20803415 stw r2,208(r4) -8110d97c: 20c03515 stw r3,212(r4) -8110d980: 20803115 stw r2,196(r4) -8110d984: 003f8206 br 8110d790 <__reset+0xfb0ed790> -8110d988: 280ad23a srli r5,r5,8 -8110d98c: 20002615 stw zero,152(r4) -8110d990: 01002044 movi r4,129 -8110d994: 29403fcc andi r5,r5,255 -8110d998: dfc00317 ldw ra,12(sp) -8110d99c: dc800217 ldw r18,8(sp) -8110d9a0: dc400117 ldw r17,4(sp) -8110d9a4: dc000017 ldw r16,0(sp) -8110d9a8: dec00404 addi sp,sp,16 -8110d9ac: 1109a8c1 jmpi 81109a8c -8110d9b0: 00c00384 movi r3,14 -8110d9b4: 00800344 movi r2,13 -8110d9b8: 2400b304 addi r16,r4,716 -8110d9bc: 88c03315 stw r3,204(r17) -8110d9c0: 00c00084 movi r3,2 -8110d9c4: 8009883a mov r4,r16 -8110d9c8: 88002b15 stw zero,172(r17) -8110d9cc: 88c03415 stw r3,208(r17) -8110d9d0: 88803515 stw r2,212(r17) -8110d9d4: 88803115 stw r2,196(r17) -8110d9d8: 11023980 call 81102398 -8110d9dc: 00800044 movi r2,1 -8110d9e0: 8880c315 stw r2,780(r17) -8110d9e4: 8009883a mov r4,r16 -8110d9e8: 003f8d06 br 8110d820 <__reset+0xfb0ed820> -8110d9ec: 20812217 ldw r2,1160(r4) -8110d9f0: 10000a1e bne r2,zero,8110da1c -8110d9f4: 20812317 ldw r2,1164(r4) -8110d9f8: 1000081e bne r2,zero,8110da1c -8110d9fc: 20812617 ldw r2,1176(r4) -8110da00: 1000061e bne r2,zero,8110da1c -8110da04: 20812417 ldw r2,1168(r4) -8110da08: 1000041e bne r2,zero,8110da1c -8110da0c: 20812717 ldw r2,1180(r4) -8110da10: 1000021e bne r2,zero,8110da1c -8110da14: 20812517 ldw r2,1172(r4) -8110da18: 10001526 beq r2,zero,8110da70 -8110da1c: 8c012217 ldw r16,1160(r17) -8110da20: 00800044 movi r2,1 -8110da24: 8080df26 beq r16,r2,8110dda4 -8110da28: 8c012317 ldw r16,1164(r17) -8110da2c: 00800044 movi r2,1 -8110da30: 8080d126 beq r16,r2,8110dd78 -8110da34: 8c012617 ldw r16,1176(r17) -8110da38: 00800044 movi r2,1 -8110da3c: 8080b526 beq r16,r2,8110dd14 -8110da40: 88c12717 ldw r3,1180(r17) -8110da44: 00800044 movi r2,1 -8110da48: 1880a726 beq r3,r2,8110dce8 -8110da4c: 88c12417 ldw r3,1168(r17) -8110da50: 00800044 movi r2,1 -8110da54: 18806226 beq r3,r2,8110dbe0 -8110da58: 88c12517 ldw r3,1172(r17) -8110da5c: 00800044 movi r2,1 -8110da60: 1880031e bne r3,r2,8110da70 -8110da64: 88012515 stw zero,1172(r17) -8110da68: 88813317 ldw r2,1228(r17) -8110da6c: 88800c15 stw r2,48(r17) -8110da70: 8c042d17 ldw r16,4276(r17) -8110da74: 00800044 movi r2,1 -8110da78: 80804926 beq r16,r2,8110dba0 -8110da7c: 8c042e17 ldw r16,4280(r17) -8110da80: 00800044 movi r2,1 -8110da84: 80802a26 beq r16,r2,8110db30 -8110da88: 88c1ab17 ldw r3,1708(r17) -8110da8c: 00800044 movi r2,1 -8110da90: 18803926 beq r3,r2,8110db78 -8110da94: 88803517 ldw r2,212(r17) -8110da98: 88c03417 ldw r3,208(r17) -8110da9c: 10ff3c26 beq r2,r3,8110d790 <__reset+0xfb0ed790> -8110daa0: 88803115 stw r2,196(r17) -8110daa4: 00c00344 movi r3,13 -8110daa8: 10c06226 beq r2,r3,8110dc34 -8110daac: 00c004c4 movi r3,19 -8110dab0: 10c06e26 beq r2,r3,8110dc6c -8110dab4: 00c00544 movi r3,21 -8110dab8: 10c07c26 beq r2,r3,8110dcac -8110dabc: 00c005c4 movi r3,23 -8110dac0: 10c07f26 beq r2,r3,8110dcc0 -8110dac4: 00c00644 movi r3,25 -8110dac8: 10c08226 beq r2,r3,8110dcd4 -8110dacc: 00c006c4 movi r3,27 -8110dad0: 10c0ce26 beq r2,r3,8110de0c -8110dad4: 00c00744 movi r3,29 -8110dad8: 10ff2d1e bne r2,r3,8110d790 <__reset+0xfb0ed790> -8110dadc: 8c00b304 addi r16,r17,716 -8110dae0: 8009883a mov r4,r16 -8110dae4: 11023980 call 81102398 -8110dae8: 00800404 movi r2,16 -8110daec: 003fbc06 br 8110d9e0 <__reset+0xfb0ed9e0> -8110daf0: 00a04634 movhi r2,33048 -8110daf4: 108f0804 addi r2,r2,15392 -8110daf8: 10800803 ldbu r2,32(r2) -8110dafc: 00c001c4 movi r3,7 -8110db00: 18bf2336 bltu r3,r2,8110d790 <__reset+0xfb0ed790> -8110db04: d1207917 ldw r4,-32284(gp) -8110db08: 01604574 movhi r5,33045 -8110db0c: 29640304 addi r5,r5,-28660 -8110db10: dfc00317 ldw ra,12(sp) -8110db14: dc800217 ldw r18,8(sp) -8110db18: dc400117 ldw r17,4(sp) -8110db1c: dc000017 ldw r16,0(sp) -8110db20: dec00404 addi sp,sp,16 -8110db24: 112b5e01 jmpi 8112b5e0 -8110db28: 20004915 stw zero,292(r4) -8110db2c: 003f1806 br 8110d790 <__reset+0xfb0ed790> -8110db30: 8c80b304 addi r18,r17,716 -8110db34: 9009883a mov r4,r18 -8110db38: 11027800 call 81102780 -8110db3c: 8940fe17 ldw r5,1016(r17) -8110db40: 2c00bd26 beq r5,r16,8110de38 -8110db44: 01400044 movi r5,1 -8110db48: 9009883a mov r4,r18 -8110db4c: 1102a080 call 81102a08 -8110db50: 10002d26 beq r2,zero,8110dc08 -8110db54: 00a04634 movhi r2,33048 -8110db58: 108f0804 addi r2,r2,15392 -8110db5c: 10800803 ldbu r2,32(r2) -8110db60: 00c001c4 movi r3,7 -8110db64: 1880ae2e bgeu r3,r2,8110de20 -8110db68: 88042e15 stw zero,4280(r17) -8110db6c: 003fc606 br 8110da88 <__reset+0xfb0eda88> -8110db70: 21404915 stw r5,292(r4) -8110db74: 003f0606 br 8110d790 <__reset+0xfb0ed790> -8110db78: 8900b304 addi r4,r17,716 -8110db7c: 1102d340 call 81102d34 -8110db80: 10002f26 beq r2,zero,8110dc40 -8110db84: 00a04634 movhi r2,33048 -8110db88: 108f0804 addi r2,r2,15392 -8110db8c: 10800803 ldbu r2,32(r2) -8110db90: 00c001c4 movi r3,7 -8110db94: 1880b72e bgeu r3,r2,8110de74 -8110db98: 8801ab15 stw zero,1708(r17) -8110db9c: 003fbd06 br 8110da94 <__reset+0xfb0eda94> -8110dba0: 8c80b304 addi r18,r17,716 -8110dba4: 9009883a mov r4,r18 -8110dba8: 11027100 call 81102710 -8110dbac: 8880ef17 ldw r2,956(r17) -8110dbb0: 1400b626 beq r2,r16,8110de8c -8110dbb4: 000b883a mov r5,zero -8110dbb8: 9009883a mov r4,r18 -8110dbbc: 1102a080 call 81102a08 -8110dbc0: 10002f26 beq r2,zero,8110dc80 -8110dbc4: 00a04634 movhi r2,33048 -8110dbc8: 108f0804 addi r2,r2,15392 -8110dbcc: 10800803 ldbu r2,32(r2) -8110dbd0: 00c001c4 movi r3,7 -8110dbd4: 1880a12e bgeu r3,r2,8110de5c -8110dbd8: 88042d15 stw zero,4276(r17) -8110dbdc: 003fa706 br 8110da7c <__reset+0xfb0eda7c> -8110dbe0: 88012415 stw zero,1168(r17) -8110dbe4: 89415543 ldbu r5,1365(r17) -8110dbe8: 89015583 ldbu r4,1366(r17) -8110dbec: 88c155c3 ldbu r3,1367(r17) -8110dbf0: 88815603 ldbu r2,1368(r17) -8110dbf4: 89402e45 stb r5,185(r17) -8110dbf8: 89002e85 stb r4,186(r17) -8110dbfc: 88c02ec5 stb r3,187(r17) -8110dc00: 88802f05 stb r2,188(r17) -8110dc04: 003f9406 br 8110da58 <__reset+0xfb0eda58> -8110dc08: 00a04634 movhi r2,33048 -8110dc0c: 108f0804 addi r2,r2,15392 -8110dc10: 10800803 ldbu r2,32(r2) -8110dc14: 00c001c4 movi r3,7 -8110dc18: 18bfd336 bltu r3,r2,8110db68 <__reset+0xfb0edb68> -8110dc1c: 89800003 ldbu r6,0(r17) -8110dc20: d1207917 ldw r4,-32284(gp) -8110dc24: 01604574 movhi r5,33045 -8110dc28: 29626a04 addi r5,r5,-30296 -8110dc2c: 112b5e00 call 8112b5e0 -8110dc30: 003fcd06 br 8110db68 <__reset+0xfb0edb68> -8110dc34: 8c00b304 addi r16,r17,716 -8110dc38: 8009883a mov r4,r16 -8110dc3c: 003f6606 br 8110d9d8 <__reset+0xfb0ed9d8> -8110dc40: 00a04634 movhi r2,33048 -8110dc44: 108f0804 addi r2,r2,15392 -8110dc48: 10800803 ldbu r2,32(r2) -8110dc4c: 00c001c4 movi r3,7 -8110dc50: 18bfd136 bltu r3,r2,8110db98 <__reset+0xfb0edb98> -8110dc54: 89800003 ldbu r6,0(r17) -8110dc58: d1207917 ldw r4,-32284(gp) -8110dc5c: 01604574 movhi r5,33045 -8110dc60: 29628d04 addi r5,r5,-30156 -8110dc64: 112b5e00 call 8112b5e0 -8110dc68: 003fcb06 br 8110db98 <__reset+0xfb0edb98> -8110dc6c: 8c00b304 addi r16,r17,716 -8110dc70: 8009883a mov r4,r16 -8110dc74: 11023980 call 81102398 -8110dc78: 00800184 movi r2,6 -8110dc7c: 003f5806 br 8110d9e0 <__reset+0xfb0ed9e0> -8110dc80: 00a04634 movhi r2,33048 -8110dc84: 108f0804 addi r2,r2,15392 -8110dc88: 10800803 ldbu r2,32(r2) -8110dc8c: 00c001c4 movi r3,7 -8110dc90: 18bfd136 bltu r3,r2,8110dbd8 <__reset+0xfb0edbd8> -8110dc94: 89800003 ldbu r6,0(r17) -8110dc98: d1207917 ldw r4,-32284(gp) -8110dc9c: 01604574 movhi r5,33045 -8110dca0: 29624404 addi r5,r5,-30448 -8110dca4: 112b5e00 call 8112b5e0 -8110dca8: 003fcb06 br 8110dbd8 <__reset+0xfb0edbd8> -8110dcac: 8c00b304 addi r16,r17,716 -8110dcb0: 8009883a mov r4,r16 -8110dcb4: 11023980 call 81102398 -8110dcb8: 00800204 movi r2,8 -8110dcbc: 003f4806 br 8110d9e0 <__reset+0xfb0ed9e0> -8110dcc0: 8c00b304 addi r16,r17,716 -8110dcc4: 8009883a mov r4,r16 -8110dcc8: 11023980 call 81102398 -8110dccc: 00800304 movi r2,12 -8110dcd0: 003f4306 br 8110d9e0 <__reset+0xfb0ed9e0> -8110dcd4: 8c00b304 addi r16,r17,716 -8110dcd8: 8009883a mov r4,r16 -8110dcdc: 11023980 call 81102398 -8110dce0: 00800384 movi r2,14 -8110dce4: 003f3e06 br 8110d9e0 <__reset+0xfb0ed9e0> -8110dce8: 8c006404 addi r16,r17,400 -8110dcec: 8009883a mov r4,r16 -8110dcf0: 88012715 stw zero,1180(r17) -8110dcf4: 1103bd00 call 81103bd0 -8110dcf8: 88c17217 ldw r3,1480(r17) -8110dcfc: 88817317 ldw r2,1484(r17) -8110dd00: 8009883a mov r4,r16 -8110dd04: 88c06b15 stw r3,428(r17) -8110dd08: 88806c15 stw r2,432(r17) -8110dd0c: 1103c380 call 81103c38 -8110dd10: 003f4e06 br 8110da4c <__reset+0xfb0eda4c> -8110dd14: 8c80b304 addi r18,r17,716 -8110dd18: 9009883a mov r4,r18 -8110dd1c: 88012615 stw zero,1176(r17) -8110dd20: 11023980 call 81102398 -8110dd24: 8881710b ldhu r2,1476(r17) -8110dd28: 9009883a mov r4,r18 -8110dd2c: 8c806404 addi r18,r17,400 -8110dd30: 10bfffcc andi r2,r2,65535 -8110dd34: 8880c015 stw r2,768(r17) -8110dd38: 11023600 call 81102360 -8110dd3c: 89c1710b ldhu r7,1476(r17) -8110dd40: 018006f4 movhi r6,27 -8110dd44: 9009883a mov r4,r18 -8110dd48: 31b85204 addi r6,r6,-7864 -8110dd4c: 000b883a mov r5,zero -8110dd50: 39ffffcc andi r7,r7,65535 -8110dd54: 1103d640 call 81103d64 -8110dd58: 89c1710b ldhu r7,1476(r17) -8110dd5c: 018006f4 movhi r6,27 -8110dd60: 31b85204 addi r6,r6,-7864 -8110dd64: 800b883a mov r5,r16 -8110dd68: 39ffffcc andi r7,r7,65535 -8110dd6c: 9009883a mov r4,r18 -8110dd70: 1103d640 call 81103d64 -8110dd74: 003f3206 br 8110da40 <__reset+0xfb0eda40> -8110dd78: 8c80b304 addi r18,r17,716 -8110dd7c: 9009883a mov r4,r18 -8110dd80: 11023980 call 81102398 -8110dd84: 88012315 stw zero,1164(r17) -8110dd88: 88817417 ldw r2,1488(r17) -8110dd8c: 14004326 beq r2,r16,8110de9c -8110dd90: 88813017 ldw r2,1216(r17) -8110dd94: 8880b815 stw r2,736(r17) -8110dd98: 9009883a mov r4,r18 -8110dd9c: 11023600 call 81102360 -8110dda0: 003f2406 br 8110da34 <__reset+0xfb0eda34> -8110dda4: 88012215 stw zero,1160(r17) -8110dda8: 88c13017 ldw r3,1216(r17) -8110ddac: 88813117 ldw r2,1220(r17) -8110ddb0: 8c80b304 addi r18,r17,716 -8110ddb4: 88c00915 stw r3,36(r17) -8110ddb8: 9009883a mov r4,r18 -8110ddbc: 88800a15 stw r2,40(r17) -8110ddc0: 11023980 call 81102398 -8110ddc4: 89413017 ldw r5,1216(r17) -8110ddc8: 89013117 ldw r4,1220(r17) -8110ddcc: 88813117 ldw r2,1220(r17) -8110ddd0: 88c04c0b ldhu r3,304(r17) -8110ddd4: 8940b815 stw r5,736(r17) -8110ddd8: 8900b915 stw r4,740(r17) -8110dddc: 10800044 addi r2,r2,1 -8110dde0: 1880182e bgeu r3,r2,8110de44 -8110dde4: 88813117 ldw r2,1220(r17) -8110dde8: 193fffc4 addi r4,r3,-1 -8110ddec: 8900ba15 stw r4,744(r17) -8110ddf0: 10c5c83a sub r2,r2,r3 -8110ddf4: 8880bb15 stw r2,748(r17) -8110ddf8: 8c00be15 stw r16,760(r17) -8110ddfc: 8c00bf15 stw r16,764(r17) -8110de00: 9009883a mov r4,r18 -8110de04: 11023600 call 81102360 -8110de08: 003f0706 br 8110da28 <__reset+0xfb0eda28> -8110de0c: 8c00b304 addi r16,r17,716 -8110de10: 8009883a mov r4,r16 -8110de14: 11023980 call 81102398 -8110de18: 008003c4 movi r2,15 -8110de1c: 003ef006 br 8110d9e0 <__reset+0xfb0ed9e0> -8110de20: 89800003 ldbu r6,0(r17) -8110de24: d1207917 ldw r4,-32284(gp) -8110de28: 01604574 movhi r5,33045 -8110de2c: 29625804 addi r5,r5,-30368 -8110de30: 112b5e00 call 8112b5e0 -8110de34: 003f4c06 br 8110db68 <__reset+0xfb0edb68> -8110de38: 9009883a mov r4,r18 -8110de3c: 1102a900 call 81102a90 -8110de40: 003f4006 br 8110db44 <__reset+0xfb0edb44> -8110de44: 88813117 ldw r2,1220(r17) -8110de48: 8800bb15 stw zero,748(r17) -8110de4c: 8c00be15 stw r16,760(r17) -8110de50: 8880ba15 stw r2,744(r17) -8110de54: 8800bf15 stw zero,764(r17) -8110de58: 003fe906 br 8110de00 <__reset+0xfb0ede00> -8110de5c: 89800003 ldbu r6,0(r17) -8110de60: d1207917 ldw r4,-32284(gp) -8110de64: 01604574 movhi r5,33045 -8110de68: 29623204 addi r5,r5,-30520 -8110de6c: 112b5e00 call 8112b5e0 -8110de70: 003f5906 br 8110dbd8 <__reset+0xfb0edbd8> -8110de74: 89800003 ldbu r6,0(r17) -8110de78: d1207917 ldw r4,-32284(gp) -8110de7c: 01604574 movhi r5,33045 -8110de80: 29627e04 addi r5,r5,-30216 -8110de84: 112b5e00 call 8112b5e0 -8110de88: 003f4306 br 8110db98 <__reset+0xfb0edb98> -8110de8c: 000b883a mov r5,zero -8110de90: 9009883a mov r4,r18 -8110de94: 1102a900 call 81102a90 -8110de98: 003f4606 br 8110dbb4 <__reset+0xfb0edbb4> -8110de9c: 8800b815 stw zero,736(r17) -8110dea0: 003fbd06 br 8110dd98 <__reset+0xfb0edd98> - -8110dea4 : -8110dea4: defffc04 addi sp,sp,-16 -8110dea8: dc400115 stw r17,4(sp) -8110deac: dc000015 stw r16,0(sp) -8110deb0: dfc00315 stw ra,12(sp) -8110deb4: dc800215 stw r18,8(sp) -8110deb8: 2023883a mov r17,r4 -8110debc: 21000003 ldbu r4,0(r4) -8110dec0: 2820d23a srli r16,r5,8 -8110dec4: 01604574 movhi r5,33045 -8110dec8: 21000044 addi r4,r4,1 -8110decc: 297337c4 addi r5,r5,-13089 -8110ded0: 21003fcc andi r4,r4,255 -8110ded4: 111e1a00 call 8111e1a0 -8110ded8: 81803fcc andi r6,r16,255 -8110dedc: 00801a04 movi r2,104 -8110dee0: 1180d236 bltu r2,r6,8110e22c -8110dee4: 300690ba slli r3,r6,2 -8110dee8: 00a04474 movhi r2,33041 -8110deec: 10b7bf04 addi r2,r2,-8452 -8110def0: 1885883a add r2,r3,r2 -8110def4: 10800017 ldw r2,0(r2) -8110def8: 1000683a jmp r2 -8110defc: 8110e20c andi r4,r16,17288 -8110df00: 8110e22c andhi r4,r16,17288 -8110df04: 8110e22c andhi r4,r16,17288 -8110df08: 8110e22c andhi r4,r16,17288 -8110df0c: 8110e1b4 orhi r4,r16,17286 -8110df10: 8110e22c andhi r4,r16,17288 -8110df14: 8110e22c andhi r4,r16,17288 -8110df18: 8110e22c andhi r4,r16,17288 -8110df1c: 8110e1dc xori r4,r16,17287 -8110df20: 8110e22c andhi r4,r16,17288 -8110df24: 8110e22c andhi r4,r16,17288 -8110df28: 8110e22c andhi r4,r16,17288 -8110df2c: 8110e138 rdprs r4,r16,17284 -8110df30: 8110e22c andhi r4,r16,17288 -8110df34: 8110e22c andhi r4,r16,17288 -8110df38: 8110e22c andhi r4,r16,17288 -8110df3c: 8110e15c xori r4,r16,17285 -8110df40: 8110e22c andhi r4,r16,17288 -8110df44: 8110e22c andhi r4,r16,17288 -8110df48: 8110e22c andhi r4,r16,17288 -8110df4c: 8110e174 orhi r4,r16,17285 -8110df50: 8110e22c andhi r4,r16,17288 -8110df54: 8110e22c andhi r4,r16,17288 -8110df58: 8110e22c andhi r4,r16,17288 -8110df5c: 8110e0a0 cmpeqi r4,r16,17282 -8110df60: 8110e22c andhi r4,r16,17288 -8110df64: 8110e22c andhi r4,r16,17288 -8110df68: 8110e22c andhi r4,r16,17288 -8110df6c: 8110e0a0 cmpeqi r4,r16,17282 -8110df70: 8110e22c andhi r4,r16,17288 -8110df74: 8110e22c andhi r4,r16,17288 -8110df78: 8110e22c andhi r4,r16,17288 -8110df7c: 8110e0a0 cmpeqi r4,r16,17282 -8110df80: 8110e22c andhi r4,r16,17288 -8110df84: 8110e22c andhi r4,r16,17288 -8110df88: 8110e22c andhi r4,r16,17288 -8110df8c: 8110e0a0 cmpeqi r4,r16,17282 -8110df90: 8110e22c andhi r4,r16,17288 -8110df94: 8110e22c andhi r4,r16,17288 -8110df98: 8110e22c andhi r4,r16,17288 -8110df9c: 8110e0a0 cmpeqi r4,r16,17282 -8110dfa0: 8110e22c andhi r4,r16,17288 -8110dfa4: 8110e22c andhi r4,r16,17288 -8110dfa8: 8110e22c andhi r4,r16,17288 -8110dfac: 8110e0a0 cmpeqi r4,r16,17282 -8110dfb0: 8110e22c andhi r4,r16,17288 -8110dfb4: 8110e22c andhi r4,r16,17288 -8110dfb8: 8110e22c andhi r4,r16,17288 -8110dfbc: 8110e0a0 cmpeqi r4,r16,17282 -8110dfc0: 8110e22c andhi r4,r16,17288 -8110dfc4: 8110e22c andhi r4,r16,17288 -8110dfc8: 8110e22c andhi r4,r16,17288 -8110dfcc: 8110e0a0 cmpeqi r4,r16,17282 -8110dfd0: 8110e22c andhi r4,r16,17288 -8110dfd4: 8110e22c andhi r4,r16,17288 -8110dfd8: 8110e22c andhi r4,r16,17288 -8110dfdc: 8110e0a0 cmpeqi r4,r16,17282 -8110dfe0: 8110e22c andhi r4,r16,17288 -8110dfe4: 8110e22c andhi r4,r16,17288 -8110dfe8: 8110e22c andhi r4,r16,17288 -8110dfec: 8110e0a0 cmpeqi r4,r16,17282 -8110dff0: 8110e22c andhi r4,r16,17288 -8110dff4: 8110e22c andhi r4,r16,17288 -8110dff8: 8110e22c andhi r4,r16,17288 -8110dffc: 8110e0a0 cmpeqi r4,r16,17282 -8110e000: 8110e22c andhi r4,r16,17288 -8110e004: 8110e22c andhi r4,r16,17288 -8110e008: 8110e22c andhi r4,r16,17288 -8110e00c: 8110e0a0 cmpeqi r4,r16,17282 -8110e010: 8110e22c andhi r4,r16,17288 -8110e014: 8110e22c andhi r4,r16,17288 -8110e018: 8110e22c andhi r4,r16,17288 -8110e01c: 8110e0a0 cmpeqi r4,r16,17282 -8110e020: 8110e22c andhi r4,r16,17288 -8110e024: 8110e22c andhi r4,r16,17288 -8110e028: 8110e22c andhi r4,r16,17288 -8110e02c: 8110e0a0 cmpeqi r4,r16,17282 -8110e030: 8110e22c andhi r4,r16,17288 -8110e034: 8110e22c andhi r4,r16,17288 -8110e038: 8110e22c andhi r4,r16,17288 -8110e03c: 8110e0a0 cmpeqi r4,r16,17282 -8110e040: 8110e22c andhi r4,r16,17288 -8110e044: 8110e22c andhi r4,r16,17288 -8110e048: 8110e22c andhi r4,r16,17288 -8110e04c: 8110e0cc andi r4,r16,17283 -8110e050: 8110e22c andhi r4,r16,17288 -8110e054: 8110e22c andhi r4,r16,17288 -8110e058: 8110e22c andhi r4,r16,17288 -8110e05c: 8110e194 ori r4,r16,17286 -8110e060: 8110e22c andhi r4,r16,17288 -8110e064: 8110e22c andhi r4,r16,17288 -8110e068: 8110e22c andhi r4,r16,17288 -8110e06c: 8110e194 ori r4,r16,17286 -8110e070: 8110e22c andhi r4,r16,17288 -8110e074: 8110e22c andhi r4,r16,17288 -8110e078: 8110e22c andhi r4,r16,17288 -8110e07c: 8110e194 ori r4,r16,17286 -8110e080: 8110e22c andhi r4,r16,17288 -8110e084: 8110e22c andhi r4,r16,17288 -8110e088: 8110e22c andhi r4,r16,17288 -8110e08c: 8110e194 ori r4,r16,17286 -8110e090: 8110e22c andhi r4,r16,17288 -8110e094: 8110e22c andhi r4,r16,17288 -8110e098: 8110e22c andhi r4,r16,17288 -8110e09c: 8110e194 ori r4,r16,17286 -8110e0a0: 00a04634 movhi r2,33048 -8110e0a4: 108f0804 addi r2,r2,15392 -8110e0a8: 10800803 ldbu r2,32(r2) -8110e0ac: 00c001c4 movi r3,7 -8110e0b0: 1880662e bgeu r3,r2,8110e24c -8110e0b4: dfc00317 ldw ra,12(sp) -8110e0b8: dc800217 ldw r18,8(sp) -8110e0bc: dc400117 ldw r17,4(sp) -8110e0c0: dc000017 ldw r16,0(sp) -8110e0c4: dec00404 addi sp,sp,16 -8110e0c8: f800283a ret -8110e0cc: 8880ab17 ldw r2,684(r17) -8110e0d0: 88000b15 stw zero,44(r17) -8110e0d4: 00c003c4 movi r3,15 -8110e0d8: 10804017 ldw r2,256(r2) -8110e0dc: 1880b536 bltu r3,r2,8110e3b4 -8110e0e0: 100490ba slli r2,r2,2 -8110e0e4: 00e04474 movhi r3,33041 -8110e0e8: 18f83e04 addi r3,r3,-7944 -8110e0ec: 10c5883a add r2,r2,r3 -8110e0f0: 10800017 ldw r2,0(r2) -8110e0f4: 1000683a jmp r2 -8110e0f8: 8110e270 cmpltui r4,r16,17289 -8110e0fc: 8110e270 cmpltui r4,r16,17289 -8110e100: 8110e270 cmpltui r4,r16,17289 -8110e104: 8110e3b4 orhi r4,r16,17294 -8110e108: 8110e270 cmpltui r4,r16,17289 -8110e10c: 8110e270 cmpltui r4,r16,17289 -8110e110: 8110e270 cmpltui r4,r16,17289 -8110e114: 8110e32c andhi r4,r16,17292 -8110e118: 8110e2cc andi r4,r16,17291 -8110e11c: 8110e270 cmpltui r4,r16,17289 -8110e120: 8110e270 cmpltui r4,r16,17289 -8110e124: 8110e270 cmpltui r4,r16,17289 -8110e128: 8110e270 cmpltui r4,r16,17289 -8110e12c: 8110e370 cmpltui r4,r16,17293 -8110e130: 8110e370 cmpltui r4,r16,17293 -8110e134: 8110e370 cmpltui r4,r16,17293 -8110e138: 88c0ab17 ldw r3,684(r17) -8110e13c: 00800044 movi r2,1 -8110e140: 88812515 stw r2,1172(r17) -8110e144: 19000b17 ldw r4,44(r3) -8110e148: 18c00c17 ldw r3,48(r3) -8110e14c: 89013315 stw r4,1228(r17) -8110e150: 88812315 stw r2,1164(r17) -8110e154: 88c17415 stw r3,1488(r17) -8110e158: 003fd606 br 8110e0b4 <__reset+0xfb0ee0b4> -8110e15c: 8880ab17 ldw r2,684(r17) -8110e160: 00c00044 movi r3,1 -8110e164: 88c12615 stw r3,1176(r17) -8110e168: 10801017 ldw r2,64(r2) -8110e16c: 8881710d sth r2,1476(r17) -8110e170: 003fd006 br 8110e0b4 <__reset+0xfb0ee0b4> -8110e174: 8880ab17 ldw r2,684(r17) -8110e178: 00c00044 movi r3,1 -8110e17c: 88c12715 stw r3,1180(r17) -8110e180: 10c01517 ldw r3,84(r2) -8110e184: 10801717 ldw r2,92(r2) -8110e188: 88c17215 stw r3,1480(r17) -8110e18c: 88817315 stw r2,1484(r17) -8110e190: 003fc806 br 8110e0b4 <__reset+0xfb0ee0b4> -8110e194: 00a04634 movhi r2,33048 -8110e198: 108f0804 addi r2,r2,15392 -8110e19c: 10800803 ldbu r2,32(r2) -8110e1a0: 00c001c4 movi r3,7 -8110e1a4: 18bfc336 bltu r3,r2,8110e0b4 <__reset+0xfb0ee0b4> -8110e1a8: 01604574 movhi r5,33045 -8110e1ac: 29632004 addi r5,r5,-29568 -8110e1b0: 00002806 br 8110e254 -8110e1b4: 00a04634 movhi r2,33048 -8110e1b8: 108f0804 addi r2,r2,15392 -8110e1bc: 10800803 ldbu r2,32(r2) -8110e1c0: 00c001c4 movi r3,7 -8110e1c4: 18bfbb36 bltu r3,r2,8110e0b4 <__reset+0xfb0ee0b4> -8110e1c8: 01604574 movhi r5,33045 -8110e1cc: 2962cd04 addi r5,r5,-29900 -8110e1d0: d1207917 ldw r4,-32284(gp) -8110e1d4: 01800104 movi r6,4 -8110e1d8: 00001f06 br 8110e258 -8110e1dc: 8880ab17 ldw r2,684(r17) -8110e1e0: 00c00044 movi r3,1 -8110e1e4: 88c12415 stw r3,1168(r17) -8110e1e8: 10c00617 ldw r3,24(r2) -8110e1ec: 88c15545 stb r3,1365(r17) -8110e1f0: 10c00717 ldw r3,28(r2) -8110e1f4: 88c15585 stb r3,1366(r17) -8110e1f8: 10c00817 ldw r3,32(r2) -8110e1fc: 88c155c5 stb r3,1367(r17) -8110e200: 10800917 ldw r2,36(r2) -8110e204: 88815605 stb r2,1368(r17) -8110e208: 003faa06 br 8110e0b4 <__reset+0xfb0ee0b4> -8110e20c: 8880ab17 ldw r2,684(r17) -8110e210: 00c00044 movi r3,1 -8110e214: 88c12215 stw r3,1160(r17) -8110e218: 10c00017 ldw r3,0(r2) -8110e21c: 10800117 ldw r2,4(r2) -8110e220: 88c13015 stw r3,1216(r17) -8110e224: 88813115 stw r2,1220(r17) -8110e228: 003fa206 br 8110e0b4 <__reset+0xfb0ee0b4> -8110e22c: 00a04634 movhi r2,33048 -8110e230: 108f0804 addi r2,r2,15392 -8110e234: 10800803 ldbu r2,32(r2) -8110e238: 00c001c4 movi r3,7 -8110e23c: 18bf9d36 bltu r3,r2,8110e0b4 <__reset+0xfb0ee0b4> -8110e240: 01604574 movhi r5,33045 -8110e244: 29632904 addi r5,r5,-29532 -8110e248: 00000206 br 8110e254 -8110e24c: 01604574 movhi r5,33045 -8110e250: 2962cd04 addi r5,r5,-29900 -8110e254: d1207917 ldw r4,-32284(gp) -8110e258: dfc00317 ldw ra,12(sp) -8110e25c: dc800217 ldw r18,8(sp) -8110e260: dc400117 ldw r17,4(sp) -8110e264: dc000017 ldw r16,0(sp) -8110e268: dec00404 addi sp,sp,16 -8110e26c: 112b5e01 jmpi 8112b5e0 -8110e270: 8c00b304 addi r16,r17,716 -8110e274: 8009883a mov r4,r16 -8110e278: 11023f00 call 811023f0 -8110e27c: 04800044 movi r18,1 -8110e280: 8c80c515 stw r18,788(r17) -8110e284: 8009883a mov r4,r16 -8110e288: 11023d00 call 811023d0 -8110e28c: 00a04634 movhi r2,33048 -8110e290: 108f0804 addi r2,r2,15392 -8110e294: 10800803 ldbu r2,32(r2) -8110e298: 00c001c4 movi r3,7 -8110e29c: 18bf8536 bltu r3,r2,8110e0b4 <__reset+0xfb0ee0b4> -8110e2a0: d1e07917 ldw r7,-32284(gp) -8110e2a4: 01204574 movhi r4,33045 -8110e2a8: 21241504 addi r4,r4,-28588 -8110e2ac: 01801444 movi r6,81 -8110e2b0: 900b883a mov r5,r18 -8110e2b4: dfc00317 ldw ra,12(sp) -8110e2b8: dc800217 ldw r18,8(sp) -8110e2bc: dc400117 ldw r17,4(sp) -8110e2c0: dc000017 ldw r16,0(sp) -8110e2c4: dec00404 addi sp,sp,16 -8110e2c8: 112bc4c1 jmpi 8112bc4c -8110e2cc: 00c00304 movi r3,12 -8110e2d0: 00800344 movi r2,13 -8110e2d4: 8c00b304 addi r16,r17,716 -8110e2d8: 88c03315 stw r3,204(r17) -8110e2dc: 00c00084 movi r3,2 -8110e2e0: 8009883a mov r4,r16 -8110e2e4: 88c03415 stw r3,208(r17) -8110e2e8: 88002b15 stw zero,172(r17) -8110e2ec: 88803515 stw r2,212(r17) -8110e2f0: 88803115 stw r2,196(r17) -8110e2f4: 11023980 call 81102398 -8110e2f8: 00800044 movi r2,1 -8110e2fc: 8009883a mov r4,r16 -8110e300: 8880c315 stw r2,780(r17) -8110e304: 11023600 call 81102360 -8110e308: 89800003 ldbu r6,0(r17) -8110e30c: 000b883a mov r5,zero -8110e310: 01002044 movi r4,129 -8110e314: dfc00317 ldw ra,12(sp) -8110e318: dc800217 ldw r18,8(sp) -8110e31c: dc400117 ldw r17,4(sp) -8110e320: dc000017 ldw r16,0(sp) -8110e324: dec00404 addi sp,sp,16 -8110e328: 1109a8c1 jmpi 81109a8c -8110e32c: 8c00b304 addi r16,r17,716 +8110d200: 8880c315 stw r2,780(r17) +8110d204: dfc00317 ldw ra,12(sp) +8110d208: dc800217 ldw r18,8(sp) +8110d20c: dc400117 ldw r17,4(sp) +8110d210: dc000017 ldw r16,0(sp) +8110d214: dec00404 addi sp,sp,16 +8110d218: 11023601 jmpi 81102360 +8110d21c: 8c00b304 addi r16,r17,716 +8110d220: 8009883a mov r4,r16 +8110d224: 11023f00 call 811023f0 +8110d228: 04800044 movi r18,1 +8110d22c: 8c80c515 stw r18,788(r17) +8110d230: 8009883a mov r4,r16 +8110d234: 11023d00 call 811023d0 +8110d238: 00a04634 movhi r2,33048 +8110d23c: 108f1904 addi r2,r2,15460 +8110d240: 10800803 ldbu r2,32(r2) +8110d244: 00c001c4 movi r3,7 +8110d248: 18bf3236 bltu r3,r2,8110cf14 <__reset+0xfb0ecf14> +8110d24c: 01204574 movhi r4,33045 +8110d250: d1e07917 ldw r7,-32284(gp) +8110d254: 21230804 addi r4,r4,-29664 +8110d258: 01800d84 movi r6,54 +8110d25c: 003fac06 br 8110d110 <__reset+0xfb0ed110> +8110d260: 00c00044 movi r3,1 +8110d264: 88c02b15 stw r3,172(r17) +8110d268: 00c00384 movi r3,14 +8110d26c: 008000c4 movi r2,3 +8110d270: 88c03315 stw r3,204(r17) +8110d274: 00c00544 movi r3,21 +8110d278: 88803415 stw r2,208(r17) +8110d27c: 88c03515 stw r3,212(r17) +8110d280: 88803115 stw r2,196(r17) +8110d284: 003f2306 br 8110cf14 <__reset+0xfb0ecf14> +8110d288: 00c00044 movi r3,1 +8110d28c: 88c02b15 stw r3,172(r17) +8110d290: 00c00384 movi r3,14 +8110d294: 008000c4 movi r2,3 +8110d298: 88c03315 stw r3,204(r17) +8110d29c: 00c004c4 movi r3,19 +8110d2a0: 88803415 stw r2,208(r17) +8110d2a4: 88c03515 stw r3,212(r17) +8110d2a8: 88803115 stw r2,196(r17) +8110d2ac: 003f1906 br 8110cf14 <__reset+0xfb0ecf14> +8110d2b0: 00a04634 movhi r2,33048 +8110d2b4: 108f1904 addi r2,r2,15460 +8110d2b8: 10800803 ldbu r2,32(r2) +8110d2bc: 00c001c4 movi r3,7 +8110d2c0: 18bf1436 bltu r3,r2,8110cf14 <__reset+0xfb0ecf14> +8110d2c4: 01204574 movhi r4,33045 +8110d2c8: d1e07917 ldw r7,-32284(gp) +8110d2cc: 21240504 addi r4,r4,-28652 +8110d2d0: 01800d44 movi r6,53 +8110d2d4: 01400044 movi r5,1 +8110d2d8: 003f8e06 br 8110d114 <__reset+0xfb0ed114> +8110d2dc: 8c00b304 addi r16,r17,716 +8110d2e0: 8009883a mov r4,r16 +8110d2e4: 11023f00 call 811023f0 +8110d2e8: 04800044 movi r18,1 +8110d2ec: 8c80c515 stw r18,788(r17) +8110d2f0: 8009883a mov r4,r16 +8110d2f4: 11023d00 call 811023d0 +8110d2f8: 00a04634 movhi r2,33048 +8110d2fc: 108f1904 addi r2,r2,15460 +8110d300: 10800803 ldbu r2,32(r2) +8110d304: 00c001c4 movi r3,7 +8110d308: 18bf0236 bltu r3,r2,8110cf14 <__reset+0xfb0ecf14> +8110d30c: 01204574 movhi r4,33045 +8110d310: d1e07917 ldw r7,-32284(gp) +8110d314: 2123f304 addi r4,r4,-28724 +8110d318: 01801184 movi r6,70 +8110d31c: 003f7c06 br 8110d110 <__reset+0xfb0ed110> +8110d320: 00c00044 movi r3,1 +8110d324: 88c02b15 stw r3,172(r17) +8110d328: 00c00384 movi r3,14 +8110d32c: 008000c4 movi r2,3 +8110d330: 88c03315 stw r3,204(r17) +8110d334: 00c00344 movi r3,13 +8110d338: 88803415 stw r2,208(r17) +8110d33c: 88c03515 stw r3,212(r17) +8110d340: 88803115 stw r2,196(r17) +8110d344: 003ef306 br 8110cf14 <__reset+0xfb0ecf14> +8110d348: 8c00b304 addi r16,r17,716 +8110d34c: 8009883a mov r4,r16 +8110d350: 11023f00 call 811023f0 +8110d354: 00800044 movi r2,1 +8110d358: 8880c515 stw r2,788(r17) +8110d35c: 8009883a mov r4,r16 +8110d360: 11023d00 call 811023d0 +8110d364: 00a04634 movhi r2,33048 +8110d368: 108f1904 addi r2,r2,15460 +8110d36c: 10800803 ldbu r2,32(r2) +8110d370: 00c001c4 movi r3,7 +8110d374: 18bee736 bltu r3,r2,8110cf14 <__reset+0xfb0ecf14> +8110d378: 8880ab17 ldw r2,684(r17) +8110d37c: 01604574 movhi r5,33045 +8110d380: 29631d04 addi r5,r5,-29580 +8110d384: d1207917 ldw r4,-32284(gp) +8110d388: 11804003 ldbu r6,256(r2) +8110d38c: 003f4a06 br 8110d0b8 <__reset+0xfb0ed0b8> + +8110d390 : +8110d390: 21800003 ldbu r6,0(r4) +8110d394: 2806d63a srli r3,r5,24 +8110d398: 30800444 addi r2,r6,17 +8110d39c: 18800126 beq r3,r2,8110d3a4 +8110d3a0: f800283a ret +8110d3a4: 280ed43a srli r7,r5,16 +8110d3a8: defffc04 addi sp,sp,-16 +8110d3ac: dfc00315 stw ra,12(sp) +8110d3b0: dc800215 stw r18,8(sp) +8110d3b4: dc400115 stw r17,4(sp) +8110d3b8: dc000015 stw r16,0(sp) +8110d3bc: 39c03fcc andi r7,r7,255 +8110d3c0: 00803c04 movi r2,240 +8110d3c4: 11c1d736 bltu r2,r7,8110db24 +8110d3c8: 380690ba slli r3,r7,2 +8110d3cc: 00a04474 movhi r2,33041 +8110d3d0: 10b4fa04 addi r2,r2,-11288 +8110d3d4: 1885883a add r2,r3,r2 +8110d3d8: 10800017 ldw r2,0(r2) +8110d3dc: 2821883a mov r16,r5 +8110d3e0: 2023883a mov r17,r4 +8110d3e4: 1000683a jmp r2 +8110d3e8: 8110db24 muli r4,r16,17260 +8110d3ec: 8110d820 cmpeqi r4,r16,17248 +8110d3f0: 8110db24 muli r4,r16,17260 +8110d3f4: 8110d86c andhi r4,r16,17249 +8110d3f8: 8110db24 muli r4,r16,17260 +8110d3fc: 8110d894 ori r4,r16,17250 +8110d400: 8110d894 ori r4,r16,17250 +8110d404: 8110d8cc andi r4,r16,17251 +8110d408: 8110d8f4 orhi r4,r16,17251 +8110d40c: 8110d91c xori r4,r16,17252 +8110d410: 8110d944 addi r4,r16,17253 +8110d414: 8110d96c andhi r4,r16,17253 +8110d418: 8110d994 ori r4,r16,17254 +8110d41c: 8110db24 muli r4,r16,17260 +8110d420: 8110db24 muli r4,r16,17260 +8110d424: 8110db24 muli r4,r16,17260 +8110d428: 8110db24 muli r4,r16,17260 +8110d42c: 8110db24 muli r4,r16,17260 +8110d430: 8110db24 muli r4,r16,17260 +8110d434: 8110db24 muli r4,r16,17260 +8110d438: 8110db24 muli r4,r16,17260 +8110d43c: 8110db24 muli r4,r16,17260 +8110d440: 8110db24 muli r4,r16,17260 +8110d444: 8110db24 muli r4,r16,17260 +8110d448: 8110db24 muli r4,r16,17260 +8110d44c: 8110db24 muli r4,r16,17260 +8110d450: 8110db24 muli r4,r16,17260 +8110d454: 8110db24 muli r4,r16,17260 +8110d458: 8110db24 muli r4,r16,17260 +8110d45c: 8110db24 muli r4,r16,17260 +8110d460: 8110db24 muli r4,r16,17260 +8110d464: 8110db24 muli r4,r16,17260 +8110d468: 8110db24 muli r4,r16,17260 +8110d46c: 8110db24 muli r4,r16,17260 +8110d470: 8110db24 muli r4,r16,17260 +8110d474: 8110db24 muli r4,r16,17260 +8110d478: 8110db24 muli r4,r16,17260 +8110d47c: 8110db24 muli r4,r16,17260 +8110d480: 8110db24 muli r4,r16,17260 +8110d484: 8110db24 muli r4,r16,17260 +8110d488: 8110db24 muli r4,r16,17260 +8110d48c: 8110db24 muli r4,r16,17260 +8110d490: 8110db24 muli r4,r16,17260 +8110d494: 8110db24 muli r4,r16,17260 +8110d498: 8110db24 muli r4,r16,17260 +8110d49c: 8110db24 muli r4,r16,17260 +8110d4a0: 8110db24 muli r4,r16,17260 +8110d4a4: 8110db24 muli r4,r16,17260 +8110d4a8: 8110db24 muli r4,r16,17260 +8110d4ac: 8110db24 muli r4,r16,17260 +8110d4b0: 8110db24 muli r4,r16,17260 +8110d4b4: 8110db24 muli r4,r16,17260 +8110d4b8: 8110db24 muli r4,r16,17260 +8110d4bc: 8110db24 muli r4,r16,17260 +8110d4c0: 8110db24 muli r4,r16,17260 +8110d4c4: 8110db24 muli r4,r16,17260 +8110d4c8: 8110db24 muli r4,r16,17260 +8110d4cc: 8110db24 muli r4,r16,17260 +8110d4d0: 8110db24 muli r4,r16,17260 +8110d4d4: 8110db24 muli r4,r16,17260 +8110d4d8: 8110db24 muli r4,r16,17260 +8110d4dc: 8110db24 muli r4,r16,17260 +8110d4e0: 8110db24 muli r4,r16,17260 +8110d4e4: 8110db24 muli r4,r16,17260 +8110d4e8: 8110db24 muli r4,r16,17260 +8110d4ec: 8110db24 muli r4,r16,17260 +8110d4f0: 8110db24 muli r4,r16,17260 +8110d4f4: 8110db24 muli r4,r16,17260 +8110d4f8: 8110db24 muli r4,r16,17260 +8110d4fc: 8110db24 muli r4,r16,17260 +8110d500: 8110db24 muli r4,r16,17260 +8110d504: 8110db24 muli r4,r16,17260 +8110d508: 8110db24 muli r4,r16,17260 +8110d50c: 8110db24 muli r4,r16,17260 +8110d510: 8110db24 muli r4,r16,17260 +8110d514: 8110db24 muli r4,r16,17260 +8110d518: 8110db24 muli r4,r16,17260 +8110d51c: 8110db24 muli r4,r16,17260 +8110d520: 8110db24 muli r4,r16,17260 +8110d524: 8110db24 muli r4,r16,17260 +8110d528: 8110db24 muli r4,r16,17260 +8110d52c: 8110db24 muli r4,r16,17260 +8110d530: 8110db24 muli r4,r16,17260 +8110d534: 8110db24 muli r4,r16,17260 +8110d538: 8110db24 muli r4,r16,17260 +8110d53c: 8110db24 muli r4,r16,17260 +8110d540: 8110db24 muli r4,r16,17260 +8110d544: 8110db24 muli r4,r16,17260 +8110d548: 8110db24 muli r4,r16,17260 +8110d54c: 8110db24 muli r4,r16,17260 +8110d550: 8110db24 muli r4,r16,17260 +8110d554: 8110db24 muli r4,r16,17260 +8110d558: 8110db24 muli r4,r16,17260 +8110d55c: 8110db24 muli r4,r16,17260 +8110d560: 8110db24 muli r4,r16,17260 +8110d564: 8110db24 muli r4,r16,17260 +8110d568: 8110db24 muli r4,r16,17260 +8110d56c: 8110db24 muli r4,r16,17260 +8110d570: 8110db24 muli r4,r16,17260 +8110d574: 8110db24 muli r4,r16,17260 +8110d578: 8110db24 muli r4,r16,17260 +8110d57c: 8110db24 muli r4,r16,17260 +8110d580: 8110db24 muli r4,r16,17260 +8110d584: 8110db24 muli r4,r16,17260 +8110d588: 8110db24 muli r4,r16,17260 +8110d58c: 8110db24 muli r4,r16,17260 +8110d590: 8110db24 muli r4,r16,17260 +8110d594: 8110db24 muli r4,r16,17260 +8110d598: 8110db24 muli r4,r16,17260 +8110d59c: 8110db24 muli r4,r16,17260 +8110d5a0: 8110db24 muli r4,r16,17260 +8110d5a4: 8110db24 muli r4,r16,17260 +8110d5a8: 8110db24 muli r4,r16,17260 +8110d5ac: 8110d7c4 addi r4,r16,17247 +8110d5b0: 8110db24 muli r4,r16,17260 +8110d5b4: 8110db24 muli r4,r16,17260 +8110d5b8: 8110db24 muli r4,r16,17260 +8110d5bc: 8110db24 muli r4,r16,17260 +8110d5c0: 8110d7ac andhi r4,r16,17246 +8110d5c4: 8110db24 muli r4,r16,17260 +8110d5c8: 8110db24 muli r4,r16,17260 +8110d5cc: 8110db24 muli r4,r16,17260 +8110d5d0: 8110db24 muli r4,r16,17260 +8110d5d4: 8110db24 muli r4,r16,17260 +8110d5d8: 8110db24 muli r4,r16,17260 +8110d5dc: 8110db24 muli r4,r16,17260 +8110d5e0: 8110db24 muli r4,r16,17260 +8110d5e4: 8110db24 muli r4,r16,17260 +8110d5e8: 8110db24 muli r4,r16,17260 +8110d5ec: 8110db24 muli r4,r16,17260 +8110d5f0: 8110db24 muli r4,r16,17260 +8110d5f4: 8110db24 muli r4,r16,17260 +8110d5f8: 8110db24 muli r4,r16,17260 +8110d5fc: 8110db24 muli r4,r16,17260 +8110d600: 8110db24 muli r4,r16,17260 +8110d604: 8110db24 muli r4,r16,17260 +8110d608: 8110db24 muli r4,r16,17260 +8110d60c: 8110db24 muli r4,r16,17260 +8110d610: 8110db24 muli r4,r16,17260 +8110d614: 8110db24 muli r4,r16,17260 +8110d618: 8110db24 muli r4,r16,17260 +8110d61c: 8110db24 muli r4,r16,17260 +8110d620: 8110db24 muli r4,r16,17260 +8110d624: 8110d9bc xorhi r4,r16,17254 +8110d628: 8110db24 muli r4,r16,17260 +8110d62c: 8110db24 muli r4,r16,17260 +8110d630: 8110db24 muli r4,r16,17260 +8110d634: 8110db24 muli r4,r16,17260 +8110d638: 8110db24 muli r4,r16,17260 +8110d63c: 8110db24 muli r4,r16,17260 +8110d640: 8110db24 muli r4,r16,17260 +8110d644: 8110db24 muli r4,r16,17260 +8110d648: 8110db24 muli r4,r16,17260 +8110d64c: 8110db24 muli r4,r16,17260 +8110d650: 8110db24 muli r4,r16,17260 +8110d654: 8110db24 muli r4,r16,17260 +8110d658: 8110db24 muli r4,r16,17260 +8110d65c: 8110db24 muli r4,r16,17260 +8110d660: 8110db24 muli r4,r16,17260 +8110d664: 8110db24 muli r4,r16,17260 +8110d668: 8110db24 muli r4,r16,17260 +8110d66c: 8110d820 cmpeqi r4,r16,17248 +8110d670: 8110db24 muli r4,r16,17260 +8110d674: 8110d9e4 muli r4,r16,17255 +8110d678: 8110db24 muli r4,r16,17260 +8110d67c: 8110db24 muli r4,r16,17260 +8110d680: 8110db24 muli r4,r16,17260 +8110d684: 8110d8cc andi r4,r16,17251 +8110d688: 8110d8f4 orhi r4,r16,17251 +8110d68c: 8110d91c xori r4,r16,17252 +8110d690: 8110d944 addi r4,r16,17253 +8110d694: 8110d96c andhi r4,r16,17253 +8110d698: 8110d994 ori r4,r16,17254 +8110d69c: 8110db24 muli r4,r16,17260 +8110d6a0: 8110db24 muli r4,r16,17260 +8110d6a4: 8110db24 muli r4,r16,17260 +8110d6a8: 8110db24 muli r4,r16,17260 +8110d6ac: 8110db24 muli r4,r16,17260 +8110d6b0: 8110db24 muli r4,r16,17260 +8110d6b4: 8110db24 muli r4,r16,17260 +8110d6b8: 8110db24 muli r4,r16,17260 +8110d6bc: 8110db24 muli r4,r16,17260 +8110d6c0: 8110db24 muli r4,r16,17260 +8110d6c4: 8110db24 muli r4,r16,17260 +8110d6c8: 8110db24 muli r4,r16,17260 +8110d6cc: 8110db24 muli r4,r16,17260 +8110d6d0: 8110db24 muli r4,r16,17260 +8110d6d4: 8110db24 muli r4,r16,17260 +8110d6d8: 8110db24 muli r4,r16,17260 +8110d6dc: 8110db24 muli r4,r16,17260 +8110d6e0: 8110db24 muli r4,r16,17260 +8110d6e4: 8110db24 muli r4,r16,17260 +8110d6e8: 8110db24 muli r4,r16,17260 +8110d6ec: 8110db24 muli r4,r16,17260 +8110d6f0: 8110db24 muli r4,r16,17260 +8110d6f4: 8110db24 muli r4,r16,17260 +8110d6f8: 8110db24 muli r4,r16,17260 +8110d6fc: 8110db24 muli r4,r16,17260 +8110d700: 8110db24 muli r4,r16,17260 +8110d704: 8110db24 muli r4,r16,17260 +8110d708: 8110db24 muli r4,r16,17260 +8110d70c: 8110db24 muli r4,r16,17260 +8110d710: 8110db24 muli r4,r16,17260 +8110d714: 8110db24 muli r4,r16,17260 +8110d718: 8110db24 muli r4,r16,17260 +8110d71c: 8110db24 muli r4,r16,17260 +8110d720: 8110db24 muli r4,r16,17260 +8110d724: 8110db24 muli r4,r16,17260 +8110d728: 8110db24 muli r4,r16,17260 +8110d72c: 8110db24 muli r4,r16,17260 +8110d730: 8110db24 muli r4,r16,17260 +8110d734: 8110db24 muli r4,r16,17260 +8110d738: 8110db24 muli r4,r16,17260 +8110d73c: 8110db24 muli r4,r16,17260 +8110d740: 8110db24 muli r4,r16,17260 +8110d744: 8110db24 muli r4,r16,17260 +8110d748: 8110db24 muli r4,r16,17260 +8110d74c: 8110db24 muli r4,r16,17260 +8110d750: 8110db24 muli r4,r16,17260 +8110d754: 8110db24 muli r4,r16,17260 +8110d758: 8110db24 muli r4,r16,17260 +8110d75c: 8110db24 muli r4,r16,17260 +8110d760: 8110db24 muli r4,r16,17260 +8110d764: 8110db24 muli r4,r16,17260 +8110d768: 8110d7c4 addi r4,r16,17247 +8110d76c: 8110d7c4 addi r4,r16,17247 +8110d770: 8110d7c4 addi r4,r16,17247 +8110d774: 8110db24 muli r4,r16,17260 +8110d778: 8110d7c4 addi r4,r16,17247 +8110d77c: 8110db24 muli r4,r16,17260 +8110d780: 8110db24 muli r4,r16,17260 +8110d784: 8110db24 muli r4,r16,17260 +8110d788: 8110da20 cmpeqi r4,r16,17256 +8110d78c: 8110db24 muli r4,r16,17260 +8110d790: 8110db24 muli r4,r16,17260 +8110d794: 8110db24 muli r4,r16,17260 +8110d798: 8110db24 muli r4,r16,17260 +8110d79c: 8110db24 muli r4,r16,17260 +8110d7a0: 8110db24 muli r4,r16,17260 +8110d7a4: 8110db24 muli r4,r16,17260 +8110d7a8: 8110d7dc xori r4,r16,17247 +8110d7ac: 29403fcc andi r5,r5,255 +8110d7b0: 2800ea26 beq r5,zero,8110db5c +8110d7b4: 00800044 movi r2,1 +8110d7b8: 2880fa26 beq r5,r2,8110dba4 +8110d7bc: 00800084 movi r2,2 +8110d7c0: 20804915 stw r2,292(r4) +8110d7c4: dfc00317 ldw ra,12(sp) +8110d7c8: dc800217 ldw r18,8(sp) +8110d7cc: dc400117 ldw r17,4(sp) +8110d7d0: dc000017 ldw r16,0(sp) +8110d7d4: dec00404 addi sp,sp,16 +8110d7d8: f800283a ret +8110d7dc: 00a04634 movhi r2,33048 +8110d7e0: 108f1904 addi r2,r2,15460 +8110d7e4: 10800803 ldbu r2,32(r2) +8110d7e8: 00c00104 movi r3,4 +8110d7ec: 18800436 bltu r3,r2,8110d800 +8110d7f0: d1207917 ldw r4,-32284(gp) +8110d7f4: 01604574 movhi r5,33045 +8110d7f8: 29634304 addi r5,r5,-29428 +8110d7fc: 112b6200 call 8112b620 +8110d800: 800b883a mov r5,r16 +8110d804: 8809883a mov r4,r17 +8110d808: dfc00317 ldw ra,12(sp) +8110d80c: dc800217 ldw r18,8(sp) +8110d810: dc400117 ldw r17,4(sp) +8110d814: dc000017 ldw r16,0(sp) +8110d818: dec00404 addi sp,sp,16 +8110d81c: 110cd041 jmpi 8110cd04 +8110d820: 00800044 movi r2,1 +8110d824: 2400b304 addi r16,r4,716 +8110d828: 00c00344 movi r3,13 +8110d82c: 88803415 stw r2,208(r17) +8110d830: 88803515 stw r2,212(r17) +8110d834: 00800304 movi r2,12 +8110d838: 8009883a mov r4,r16 +8110d83c: 88002b15 stw zero,172(r17) +8110d840: 88c03315 stw r3,204(r17) +8110d844: 88803115 stw r2,196(r17) +8110d848: 11023980 call 81102398 +8110d84c: 8009883a mov r4,r16 +8110d850: 8800c315 stw zero,780(r17) +8110d854: dfc00317 ldw ra,12(sp) +8110d858: dc800217 ldw r18,8(sp) +8110d85c: dc400117 ldw r17,4(sp) +8110d860: dc000017 ldw r16,0(sp) +8110d864: dec00404 addi sp,sp,16 +8110d868: 11023601 jmpi 81102360 +8110d86c: 00c00044 movi r3,1 +8110d870: 20c02b15 stw r3,172(r4) +8110d874: 00c00384 movi r3,14 +8110d878: 008000c4 movi r2,3 +8110d87c: 20c03315 stw r3,204(r4) +8110d880: 00c00344 movi r3,13 +8110d884: 20803415 stw r2,208(r4) +8110d888: 20c03515 stw r3,212(r4) +8110d88c: 20803115 stw r2,196(r4) +8110d890: 003fcc06 br 8110d7c4 <__reset+0xfb0ed7c4> +8110d894: 00a04634 movhi r2,33048 +8110d898: 108f1904 addi r2,r2,15460 +8110d89c: 10800803 ldbu r2,32(r2) +8110d8a0: 00c001c4 movi r3,7 +8110d8a4: 18bfc736 bltu r3,r2,8110d7c4 <__reset+0xfb0ed7c4> +8110d8a8: d1207917 ldw r4,-32284(gp) +8110d8ac: 01604574 movhi r5,33045 +8110d8b0: 2962ae04 addi r5,r5,-30024 +8110d8b4: dfc00317 ldw ra,12(sp) +8110d8b8: dc800217 ldw r18,8(sp) +8110d8bc: dc400117 ldw r17,4(sp) +8110d8c0: dc000017 ldw r16,0(sp) +8110d8c4: dec00404 addi sp,sp,16 +8110d8c8: 112b6201 jmpi 8112b620 +8110d8cc: 00c00044 movi r3,1 +8110d8d0: 20c02b15 stw r3,172(r4) +8110d8d4: 00c00384 movi r3,14 +8110d8d8: 008000c4 movi r2,3 +8110d8dc: 20c03315 stw r3,204(r4) +8110d8e0: 00c004c4 movi r3,19 +8110d8e4: 20803415 stw r2,208(r4) +8110d8e8: 20c03515 stw r3,212(r4) +8110d8ec: 20803115 stw r2,196(r4) +8110d8f0: 003fb406 br 8110d7c4 <__reset+0xfb0ed7c4> +8110d8f4: 00c00044 movi r3,1 +8110d8f8: 20c02b15 stw r3,172(r4) +8110d8fc: 00c00384 movi r3,14 +8110d900: 008000c4 movi r2,3 +8110d904: 20c03315 stw r3,204(r4) +8110d908: 00c00544 movi r3,21 +8110d90c: 20803415 stw r2,208(r4) +8110d910: 20c03515 stw r3,212(r4) +8110d914: 20803115 stw r2,196(r4) +8110d918: 003faa06 br 8110d7c4 <__reset+0xfb0ed7c4> +8110d91c: 00c00044 movi r3,1 +8110d920: 20c02b15 stw r3,172(r4) +8110d924: 00c00384 movi r3,14 +8110d928: 008000c4 movi r2,3 +8110d92c: 20c03315 stw r3,204(r4) +8110d930: 00c005c4 movi r3,23 +8110d934: 20803415 stw r2,208(r4) +8110d938: 20c03515 stw r3,212(r4) +8110d93c: 20803115 stw r2,196(r4) +8110d940: 003fa006 br 8110d7c4 <__reset+0xfb0ed7c4> +8110d944: 00c00044 movi r3,1 +8110d948: 20c02b15 stw r3,172(r4) +8110d94c: 00c00384 movi r3,14 +8110d950: 008000c4 movi r2,3 +8110d954: 20c03315 stw r3,204(r4) +8110d958: 00c00644 movi r3,25 +8110d95c: 20803415 stw r2,208(r4) +8110d960: 20c03515 stw r3,212(r4) +8110d964: 20803115 stw r2,196(r4) +8110d968: 003f9606 br 8110d7c4 <__reset+0xfb0ed7c4> +8110d96c: 00c00044 movi r3,1 +8110d970: 20c02b15 stw r3,172(r4) +8110d974: 00c00384 movi r3,14 +8110d978: 008000c4 movi r2,3 +8110d97c: 20c03315 stw r3,204(r4) +8110d980: 00c006c4 movi r3,27 +8110d984: 20803415 stw r2,208(r4) +8110d988: 20c03515 stw r3,212(r4) +8110d98c: 20803115 stw r2,196(r4) +8110d990: 003f8c06 br 8110d7c4 <__reset+0xfb0ed7c4> +8110d994: 00c00044 movi r3,1 +8110d998: 20c02b15 stw r3,172(r4) +8110d99c: 00c00384 movi r3,14 +8110d9a0: 008000c4 movi r2,3 +8110d9a4: 20c03315 stw r3,204(r4) +8110d9a8: 00c00744 movi r3,29 +8110d9ac: 20803415 stw r2,208(r4) +8110d9b0: 20c03515 stw r3,212(r4) +8110d9b4: 20803115 stw r2,196(r4) +8110d9b8: 003f8206 br 8110d7c4 <__reset+0xfb0ed7c4> +8110d9bc: 280ad23a srli r5,r5,8 +8110d9c0: 20002615 stw zero,152(r4) +8110d9c4: 01002044 movi r4,129 +8110d9c8: 29403fcc andi r5,r5,255 +8110d9cc: dfc00317 ldw ra,12(sp) +8110d9d0: dc800217 ldw r18,8(sp) +8110d9d4: dc400117 ldw r17,4(sp) +8110d9d8: dc000017 ldw r16,0(sp) +8110d9dc: dec00404 addi sp,sp,16 +8110d9e0: 1109ac01 jmpi 81109ac0 +8110d9e4: 00c00384 movi r3,14 +8110d9e8: 00800344 movi r2,13 +8110d9ec: 2400b304 addi r16,r4,716 +8110d9f0: 88c03315 stw r3,204(r17) +8110d9f4: 00c00084 movi r3,2 +8110d9f8: 8009883a mov r4,r16 +8110d9fc: 88002b15 stw zero,172(r17) +8110da00: 88c03415 stw r3,208(r17) +8110da04: 88803515 stw r2,212(r17) +8110da08: 88803115 stw r2,196(r17) +8110da0c: 11023980 call 81102398 +8110da10: 00800044 movi r2,1 +8110da14: 8880c315 stw r2,780(r17) +8110da18: 8009883a mov r4,r16 +8110da1c: 003f8d06 br 8110d854 <__reset+0xfb0ed854> +8110da20: 20812217 ldw r2,1160(r4) +8110da24: 10000a1e bne r2,zero,8110da50 +8110da28: 20812317 ldw r2,1164(r4) +8110da2c: 1000081e bne r2,zero,8110da50 +8110da30: 20812617 ldw r2,1176(r4) +8110da34: 1000061e bne r2,zero,8110da50 +8110da38: 20812417 ldw r2,1168(r4) +8110da3c: 1000041e bne r2,zero,8110da50 +8110da40: 20812717 ldw r2,1180(r4) +8110da44: 1000021e bne r2,zero,8110da50 +8110da48: 20812517 ldw r2,1172(r4) +8110da4c: 10001526 beq r2,zero,8110daa4 +8110da50: 8c012217 ldw r16,1160(r17) +8110da54: 00800044 movi r2,1 +8110da58: 8080df26 beq r16,r2,8110ddd8 +8110da5c: 8c012317 ldw r16,1164(r17) +8110da60: 00800044 movi r2,1 +8110da64: 8080d126 beq r16,r2,8110ddac +8110da68: 8c012617 ldw r16,1176(r17) +8110da6c: 00800044 movi r2,1 +8110da70: 8080b526 beq r16,r2,8110dd48 +8110da74: 88c12717 ldw r3,1180(r17) +8110da78: 00800044 movi r2,1 +8110da7c: 1880a726 beq r3,r2,8110dd1c +8110da80: 88c12417 ldw r3,1168(r17) +8110da84: 00800044 movi r2,1 +8110da88: 18806226 beq r3,r2,8110dc14 +8110da8c: 88c12517 ldw r3,1172(r17) +8110da90: 00800044 movi r2,1 +8110da94: 1880031e bne r3,r2,8110daa4 +8110da98: 88012515 stw zero,1172(r17) +8110da9c: 88813317 ldw r2,1228(r17) +8110daa0: 88800c15 stw r2,48(r17) +8110daa4: 8c042d17 ldw r16,4276(r17) +8110daa8: 00800044 movi r2,1 +8110daac: 80804926 beq r16,r2,8110dbd4 +8110dab0: 8c042e17 ldw r16,4280(r17) +8110dab4: 00800044 movi r2,1 +8110dab8: 80802a26 beq r16,r2,8110db64 +8110dabc: 88c1ab17 ldw r3,1708(r17) +8110dac0: 00800044 movi r2,1 +8110dac4: 18803926 beq r3,r2,8110dbac +8110dac8: 88803517 ldw r2,212(r17) +8110dacc: 88c03417 ldw r3,208(r17) +8110dad0: 10ff3c26 beq r2,r3,8110d7c4 <__reset+0xfb0ed7c4> +8110dad4: 88803115 stw r2,196(r17) +8110dad8: 00c00344 movi r3,13 +8110dadc: 10c06226 beq r2,r3,8110dc68 +8110dae0: 00c004c4 movi r3,19 +8110dae4: 10c06e26 beq r2,r3,8110dca0 +8110dae8: 00c00544 movi r3,21 +8110daec: 10c07c26 beq r2,r3,8110dce0 +8110daf0: 00c005c4 movi r3,23 +8110daf4: 10c07f26 beq r2,r3,8110dcf4 +8110daf8: 00c00644 movi r3,25 +8110dafc: 10c08226 beq r2,r3,8110dd08 +8110db00: 00c006c4 movi r3,27 +8110db04: 10c0ce26 beq r2,r3,8110de40 +8110db08: 00c00744 movi r3,29 +8110db0c: 10ff2d1e bne r2,r3,8110d7c4 <__reset+0xfb0ed7c4> +8110db10: 8c00b304 addi r16,r17,716 +8110db14: 8009883a mov r4,r16 +8110db18: 11023980 call 81102398 +8110db1c: 00800404 movi r2,16 +8110db20: 003fbc06 br 8110da14 <__reset+0xfb0eda14> +8110db24: 00a04634 movhi r2,33048 +8110db28: 108f1904 addi r2,r2,15460 +8110db2c: 10800803 ldbu r2,32(r2) +8110db30: 00c001c4 movi r3,7 +8110db34: 18bf2336 bltu r3,r2,8110d7c4 <__reset+0xfb0ed7c4> +8110db38: d1207917 ldw r4,-32284(gp) +8110db3c: 01604574 movhi r5,33045 +8110db40: 29641304 addi r5,r5,-28596 +8110db44: dfc00317 ldw ra,12(sp) +8110db48: dc800217 ldw r18,8(sp) +8110db4c: dc400117 ldw r17,4(sp) +8110db50: dc000017 ldw r16,0(sp) +8110db54: dec00404 addi sp,sp,16 +8110db58: 112b6201 jmpi 8112b620 +8110db5c: 20004915 stw zero,292(r4) +8110db60: 003f1806 br 8110d7c4 <__reset+0xfb0ed7c4> +8110db64: 8c80b304 addi r18,r17,716 +8110db68: 9009883a mov r4,r18 +8110db6c: 11027800 call 81102780 +8110db70: 8940fe17 ldw r5,1016(r17) +8110db74: 2c00bd26 beq r5,r16,8110de6c +8110db78: 01400044 movi r5,1 +8110db7c: 9009883a mov r4,r18 +8110db80: 1102a080 call 81102a08 +8110db84: 10002d26 beq r2,zero,8110dc3c +8110db88: 00a04634 movhi r2,33048 +8110db8c: 108f1904 addi r2,r2,15460 +8110db90: 10800803 ldbu r2,32(r2) +8110db94: 00c001c4 movi r3,7 +8110db98: 1880ae2e bgeu r3,r2,8110de54 +8110db9c: 88042e15 stw zero,4280(r17) +8110dba0: 003fc606 br 8110dabc <__reset+0xfb0edabc> +8110dba4: 21404915 stw r5,292(r4) +8110dba8: 003f0606 br 8110d7c4 <__reset+0xfb0ed7c4> +8110dbac: 8900b304 addi r4,r17,716 +8110dbb0: 1102d340 call 81102d34 +8110dbb4: 10002f26 beq r2,zero,8110dc74 +8110dbb8: 00a04634 movhi r2,33048 +8110dbbc: 108f1904 addi r2,r2,15460 +8110dbc0: 10800803 ldbu r2,32(r2) +8110dbc4: 00c001c4 movi r3,7 +8110dbc8: 1880b72e bgeu r3,r2,8110dea8 +8110dbcc: 8801ab15 stw zero,1708(r17) +8110dbd0: 003fbd06 br 8110dac8 <__reset+0xfb0edac8> +8110dbd4: 8c80b304 addi r18,r17,716 +8110dbd8: 9009883a mov r4,r18 +8110dbdc: 11027100 call 81102710 +8110dbe0: 8880ef17 ldw r2,956(r17) +8110dbe4: 1400b626 beq r2,r16,8110dec0 +8110dbe8: 000b883a mov r5,zero +8110dbec: 9009883a mov r4,r18 +8110dbf0: 1102a080 call 81102a08 +8110dbf4: 10002f26 beq r2,zero,8110dcb4 +8110dbf8: 00a04634 movhi r2,33048 +8110dbfc: 108f1904 addi r2,r2,15460 +8110dc00: 10800803 ldbu r2,32(r2) +8110dc04: 00c001c4 movi r3,7 +8110dc08: 1880a12e bgeu r3,r2,8110de90 +8110dc0c: 88042d15 stw zero,4276(r17) +8110dc10: 003fa706 br 8110dab0 <__reset+0xfb0edab0> +8110dc14: 88012415 stw zero,1168(r17) +8110dc18: 89415543 ldbu r5,1365(r17) +8110dc1c: 89015583 ldbu r4,1366(r17) +8110dc20: 88c155c3 ldbu r3,1367(r17) +8110dc24: 88815603 ldbu r2,1368(r17) +8110dc28: 89402e45 stb r5,185(r17) +8110dc2c: 89002e85 stb r4,186(r17) +8110dc30: 88c02ec5 stb r3,187(r17) +8110dc34: 88802f05 stb r2,188(r17) +8110dc38: 003f9406 br 8110da8c <__reset+0xfb0eda8c> +8110dc3c: 00a04634 movhi r2,33048 +8110dc40: 108f1904 addi r2,r2,15460 +8110dc44: 10800803 ldbu r2,32(r2) +8110dc48: 00c001c4 movi r3,7 +8110dc4c: 18bfd336 bltu r3,r2,8110db9c <__reset+0xfb0edb9c> +8110dc50: 89800003 ldbu r6,0(r17) +8110dc54: d1207917 ldw r4,-32284(gp) +8110dc58: 01604574 movhi r5,33045 +8110dc5c: 29627a04 addi r5,r5,-30232 +8110dc60: 112b6200 call 8112b620 +8110dc64: 003fcd06 br 8110db9c <__reset+0xfb0edb9c> +8110dc68: 8c00b304 addi r16,r17,716 +8110dc6c: 8009883a mov r4,r16 +8110dc70: 003f6606 br 8110da0c <__reset+0xfb0eda0c> +8110dc74: 00a04634 movhi r2,33048 +8110dc78: 108f1904 addi r2,r2,15460 +8110dc7c: 10800803 ldbu r2,32(r2) +8110dc80: 00c001c4 movi r3,7 +8110dc84: 18bfd136 bltu r3,r2,8110dbcc <__reset+0xfb0edbcc> +8110dc88: 89800003 ldbu r6,0(r17) +8110dc8c: d1207917 ldw r4,-32284(gp) +8110dc90: 01604574 movhi r5,33045 +8110dc94: 29629d04 addi r5,r5,-30092 +8110dc98: 112b6200 call 8112b620 +8110dc9c: 003fcb06 br 8110dbcc <__reset+0xfb0edbcc> +8110dca0: 8c00b304 addi r16,r17,716 +8110dca4: 8009883a mov r4,r16 +8110dca8: 11023980 call 81102398 +8110dcac: 00800184 movi r2,6 +8110dcb0: 003f5806 br 8110da14 <__reset+0xfb0eda14> +8110dcb4: 00a04634 movhi r2,33048 +8110dcb8: 108f1904 addi r2,r2,15460 +8110dcbc: 10800803 ldbu r2,32(r2) +8110dcc0: 00c001c4 movi r3,7 +8110dcc4: 18bfd136 bltu r3,r2,8110dc0c <__reset+0xfb0edc0c> +8110dcc8: 89800003 ldbu r6,0(r17) +8110dccc: d1207917 ldw r4,-32284(gp) +8110dcd0: 01604574 movhi r5,33045 +8110dcd4: 29625404 addi r5,r5,-30384 +8110dcd8: 112b6200 call 8112b620 +8110dcdc: 003fcb06 br 8110dc0c <__reset+0xfb0edc0c> +8110dce0: 8c00b304 addi r16,r17,716 +8110dce4: 8009883a mov r4,r16 +8110dce8: 11023980 call 81102398 +8110dcec: 00800204 movi r2,8 +8110dcf0: 003f4806 br 8110da14 <__reset+0xfb0eda14> +8110dcf4: 8c00b304 addi r16,r17,716 +8110dcf8: 8009883a mov r4,r16 +8110dcfc: 11023980 call 81102398 +8110dd00: 00800304 movi r2,12 +8110dd04: 003f4306 br 8110da14 <__reset+0xfb0eda14> +8110dd08: 8c00b304 addi r16,r17,716 +8110dd0c: 8009883a mov r4,r16 +8110dd10: 11023980 call 81102398 +8110dd14: 00800384 movi r2,14 +8110dd18: 003f3e06 br 8110da14 <__reset+0xfb0eda14> +8110dd1c: 8c006404 addi r16,r17,400 +8110dd20: 8009883a mov r4,r16 +8110dd24: 88012715 stw zero,1180(r17) +8110dd28: 1103bd00 call 81103bd0 +8110dd2c: 88c17217 ldw r3,1480(r17) +8110dd30: 88817317 ldw r2,1484(r17) +8110dd34: 8009883a mov r4,r16 +8110dd38: 88c06b15 stw r3,428(r17) +8110dd3c: 88806c15 stw r2,432(r17) +8110dd40: 1103c380 call 81103c38 +8110dd44: 003f4e06 br 8110da80 <__reset+0xfb0eda80> +8110dd48: 8c80b304 addi r18,r17,716 +8110dd4c: 9009883a mov r4,r18 +8110dd50: 88012615 stw zero,1176(r17) +8110dd54: 11023980 call 81102398 +8110dd58: 8881710b ldhu r2,1476(r17) +8110dd5c: 9009883a mov r4,r18 +8110dd60: 8c806404 addi r18,r17,400 +8110dd64: 10bfffcc andi r2,r2,65535 +8110dd68: 8880c015 stw r2,768(r17) +8110dd6c: 11023600 call 81102360 +8110dd70: 89c1710b ldhu r7,1476(r17) +8110dd74: 018006f4 movhi r6,27 +8110dd78: 9009883a mov r4,r18 +8110dd7c: 31b85204 addi r6,r6,-7864 +8110dd80: 000b883a mov r5,zero +8110dd84: 39ffffcc andi r7,r7,65535 +8110dd88: 1103d640 call 81103d64 +8110dd8c: 89c1710b ldhu r7,1476(r17) +8110dd90: 018006f4 movhi r6,27 +8110dd94: 31b85204 addi r6,r6,-7864 +8110dd98: 800b883a mov r5,r16 +8110dd9c: 39ffffcc andi r7,r7,65535 +8110dda0: 9009883a mov r4,r18 +8110dda4: 1103d640 call 81103d64 +8110dda8: 003f3206 br 8110da74 <__reset+0xfb0eda74> +8110ddac: 8c80b304 addi r18,r17,716 +8110ddb0: 9009883a mov r4,r18 +8110ddb4: 11023980 call 81102398 +8110ddb8: 88012315 stw zero,1164(r17) +8110ddbc: 88817417 ldw r2,1488(r17) +8110ddc0: 14004326 beq r2,r16,8110ded0 +8110ddc4: 88813017 ldw r2,1216(r17) +8110ddc8: 8880b815 stw r2,736(r17) +8110ddcc: 9009883a mov r4,r18 +8110ddd0: 11023600 call 81102360 +8110ddd4: 003f2406 br 8110da68 <__reset+0xfb0eda68> +8110ddd8: 88012215 stw zero,1160(r17) +8110dddc: 88c13017 ldw r3,1216(r17) +8110dde0: 88813117 ldw r2,1220(r17) +8110dde4: 8c80b304 addi r18,r17,716 +8110dde8: 88c00915 stw r3,36(r17) +8110ddec: 9009883a mov r4,r18 +8110ddf0: 88800a15 stw r2,40(r17) +8110ddf4: 11023980 call 81102398 +8110ddf8: 89413017 ldw r5,1216(r17) +8110ddfc: 89013117 ldw r4,1220(r17) +8110de00: 88813117 ldw r2,1220(r17) +8110de04: 88c04c0b ldhu r3,304(r17) +8110de08: 8940b815 stw r5,736(r17) +8110de0c: 8900b915 stw r4,740(r17) +8110de10: 10800044 addi r2,r2,1 +8110de14: 1880182e bgeu r3,r2,8110de78 +8110de18: 88813117 ldw r2,1220(r17) +8110de1c: 193fffc4 addi r4,r3,-1 +8110de20: 8900ba15 stw r4,744(r17) +8110de24: 10c5c83a sub r2,r2,r3 +8110de28: 8880bb15 stw r2,748(r17) +8110de2c: 8c00be15 stw r16,760(r17) +8110de30: 8c00bf15 stw r16,764(r17) +8110de34: 9009883a mov r4,r18 +8110de38: 11023600 call 81102360 +8110de3c: 003f0706 br 8110da5c <__reset+0xfb0eda5c> +8110de40: 8c00b304 addi r16,r17,716 +8110de44: 8009883a mov r4,r16 +8110de48: 11023980 call 81102398 +8110de4c: 008003c4 movi r2,15 +8110de50: 003ef006 br 8110da14 <__reset+0xfb0eda14> +8110de54: 89800003 ldbu r6,0(r17) +8110de58: d1207917 ldw r4,-32284(gp) +8110de5c: 01604574 movhi r5,33045 +8110de60: 29626804 addi r5,r5,-30304 +8110de64: 112b6200 call 8112b620 +8110de68: 003f4c06 br 8110db9c <__reset+0xfb0edb9c> +8110de6c: 9009883a mov r4,r18 +8110de70: 1102a900 call 81102a90 +8110de74: 003f4006 br 8110db78 <__reset+0xfb0edb78> +8110de78: 88813117 ldw r2,1220(r17) +8110de7c: 8800bb15 stw zero,748(r17) +8110de80: 8c00be15 stw r16,760(r17) +8110de84: 8880ba15 stw r2,744(r17) +8110de88: 8800bf15 stw zero,764(r17) +8110de8c: 003fe906 br 8110de34 <__reset+0xfb0ede34> +8110de90: 89800003 ldbu r6,0(r17) +8110de94: d1207917 ldw r4,-32284(gp) +8110de98: 01604574 movhi r5,33045 +8110de9c: 29624204 addi r5,r5,-30456 +8110dea0: 112b6200 call 8112b620 +8110dea4: 003f5906 br 8110dc0c <__reset+0xfb0edc0c> +8110dea8: 89800003 ldbu r6,0(r17) +8110deac: d1207917 ldw r4,-32284(gp) +8110deb0: 01604574 movhi r5,33045 +8110deb4: 29628e04 addi r5,r5,-30152 +8110deb8: 112b6200 call 8112b620 +8110debc: 003f4306 br 8110dbcc <__reset+0xfb0edbcc> +8110dec0: 000b883a mov r5,zero +8110dec4: 9009883a mov r4,r18 +8110dec8: 1102a900 call 81102a90 +8110decc: 003f4606 br 8110dbe8 <__reset+0xfb0edbe8> +8110ded0: 8800b815 stw zero,736(r17) +8110ded4: 003fbd06 br 8110ddcc <__reset+0xfb0eddcc> + +8110ded8 : +8110ded8: defffc04 addi sp,sp,-16 +8110dedc: dc400115 stw r17,4(sp) +8110dee0: dc000015 stw r16,0(sp) +8110dee4: dfc00315 stw ra,12(sp) +8110dee8: dc800215 stw r18,8(sp) +8110deec: 2023883a mov r17,r4 +8110def0: 21000003 ldbu r4,0(r4) +8110def4: 2820d23a srli r16,r5,8 +8110def8: 01604574 movhi r5,33045 +8110defc: 21000044 addi r4,r4,1 +8110df00: 297348c4 addi r5,r5,-13021 +8110df04: 21003fcc andi r4,r4,255 +8110df08: 111e1e00 call 8111e1e0 +8110df0c: 81803fcc andi r6,r16,255 +8110df10: 00801a04 movi r2,104 +8110df14: 1180d236 bltu r2,r6,8110e260 +8110df18: 300690ba slli r3,r6,2 +8110df1c: 00a04474 movhi r2,33041 +8110df20: 10b7cc04 addi r2,r2,-8400 +8110df24: 1885883a add r2,r3,r2 +8110df28: 10800017 ldw r2,0(r2) +8110df2c: 1000683a jmp r2 +8110df30: 8110e240 call 88110e24 <__reset+0x20f0e24> +8110df34: 8110e260 cmpeqi r4,r16,17289 +8110df38: 8110e260 cmpeqi r4,r16,17289 +8110df3c: 8110e260 cmpeqi r4,r16,17289 +8110df40: 8110e1e8 cmpgeui r4,r16,17287 +8110df44: 8110e260 cmpeqi r4,r16,17289 +8110df48: 8110e260 cmpeqi r4,r16,17289 +8110df4c: 8110e260 cmpeqi r4,r16,17289 +8110df50: 8110e210 cmplti r4,r16,17288 +8110df54: 8110e260 cmpeqi r4,r16,17289 +8110df58: 8110e260 cmpeqi r4,r16,17289 +8110df5c: 8110e260 cmpeqi r4,r16,17289 +8110df60: 8110e16c andhi r4,r16,17285 +8110df64: 8110e260 cmpeqi r4,r16,17289 +8110df68: 8110e260 cmpeqi r4,r16,17289 +8110df6c: 8110e260 cmpeqi r4,r16,17289 +8110df70: 8110e190 cmplti r4,r16,17286 +8110df74: 8110e260 cmpeqi r4,r16,17289 +8110df78: 8110e260 cmpeqi r4,r16,17289 +8110df7c: 8110e260 cmpeqi r4,r16,17289 +8110df80: 8110e1a8 cmpgeui r4,r16,17286 +8110df84: 8110e260 cmpeqi r4,r16,17289 +8110df88: 8110e260 cmpeqi r4,r16,17289 +8110df8c: 8110e260 cmpeqi r4,r16,17289 +8110df90: 8110e0d4 ori r4,r16,17283 +8110df94: 8110e260 cmpeqi r4,r16,17289 +8110df98: 8110e260 cmpeqi r4,r16,17289 +8110df9c: 8110e260 cmpeqi r4,r16,17289 +8110dfa0: 8110e0d4 ori r4,r16,17283 +8110dfa4: 8110e260 cmpeqi r4,r16,17289 +8110dfa8: 8110e260 cmpeqi r4,r16,17289 +8110dfac: 8110e260 cmpeqi r4,r16,17289 +8110dfb0: 8110e0d4 ori r4,r16,17283 +8110dfb4: 8110e260 cmpeqi r4,r16,17289 +8110dfb8: 8110e260 cmpeqi r4,r16,17289 +8110dfbc: 8110e260 cmpeqi r4,r16,17289 +8110dfc0: 8110e0d4 ori r4,r16,17283 +8110dfc4: 8110e260 cmpeqi r4,r16,17289 +8110dfc8: 8110e260 cmpeqi r4,r16,17289 +8110dfcc: 8110e260 cmpeqi r4,r16,17289 +8110dfd0: 8110e0d4 ori r4,r16,17283 +8110dfd4: 8110e260 cmpeqi r4,r16,17289 +8110dfd8: 8110e260 cmpeqi r4,r16,17289 +8110dfdc: 8110e260 cmpeqi r4,r16,17289 +8110dfe0: 8110e0d4 ori r4,r16,17283 +8110dfe4: 8110e260 cmpeqi r4,r16,17289 +8110dfe8: 8110e260 cmpeqi r4,r16,17289 +8110dfec: 8110e260 cmpeqi r4,r16,17289 +8110dff0: 8110e0d4 ori r4,r16,17283 +8110dff4: 8110e260 cmpeqi r4,r16,17289 +8110dff8: 8110e260 cmpeqi r4,r16,17289 +8110dffc: 8110e260 cmpeqi r4,r16,17289 +8110e000: 8110e0d4 ori r4,r16,17283 +8110e004: 8110e260 cmpeqi r4,r16,17289 +8110e008: 8110e260 cmpeqi r4,r16,17289 +8110e00c: 8110e260 cmpeqi r4,r16,17289 +8110e010: 8110e0d4 ori r4,r16,17283 +8110e014: 8110e260 cmpeqi r4,r16,17289 +8110e018: 8110e260 cmpeqi r4,r16,17289 +8110e01c: 8110e260 cmpeqi r4,r16,17289 +8110e020: 8110e0d4 ori r4,r16,17283 +8110e024: 8110e260 cmpeqi r4,r16,17289 +8110e028: 8110e260 cmpeqi r4,r16,17289 +8110e02c: 8110e260 cmpeqi r4,r16,17289 +8110e030: 8110e0d4 ori r4,r16,17283 +8110e034: 8110e260 cmpeqi r4,r16,17289 +8110e038: 8110e260 cmpeqi r4,r16,17289 +8110e03c: 8110e260 cmpeqi r4,r16,17289 +8110e040: 8110e0d4 ori r4,r16,17283 +8110e044: 8110e260 cmpeqi r4,r16,17289 +8110e048: 8110e260 cmpeqi r4,r16,17289 +8110e04c: 8110e260 cmpeqi r4,r16,17289 +8110e050: 8110e0d4 ori r4,r16,17283 +8110e054: 8110e260 cmpeqi r4,r16,17289 +8110e058: 8110e260 cmpeqi r4,r16,17289 +8110e05c: 8110e260 cmpeqi r4,r16,17289 +8110e060: 8110e0d4 ori r4,r16,17283 +8110e064: 8110e260 cmpeqi r4,r16,17289 +8110e068: 8110e260 cmpeqi r4,r16,17289 +8110e06c: 8110e260 cmpeqi r4,r16,17289 +8110e070: 8110e0d4 ori r4,r16,17283 +8110e074: 8110e260 cmpeqi r4,r16,17289 +8110e078: 8110e260 cmpeqi r4,r16,17289 +8110e07c: 8110e260 cmpeqi r4,r16,17289 +8110e080: 8110e100 call 88110e10 <__reset+0x20f0e10> +8110e084: 8110e260 cmpeqi r4,r16,17289 +8110e088: 8110e260 cmpeqi r4,r16,17289 +8110e08c: 8110e260 cmpeqi r4,r16,17289 +8110e090: 8110e1c8 cmpgei r4,r16,17287 +8110e094: 8110e260 cmpeqi r4,r16,17289 +8110e098: 8110e260 cmpeqi r4,r16,17289 +8110e09c: 8110e260 cmpeqi r4,r16,17289 +8110e0a0: 8110e1c8 cmpgei r4,r16,17287 +8110e0a4: 8110e260 cmpeqi r4,r16,17289 +8110e0a8: 8110e260 cmpeqi r4,r16,17289 +8110e0ac: 8110e260 cmpeqi r4,r16,17289 +8110e0b0: 8110e1c8 cmpgei r4,r16,17287 +8110e0b4: 8110e260 cmpeqi r4,r16,17289 +8110e0b8: 8110e260 cmpeqi r4,r16,17289 +8110e0bc: 8110e260 cmpeqi r4,r16,17289 +8110e0c0: 8110e1c8 cmpgei r4,r16,17287 +8110e0c4: 8110e260 cmpeqi r4,r16,17289 +8110e0c8: 8110e260 cmpeqi r4,r16,17289 +8110e0cc: 8110e260 cmpeqi r4,r16,17289 +8110e0d0: 8110e1c8 cmpgei r4,r16,17287 +8110e0d4: 00a04634 movhi r2,33048 +8110e0d8: 108f1904 addi r2,r2,15460 +8110e0dc: 10800803 ldbu r2,32(r2) +8110e0e0: 00c001c4 movi r3,7 +8110e0e4: 1880662e bgeu r3,r2,8110e280 +8110e0e8: dfc00317 ldw ra,12(sp) +8110e0ec: dc800217 ldw r18,8(sp) +8110e0f0: dc400117 ldw r17,4(sp) +8110e0f4: dc000017 ldw r16,0(sp) +8110e0f8: dec00404 addi sp,sp,16 +8110e0fc: f800283a ret +8110e100: 8880ab17 ldw r2,684(r17) +8110e104: 88000b15 stw zero,44(r17) +8110e108: 00c003c4 movi r3,15 +8110e10c: 10804017 ldw r2,256(r2) +8110e110: 1880b536 bltu r3,r2,8110e3e8 +8110e114: 100490ba slli r2,r2,2 +8110e118: 00e04474 movhi r3,33041 +8110e11c: 18f84b04 addi r3,r3,-7892 +8110e120: 10c5883a add r2,r2,r3 +8110e124: 10800017 ldw r2,0(r2) +8110e128: 1000683a jmp r2 +8110e12c: 8110e2a4 muli r4,r16,17290 +8110e130: 8110e2a4 muli r4,r16,17290 +8110e134: 8110e2a4 muli r4,r16,17290 +8110e138: 8110e3e8 cmpgeui r4,r16,17295 +8110e13c: 8110e2a4 muli r4,r16,17290 +8110e140: 8110e2a4 muli r4,r16,17290 +8110e144: 8110e2a4 muli r4,r16,17290 +8110e148: 8110e360 cmpeqi r4,r16,17293 +8110e14c: 8110e300 call 88110e30 <__reset+0x20f0e30> +8110e150: 8110e2a4 muli r4,r16,17290 +8110e154: 8110e2a4 muli r4,r16,17290 +8110e158: 8110e2a4 muli r4,r16,17290 +8110e15c: 8110e2a4 muli r4,r16,17290 +8110e160: 8110e3a4 muli r4,r16,17294 +8110e164: 8110e3a4 muli r4,r16,17294 +8110e168: 8110e3a4 muli r4,r16,17294 +8110e16c: 88c0ab17 ldw r3,684(r17) +8110e170: 00800044 movi r2,1 +8110e174: 88812515 stw r2,1172(r17) +8110e178: 19000b17 ldw r4,44(r3) +8110e17c: 18c00c17 ldw r3,48(r3) +8110e180: 89013315 stw r4,1228(r17) +8110e184: 88812315 stw r2,1164(r17) +8110e188: 88c17415 stw r3,1488(r17) +8110e18c: 003fd606 br 8110e0e8 <__reset+0xfb0ee0e8> +8110e190: 8880ab17 ldw r2,684(r17) +8110e194: 00c00044 movi r3,1 +8110e198: 88c12615 stw r3,1176(r17) +8110e19c: 10801017 ldw r2,64(r2) +8110e1a0: 8881710d sth r2,1476(r17) +8110e1a4: 003fd006 br 8110e0e8 <__reset+0xfb0ee0e8> +8110e1a8: 8880ab17 ldw r2,684(r17) +8110e1ac: 00c00044 movi r3,1 +8110e1b0: 88c12715 stw r3,1180(r17) +8110e1b4: 10c01517 ldw r3,84(r2) +8110e1b8: 10801717 ldw r2,92(r2) +8110e1bc: 88c17215 stw r3,1480(r17) +8110e1c0: 88817315 stw r2,1484(r17) +8110e1c4: 003fc806 br 8110e0e8 <__reset+0xfb0ee0e8> +8110e1c8: 00a04634 movhi r2,33048 +8110e1cc: 108f1904 addi r2,r2,15460 +8110e1d0: 10800803 ldbu r2,32(r2) +8110e1d4: 00c001c4 movi r3,7 +8110e1d8: 18bfc336 bltu r3,r2,8110e0e8 <__reset+0xfb0ee0e8> +8110e1dc: 01604574 movhi r5,33045 +8110e1e0: 29633004 addi r5,r5,-29504 +8110e1e4: 00002806 br 8110e288 +8110e1e8: 00a04634 movhi r2,33048 +8110e1ec: 108f1904 addi r2,r2,15460 +8110e1f0: 10800803 ldbu r2,32(r2) +8110e1f4: 00c001c4 movi r3,7 +8110e1f8: 18bfbb36 bltu r3,r2,8110e0e8 <__reset+0xfb0ee0e8> +8110e1fc: 01604574 movhi r5,33045 +8110e200: 2962dd04 addi r5,r5,-29836 +8110e204: d1207917 ldw r4,-32284(gp) +8110e208: 01800104 movi r6,4 +8110e20c: 00001f06 br 8110e28c +8110e210: 8880ab17 ldw r2,684(r17) +8110e214: 00c00044 movi r3,1 +8110e218: 88c12415 stw r3,1168(r17) +8110e21c: 10c00617 ldw r3,24(r2) +8110e220: 88c15545 stb r3,1365(r17) +8110e224: 10c00717 ldw r3,28(r2) +8110e228: 88c15585 stb r3,1366(r17) +8110e22c: 10c00817 ldw r3,32(r2) +8110e230: 88c155c5 stb r3,1367(r17) +8110e234: 10800917 ldw r2,36(r2) +8110e238: 88815605 stb r2,1368(r17) +8110e23c: 003faa06 br 8110e0e8 <__reset+0xfb0ee0e8> +8110e240: 8880ab17 ldw r2,684(r17) +8110e244: 00c00044 movi r3,1 +8110e248: 88c12215 stw r3,1160(r17) +8110e24c: 10c00017 ldw r3,0(r2) +8110e250: 10800117 ldw r2,4(r2) +8110e254: 88c13015 stw r3,1216(r17) +8110e258: 88813115 stw r2,1220(r17) +8110e25c: 003fa206 br 8110e0e8 <__reset+0xfb0ee0e8> +8110e260: 00a04634 movhi r2,33048 +8110e264: 108f1904 addi r2,r2,15460 +8110e268: 10800803 ldbu r2,32(r2) +8110e26c: 00c001c4 movi r3,7 +8110e270: 18bf9d36 bltu r3,r2,8110e0e8 <__reset+0xfb0ee0e8> +8110e274: 01604574 movhi r5,33045 +8110e278: 29633904 addi r5,r5,-29468 +8110e27c: 00000206 br 8110e288 +8110e280: 01604574 movhi r5,33045 +8110e284: 2962dd04 addi r5,r5,-29836 +8110e288: d1207917 ldw r4,-32284(gp) +8110e28c: dfc00317 ldw ra,12(sp) +8110e290: dc800217 ldw r18,8(sp) +8110e294: dc400117 ldw r17,4(sp) +8110e298: dc000017 ldw r16,0(sp) +8110e29c: dec00404 addi sp,sp,16 +8110e2a0: 112b6201 jmpi 8112b620 +8110e2a4: 8c00b304 addi r16,r17,716 +8110e2a8: 8009883a mov r4,r16 +8110e2ac: 11023f00 call 811023f0 +8110e2b0: 04800044 movi r18,1 +8110e2b4: 8c80c515 stw r18,788(r17) +8110e2b8: 8009883a mov r4,r16 +8110e2bc: 11023d00 call 811023d0 +8110e2c0: 00a04634 movhi r2,33048 +8110e2c4: 108f1904 addi r2,r2,15460 +8110e2c8: 10800803 ldbu r2,32(r2) +8110e2cc: 00c001c4 movi r3,7 +8110e2d0: 18bf8536 bltu r3,r2,8110e0e8 <__reset+0xfb0ee0e8> +8110e2d4: d1e07917 ldw r7,-32284(gp) +8110e2d8: 01204574 movhi r4,33045 +8110e2dc: 21242504 addi r4,r4,-28524 +8110e2e0: 01801444 movi r6,81 +8110e2e4: 900b883a mov r5,r18 +8110e2e8: dfc00317 ldw ra,12(sp) +8110e2ec: dc800217 ldw r18,8(sp) +8110e2f0: dc400117 ldw r17,4(sp) +8110e2f4: dc000017 ldw r16,0(sp) +8110e2f8: dec00404 addi sp,sp,16 +8110e2fc: 112bc8c1 jmpi 8112bc8c +8110e300: 00c00304 movi r3,12 +8110e304: 00800344 movi r2,13 +8110e308: 8c00b304 addi r16,r17,716 +8110e30c: 88c03315 stw r3,204(r17) +8110e310: 00c00084 movi r3,2 +8110e314: 8009883a mov r4,r16 +8110e318: 88c03415 stw r3,208(r17) +8110e31c: 88002b15 stw zero,172(r17) +8110e320: 88803515 stw r2,212(r17) +8110e324: 88803115 stw r2,196(r17) +8110e328: 11023980 call 81102398 +8110e32c: 00800044 movi r2,1 8110e330: 8009883a mov r4,r16 -8110e334: 11023f00 call 811023f0 -8110e338: 04800044 movi r18,1 -8110e33c: 8c80c515 stw r18,788(r17) -8110e340: 8009883a mov r4,r16 -8110e344: 11023d00 call 811023d0 -8110e348: 00a04634 movhi r2,33048 -8110e34c: 108f0804 addi r2,r2,15392 -8110e350: 10800803 ldbu r2,32(r2) -8110e354: 00c001c4 movi r3,7 -8110e358: 18bf5636 bltu r3,r2,8110e0b4 <__reset+0xfb0ee0b4> -8110e35c: 01204574 movhi r4,33045 -8110e360: d1e07917 ldw r7,-32284(gp) -8110e364: 2122f804 addi r4,r4,-29728 -8110e368: 01800d84 movi r6,54 -8110e36c: 003fd006 br 8110e2b0 <__reset+0xfb0ee2b0> -8110e370: 8c00b304 addi r16,r17,716 +8110e334: 8880c315 stw r2,780(r17) +8110e338: 11023600 call 81102360 +8110e33c: 89800003 ldbu r6,0(r17) +8110e340: 000b883a mov r5,zero +8110e344: 01002044 movi r4,129 +8110e348: dfc00317 ldw ra,12(sp) +8110e34c: dc800217 ldw r18,8(sp) +8110e350: dc400117 ldw r17,4(sp) +8110e354: dc000017 ldw r16,0(sp) +8110e358: dec00404 addi sp,sp,16 +8110e35c: 1109ac01 jmpi 81109ac0 +8110e360: 8c00b304 addi r16,r17,716 +8110e364: 8009883a mov r4,r16 +8110e368: 11023f00 call 811023f0 +8110e36c: 04800044 movi r18,1 +8110e370: 8c80c515 stw r18,788(r17) 8110e374: 8009883a mov r4,r16 -8110e378: 11023f00 call 811023f0 -8110e37c: 04800044 movi r18,1 -8110e380: 8c80c515 stw r18,788(r17) -8110e384: 8009883a mov r4,r16 -8110e388: 11023d00 call 811023d0 -8110e38c: 00a04634 movhi r2,33048 -8110e390: 108f0804 addi r2,r2,15392 -8110e394: 10800803 ldbu r2,32(r2) -8110e398: 00c001c4 movi r3,7 -8110e39c: 18bf4536 bltu r3,r2,8110e0b4 <__reset+0xfb0ee0b4> -8110e3a0: 01204574 movhi r4,33045 -8110e3a4: d1e07917 ldw r7,-32284(gp) -8110e3a8: 21230604 addi r4,r4,-29672 -8110e3ac: 01800644 movi r6,25 -8110e3b0: 003fbf06 br 8110e2b0 <__reset+0xfb0ee2b0> -8110e3b4: 8c00b304 addi r16,r17,716 +8110e378: 11023d00 call 811023d0 +8110e37c: 00a04634 movhi r2,33048 +8110e380: 108f1904 addi r2,r2,15460 +8110e384: 10800803 ldbu r2,32(r2) +8110e388: 00c001c4 movi r3,7 +8110e38c: 18bf5636 bltu r3,r2,8110e0e8 <__reset+0xfb0ee0e8> +8110e390: 01204574 movhi r4,33045 +8110e394: d1e07917 ldw r7,-32284(gp) +8110e398: 21230804 addi r4,r4,-29664 +8110e39c: 01800d84 movi r6,54 +8110e3a0: 003fd006 br 8110e2e4 <__reset+0xfb0ee2e4> +8110e3a4: 8c00b304 addi r16,r17,716 +8110e3a8: 8009883a mov r4,r16 +8110e3ac: 11023f00 call 811023f0 +8110e3b0: 04800044 movi r18,1 +8110e3b4: 8c80c515 stw r18,788(r17) 8110e3b8: 8009883a mov r4,r16 -8110e3bc: 11023f00 call 811023f0 -8110e3c0: 00800044 movi r2,1 -8110e3c4: 8880c515 stw r2,788(r17) -8110e3c8: 8009883a mov r4,r16 -8110e3cc: 11023d00 call 811023d0 -8110e3d0: 00a04634 movhi r2,33048 -8110e3d4: 108f0804 addi r2,r2,15392 -8110e3d8: 10800803 ldbu r2,32(r2) -8110e3dc: 00c001c4 movi r3,7 -8110e3e0: 18bf3436 bltu r3,r2,8110e0b4 <__reset+0xfb0ee0b4> -8110e3e4: 8880ab17 ldw r2,684(r17) -8110e3e8: 01604574 movhi r5,33045 -8110e3ec: 29630d04 addi r5,r5,-29644 -8110e3f0: d1207917 ldw r4,-32284(gp) -8110e3f4: 11804003 ldbu r6,256(r2) -8110e3f8: 003f9706 br 8110e258 <__reset+0xfb0ee258> - -8110e3fc : -8110e3fc: 21800003 ldbu r6,0(r4) -8110e400: 2806d63a srli r3,r5,24 -8110e404: 30800444 addi r2,r6,17 -8110e408: 18800126 beq r3,r2,8110e410 -8110e40c: f800283a ret -8110e410: 2804d43a srli r2,r5,16 -8110e414: defffc04 addi sp,sp,-16 -8110e418: dc400115 stw r17,4(sp) -8110e41c: dc000015 stw r16,0(sp) -8110e420: dfc00315 stw ra,12(sp) -8110e424: dc800215 stw r18,8(sp) -8110e428: 00c028c4 movi r3,163 -8110e42c: 10803fcc andi r2,r2,255 -8110e430: 2821883a mov r16,r5 -8110e434: 2023883a mov r17,r4 -8110e438: 10c09426 beq r2,r3,8110e68c -8110e43c: 1880172e bgeu r3,r2,8110e49c -8110e440: 00c03884 movi r3,226 -8110e444: 1880442e bgeu r3,r2,8110e558 -8110e448: 00c03a04 movi r3,232 -8110e44c: 10c05b26 beq r2,r3,8110e5bc -8110e450: 00c03c04 movi r3,240 -8110e454: 10c04e1e bne r2,r3,8110e590 -8110e458: 00a04634 movhi r2,33048 -8110e45c: 108f0804 addi r2,r2,15392 -8110e460: 10800803 ldbu r2,32(r2) -8110e464: 00c00104 movi r3,4 -8110e468: 18800436 bltu r3,r2,8110e47c -8110e46c: d1207917 ldw r4,-32284(gp) -8110e470: 01604574 movhi r5,33045 -8110e474: 29637b04 addi r5,r5,-29204 -8110e478: 112b5e00 call 8112b5e0 -8110e47c: 800b883a mov r5,r16 -8110e480: 8809883a mov r4,r17 -8110e484: dfc00317 ldw ra,12(sp) -8110e488: dc800217 ldw r18,8(sp) -8110e48c: dc400117 ldw r17,4(sp) -8110e490: dc000017 ldw r16,0(sp) -8110e494: dec00404 addi sp,sp,16 -8110e498: 110dea41 jmpi 8110dea4 -8110e49c: 00c00304 movi r3,12 -8110e4a0: 1880142e bgeu r3,r2,8110e4f4 -8110e4a4: 00c023c4 movi r3,143 -8110e4a8: 10c02326 beq r2,r3,8110e538 -8110e4ac: 00c02844 movi r3,161 -8110e4b0: 10c01426 beq r2,r3,8110e504 -8110e4b4: 00c01c44 movi r3,113 -8110e4b8: 10c02f26 beq r2,r3,8110e578 -8110e4bc: 00a04634 movhi r2,33048 -8110e4c0: 108f0804 addi r2,r2,15392 -8110e4c4: 10800803 ldbu r2,32(r2) -8110e4c8: 00c001c4 movi r3,7 -8110e4cc: 18802a36 bltu r3,r2,8110e578 -8110e4d0: 01604574 movhi r5,33045 -8110e4d4: 29644304 addi r5,r5,-28404 -8110e4d8: d1207917 ldw r4,-32284(gp) -8110e4dc: dfc00317 ldw ra,12(sp) -8110e4e0: dc800217 ldw r18,8(sp) -8110e4e4: dc400117 ldw r17,4(sp) -8110e4e8: dc000017 ldw r16,0(sp) -8110e4ec: dec00404 addi sp,sp,16 -8110e4f0: 112b5e01 jmpi 8112b5e0 -8110e4f4: 00c000c4 movi r3,3 -8110e4f8: 10c0282e bgeu r2,r3,8110e59c -8110e4fc: 00c00044 movi r3,1 -8110e500: 10ffee1e bne r2,r3,8110e4bc <__reset+0xfb0ee4bc> -8110e504: 00800044 movi r2,1 -8110e508: 8c00b304 addi r16,r17,716 -8110e50c: 88803415 stw r2,208(r17) -8110e510: 00800304 movi r2,12 -8110e514: 8009883a mov r4,r16 -8110e518: 88002b15 stw zero,172(r17) -8110e51c: 88003315 stw zero,204(r17) -8110e520: 88803115 stw r2,196(r17) -8110e524: 11023980 call 81102398 -8110e528: 8009883a mov r4,r16 -8110e52c: 8800c315 stw zero,780(r17) -8110e530: 11023600 call 81102360 -8110e534: 89800003 ldbu r6,0(r17) -8110e538: 000b883a mov r5,zero -8110e53c: 01002044 movi r4,129 -8110e540: dfc00317 ldw ra,12(sp) -8110e544: dc800217 ldw r18,8(sp) -8110e548: dc400117 ldw r17,4(sp) -8110e54c: dc000017 ldw r16,0(sp) -8110e550: dec00404 addi sp,sp,16 -8110e554: 1109a8c1 jmpi 81109a8c -8110e558: 00c03844 movi r3,225 -8110e55c: 10c0062e bgeu r2,r3,8110e578 -8110e560: 00c03804 movi r3,224 -8110e564: 10ffd51e bne r2,r3,8110e4bc <__reset+0xfb0ee4bc> -8110e568: 20803517 ldw r2,212(r4) -8110e56c: 00c00044 movi r3,1 -8110e570: 20c02b15 stw r3,172(r4) -8110e574: 20803115 stw r2,196(r4) -8110e578: dfc00317 ldw ra,12(sp) -8110e57c: dc800217 ldw r18,8(sp) -8110e580: dc400117 ldw r17,4(sp) -8110e584: dc000017 ldw r16,0(sp) -8110e588: dec00404 addi sp,sp,16 -8110e58c: f800283a ret -8110e590: 00c03904 movi r3,228 -8110e594: 10ffc91e bne r2,r3,8110e4bc <__reset+0xfb0ee4bc> -8110e598: 003ff706 br 8110e578 <__reset+0xfb0ee578> -8110e59c: 00a04634 movhi r2,33048 -8110e5a0: 108f0804 addi r2,r2,15392 -8110e5a4: 10800803 ldbu r2,32(r2) -8110e5a8: 00c001c4 movi r3,7 -8110e5ac: 18bff236 bltu r3,r2,8110e578 <__reset+0xfb0ee578> -8110e5b0: 01604574 movhi r5,33045 -8110e5b4: 29642a04 addi r5,r5,-28504 -8110e5b8: 003fc706 br 8110e4d8 <__reset+0xfb0ee4d8> -8110e5bc: 20812217 ldw r2,1160(r4) -8110e5c0: 10000a1e bne r2,zero,8110e5ec -8110e5c4: 20812317 ldw r2,1164(r4) -8110e5c8: 1000081e bne r2,zero,8110e5ec -8110e5cc: 20812617 ldw r2,1176(r4) -8110e5d0: 1000061e bne r2,zero,8110e5ec -8110e5d4: 20812417 ldw r2,1168(r4) -8110e5d8: 1000041e bne r2,zero,8110e5ec -8110e5dc: 20812717 ldw r2,1180(r4) -8110e5e0: 1000021e bne r2,zero,8110e5ec -8110e5e4: 20812517 ldw r2,1172(r4) -8110e5e8: 10001526 beq r2,zero,8110e640 -8110e5ec: 8c012217 ldw r16,1160(r17) -8110e5f0: 00800044 movi r2,1 -8110e5f4: 8080ae26 beq r16,r2,8110e8b0 -8110e5f8: 8c012317 ldw r16,1164(r17) -8110e5fc: 00800044 movi r2,1 -8110e600: 8080a026 beq r16,r2,8110e884 -8110e604: 8c012617 ldw r16,1176(r17) -8110e608: 00800044 movi r2,1 -8110e60c: 80808426 beq r16,r2,8110e820 -8110e610: 88c12717 ldw r3,1180(r17) -8110e614: 00800044 movi r2,1 -8110e618: 18807626 beq r3,r2,8110e7f4 -8110e61c: 88c12417 ldw r3,1168(r17) -8110e620: 00800044 movi r2,1 -8110e624: 18804826 beq r3,r2,8110e748 -8110e628: 88c12517 ldw r3,1172(r17) -8110e62c: 00800044 movi r2,1 -8110e630: 1880031e bne r3,r2,8110e640 -8110e634: 88012515 stw zero,1172(r17) -8110e638: 88813317 ldw r2,1228(r17) -8110e63c: 88800c15 stw r2,48(r17) -8110e640: 8c042d17 ldw r16,4276(r17) -8110e644: 00800044 movi r2,1 -8110e648: 80802f26 beq r16,r2,8110e708 -8110e64c: 8c042e17 ldw r16,4280(r17) -8110e650: 00800044 movi r2,1 -8110e654: 80801c26 beq r16,r2,8110e6c8 -8110e658: 88c1ab17 ldw r3,1708(r17) -8110e65c: 00800044 movi r2,1 -8110e660: 18bfc51e bne r3,r2,8110e578 <__reset+0xfb0ee578> -8110e664: 8900b304 addi r4,r17,716 -8110e668: 1102d340 call 81102d34 -8110e66c: 10004b26 beq r2,zero,8110e79c -8110e670: 00a04634 movhi r2,33048 -8110e674: 108f0804 addi r2,r2,15392 -8110e678: 10800803 ldbu r2,32(r2) -8110e67c: 00c001c4 movi r3,7 -8110e680: 1880b42e bgeu r3,r2,8110e954 -8110e684: 8801ab15 stw zero,1708(r17) -8110e688: 003fbb06 br 8110e578 <__reset+0xfb0ee578> -8110e68c: 00c00304 movi r3,12 -8110e690: 00800344 movi r2,13 -8110e694: 2400b304 addi r16,r4,716 -8110e698: 88c03315 stw r3,204(r17) -8110e69c: 00c00084 movi r3,2 -8110e6a0: 8009883a mov r4,r16 -8110e6a4: 88002b15 stw zero,172(r17) -8110e6a8: 88c03415 stw r3,208(r17) -8110e6ac: 88803515 stw r2,212(r17) -8110e6b0: 88803115 stw r2,196(r17) -8110e6b4: 11023980 call 81102398 -8110e6b8: 00800044 movi r2,1 -8110e6bc: 8009883a mov r4,r16 -8110e6c0: 8880c315 stw r2,780(r17) -8110e6c4: 003f9a06 br 8110e530 <__reset+0xfb0ee530> -8110e6c8: 8c80b304 addi r18,r17,716 -8110e6cc: 9009883a mov r4,r18 -8110e6d0: 11027800 call 81102780 -8110e6d4: 8940fe17 ldw r5,1016(r17) -8110e6d8: 2c009526 beq r5,r16,8110e930 -8110e6dc: 01400044 movi r5,1 -8110e6e0: 9009883a mov r4,r18 -8110e6e4: 1102a080 call 81102a08 -8110e6e8: 10002126 beq r2,zero,8110e770 -8110e6ec: 00a04634 movhi r2,33048 -8110e6f0: 108f0804 addi r2,r2,15392 -8110e6f4: 10800803 ldbu r2,32(r2) -8110e6f8: 00c001c4 movi r3,7 -8110e6fc: 1880862e bgeu r3,r2,8110e918 -8110e700: 88042e15 stw zero,4280(r17) -8110e704: 003fd406 br 8110e658 <__reset+0xfb0ee658> -8110e708: 8c80b304 addi r18,r17,716 -8110e70c: 9009883a mov r4,r18 -8110e710: 11027100 call 81102710 -8110e714: 8880ef17 ldw r2,956(r17) -8110e718: 14009a26 beq r2,r16,8110e984 -8110e71c: 000b883a mov r5,zero -8110e720: 9009883a mov r4,r18 -8110e724: 1102a080 call 81102a08 -8110e728: 10002726 beq r2,zero,8110e7c8 -8110e72c: 00a04634 movhi r2,33048 -8110e730: 108f0804 addi r2,r2,15392 -8110e734: 10800803 ldbu r2,32(r2) -8110e738: 00c001c4 movi r3,7 -8110e73c: 18808b2e bgeu r3,r2,8110e96c -8110e740: 88042d15 stw zero,4276(r17) -8110e744: 003fc106 br 8110e64c <__reset+0xfb0ee64c> -8110e748: 88012415 stw zero,1168(r17) -8110e74c: 89415543 ldbu r5,1365(r17) -8110e750: 89015583 ldbu r4,1366(r17) -8110e754: 88c155c3 ldbu r3,1367(r17) -8110e758: 88815603 ldbu r2,1368(r17) -8110e75c: 89402e45 stb r5,185(r17) -8110e760: 89002e85 stb r4,186(r17) -8110e764: 88c02ec5 stb r3,187(r17) -8110e768: 88802f05 stb r2,188(r17) -8110e76c: 003fae06 br 8110e628 <__reset+0xfb0ee628> -8110e770: 00a04634 movhi r2,33048 -8110e774: 108f0804 addi r2,r2,15392 -8110e778: 10800803 ldbu r2,32(r2) -8110e77c: 00c001c4 movi r3,7 -8110e780: 18bfdf36 bltu r3,r2,8110e700 <__reset+0xfb0ee700> -8110e784: 89800003 ldbu r6,0(r17) -8110e788: d1207917 ldw r4,-32284(gp) -8110e78c: 01604574 movhi r5,33045 -8110e790: 29626a04 addi r5,r5,-30296 -8110e794: 112b5e00 call 8112b5e0 -8110e798: 003fd906 br 8110e700 <__reset+0xfb0ee700> -8110e79c: 00a04634 movhi r2,33048 -8110e7a0: 108f0804 addi r2,r2,15392 -8110e7a4: 10800803 ldbu r2,32(r2) -8110e7a8: 00c001c4 movi r3,7 -8110e7ac: 18bfb536 bltu r3,r2,8110e684 <__reset+0xfb0ee684> -8110e7b0: 89800003 ldbu r6,0(r17) -8110e7b4: d1207917 ldw r4,-32284(gp) -8110e7b8: 01604574 movhi r5,33045 -8110e7bc: 29628d04 addi r5,r5,-30156 -8110e7c0: 112b5e00 call 8112b5e0 -8110e7c4: 003faf06 br 8110e684 <__reset+0xfb0ee684> -8110e7c8: 00a04634 movhi r2,33048 -8110e7cc: 108f0804 addi r2,r2,15392 -8110e7d0: 10800803 ldbu r2,32(r2) -8110e7d4: 00c001c4 movi r3,7 -8110e7d8: 18bfd936 bltu r3,r2,8110e740 <__reset+0xfb0ee740> -8110e7dc: 89800003 ldbu r6,0(r17) -8110e7e0: d1207917 ldw r4,-32284(gp) -8110e7e4: 01604574 movhi r5,33045 -8110e7e8: 29624404 addi r5,r5,-30448 -8110e7ec: 112b5e00 call 8112b5e0 -8110e7f0: 003fd306 br 8110e740 <__reset+0xfb0ee740> -8110e7f4: 8c006404 addi r16,r17,400 -8110e7f8: 8009883a mov r4,r16 -8110e7fc: 88012715 stw zero,1180(r17) -8110e800: 1103bd00 call 81103bd0 -8110e804: 88c17217 ldw r3,1480(r17) -8110e808: 88817317 ldw r2,1484(r17) -8110e80c: 8009883a mov r4,r16 -8110e810: 88c06b15 stw r3,428(r17) -8110e814: 88806c15 stw r2,432(r17) -8110e818: 1103c380 call 81103c38 -8110e81c: 003f7f06 br 8110e61c <__reset+0xfb0ee61c> -8110e820: 8c80b304 addi r18,r17,716 -8110e824: 9009883a mov r4,r18 -8110e828: 88012615 stw zero,1176(r17) -8110e82c: 11023980 call 81102398 -8110e830: 8881710b ldhu r2,1476(r17) -8110e834: 9009883a mov r4,r18 -8110e838: 8c806404 addi r18,r17,400 -8110e83c: 10bfffcc andi r2,r2,65535 -8110e840: 8880c015 stw r2,768(r17) -8110e844: 11023600 call 81102360 -8110e848: 89c1710b ldhu r7,1476(r17) -8110e84c: 018006f4 movhi r6,27 -8110e850: 9009883a mov r4,r18 -8110e854: 31b85204 addi r6,r6,-7864 -8110e858: 000b883a mov r5,zero -8110e85c: 39ffffcc andi r7,r7,65535 -8110e860: 1103d640 call 81103d64 -8110e864: 89c1710b ldhu r7,1476(r17) -8110e868: 018006f4 movhi r6,27 -8110e86c: 31b85204 addi r6,r6,-7864 -8110e870: 800b883a mov r5,r16 -8110e874: 39ffffcc andi r7,r7,65535 -8110e878: 9009883a mov r4,r18 -8110e87c: 1103d640 call 81103d64 -8110e880: 003f6306 br 8110e610 <__reset+0xfb0ee610> -8110e884: 8c80b304 addi r18,r17,716 -8110e888: 9009883a mov r4,r18 -8110e88c: 11023980 call 81102398 -8110e890: 88012315 stw zero,1164(r17) -8110e894: 88817417 ldw r2,1488(r17) -8110e898: 14003e26 beq r2,r16,8110e994 -8110e89c: 88813017 ldw r2,1216(r17) -8110e8a0: 8880b815 stw r2,736(r17) -8110e8a4: 9009883a mov r4,r18 -8110e8a8: 11023600 call 81102360 -8110e8ac: 003f5506 br 8110e604 <__reset+0xfb0ee604> -8110e8b0: 88012215 stw zero,1160(r17) -8110e8b4: 88c13017 ldw r3,1216(r17) -8110e8b8: 88813117 ldw r2,1220(r17) -8110e8bc: 8c80b304 addi r18,r17,716 -8110e8c0: 88c00915 stw r3,36(r17) -8110e8c4: 9009883a mov r4,r18 -8110e8c8: 88800a15 stw r2,40(r17) -8110e8cc: 11023980 call 81102398 -8110e8d0: 89413017 ldw r5,1216(r17) -8110e8d4: 89013117 ldw r4,1220(r17) -8110e8d8: 88813117 ldw r2,1220(r17) -8110e8dc: 88c04c0b ldhu r3,304(r17) -8110e8e0: 8940b815 stw r5,736(r17) -8110e8e4: 8900b915 stw r4,740(r17) -8110e8e8: 10800044 addi r2,r2,1 -8110e8ec: 1880132e bgeu r3,r2,8110e93c -8110e8f0: 88813117 ldw r2,1220(r17) -8110e8f4: 193fffc4 addi r4,r3,-1 -8110e8f8: 8900ba15 stw r4,744(r17) -8110e8fc: 10c7c83a sub r3,r2,r3 -8110e900: 88c0bb15 stw r3,748(r17) -8110e904: 8c00be15 stw r16,760(r17) -8110e908: 8c00bf15 stw r16,764(r17) -8110e90c: 9009883a mov r4,r18 -8110e910: 11023600 call 81102360 -8110e914: 003f3806 br 8110e5f8 <__reset+0xfb0ee5f8> -8110e918: 89800003 ldbu r6,0(r17) -8110e91c: d1207917 ldw r4,-32284(gp) -8110e920: 01604574 movhi r5,33045 -8110e924: 29625804 addi r5,r5,-30368 -8110e928: 112b5e00 call 8112b5e0 -8110e92c: 003f7406 br 8110e700 <__reset+0xfb0ee700> -8110e930: 9009883a mov r4,r18 -8110e934: 1102a900 call 81102a90 -8110e938: 003f6806 br 8110e6dc <__reset+0xfb0ee6dc> -8110e93c: 88813117 ldw r2,1220(r17) -8110e940: 8800bb15 stw zero,748(r17) -8110e944: 8c00be15 stw r16,760(r17) -8110e948: 8880ba15 stw r2,744(r17) -8110e94c: 8800bf15 stw zero,764(r17) -8110e950: 003fee06 br 8110e90c <__reset+0xfb0ee90c> -8110e954: 89800003 ldbu r6,0(r17) -8110e958: d1207917 ldw r4,-32284(gp) -8110e95c: 01604574 movhi r5,33045 -8110e960: 29627e04 addi r5,r5,-30216 -8110e964: 112b5e00 call 8112b5e0 -8110e968: 003f4606 br 8110e684 <__reset+0xfb0ee684> -8110e96c: 89800003 ldbu r6,0(r17) -8110e970: d1207917 ldw r4,-32284(gp) -8110e974: 01604574 movhi r5,33045 -8110e978: 29623204 addi r5,r5,-30520 -8110e97c: 112b5e00 call 8112b5e0 -8110e980: 003f6f06 br 8110e740 <__reset+0xfb0ee740> -8110e984: 000b883a mov r5,zero -8110e988: 9009883a mov r4,r18 -8110e98c: 1102a900 call 81102a90 -8110e990: 003f6206 br 8110e71c <__reset+0xfb0ee71c> -8110e994: 8800b815 stw zero,736(r17) -8110e998: 003fc206 br 8110e8a4 <__reset+0xfb0ee8a4> - -8110e99c : -8110e99c: defffc04 addi sp,sp,-16 -8110e9a0: dc400115 stw r17,4(sp) -8110e9a4: dc000015 stw r16,0(sp) -8110e9a8: dfc00315 stw ra,12(sp) -8110e9ac: dc800215 stw r18,8(sp) -8110e9b0: 2023883a mov r17,r4 -8110e9b4: 21000003 ldbu r4,0(r4) -8110e9b8: 2820d23a srli r16,r5,8 -8110e9bc: 01604574 movhi r5,33045 -8110e9c0: 21000044 addi r4,r4,1 -8110e9c4: 297337c4 addi r5,r5,-13089 -8110e9c8: 21003fcc andi r4,r4,255 -8110e9cc: 111e1a00 call 8111e1a0 -8110e9d0: 81803fcc andi r6,r16,255 -8110e9d4: 00801a04 movi r2,104 -8110e9d8: 1180d236 bltu r2,r6,8110ed24 -8110e9dc: 300690ba slli r3,r6,2 -8110e9e0: 00a04474 movhi r2,33041 -8110e9e4: 10ba7d04 addi r2,r2,-5644 -8110e9e8: 1885883a add r2,r3,r2 -8110e9ec: 10800017 ldw r2,0(r2) -8110e9f0: 1000683a jmp r2 -8110e9f4: 8110ed04 addi r4,r16,17332 -8110e9f8: 8110ed24 muli r4,r16,17332 -8110e9fc: 8110ed24 muli r4,r16,17332 -8110ea00: 8110ed24 muli r4,r16,17332 -8110ea04: 8110ecac andhi r4,r16,17330 -8110ea08: 8110ed24 muli r4,r16,17332 -8110ea0c: 8110ed24 muli r4,r16,17332 -8110ea10: 8110ed24 muli r4,r16,17332 -8110ea14: 8110ecd4 ori r4,r16,17331 -8110ea18: 8110ed24 muli r4,r16,17332 -8110ea1c: 8110ed24 muli r4,r16,17332 -8110ea20: 8110ed24 muli r4,r16,17332 -8110ea24: 8110ec30 cmpltui r4,r16,17328 -8110ea28: 8110ed24 muli r4,r16,17332 -8110ea2c: 8110ed24 muli r4,r16,17332 -8110ea30: 8110ed24 muli r4,r16,17332 -8110ea34: 8110ec54 ori r4,r16,17329 -8110ea38: 8110ed24 muli r4,r16,17332 -8110ea3c: 8110ed24 muli r4,r16,17332 -8110ea40: 8110ed24 muli r4,r16,17332 -8110ea44: 8110ec6c andhi r4,r16,17329 -8110ea48: 8110ed24 muli r4,r16,17332 -8110ea4c: 8110ed24 muli r4,r16,17332 -8110ea50: 8110ed24 muli r4,r16,17332 -8110ea54: 8110eb98 cmpnei r4,r16,17326 -8110ea58: 8110ed24 muli r4,r16,17332 -8110ea5c: 8110ed24 muli r4,r16,17332 -8110ea60: 8110ed24 muli r4,r16,17332 -8110ea64: 8110eb98 cmpnei r4,r16,17326 -8110ea68: 8110ed24 muli r4,r16,17332 -8110ea6c: 8110ed24 muli r4,r16,17332 -8110ea70: 8110ed24 muli r4,r16,17332 -8110ea74: 8110eb98 cmpnei r4,r16,17326 -8110ea78: 8110ed24 muli r4,r16,17332 -8110ea7c: 8110ed24 muli r4,r16,17332 -8110ea80: 8110ed24 muli r4,r16,17332 -8110ea84: 8110eb98 cmpnei r4,r16,17326 -8110ea88: 8110ed24 muli r4,r16,17332 -8110ea8c: 8110ed24 muli r4,r16,17332 -8110ea90: 8110ed24 muli r4,r16,17332 -8110ea94: 8110eb98 cmpnei r4,r16,17326 -8110ea98: 8110ed24 muli r4,r16,17332 -8110ea9c: 8110ed24 muli r4,r16,17332 -8110eaa0: 8110ed24 muli r4,r16,17332 -8110eaa4: 8110eb98 cmpnei r4,r16,17326 -8110eaa8: 8110ed24 muli r4,r16,17332 -8110eaac: 8110ed24 muli r4,r16,17332 -8110eab0: 8110ed24 muli r4,r16,17332 -8110eab4: 8110eb98 cmpnei r4,r16,17326 -8110eab8: 8110ed24 muli r4,r16,17332 -8110eabc: 8110ed24 muli r4,r16,17332 -8110eac0: 8110ed24 muli r4,r16,17332 -8110eac4: 8110eb98 cmpnei r4,r16,17326 -8110eac8: 8110ed24 muli r4,r16,17332 -8110eacc: 8110ed24 muli r4,r16,17332 -8110ead0: 8110ed24 muli r4,r16,17332 -8110ead4: 8110eb98 cmpnei r4,r16,17326 -8110ead8: 8110ed24 muli r4,r16,17332 -8110eadc: 8110ed24 muli r4,r16,17332 -8110eae0: 8110ed24 muli r4,r16,17332 -8110eae4: 8110eb98 cmpnei r4,r16,17326 -8110eae8: 8110ed24 muli r4,r16,17332 -8110eaec: 8110ed24 muli r4,r16,17332 -8110eaf0: 8110ed24 muli r4,r16,17332 -8110eaf4: 8110eb98 cmpnei r4,r16,17326 -8110eaf8: 8110ed24 muli r4,r16,17332 -8110eafc: 8110ed24 muli r4,r16,17332 -8110eb00: 8110ed24 muli r4,r16,17332 -8110eb04: 8110eb98 cmpnei r4,r16,17326 -8110eb08: 8110ed24 muli r4,r16,17332 -8110eb0c: 8110ed24 muli r4,r16,17332 -8110eb10: 8110ed24 muli r4,r16,17332 -8110eb14: 8110eb98 cmpnei r4,r16,17326 -8110eb18: 8110ed24 muli r4,r16,17332 -8110eb1c: 8110ed24 muli r4,r16,17332 -8110eb20: 8110ed24 muli r4,r16,17332 -8110eb24: 8110eb98 cmpnei r4,r16,17326 -8110eb28: 8110ed24 muli r4,r16,17332 -8110eb2c: 8110ed24 muli r4,r16,17332 -8110eb30: 8110ed24 muli r4,r16,17332 -8110eb34: 8110eb98 cmpnei r4,r16,17326 -8110eb38: 8110ed24 muli r4,r16,17332 -8110eb3c: 8110ed24 muli r4,r16,17332 -8110eb40: 8110ed24 muli r4,r16,17332 -8110eb44: 8110ebc4 addi r4,r16,17327 -8110eb48: 8110ed24 muli r4,r16,17332 -8110eb4c: 8110ed24 muli r4,r16,17332 -8110eb50: 8110ed24 muli r4,r16,17332 -8110eb54: 8110ec8c andi r4,r16,17330 -8110eb58: 8110ed24 muli r4,r16,17332 -8110eb5c: 8110ed24 muli r4,r16,17332 -8110eb60: 8110ed24 muli r4,r16,17332 -8110eb64: 8110ec8c andi r4,r16,17330 -8110eb68: 8110ed24 muli r4,r16,17332 -8110eb6c: 8110ed24 muli r4,r16,17332 -8110eb70: 8110ed24 muli r4,r16,17332 -8110eb74: 8110ec8c andi r4,r16,17330 -8110eb78: 8110ed24 muli r4,r16,17332 -8110eb7c: 8110ed24 muli r4,r16,17332 -8110eb80: 8110ed24 muli r4,r16,17332 -8110eb84: 8110ec8c andi r4,r16,17330 -8110eb88: 8110ed24 muli r4,r16,17332 -8110eb8c: 8110ed24 muli r4,r16,17332 -8110eb90: 8110ed24 muli r4,r16,17332 -8110eb94: 8110ec8c andi r4,r16,17330 -8110eb98: 00a04634 movhi r2,33048 -8110eb9c: 108f0804 addi r2,r2,15392 -8110eba0: 10800803 ldbu r2,32(r2) -8110eba4: 00c001c4 movi r3,7 -8110eba8: 1880662e bgeu r3,r2,8110ed44 -8110ebac: dfc00317 ldw ra,12(sp) -8110ebb0: dc800217 ldw r18,8(sp) -8110ebb4: dc400117 ldw r17,4(sp) -8110ebb8: dc000017 ldw r16,0(sp) -8110ebbc: dec00404 addi sp,sp,16 -8110ebc0: f800283a ret -8110ebc4: 8880ab17 ldw r2,684(r17) -8110ebc8: 88000b15 stw zero,44(r17) -8110ebcc: 00c003c4 movi r3,15 -8110ebd0: 10804017 ldw r2,256(r2) -8110ebd4: 1880ce36 bltu r3,r2,8110ef10 -8110ebd8: 100490ba slli r2,r2,2 -8110ebdc: 00e04474 movhi r3,33041 -8110ebe0: 18fafc04 addi r3,r3,-5136 -8110ebe4: 10c5883a add r2,r2,r3 -8110ebe8: 10800017 ldw r2,0(r2) -8110ebec: 1000683a jmp r2 -8110ebf0: 8110eed8 cmpnei r4,r16,17339 -8110ebf4: 8110ed68 cmpgeui r4,r16,17333 -8110ebf8: 8110ed68 cmpgeui r4,r16,17333 -8110ebfc: 8110ef10 cmplti r4,r16,17340 -8110ec00: 8110ee68 cmpgeui r4,r16,17337 -8110ec04: 8110ed68 cmpgeui r4,r16,17333 -8110ec08: 8110ed68 cmpgeui r4,r16,17333 -8110ec0c: 8110edc4 addi r4,r16,17335 -8110ec10: 8110ee08 cmpgei r4,r16,17336 -8110ec14: 8110ed68 cmpgeui r4,r16,17333 -8110ec18: 8110ed68 cmpgeui r4,r16,17333 -8110ec1c: 8110ed68 cmpgeui r4,r16,17333 -8110ec20: 8110ed68 cmpgeui r4,r16,17333 -8110ec24: 8110ee94 ori r4,r16,17338 -8110ec28: 8110ee94 ori r4,r16,17338 -8110ec2c: 8110ee94 ori r4,r16,17338 -8110ec30: 88c0ab17 ldw r3,684(r17) -8110ec34: 00800044 movi r2,1 -8110ec38: 88812515 stw r2,1172(r17) -8110ec3c: 19000b17 ldw r4,44(r3) -8110ec40: 18c00c17 ldw r3,48(r3) -8110ec44: 89013315 stw r4,1228(r17) -8110ec48: 88812315 stw r2,1164(r17) -8110ec4c: 88c17415 stw r3,1488(r17) -8110ec50: 003fd606 br 8110ebac <__reset+0xfb0eebac> -8110ec54: 8880ab17 ldw r2,684(r17) -8110ec58: 00c00044 movi r3,1 -8110ec5c: 88c12615 stw r3,1176(r17) -8110ec60: 10801017 ldw r2,64(r2) -8110ec64: 8881710d sth r2,1476(r17) -8110ec68: 003fd006 br 8110ebac <__reset+0xfb0eebac> -8110ec6c: 8880ab17 ldw r2,684(r17) -8110ec70: 00c00044 movi r3,1 -8110ec74: 88c12715 stw r3,1180(r17) -8110ec78: 10c01517 ldw r3,84(r2) -8110ec7c: 10801717 ldw r2,92(r2) -8110ec80: 88c17215 stw r3,1480(r17) -8110ec84: 88817315 stw r2,1484(r17) -8110ec88: 003fc806 br 8110ebac <__reset+0xfb0eebac> -8110ec8c: 00a04634 movhi r2,33048 -8110ec90: 108f0804 addi r2,r2,15392 -8110ec94: 10800803 ldbu r2,32(r2) -8110ec98: 00c001c4 movi r3,7 -8110ec9c: 18bfc336 bltu r3,r2,8110ebac <__reset+0xfb0eebac> -8110eca0: 01604574 movhi r5,33045 -8110eca4: 29632004 addi r5,r5,-29568 -8110eca8: 00002806 br 8110ed4c -8110ecac: 00a04634 movhi r2,33048 -8110ecb0: 108f0804 addi r2,r2,15392 -8110ecb4: 10800803 ldbu r2,32(r2) -8110ecb8: 00c001c4 movi r3,7 -8110ecbc: 18bfbb36 bltu r3,r2,8110ebac <__reset+0xfb0eebac> -8110ecc0: 01604574 movhi r5,33045 -8110ecc4: 2962cd04 addi r5,r5,-29900 -8110ecc8: d1207917 ldw r4,-32284(gp) -8110eccc: 01800104 movi r6,4 -8110ecd0: 00001f06 br 8110ed50 -8110ecd4: 8880ab17 ldw r2,684(r17) -8110ecd8: 00c00044 movi r3,1 -8110ecdc: 88c12415 stw r3,1168(r17) -8110ece0: 10c00617 ldw r3,24(r2) -8110ece4: 88c15545 stb r3,1365(r17) -8110ece8: 10c00717 ldw r3,28(r2) -8110ecec: 88c15585 stb r3,1366(r17) -8110ecf0: 10c00817 ldw r3,32(r2) -8110ecf4: 88c155c5 stb r3,1367(r17) -8110ecf8: 10800917 ldw r2,36(r2) -8110ecfc: 88815605 stb r2,1368(r17) -8110ed00: 003faa06 br 8110ebac <__reset+0xfb0eebac> -8110ed04: 8880ab17 ldw r2,684(r17) -8110ed08: 00c00044 movi r3,1 -8110ed0c: 88c12215 stw r3,1160(r17) -8110ed10: 10c00017 ldw r3,0(r2) -8110ed14: 10800117 ldw r2,4(r2) -8110ed18: 88c13015 stw r3,1216(r17) -8110ed1c: 88813115 stw r2,1220(r17) -8110ed20: 003fa206 br 8110ebac <__reset+0xfb0eebac> -8110ed24: 00a04634 movhi r2,33048 -8110ed28: 108f0804 addi r2,r2,15392 -8110ed2c: 10800803 ldbu r2,32(r2) -8110ed30: 00c001c4 movi r3,7 -8110ed34: 18bf9d36 bltu r3,r2,8110ebac <__reset+0xfb0eebac> -8110ed38: 01604574 movhi r5,33045 -8110ed3c: 29632904 addi r5,r5,-29532 -8110ed40: 00000206 br 8110ed4c -8110ed44: 01604574 movhi r5,33045 -8110ed48: 2962cd04 addi r5,r5,-29900 -8110ed4c: d1207917 ldw r4,-32284(gp) -8110ed50: dfc00317 ldw ra,12(sp) -8110ed54: dc800217 ldw r18,8(sp) -8110ed58: dc400117 ldw r17,4(sp) -8110ed5c: dc000017 ldw r16,0(sp) -8110ed60: dec00404 addi sp,sp,16 -8110ed64: 112b5e01 jmpi 8112b5e0 -8110ed68: 8c00b304 addi r16,r17,716 -8110ed6c: 8009883a mov r4,r16 -8110ed70: 11023f00 call 811023f0 -8110ed74: 04800044 movi r18,1 -8110ed78: 8c80c515 stw r18,788(r17) -8110ed7c: 8009883a mov r4,r16 -8110ed80: 11023d00 call 811023d0 -8110ed84: 00a04634 movhi r2,33048 -8110ed88: 108f0804 addi r2,r2,15392 -8110ed8c: 10800803 ldbu r2,32(r2) -8110ed90: 00c001c4 movi r3,7 -8110ed94: 18bf8536 bltu r3,r2,8110ebac <__reset+0xfb0eebac> -8110ed98: d1e07917 ldw r7,-32284(gp) -8110ed9c: 01204574 movhi r4,33045 -8110eda0: 21234c04 addi r4,r4,-29392 -8110eda4: 01800d84 movi r6,54 -8110eda8: 900b883a mov r5,r18 -8110edac: dfc00317 ldw ra,12(sp) -8110edb0: dc800217 ldw r18,8(sp) -8110edb4: dc400117 ldw r17,4(sp) -8110edb8: dc000017 ldw r16,0(sp) -8110edbc: dec00404 addi sp,sp,16 -8110edc0: 112bc4c1 jmpi 8112bc4c -8110edc4: 8c00b304 addi r16,r17,716 -8110edc8: 8009883a mov r4,r16 -8110edcc: 11023f00 call 811023f0 -8110edd0: 04800044 movi r18,1 -8110edd4: 8c80c515 stw r18,788(r17) -8110edd8: 8009883a mov r4,r16 -8110eddc: 11023d00 call 811023d0 -8110ede0: 00a04634 movhi r2,33048 -8110ede4: 108f0804 addi r2,r2,15392 -8110ede8: 10800803 ldbu r2,32(r2) -8110edec: 00c001c4 movi r3,7 -8110edf0: 18bf6e36 bltu r3,r2,8110ebac <__reset+0xfb0eebac> -8110edf4: 01204574 movhi r4,33045 -8110edf8: d1e07917 ldw r7,-32284(gp) -8110edfc: 2122f804 addi r4,r4,-29728 -8110ee00: 01800d84 movi r6,54 -8110ee04: 003fe806 br 8110eda8 <__reset+0xfb0eeda8> -8110ee08: 00c00304 movi r3,12 -8110ee0c: 00800344 movi r2,13 -8110ee10: 8c00b304 addi r16,r17,716 -8110ee14: 88c03315 stw r3,204(r17) -8110ee18: 00c00084 movi r3,2 -8110ee1c: 8009883a mov r4,r16 -8110ee20: 88c03415 stw r3,208(r17) -8110ee24: 88002b15 stw zero,172(r17) -8110ee28: 88803515 stw r2,212(r17) -8110ee2c: 88803115 stw r2,196(r17) -8110ee30: 11023980 call 81102398 -8110ee34: 00800044 movi r2,1 -8110ee38: 8009883a mov r4,r16 -8110ee3c: 8880c315 stw r2,780(r17) -8110ee40: 11023600 call 81102360 -8110ee44: 89800003 ldbu r6,0(r17) -8110ee48: 000b883a mov r5,zero -8110ee4c: 01002044 movi r4,129 -8110ee50: dfc00317 ldw ra,12(sp) -8110ee54: dc800217 ldw r18,8(sp) -8110ee58: dc400117 ldw r17,4(sp) -8110ee5c: dc000017 ldw r16,0(sp) -8110ee60: dec00404 addi sp,sp,16 -8110ee64: 1109a8c1 jmpi 81109a8c -8110ee68: 88803417 ldw r2,208(r17) -8110ee6c: 00c00144 movi r3,5 -8110ee70: 10bffe84 addi r2,r2,-6 -8110ee74: 18bfbc36 bltu r3,r2,8110ed68 <__reset+0xfb0eed68> -8110ee78: 88803317 ldw r2,204(r17) -8110ee7c: 00c00044 movi r3,1 -8110ee80: 88c02b15 stw r3,172(r17) -8110ee84: 00c00804 movi r3,32 -8110ee88: 88c03115 stw r3,196(r17) -8110ee8c: 88803515 stw r2,212(r17) -8110ee90: 003f4606 br 8110ebac <__reset+0xfb0eebac> -8110ee94: 8c00b304 addi r16,r17,716 -8110ee98: 8009883a mov r4,r16 -8110ee9c: 11023f00 call 811023f0 -8110eea0: 04800044 movi r18,1 -8110eea4: 8c80c515 stw r18,788(r17) -8110eea8: 8009883a mov r4,r16 -8110eeac: 11023d00 call 811023d0 -8110eeb0: 00a04634 movhi r2,33048 -8110eeb4: 108f0804 addi r2,r2,15392 -8110eeb8: 10800803 ldbu r2,32(r2) -8110eebc: 00c001c4 movi r3,7 -8110eec0: 18bf3a36 bltu r3,r2,8110ebac <__reset+0xfb0eebac> -8110eec4: 01204574 movhi r4,33045 -8110eec8: d1e07917 ldw r7,-32284(gp) -8110eecc: 21230604 addi r4,r4,-29672 -8110eed0: 01800644 movi r6,25 -8110eed4: 003fb406 br 8110eda8 <__reset+0xfb0eeda8> -8110eed8: 88803417 ldw r2,208(r17) -8110eedc: 01400044 movi r5,1 -8110eee0: 10bfff04 addi r2,r2,-4 -8110eee4: 28801c2e bgeu r5,r2,8110ef58 -8110eee8: 00a04634 movhi r2,33048 -8110eeec: 108f0804 addi r2,r2,15392 -8110eef0: 10800803 ldbu r2,32(r2) -8110eef4: 00c001c4 movi r3,7 -8110eef8: 18bf2c36 bltu r3,r2,8110ebac <__reset+0xfb0eebac> -8110eefc: 01204574 movhi r4,33045 -8110ef00: d1e07917 ldw r7,-32284(gp) -8110ef04: 21234c04 addi r4,r4,-29392 -8110ef08: 01800d84 movi r6,54 -8110ef0c: 003fa706 br 8110edac <__reset+0xfb0eedac> -8110ef10: 8c00b304 addi r16,r17,716 -8110ef14: 8009883a mov r4,r16 -8110ef18: 11023f00 call 811023f0 -8110ef1c: 00800044 movi r2,1 -8110ef20: 8880c515 stw r2,788(r17) -8110ef24: 8009883a mov r4,r16 -8110ef28: 11023d00 call 811023d0 -8110ef2c: 00a04634 movhi r2,33048 -8110ef30: 108f0804 addi r2,r2,15392 -8110ef34: 10800803 ldbu r2,32(r2) -8110ef38: 00c001c4 movi r3,7 -8110ef3c: 18bf1b36 bltu r3,r2,8110ebac <__reset+0xfb0eebac> -8110ef40: 8880ab17 ldw r2,684(r17) -8110ef44: 01604574 movhi r5,33045 -8110ef48: 29630d04 addi r5,r5,-29644 -8110ef4c: d1207917 ldw r4,-32284(gp) -8110ef50: 11804003 ldbu r6,256(r2) -8110ef54: 003f7e06 br 8110ed50 <__reset+0xfb0eed50> -8110ef58: 88803317 ldw r2,204(r17) -8110ef5c: 00c00804 movi r3,32 -8110ef60: 89402b15 stw r5,172(r17) -8110ef64: 88c03115 stw r3,196(r17) -8110ef68: 88803515 stw r2,212(r17) -8110ef6c: 003f0f06 br 8110ebac <__reset+0xfb0eebac> - -8110ef70 : -8110ef70: 21800003 ldbu r6,0(r4) -8110ef74: 2806d63a srli r3,r5,24 -8110ef78: 30800444 addi r2,r6,17 -8110ef7c: 18800126 beq r3,r2,8110ef84 -8110ef80: f800283a ret -8110ef84: 2804d43a srli r2,r5,16 -8110ef88: 00c023c4 movi r3,143 -8110ef8c: 10803fcc andi r2,r2,255 -8110ef90: 10c0b226 beq r2,r3,8110f25c -8110ef94: defffc04 addi sp,sp,-16 -8110ef98: dc400115 stw r17,4(sp) -8110ef9c: dc000015 stw r16,0(sp) -8110efa0: dfc00315 stw ra,12(sp) -8110efa4: dc800215 stw r18,8(sp) -8110efa8: 2821883a mov r16,r5 -8110efac: 2023883a mov r17,r4 -8110efb0: 18801236 bltu r3,r2,8110effc -8110efb4: 00c00304 movi r3,12 -8110efb8: 18804536 bltu r3,r2,8110f0d0 -8110efbc: 00c00144 movi r3,5 -8110efc0: 10c0b62e bgeu r2,r3,8110f29c -8110efc4: 010000c4 movi r4,3 -8110efc8: 1100aa26 beq r2,r4,8110f274 -8110efcc: 20808b2e bgeu r4,r2,8110f1fc -8110efd0: 88803417 ldw r2,208(r17) -8110efd4: 10bffe84 addi r2,r2,-6 -8110efd8: 1880b82e bgeu r3,r2,8110f2bc -8110efdc: 00a04634 movhi r2,33048 -8110efe0: 108f0804 addi r2,r2,15392 -8110efe4: 10800803 ldbu r2,32(r2) -8110efe8: 00c001c4 movi r3,7 -8110efec: 18807d36 bltu r3,r2,8110f1e4 -8110eff0: 01604574 movhi r5,33045 -8110eff4: 29635a04 addi r5,r5,-29336 -8110eff8: 00004006 br 8110f0fc -8110effc: 00c03884 movi r3,226 -8110f000: 18801e36 bltu r3,r2,8110f07c -8110f004: 00c03804 movi r3,224 -8110f008: 10c0972e bgeu r2,r3,8110f268 -8110f00c: 00c02844 movi r3,161 -8110f010: 10c07c26 beq r2,r3,8110f204 -8110f014: 00c028c4 movi r3,163 -8110f018: 10c0881e bne r2,r3,8110f23c -8110f01c: 00c00304 movi r3,12 -8110f020: 00800344 movi r2,13 -8110f024: 2400b304 addi r16,r4,716 -8110f028: 88c03315 stw r3,204(r17) -8110f02c: 00c00084 movi r3,2 -8110f030: 8009883a mov r4,r16 -8110f034: 88002b15 stw zero,172(r17) -8110f038: 88c03415 stw r3,208(r17) -8110f03c: 88803515 stw r2,212(r17) -8110f040: 88803115 stw r2,196(r17) -8110f044: 11023980 call 81102398 -8110f048: 00800044 movi r2,1 -8110f04c: 8009883a mov r4,r16 -8110f050: 8880c315 stw r2,780(r17) -8110f054: 11023600 call 81102360 -8110f058: 89800003 ldbu r6,0(r17) -8110f05c: 000b883a mov r5,zero -8110f060: 01002044 movi r4,129 -8110f064: dfc00317 ldw ra,12(sp) -8110f068: dc800217 ldw r18,8(sp) -8110f06c: dc400117 ldw r17,4(sp) -8110f070: dc000017 ldw r16,0(sp) -8110f074: dec00404 addi sp,sp,16 -8110f078: 1109a8c1 jmpi 81109a8c -8110f07c: 00c03a04 movi r3,232 -8110f080: 10c02526 beq r2,r3,8110f118 -8110f084: 00c03c04 movi r3,240 -8110f088: 10c06a1e bne r2,r3,8110f234 -8110f08c: 00a04634 movhi r2,33048 -8110f090: 108f0804 addi r2,r2,15392 -8110f094: 10800803 ldbu r2,32(r2) -8110f098: 00c00104 movi r3,4 -8110f09c: 18800436 bltu r3,r2,8110f0b0 -8110f0a0: d1207917 ldw r4,-32284(gp) -8110f0a4: 01604574 movhi r5,33045 -8110f0a8: 29637b04 addi r5,r5,-29204 -8110f0ac: 112b5e00 call 8112b5e0 -8110f0b0: 800b883a mov r5,r16 -8110f0b4: 8809883a mov r4,r17 -8110f0b8: dfc00317 ldw ra,12(sp) -8110f0bc: dc800217 ldw r18,8(sp) -8110f0c0: dc400117 ldw r17,4(sp) -8110f0c4: dc000017 ldw r16,0(sp) -8110f0c8: dec00404 addi sp,sp,16 -8110f0cc: 110e99c1 jmpi 8110e99c -8110f0d0: 00c01c44 movi r3,113 -8110f0d4: 10c04326 beq r2,r3,8110f1e4 -8110f0d8: 00c01d84 movi r3,118 -8110f0dc: 10c0571e bne r2,r3,8110f23c -8110f0e0: 00a04634 movhi r2,33048 -8110f0e4: 108f0804 addi r2,r2,15392 -8110f0e8: 10800803 ldbu r2,32(r2) -8110f0ec: 00c001c4 movi r3,7 -8110f0f0: 18803c36 bltu r3,r2,8110f1e4 -8110f0f4: 01604574 movhi r5,33045 -8110f0f8: 29636d04 addi r5,r5,-29260 -8110f0fc: d1207917 ldw r4,-32284(gp) -8110f100: dfc00317 ldw ra,12(sp) -8110f104: dc800217 ldw r18,8(sp) -8110f108: dc400117 ldw r17,4(sp) -8110f10c: dc000017 ldw r16,0(sp) -8110f110: dec00404 addi sp,sp,16 -8110f114: 112b5e01 jmpi 8112b5e0 -8110f118: 20812217 ldw r2,1160(r4) -8110f11c: 10000a1e bne r2,zero,8110f148 -8110f120: 20812317 ldw r2,1164(r4) -8110f124: 1000081e bne r2,zero,8110f148 -8110f128: 20812617 ldw r2,1176(r4) -8110f12c: 1000061e bne r2,zero,8110f148 -8110f130: 20812417 ldw r2,1168(r4) -8110f134: 1000041e bne r2,zero,8110f148 -8110f138: 20812717 ldw r2,1180(r4) -8110f13c: 1000021e bne r2,zero,8110f148 -8110f140: 20812517 ldw r2,1172(r4) -8110f144: 10001526 beq r2,zero,8110f19c -8110f148: 8c012217 ldw r16,1160(r17) -8110f14c: 00800044 movi r2,1 -8110f150: 8080db26 beq r16,r2,8110f4c0 -8110f154: 8c012317 ldw r16,1164(r17) -8110f158: 00800044 movi r2,1 -8110f15c: 8080cd26 beq r16,r2,8110f494 -8110f160: 8c012617 ldw r16,1176(r17) -8110f164: 00800044 movi r2,1 -8110f168: 8080b126 beq r16,r2,8110f430 -8110f16c: 88c12717 ldw r3,1180(r17) -8110f170: 00800044 movi r2,1 -8110f174: 1880a326 beq r3,r2,8110f404 -8110f178: 88c12417 ldw r3,1168(r17) -8110f17c: 00800044 movi r2,1 -8110f180: 18807526 beq r3,r2,8110f358 -8110f184: 88c12517 ldw r3,1172(r17) -8110f188: 00800044 movi r2,1 -8110f18c: 1880031e bne r3,r2,8110f19c -8110f190: 88012515 stw zero,1172(r17) -8110f194: 88813317 ldw r2,1228(r17) -8110f198: 88800c15 stw r2,48(r17) -8110f19c: 8c042d17 ldw r16,4276(r17) -8110f1a0: 00800044 movi r2,1 -8110f1a4: 80805c26 beq r16,r2,8110f318 -8110f1a8: 8c042e17 ldw r16,4280(r17) -8110f1ac: 00800044 movi r2,1 -8110f1b0: 80804926 beq r16,r2,8110f2d8 -8110f1b4: 88c1ab17 ldw r3,1708(r17) -8110f1b8: 00800044 movi r2,1 -8110f1bc: 1880091e bne r3,r2,8110f1e4 -8110f1c0: 8900b304 addi r4,r17,716 -8110f1c4: 1102d340 call 81102d34 -8110f1c8: 10007826 beq r2,zero,8110f3ac -8110f1cc: 00a04634 movhi r2,33048 -8110f1d0: 108f0804 addi r2,r2,15392 -8110f1d4: 10800803 ldbu r2,32(r2) -8110f1d8: 00c001c4 movi r3,7 -8110f1dc: 1880e72e bgeu r3,r2,8110f57c -8110f1e0: 8801ab15 stw zero,1708(r17) -8110f1e4: dfc00317 ldw ra,12(sp) -8110f1e8: dc800217 ldw r18,8(sp) -8110f1ec: dc400117 ldw r17,4(sp) -8110f1f0: dc000017 ldw r16,0(sp) -8110f1f4: dec00404 addi sp,sp,16 -8110f1f8: f800283a ret -8110f1fc: 00c00044 movi r3,1 -8110f200: 10c00e1e bne r2,r3,8110f23c -8110f204: 00800044 movi r2,1 -8110f208: 8c00b304 addi r16,r17,716 -8110f20c: 88803415 stw r2,208(r17) -8110f210: 00800304 movi r2,12 -8110f214: 8009883a mov r4,r16 -8110f218: 88002b15 stw zero,172(r17) -8110f21c: 88003315 stw zero,204(r17) -8110f220: 88803115 stw r2,196(r17) -8110f224: 11023980 call 81102398 -8110f228: 8009883a mov r4,r16 -8110f22c: 8800c315 stw zero,780(r17) -8110f230: 003f8806 br 8110f054 <__reset+0xfb0ef054> -8110f234: 00c03904 movi r3,228 -8110f238: 10ffea26 beq r2,r3,8110f1e4 <__reset+0xfb0ef1e4> -8110f23c: 00a04634 movhi r2,33048 -8110f240: 108f0804 addi r2,r2,15392 -8110f244: 10800803 ldbu r2,32(r2) -8110f248: 00c001c4 movi r3,7 -8110f24c: 18bfe536 bltu r3,r2,8110f1e4 <__reset+0xfb0ef1e4> -8110f250: 01604574 movhi r5,33045 -8110f254: 29645404 addi r5,r5,-28336 -8110f258: 003fa806 br 8110f0fc <__reset+0xfb0ef0fc> -8110f25c: 000b883a mov r5,zero -8110f260: 01002044 movi r4,129 -8110f264: 1109a8c1 jmpi 81109a8c -8110f268: 00800a04 movi r2,40 -8110f26c: 20803115 stw r2,196(r4) -8110f270: 003fdc06 br 8110f1e4 <__reset+0xfb0ef1e4> -8110f274: 88803417 ldw r2,208(r17) -8110f278: 00c00044 movi r3,1 -8110f27c: 10bfff04 addi r2,r2,-4 -8110f280: 18bf9736 bltu r3,r2,8110f0e0 <__reset+0xfb0ef0e0> -8110f284: 88803317 ldw r2,204(r17) -8110f288: 88c02b15 stw r3,172(r17) -8110f28c: 00c00804 movi r3,32 -8110f290: 88c03115 stw r3,196(r17) -8110f294: 88803515 stw r2,212(r17) -8110f298: 003fd206 br 8110f1e4 <__reset+0xfb0ef1e4> -8110f29c: 00a04634 movhi r2,33048 -8110f2a0: 108f0804 addi r2,r2,15392 -8110f2a4: 10800803 ldbu r2,32(r2) -8110f2a8: 00c001c4 movi r3,7 -8110f2ac: 18bfcd36 bltu r3,r2,8110f1e4 <__reset+0xfb0ef1e4> -8110f2b0: 01604574 movhi r5,33045 -8110f2b4: 29629e04 addi r5,r5,-30088 -8110f2b8: 003f9006 br 8110f0fc <__reset+0xfb0ef0fc> -8110f2bc: 88803317 ldw r2,204(r17) -8110f2c0: 00c00044 movi r3,1 -8110f2c4: 88c02b15 stw r3,172(r17) -8110f2c8: 00c00804 movi r3,32 -8110f2cc: 88c03115 stw r3,196(r17) -8110f2d0: 88803515 stw r2,212(r17) -8110f2d4: 003fc306 br 8110f1e4 <__reset+0xfb0ef1e4> -8110f2d8: 8c80b304 addi r18,r17,716 -8110f2dc: 9009883a mov r4,r18 -8110f2e0: 11027800 call 81102780 -8110f2e4: 8940fe17 ldw r5,1016(r17) -8110f2e8: 2c008f26 beq r5,r16,8110f528 -8110f2ec: 01400044 movi r5,1 -8110f2f0: 9009883a mov r4,r18 -8110f2f4: 1102a080 call 81102a08 -8110f2f8: 10002126 beq r2,zero,8110f380 -8110f2fc: 00a04634 movhi r2,33048 -8110f300: 108f0804 addi r2,r2,15392 -8110f304: 10800803 ldbu r2,32(r2) -8110f308: 00c001c4 movi r3,7 -8110f30c: 1880892e bgeu r3,r2,8110f534 -8110f310: 88042e15 stw zero,4280(r17) -8110f314: 003fa706 br 8110f1b4 <__reset+0xfb0ef1b4> -8110f318: 8c80b304 addi r18,r17,716 -8110f31c: 9009883a mov r4,r18 -8110f320: 11027100 call 81102710 -8110f324: 8880ef17 ldw r2,956(r17) -8110f328: 14009a26 beq r2,r16,8110f594 -8110f32c: 000b883a mov r5,zero -8110f330: 9009883a mov r4,r18 -8110f334: 1102a080 call 81102a08 -8110f338: 10002726 beq r2,zero,8110f3d8 -8110f33c: 00a04634 movhi r2,33048 -8110f340: 108f0804 addi r2,r2,15392 -8110f344: 10800803 ldbu r2,32(r2) -8110f348: 00c001c4 movi r3,7 -8110f34c: 1880852e bgeu r3,r2,8110f564 -8110f350: 88042d15 stw zero,4276(r17) -8110f354: 003f9406 br 8110f1a8 <__reset+0xfb0ef1a8> -8110f358: 88012415 stw zero,1168(r17) -8110f35c: 89415543 ldbu r5,1365(r17) -8110f360: 89015583 ldbu r4,1366(r17) -8110f364: 88c155c3 ldbu r3,1367(r17) -8110f368: 88815603 ldbu r2,1368(r17) -8110f36c: 89402e45 stb r5,185(r17) -8110f370: 89002e85 stb r4,186(r17) -8110f374: 88c02ec5 stb r3,187(r17) -8110f378: 88802f05 stb r2,188(r17) -8110f37c: 003f8106 br 8110f184 <__reset+0xfb0ef184> -8110f380: 00a04634 movhi r2,33048 -8110f384: 108f0804 addi r2,r2,15392 -8110f388: 10800803 ldbu r2,32(r2) -8110f38c: 00c001c4 movi r3,7 -8110f390: 18bfdf36 bltu r3,r2,8110f310 <__reset+0xfb0ef310> -8110f394: 89800003 ldbu r6,0(r17) -8110f398: d1207917 ldw r4,-32284(gp) -8110f39c: 01604574 movhi r5,33045 -8110f3a0: 29626a04 addi r5,r5,-30296 -8110f3a4: 112b5e00 call 8112b5e0 -8110f3a8: 003fd906 br 8110f310 <__reset+0xfb0ef310> -8110f3ac: 00a04634 movhi r2,33048 -8110f3b0: 108f0804 addi r2,r2,15392 -8110f3b4: 10800803 ldbu r2,32(r2) -8110f3b8: 00c001c4 movi r3,7 -8110f3bc: 18bf8836 bltu r3,r2,8110f1e0 <__reset+0xfb0ef1e0> -8110f3c0: 89800003 ldbu r6,0(r17) -8110f3c4: d1207917 ldw r4,-32284(gp) -8110f3c8: 01604574 movhi r5,33045 -8110f3cc: 29628d04 addi r5,r5,-30156 -8110f3d0: 112b5e00 call 8112b5e0 -8110f3d4: 003f8206 br 8110f1e0 <__reset+0xfb0ef1e0> -8110f3d8: 00a04634 movhi r2,33048 -8110f3dc: 108f0804 addi r2,r2,15392 -8110f3e0: 10800803 ldbu r2,32(r2) -8110f3e4: 00c001c4 movi r3,7 -8110f3e8: 18bfd936 bltu r3,r2,8110f350 <__reset+0xfb0ef350> -8110f3ec: 89800003 ldbu r6,0(r17) -8110f3f0: d1207917 ldw r4,-32284(gp) -8110f3f4: 01604574 movhi r5,33045 -8110f3f8: 29624404 addi r5,r5,-30448 -8110f3fc: 112b5e00 call 8112b5e0 -8110f400: 003fd306 br 8110f350 <__reset+0xfb0ef350> -8110f404: 8c006404 addi r16,r17,400 -8110f408: 8009883a mov r4,r16 -8110f40c: 88012715 stw zero,1180(r17) -8110f410: 1103bd00 call 81103bd0 -8110f414: 88c17217 ldw r3,1480(r17) -8110f418: 88817317 ldw r2,1484(r17) -8110f41c: 8009883a mov r4,r16 -8110f420: 88c06b15 stw r3,428(r17) -8110f424: 88806c15 stw r2,432(r17) -8110f428: 1103c380 call 81103c38 -8110f42c: 003f5206 br 8110f178 <__reset+0xfb0ef178> -8110f430: 8c80b304 addi r18,r17,716 -8110f434: 9009883a mov r4,r18 -8110f438: 88012615 stw zero,1176(r17) -8110f43c: 11023980 call 81102398 -8110f440: 8881710b ldhu r2,1476(r17) -8110f444: 9009883a mov r4,r18 -8110f448: 8c806404 addi r18,r17,400 -8110f44c: 10bfffcc andi r2,r2,65535 -8110f450: 8880c015 stw r2,768(r17) -8110f454: 11023600 call 81102360 -8110f458: 89c1710b ldhu r7,1476(r17) -8110f45c: 018006f4 movhi r6,27 -8110f460: 9009883a mov r4,r18 -8110f464: 31b85204 addi r6,r6,-7864 -8110f468: 000b883a mov r5,zero -8110f46c: 39ffffcc andi r7,r7,65535 -8110f470: 1103d640 call 81103d64 -8110f474: 89c1710b ldhu r7,1476(r17) -8110f478: 018006f4 movhi r6,27 -8110f47c: 31b85204 addi r6,r6,-7864 -8110f480: 800b883a mov r5,r16 -8110f484: 39ffffcc andi r7,r7,65535 -8110f488: 9009883a mov r4,r18 -8110f48c: 1103d640 call 81103d64 -8110f490: 003f3606 br 8110f16c <__reset+0xfb0ef16c> -8110f494: 8c80b304 addi r18,r17,716 -8110f498: 9009883a mov r4,r18 -8110f49c: 11023980 call 81102398 -8110f4a0: 88012315 stw zero,1164(r17) -8110f4a4: 88817417 ldw r2,1488(r17) -8110f4a8: 14003e26 beq r2,r16,8110f5a4 -8110f4ac: 88813017 ldw r2,1216(r17) -8110f4b0: 8880b815 stw r2,736(r17) -8110f4b4: 9009883a mov r4,r18 -8110f4b8: 11023600 call 81102360 -8110f4bc: 003f2806 br 8110f160 <__reset+0xfb0ef160> -8110f4c0: 88012215 stw zero,1160(r17) -8110f4c4: 88c13017 ldw r3,1216(r17) -8110f4c8: 88813117 ldw r2,1220(r17) -8110f4cc: 8c80b304 addi r18,r17,716 -8110f4d0: 88c00915 stw r3,36(r17) -8110f4d4: 9009883a mov r4,r18 -8110f4d8: 88800a15 stw r2,40(r17) -8110f4dc: 11023980 call 81102398 -8110f4e0: 89413017 ldw r5,1216(r17) -8110f4e4: 89013117 ldw r4,1220(r17) -8110f4e8: 88813117 ldw r2,1220(r17) -8110f4ec: 88c04c0b ldhu r3,304(r17) -8110f4f0: 8940b815 stw r5,736(r17) -8110f4f4: 8900b915 stw r4,740(r17) -8110f4f8: 10800044 addi r2,r2,1 -8110f4fc: 1880132e bgeu r3,r2,8110f54c -8110f500: 88813117 ldw r2,1220(r17) -8110f504: 193fffc4 addi r4,r3,-1 -8110f508: 8900ba15 stw r4,744(r17) -8110f50c: 10c5c83a sub r2,r2,r3 -8110f510: 8880bb15 stw r2,748(r17) -8110f514: 8c00be15 stw r16,760(r17) -8110f518: 8c00bf15 stw r16,764(r17) -8110f51c: 9009883a mov r4,r18 -8110f520: 11023600 call 81102360 -8110f524: 003f0b06 br 8110f154 <__reset+0xfb0ef154> -8110f528: 9009883a mov r4,r18 -8110f52c: 1102a900 call 81102a90 -8110f530: 003f6e06 br 8110f2ec <__reset+0xfb0ef2ec> -8110f534: 89800003 ldbu r6,0(r17) -8110f538: d1207917 ldw r4,-32284(gp) -8110f53c: 01604574 movhi r5,33045 -8110f540: 29625804 addi r5,r5,-30368 -8110f544: 112b5e00 call 8112b5e0 -8110f548: 003f7106 br 8110f310 <__reset+0xfb0ef310> -8110f54c: 88813117 ldw r2,1220(r17) -8110f550: 8800bb15 stw zero,748(r17) -8110f554: 8c00be15 stw r16,760(r17) -8110f558: 8880ba15 stw r2,744(r17) -8110f55c: 8800bf15 stw zero,764(r17) -8110f560: 003fee06 br 8110f51c <__reset+0xfb0ef51c> -8110f564: 89800003 ldbu r6,0(r17) -8110f568: d1207917 ldw r4,-32284(gp) -8110f56c: 01604574 movhi r5,33045 -8110f570: 29623204 addi r5,r5,-30520 -8110f574: 112b5e00 call 8112b5e0 -8110f578: 003f7506 br 8110f350 <__reset+0xfb0ef350> -8110f57c: 89800003 ldbu r6,0(r17) -8110f580: d1207917 ldw r4,-32284(gp) -8110f584: 01604574 movhi r5,33045 -8110f588: 29627e04 addi r5,r5,-30216 -8110f58c: 112b5e00 call 8112b5e0 -8110f590: 003f1306 br 8110f1e0 <__reset+0xfb0ef1e0> -8110f594: 000b883a mov r5,zero -8110f598: 9009883a mov r4,r18 -8110f59c: 1102a900 call 81102a90 -8110f5a0: 003f6206 br 8110f32c <__reset+0xfb0ef32c> -8110f5a4: 8800b815 stw zero,736(r17) -8110f5a8: 003fc206 br 8110f4b4 <__reset+0xfb0ef4b4> - -8110f5ac : -8110f5ac: defffc04 addi sp,sp,-16 -8110f5b0: dc400115 stw r17,4(sp) -8110f5b4: dc000015 stw r16,0(sp) -8110f5b8: dfc00315 stw ra,12(sp) -8110f5bc: dc800215 stw r18,8(sp) -8110f5c0: 2023883a mov r17,r4 -8110f5c4: 21000003 ldbu r4,0(r4) -8110f5c8: 2820d23a srli r16,r5,8 -8110f5cc: 01604574 movhi r5,33045 -8110f5d0: 21000044 addi r4,r4,1 -8110f5d4: 297337c4 addi r5,r5,-13089 -8110f5d8: 21003fcc andi r4,r4,255 -8110f5dc: 111e1a00 call 8111e1a0 -8110f5e0: 81803fcc andi r6,r16,255 -8110f5e4: 00801a04 movi r2,104 -8110f5e8: 1180d236 bltu r2,r6,8110f934 -8110f5ec: 300690ba slli r3,r6,2 -8110f5f0: 00a04474 movhi r2,33041 -8110f5f4: 10bd8104 addi r2,r2,-2556 -8110f5f8: 1885883a add r2,r3,r2 -8110f5fc: 10800017 ldw r2,0(r2) -8110f600: 1000683a jmp r2 -8110f604: 8110f914 ori r4,r16,17380 -8110f608: 8110f934 orhi r4,r16,17380 -8110f60c: 8110f934 orhi r4,r16,17380 -8110f610: 8110f934 orhi r4,r16,17380 -8110f614: 8110f8bc xorhi r4,r16,17378 -8110f618: 8110f934 orhi r4,r16,17380 -8110f61c: 8110f934 orhi r4,r16,17380 -8110f620: 8110f934 orhi r4,r16,17380 -8110f624: 8110f8e4 muli r4,r16,17379 -8110f628: 8110f934 orhi r4,r16,17380 -8110f62c: 8110f934 orhi r4,r16,17380 -8110f630: 8110f934 orhi r4,r16,17380 -8110f634: 8110f840 call 88110f84 <__reset+0x20f0f84> -8110f638: 8110f934 orhi r4,r16,17380 -8110f63c: 8110f934 orhi r4,r16,17380 -8110f640: 8110f934 orhi r4,r16,17380 -8110f644: 8110f864 muli r4,r16,17377 -8110f648: 8110f934 orhi r4,r16,17380 -8110f64c: 8110f934 orhi r4,r16,17380 -8110f650: 8110f934 orhi r4,r16,17380 -8110f654: 8110f87c xorhi r4,r16,17377 -8110f658: 8110f934 orhi r4,r16,17380 -8110f65c: 8110f934 orhi r4,r16,17380 -8110f660: 8110f934 orhi r4,r16,17380 -8110f664: 8110f7a8 cmpgeui r4,r16,17374 -8110f668: 8110f934 orhi r4,r16,17380 -8110f66c: 8110f934 orhi r4,r16,17380 -8110f670: 8110f934 orhi r4,r16,17380 -8110f674: 8110f7a8 cmpgeui r4,r16,17374 -8110f678: 8110f934 orhi r4,r16,17380 -8110f67c: 8110f934 orhi r4,r16,17380 -8110f680: 8110f934 orhi r4,r16,17380 -8110f684: 8110f7a8 cmpgeui r4,r16,17374 -8110f688: 8110f934 orhi r4,r16,17380 -8110f68c: 8110f934 orhi r4,r16,17380 -8110f690: 8110f934 orhi r4,r16,17380 -8110f694: 8110f7a8 cmpgeui r4,r16,17374 -8110f698: 8110f934 orhi r4,r16,17380 -8110f69c: 8110f934 orhi r4,r16,17380 -8110f6a0: 8110f934 orhi r4,r16,17380 -8110f6a4: 8110f7a8 cmpgeui r4,r16,17374 -8110f6a8: 8110f934 orhi r4,r16,17380 -8110f6ac: 8110f934 orhi r4,r16,17380 -8110f6b0: 8110f934 orhi r4,r16,17380 -8110f6b4: 8110f7a8 cmpgeui r4,r16,17374 -8110f6b8: 8110f934 orhi r4,r16,17380 -8110f6bc: 8110f934 orhi r4,r16,17380 -8110f6c0: 8110f934 orhi r4,r16,17380 -8110f6c4: 8110f7a8 cmpgeui r4,r16,17374 -8110f6c8: 8110f934 orhi r4,r16,17380 -8110f6cc: 8110f934 orhi r4,r16,17380 -8110f6d0: 8110f934 orhi r4,r16,17380 -8110f6d4: 8110f7a8 cmpgeui r4,r16,17374 -8110f6d8: 8110f934 orhi r4,r16,17380 -8110f6dc: 8110f934 orhi r4,r16,17380 -8110f6e0: 8110f934 orhi r4,r16,17380 -8110f6e4: 8110f7a8 cmpgeui r4,r16,17374 -8110f6e8: 8110f934 orhi r4,r16,17380 -8110f6ec: 8110f934 orhi r4,r16,17380 -8110f6f0: 8110f934 orhi r4,r16,17380 -8110f6f4: 8110f7a8 cmpgeui r4,r16,17374 -8110f6f8: 8110f934 orhi r4,r16,17380 -8110f6fc: 8110f934 orhi r4,r16,17380 -8110f700: 8110f934 orhi r4,r16,17380 -8110f704: 8110f7a8 cmpgeui r4,r16,17374 -8110f708: 8110f934 orhi r4,r16,17380 -8110f70c: 8110f934 orhi r4,r16,17380 -8110f710: 8110f934 orhi r4,r16,17380 -8110f714: 8110f7a8 cmpgeui r4,r16,17374 -8110f718: 8110f934 orhi r4,r16,17380 -8110f71c: 8110f934 orhi r4,r16,17380 -8110f720: 8110f934 orhi r4,r16,17380 -8110f724: 8110f7a8 cmpgeui r4,r16,17374 -8110f728: 8110f934 orhi r4,r16,17380 -8110f72c: 8110f934 orhi r4,r16,17380 -8110f730: 8110f934 orhi r4,r16,17380 -8110f734: 8110f7a8 cmpgeui r4,r16,17374 -8110f738: 8110f934 orhi r4,r16,17380 -8110f73c: 8110f934 orhi r4,r16,17380 -8110f740: 8110f934 orhi r4,r16,17380 -8110f744: 8110f7a8 cmpgeui r4,r16,17374 -8110f748: 8110f934 orhi r4,r16,17380 -8110f74c: 8110f934 orhi r4,r16,17380 -8110f750: 8110f934 orhi r4,r16,17380 -8110f754: 8110f7d4 ori r4,r16,17375 -8110f758: 8110f934 orhi r4,r16,17380 -8110f75c: 8110f934 orhi r4,r16,17380 -8110f760: 8110f934 orhi r4,r16,17380 -8110f764: 8110f89c xori r4,r16,17378 -8110f768: 8110f934 orhi r4,r16,17380 -8110f76c: 8110f934 orhi r4,r16,17380 -8110f770: 8110f934 orhi r4,r16,17380 -8110f774: 8110f89c xori r4,r16,17378 -8110f778: 8110f934 orhi r4,r16,17380 -8110f77c: 8110f934 orhi r4,r16,17380 -8110f780: 8110f934 orhi r4,r16,17380 -8110f784: 8110f89c xori r4,r16,17378 -8110f788: 8110f934 orhi r4,r16,17380 -8110f78c: 8110f934 orhi r4,r16,17380 -8110f790: 8110f934 orhi r4,r16,17380 -8110f794: 8110f89c xori r4,r16,17378 -8110f798: 8110f934 orhi r4,r16,17380 -8110f79c: 8110f934 orhi r4,r16,17380 -8110f7a0: 8110f934 orhi r4,r16,17380 -8110f7a4: 8110f89c xori r4,r16,17378 -8110f7a8: 00a04634 movhi r2,33048 -8110f7ac: 108f0804 addi r2,r2,15392 -8110f7b0: 10800803 ldbu r2,32(r2) -8110f7b4: 00c001c4 movi r3,7 -8110f7b8: 1880662e bgeu r3,r2,8110f954 -8110f7bc: dfc00317 ldw ra,12(sp) -8110f7c0: dc800217 ldw r18,8(sp) -8110f7c4: dc400117 ldw r17,4(sp) -8110f7c8: dc000017 ldw r16,0(sp) -8110f7cc: dec00404 addi sp,sp,16 -8110f7d0: f800283a ret -8110f7d4: 8880ab17 ldw r2,684(r17) -8110f7d8: 88000b15 stw zero,44(r17) -8110f7dc: 00c003c4 movi r3,15 -8110f7e0: 10804017 ldw r2,256(r2) -8110f7e4: 1880d536 bltu r3,r2,8110fb3c -8110f7e8: 100490ba slli r2,r2,2 -8110f7ec: 00e04474 movhi r3,33041 -8110f7f0: 18fe0004 addi r3,r3,-2048 -8110f7f4: 10c5883a add r2,r2,r3 -8110f7f8: 10800017 ldw r2,0(r2) -8110f7fc: 1000683a jmp r2 -8110f800: 8110fae8 cmpgeui r4,r16,17387 -8110f804: 8110f978 rdprs r4,r16,17381 -8110f808: 8110f978 rdprs r4,r16,17381 -8110f80c: 8110fb3c xorhi r4,r16,17388 -8110f810: 8110fa78 rdprs r4,r16,17385 -8110f814: 8110f978 rdprs r4,r16,17381 -8110f818: 8110f978 rdprs r4,r16,17381 -8110f81c: 8110f9d4 ori r4,r16,17383 -8110f820: 8110fa18 cmpnei r4,r16,17384 -8110f824: 8110f978 rdprs r4,r16,17381 -8110f828: 8110f978 rdprs r4,r16,17381 -8110f82c: 8110f978 rdprs r4,r16,17381 -8110f830: 8110f978 rdprs r4,r16,17381 -8110f834: 8110faa4 muli r4,r16,17386 -8110f838: 8110faa4 muli r4,r16,17386 -8110f83c: 8110faa4 muli r4,r16,17386 -8110f840: 88c0ab17 ldw r3,684(r17) -8110f844: 00800044 movi r2,1 -8110f848: 88812515 stw r2,1172(r17) -8110f84c: 19000b17 ldw r4,44(r3) -8110f850: 18c00c17 ldw r3,48(r3) -8110f854: 89013315 stw r4,1228(r17) -8110f858: 88812315 stw r2,1164(r17) -8110f85c: 88c17415 stw r3,1488(r17) -8110f860: 003fd606 br 8110f7bc <__reset+0xfb0ef7bc> -8110f864: 8880ab17 ldw r2,684(r17) -8110f868: 00c00044 movi r3,1 -8110f86c: 88c12615 stw r3,1176(r17) -8110f870: 10801017 ldw r2,64(r2) -8110f874: 8881710d sth r2,1476(r17) -8110f878: 003fd006 br 8110f7bc <__reset+0xfb0ef7bc> -8110f87c: 8880ab17 ldw r2,684(r17) -8110f880: 00c00044 movi r3,1 -8110f884: 88c12715 stw r3,1180(r17) -8110f888: 10c01517 ldw r3,84(r2) -8110f88c: 10801717 ldw r2,92(r2) -8110f890: 88c17215 stw r3,1480(r17) -8110f894: 88817315 stw r2,1484(r17) -8110f898: 003fc806 br 8110f7bc <__reset+0xfb0ef7bc> -8110f89c: 00a04634 movhi r2,33048 -8110f8a0: 108f0804 addi r2,r2,15392 -8110f8a4: 10800803 ldbu r2,32(r2) -8110f8a8: 00c001c4 movi r3,7 -8110f8ac: 18bfc336 bltu r3,r2,8110f7bc <__reset+0xfb0ef7bc> -8110f8b0: 01604574 movhi r5,33045 -8110f8b4: 29632004 addi r5,r5,-29568 -8110f8b8: 00002806 br 8110f95c -8110f8bc: 00a04634 movhi r2,33048 -8110f8c0: 108f0804 addi r2,r2,15392 -8110f8c4: 10800803 ldbu r2,32(r2) -8110f8c8: 00c001c4 movi r3,7 -8110f8cc: 18bfbb36 bltu r3,r2,8110f7bc <__reset+0xfb0ef7bc> -8110f8d0: 01604574 movhi r5,33045 -8110f8d4: 2962cd04 addi r5,r5,-29900 -8110f8d8: d1207917 ldw r4,-32284(gp) -8110f8dc: 01800104 movi r6,4 -8110f8e0: 00001f06 br 8110f960 -8110f8e4: 8880ab17 ldw r2,684(r17) -8110f8e8: 00c00044 movi r3,1 -8110f8ec: 88c12415 stw r3,1168(r17) -8110f8f0: 10c00617 ldw r3,24(r2) -8110f8f4: 88c15545 stb r3,1365(r17) -8110f8f8: 10c00717 ldw r3,28(r2) -8110f8fc: 88c15585 stb r3,1366(r17) -8110f900: 10c00817 ldw r3,32(r2) -8110f904: 88c155c5 stb r3,1367(r17) -8110f908: 10800917 ldw r2,36(r2) -8110f90c: 88815605 stb r2,1368(r17) -8110f910: 003faa06 br 8110f7bc <__reset+0xfb0ef7bc> -8110f914: 8880ab17 ldw r2,684(r17) -8110f918: 00c00044 movi r3,1 -8110f91c: 88c12215 stw r3,1160(r17) -8110f920: 10c00017 ldw r3,0(r2) -8110f924: 10800117 ldw r2,4(r2) -8110f928: 88c13015 stw r3,1216(r17) -8110f92c: 88813115 stw r2,1220(r17) -8110f930: 003fa206 br 8110f7bc <__reset+0xfb0ef7bc> -8110f934: 00a04634 movhi r2,33048 -8110f938: 108f0804 addi r2,r2,15392 -8110f93c: 10800803 ldbu r2,32(r2) -8110f940: 00c001c4 movi r3,7 -8110f944: 18bf9d36 bltu r3,r2,8110f7bc <__reset+0xfb0ef7bc> -8110f948: 01604574 movhi r5,33045 -8110f94c: 29632904 addi r5,r5,-29532 -8110f950: 00000206 br 8110f95c -8110f954: 01604574 movhi r5,33045 -8110f958: 2962cd04 addi r5,r5,-29900 -8110f95c: d1207917 ldw r4,-32284(gp) -8110f960: dfc00317 ldw ra,12(sp) -8110f964: dc800217 ldw r18,8(sp) -8110f968: dc400117 ldw r17,4(sp) -8110f96c: dc000017 ldw r16,0(sp) -8110f970: dec00404 addi sp,sp,16 -8110f974: 112b5e01 jmpi 8112b5e0 -8110f978: 8c00b304 addi r16,r17,716 -8110f97c: 8009883a mov r4,r16 -8110f980: 11023f00 call 811023f0 -8110f984: 04800044 movi r18,1 -8110f988: 8c80c515 stw r18,788(r17) -8110f98c: 8009883a mov r4,r16 -8110f990: 11023d00 call 811023d0 -8110f994: 00a04634 movhi r2,33048 -8110f998: 108f0804 addi r2,r2,15392 -8110f99c: 10800803 ldbu r2,32(r2) -8110f9a0: 00c001c4 movi r3,7 -8110f9a4: 18bf8536 bltu r3,r2,8110f7bc <__reset+0xfb0ef7bc> -8110f9a8: d1e07917 ldw r7,-32284(gp) -8110f9ac: 01204574 movhi r4,33045 -8110f9b0: 21234c04 addi r4,r4,-29392 -8110f9b4: 01800d84 movi r6,54 -8110f9b8: 900b883a mov r5,r18 -8110f9bc: dfc00317 ldw ra,12(sp) -8110f9c0: dc800217 ldw r18,8(sp) -8110f9c4: dc400117 ldw r17,4(sp) -8110f9c8: dc000017 ldw r16,0(sp) -8110f9cc: dec00404 addi sp,sp,16 -8110f9d0: 112bc4c1 jmpi 8112bc4c -8110f9d4: 8c00b304 addi r16,r17,716 -8110f9d8: 8009883a mov r4,r16 -8110f9dc: 11023f00 call 811023f0 -8110f9e0: 04800044 movi r18,1 -8110f9e4: 8c80c515 stw r18,788(r17) -8110f9e8: 8009883a mov r4,r16 -8110f9ec: 11023d00 call 811023d0 -8110f9f0: 00a04634 movhi r2,33048 -8110f9f4: 108f0804 addi r2,r2,15392 -8110f9f8: 10800803 ldbu r2,32(r2) -8110f9fc: 00c001c4 movi r3,7 -8110fa00: 18bf6e36 bltu r3,r2,8110f7bc <__reset+0xfb0ef7bc> -8110fa04: 01204574 movhi r4,33045 -8110fa08: d1e07917 ldw r7,-32284(gp) -8110fa0c: 2122f804 addi r4,r4,-29728 -8110fa10: 01800d84 movi r6,54 -8110fa14: 003fe806 br 8110f9b8 <__reset+0xfb0ef9b8> -8110fa18: 00c00304 movi r3,12 -8110fa1c: 00800344 movi r2,13 -8110fa20: 8c00b304 addi r16,r17,716 -8110fa24: 88c03315 stw r3,204(r17) -8110fa28: 00c00084 movi r3,2 -8110fa2c: 8009883a mov r4,r16 -8110fa30: 88c03415 stw r3,208(r17) -8110fa34: 88002b15 stw zero,172(r17) -8110fa38: 88803515 stw r2,212(r17) -8110fa3c: 88803115 stw r2,196(r17) -8110fa40: 11023980 call 81102398 -8110fa44: 00800044 movi r2,1 -8110fa48: 8009883a mov r4,r16 -8110fa4c: 8880c315 stw r2,780(r17) -8110fa50: 11023600 call 81102360 -8110fa54: 89800003 ldbu r6,0(r17) -8110fa58: 000b883a mov r5,zero -8110fa5c: 01002044 movi r4,129 -8110fa60: dfc00317 ldw ra,12(sp) -8110fa64: dc800217 ldw r18,8(sp) -8110fa68: dc400117 ldw r17,4(sp) -8110fa6c: dc000017 ldw r16,0(sp) -8110fa70: dec00404 addi sp,sp,16 -8110fa74: 1109a8c1 jmpi 81109a8c -8110fa78: 88803417 ldw r2,208(r17) -8110fa7c: 00c00144 movi r3,5 -8110fa80: 10bffe84 addi r2,r2,-6 -8110fa84: 18bfbc36 bltu r3,r2,8110f978 <__reset+0xfb0ef978> -8110fa88: 88803317 ldw r2,204(r17) -8110fa8c: 00c00044 movi r3,1 -8110fa90: 88c02b15 stw r3,172(r17) -8110fa94: 00c00a04 movi r3,40 -8110fa98: 88c03115 stw r3,196(r17) -8110fa9c: 88803515 stw r2,212(r17) -8110faa0: 003f4606 br 8110f7bc <__reset+0xfb0ef7bc> -8110faa4: 8c00b304 addi r16,r17,716 -8110faa8: 8009883a mov r4,r16 -8110faac: 11023f00 call 811023f0 -8110fab0: 04800044 movi r18,1 -8110fab4: 8c80c515 stw r18,788(r17) -8110fab8: 8009883a mov r4,r16 -8110fabc: 11023d00 call 811023d0 -8110fac0: 00a04634 movhi r2,33048 -8110fac4: 108f0804 addi r2,r2,15392 -8110fac8: 10800803 ldbu r2,32(r2) -8110facc: 00c001c4 movi r3,7 -8110fad0: 18bf3a36 bltu r3,r2,8110f7bc <__reset+0xfb0ef7bc> -8110fad4: 01204574 movhi r4,33045 -8110fad8: d1e07917 ldw r7,-32284(gp) -8110fadc: 21230604 addi r4,r4,-29672 -8110fae0: 01800644 movi r6,25 -8110fae4: 003fb406 br 8110f9b8 <__reset+0xfb0ef9b8> -8110fae8: 88803417 ldw r2,208(r17) -8110faec: 04000044 movi r16,1 -8110faf0: 10bfff04 addi r2,r2,-4 -8110faf4: 8080232e bgeu r16,r2,8110fb84 -8110faf8: 8c80b304 addi r18,r17,716 -8110fafc: 9009883a mov r4,r18 -8110fb00: 11023f00 call 811023f0 -8110fb04: 9009883a mov r4,r18 -8110fb08: 8c00c515 stw r16,788(r17) -8110fb0c: 11023d00 call 811023d0 -8110fb10: 00a04634 movhi r2,33048 -8110fb14: 108f0804 addi r2,r2,15392 -8110fb18: 10800803 ldbu r2,32(r2) -8110fb1c: 00c001c4 movi r3,7 -8110fb20: 18bf2636 bltu r3,r2,8110f7bc <__reset+0xfb0ef7bc> -8110fb24: 01204574 movhi r4,33045 -8110fb28: d1e07917 ldw r7,-32284(gp) -8110fb2c: 21234c04 addi r4,r4,-29392 -8110fb30: 01800d84 movi r6,54 -8110fb34: 800b883a mov r5,r16 -8110fb38: 003fa006 br 8110f9bc <__reset+0xfb0ef9bc> -8110fb3c: 8c00b304 addi r16,r17,716 -8110fb40: 8009883a mov r4,r16 -8110fb44: 11023f00 call 811023f0 -8110fb48: 00800044 movi r2,1 -8110fb4c: 8880c515 stw r2,788(r17) -8110fb50: 8009883a mov r4,r16 -8110fb54: 11023d00 call 811023d0 -8110fb58: 00a04634 movhi r2,33048 -8110fb5c: 108f0804 addi r2,r2,15392 -8110fb60: 10800803 ldbu r2,32(r2) -8110fb64: 00c001c4 movi r3,7 -8110fb68: 18bf1436 bltu r3,r2,8110f7bc <__reset+0xfb0ef7bc> -8110fb6c: 8880ab17 ldw r2,684(r17) -8110fb70: 01604574 movhi r5,33045 -8110fb74: 29630d04 addi r5,r5,-29644 -8110fb78: d1207917 ldw r4,-32284(gp) -8110fb7c: 11804003 ldbu r6,256(r2) -8110fb80: 003f7706 br 8110f960 <__reset+0xfb0ef960> -8110fb84: 88803317 ldw r2,204(r17) -8110fb88: 00c00a04 movi r3,40 -8110fb8c: 8c002b15 stw r16,172(r17) -8110fb90: 88c03115 stw r3,196(r17) -8110fb94: 88803515 stw r2,212(r17) -8110fb98: 003f0806 br 8110f7bc <__reset+0xfb0ef7bc> - -8110fb9c : -8110fb9c: 21800003 ldbu r6,0(r4) -8110fba0: 2806d63a srli r3,r5,24 -8110fba4: 30800444 addi r2,r6,17 -8110fba8: 18800126 beq r3,r2,8110fbb0 -8110fbac: f800283a ret -8110fbb0: 2804d43a srli r2,r5,16 -8110fbb4: 00c01c44 movi r3,113 -8110fbb8: 10803fcc andi r2,r2,255 -8110fbbc: 10fffb26 beq r2,r3,8110fbac <__reset+0xfb0efbac> -8110fbc0: defffb04 addi sp,sp,-20 -8110fbc4: dc400115 stw r17,4(sp) -8110fbc8: dc000015 stw r16,0(sp) -8110fbcc: dfc00415 stw ra,16(sp) -8110fbd0: dcc00315 stw r19,12(sp) -8110fbd4: dc800215 stw r18,8(sp) -8110fbd8: 2821883a mov r16,r5 -8110fbdc: 2023883a mov r17,r4 -8110fbe0: 1880192e bgeu r3,r2,8110fc48 -8110fbe4: 00c03884 movi r3,226 -8110fbe8: 18802936 bltu r3,r2,8110fc90 -8110fbec: 00c03804 movi r3,224 -8110fbf0: 10c0432e bgeu r2,r3,8110fd00 -8110fbf4: 00c02844 movi r3,161 -8110fbf8: 10c08026 beq r2,r3,8110fdfc -8110fbfc: 00c028c4 movi r3,163 -8110fc00: 10c05126 beq r2,r3,8110fd48 -8110fc04: 00c01d84 movi r3,118 -8110fc08: 10c09026 beq r2,r3,8110fe4c -8110fc0c: 00a04634 movhi r2,33048 -8110fc10: 108f0804 addi r2,r2,15392 -8110fc14: 10800803 ldbu r2,32(r2) -8110fc18: 00c001c4 movi r3,7 -8110fc1c: 18803836 bltu r3,r2,8110fd00 -8110fc20: 01604574 movhi r5,33045 -8110fc24: 29648104 addi r5,r5,-28156 -8110fc28: d1207917 ldw r4,-32284(gp) -8110fc2c: dfc00417 ldw ra,16(sp) -8110fc30: dcc00317 ldw r19,12(sp) -8110fc34: dc800217 ldw r18,8(sp) -8110fc38: dc400117 ldw r17,4(sp) -8110fc3c: dc000017 ldw r16,0(sp) -8110fc40: dec00504 addi sp,sp,20 -8110fc44: 112b5e01 jmpi 8112b5e0 -8110fc48: 00c00304 movi r3,12 -8110fc4c: 18803336 bltu r3,r2,8110fd1c -8110fc50: 00c00144 movi r3,5 -8110fc54: 10c08f2e bgeu r2,r3,8110fe94 -8110fc58: 010000c4 movi r4,3 -8110fc5c: 11008326 beq r2,r4,8110fe6c -8110fc60: 2080642e bgeu r4,r2,8110fdf4 -8110fc64: 88803417 ldw r2,208(r17) -8110fc68: 10bffe84 addi r2,r2,-6 -8110fc6c: 1880d52e bgeu r3,r2,8110ffc4 -8110fc70: 00a04634 movhi r2,33048 -8110fc74: 108f0804 addi r2,r2,15392 -8110fc78: 10800803 ldbu r2,32(r2) -8110fc7c: 00c001c4 movi r3,7 -8110fc80: 18801f36 bltu r3,r2,8110fd00 -8110fc84: 01604574 movhi r5,33045 -8110fc88: 29635a04 addi r5,r5,-29336 -8110fc8c: 003fe606 br 8110fc28 <__reset+0xfb0efc28> -8110fc90: 00c03a04 movi r3,232 -8110fc94: 10c08726 beq r2,r3,8110feb4 -8110fc98: 00c03c04 movi r3,240 -8110fc9c: 10c04326 beq r2,r3,8110fdac -8110fca0: 00c03904 movi r3,228 -8110fca4: 10ffd91e bne r2,r3,8110fc0c <__reset+0xfb0efc0c> -8110fca8: 24006404 addi r16,r4,400 -8110fcac: 8009883a mov r4,r16 -8110fcb0: 1103d200 call 81103d20 -8110fcb4: 8009883a mov r4,r16 -8110fcb8: 1103d400 call 81103d40 -8110fcbc: 8009883a mov r4,r16 -8110fcc0: 1103d000 call 81103d00 -8110fcc4: 88800003 ldbu r2,0(r17) -8110fcc8: 00e04634 movhi r3,33048 -8110fccc: 18e40504 addi r3,r3,-28652 -8110fcd0: 1085883a add r2,r2,r2 -8110fcd4: 1085883a add r2,r2,r2 -8110fcd8: 1885883a add r2,r3,r2 -8110fcdc: 11000017 ldw r4,0(r2) -8110fce0: 1142c840 call 81142c84 -8110fce4: 10803fcc andi r2,r2,255 -8110fce8: 1000b41e bne r2,zero,8110ffbc -8110fcec: 88c03717 ldw r3,220(r17) -8110fcf0: 00800044 movi r2,1 -8110fcf4: 1880a626 beq r3,r2,8110ff90 -8110fcf8: 00800984 movi r2,38 -8110fcfc: 88803115 stw r2,196(r17) -8110fd00: dfc00417 ldw ra,16(sp) -8110fd04: dcc00317 ldw r19,12(sp) -8110fd08: dc800217 ldw r18,8(sp) -8110fd0c: dc400117 ldw r17,4(sp) -8110fd10: dc000017 ldw r16,0(sp) -8110fd14: dec00504 addi sp,sp,20 -8110fd18: f800283a ret -8110fd1c: 00c00344 movi r3,13 -8110fd20: 10c00226 beq r2,r3,8110fd2c -8110fd24: 00c00384 movi r3,14 -8110fd28: 10ffb81e bne r2,r3,8110fc0c <__reset+0xfb0efc0c> -8110fd2c: 8880ab17 ldw r2,684(r17) -8110fd30: 10c01417 ldw r3,80(r2) -8110fd34: 008000c4 movi r2,3 -8110fd38: 18803c26 beq r3,r2,8110fe2c -8110fd3c: 00800a44 movi r2,41 -8110fd40: 88803115 stw r2,196(r17) -8110fd44: 003fee06 br 8110fd00 <__reset+0xfb0efd00> -8110fd48: 00c00304 movi r3,12 -8110fd4c: 00800344 movi r2,13 -8110fd50: 2400b304 addi r16,r4,716 -8110fd54: 88c03315 stw r3,204(r17) -8110fd58: 00c00084 movi r3,2 -8110fd5c: 8009883a mov r4,r16 -8110fd60: 88002b15 stw zero,172(r17) -8110fd64: 88c03415 stw r3,208(r17) -8110fd68: 88803515 stw r2,212(r17) -8110fd6c: 88803115 stw r2,196(r17) -8110fd70: 11023980 call 81102398 -8110fd74: 00800044 movi r2,1 -8110fd78: 8009883a mov r4,r16 -8110fd7c: 8880c315 stw r2,780(r17) -8110fd80: 11023600 call 81102360 -8110fd84: 89800003 ldbu r6,0(r17) -8110fd88: 000b883a mov r5,zero -8110fd8c: 01002044 movi r4,129 -8110fd90: dfc00417 ldw ra,16(sp) -8110fd94: dcc00317 ldw r19,12(sp) -8110fd98: dc800217 ldw r18,8(sp) -8110fd9c: dc400117 ldw r17,4(sp) -8110fda0: dc000017 ldw r16,0(sp) -8110fda4: dec00504 addi sp,sp,20 -8110fda8: 1109a8c1 jmpi 81109a8c -8110fdac: 00a04634 movhi r2,33048 -8110fdb0: 108f0804 addi r2,r2,15392 -8110fdb4: 10800803 ldbu r2,32(r2) -8110fdb8: 00c00104 movi r3,4 -8110fdbc: 18800436 bltu r3,r2,8110fdd0 -8110fdc0: d1207917 ldw r4,-32284(gp) -8110fdc4: 01604574 movhi r5,33045 -8110fdc8: 29633304 addi r5,r5,-29492 -8110fdcc: 112b5e00 call 8112b5e0 -8110fdd0: 800b883a mov r5,r16 -8110fdd4: 8809883a mov r4,r17 -8110fdd8: dfc00417 ldw ra,16(sp) -8110fddc: dcc00317 ldw r19,12(sp) -8110fde0: dc800217 ldw r18,8(sp) -8110fde4: dc400117 ldw r17,4(sp) -8110fde8: dc000017 ldw r16,0(sp) -8110fdec: dec00504 addi sp,sp,20 -8110fdf0: 110f5ac1 jmpi 8110f5ac -8110fdf4: 00c00044 movi r3,1 -8110fdf8: 10ff841e bne r2,r3,8110fc0c <__reset+0xfb0efc0c> -8110fdfc: 00800044 movi r2,1 -8110fe00: 8c00b304 addi r16,r17,716 -8110fe04: 88803415 stw r2,208(r17) -8110fe08: 00800304 movi r2,12 -8110fe0c: 8009883a mov r4,r16 -8110fe10: 88002b15 stw zero,172(r17) -8110fe14: 88003315 stw zero,204(r17) -8110fe18: 88803115 stw r2,196(r17) -8110fe1c: 11023980 call 81102398 -8110fe20: 8009883a mov r4,r16 -8110fe24: 8800c315 stw zero,780(r17) -8110fe28: 003fd506 br 8110fd80 <__reset+0xfb0efd80> -8110fe2c: 88803203 ldbu r2,200(r17) -8110fe30: 00c00084 movi r3,2 -8110fe34: 10800044 addi r2,r2,1 -8110fe38: 88803205 stb r2,200(r17) -8110fe3c: 88803203 ldbu r2,200(r17) -8110fe40: 10803fcc andi r2,r2,255 -8110fe44: 10ffae1e bne r2,r3,8110fd00 <__reset+0xfb0efd00> -8110fe48: 003fbc06 br 8110fd3c <__reset+0xfb0efd3c> -8110fe4c: 00a04634 movhi r2,33048 -8110fe50: 108f0804 addi r2,r2,15392 -8110fe54: 10800803 ldbu r2,32(r2) -8110fe58: 00c001c4 movi r3,7 -8110fe5c: 18bfa836 bltu r3,r2,8110fd00 <__reset+0xfb0efd00> -8110fe60: 01604574 movhi r5,33045 -8110fe64: 29636d04 addi r5,r5,-29260 -8110fe68: 003f6f06 br 8110fc28 <__reset+0xfb0efc28> -8110fe6c: 88803417 ldw r2,208(r17) -8110fe70: 00c00044 movi r3,1 -8110fe74: 10bfff04 addi r2,r2,-4 -8110fe78: 18bf7d36 bltu r3,r2,8110fc70 <__reset+0xfb0efc70> -8110fe7c: 88803317 ldw r2,204(r17) -8110fe80: 88c02b15 stw r3,172(r17) -8110fe84: 00c00a04 movi r3,40 -8110fe88: 88c03115 stw r3,196(r17) -8110fe8c: 88803515 stw r2,212(r17) -8110fe90: 003f9b06 br 8110fd00 <__reset+0xfb0efd00> -8110fe94: 00a04634 movhi r2,33048 -8110fe98: 108f0804 addi r2,r2,15392 -8110fe9c: 10800803 ldbu r2,32(r2) -8110fea0: 00c001c4 movi r3,7 -8110fea4: 18bf9636 bltu r3,r2,8110fd00 <__reset+0xfb0efd00> -8110fea8: 01604574 movhi r5,33045 -8110feac: 29629e04 addi r5,r5,-30088 -8110feb0: 003f5d06 br 8110fc28 <__reset+0xfb0efc28> -8110feb4: 20812217 ldw r2,1160(r4) -8110feb8: 10001e1e bne r2,zero,8110ff34 -8110febc: 20812317 ldw r2,1164(r4) -8110fec0: 10001c1e bne r2,zero,8110ff34 -8110fec4: 20812617 ldw r2,1176(r4) -8110fec8: 10001a1e bne r2,zero,8110ff34 -8110fecc: 20812417 ldw r2,1168(r4) -8110fed0: 1000181e bne r2,zero,8110ff34 -8110fed4: 20812717 ldw r2,1180(r4) -8110fed8: 1000161e bne r2,zero,8110ff34 -8110fedc: 20812517 ldw r2,1172(r4) -8110fee0: 1000141e bne r2,zero,8110ff34 -8110fee4: 24006404 addi r16,r4,400 -8110fee8: 8c842d17 ldw r18,4276(r17) -8110feec: 00800044 movi r2,1 -8110fef0: 90804b26 beq r18,r2,81110020 -8110fef4: 8c842e17 ldw r18,4280(r17) -8110fef8: 00800044 movi r2,1 -8110fefc: 90803826 beq r18,r2,8110ffe0 -8110ff00: 88c1ab17 ldw r3,1708(r17) -8110ff04: 00800044 movi r2,1 -8110ff08: 18bf681e bne r3,r2,8110fcac <__reset+0xfb0efcac> -8110ff0c: 8900b304 addi r4,r17,716 -8110ff10: 1102d340 call 81102d34 -8110ff14: 10006726 beq r2,zero,811100b4 -8110ff18: 00a04634 movhi r2,33048 -8110ff1c: 108f0804 addi r2,r2,15392 -8110ff20: 10800803 ldbu r2,32(r2) -8110ff24: 00c001c4 movi r3,7 -8110ff28: 1880d52e bgeu r3,r2,81110280 -8110ff2c: 8801ab15 stw zero,1708(r17) -8110ff30: 003f5e06 br 8110fcac <__reset+0xfb0efcac> -8110ff34: 8c012217 ldw r16,1160(r17) +8110e3bc: 11023d00 call 811023d0 +8110e3c0: 00a04634 movhi r2,33048 +8110e3c4: 108f1904 addi r2,r2,15460 +8110e3c8: 10800803 ldbu r2,32(r2) +8110e3cc: 00c001c4 movi r3,7 +8110e3d0: 18bf4536 bltu r3,r2,8110e0e8 <__reset+0xfb0ee0e8> +8110e3d4: 01204574 movhi r4,33045 +8110e3d8: d1e07917 ldw r7,-32284(gp) +8110e3dc: 21231604 addi r4,r4,-29608 +8110e3e0: 01800644 movi r6,25 +8110e3e4: 003fbf06 br 8110e2e4 <__reset+0xfb0ee2e4> +8110e3e8: 8c00b304 addi r16,r17,716 +8110e3ec: 8009883a mov r4,r16 +8110e3f0: 11023f00 call 811023f0 +8110e3f4: 00800044 movi r2,1 +8110e3f8: 8880c515 stw r2,788(r17) +8110e3fc: 8009883a mov r4,r16 +8110e400: 11023d00 call 811023d0 +8110e404: 00a04634 movhi r2,33048 +8110e408: 108f1904 addi r2,r2,15460 +8110e40c: 10800803 ldbu r2,32(r2) +8110e410: 00c001c4 movi r3,7 +8110e414: 18bf3436 bltu r3,r2,8110e0e8 <__reset+0xfb0ee0e8> +8110e418: 8880ab17 ldw r2,684(r17) +8110e41c: 01604574 movhi r5,33045 +8110e420: 29631d04 addi r5,r5,-29580 +8110e424: d1207917 ldw r4,-32284(gp) +8110e428: 11804003 ldbu r6,256(r2) +8110e42c: 003f9706 br 8110e28c <__reset+0xfb0ee28c> + +8110e430 : +8110e430: 21800003 ldbu r6,0(r4) +8110e434: 2806d63a srli r3,r5,24 +8110e438: 30800444 addi r2,r6,17 +8110e43c: 18800126 beq r3,r2,8110e444 +8110e440: f800283a ret +8110e444: 2804d43a srli r2,r5,16 +8110e448: defffc04 addi sp,sp,-16 +8110e44c: dc400115 stw r17,4(sp) +8110e450: dc000015 stw r16,0(sp) +8110e454: dfc00315 stw ra,12(sp) +8110e458: dc800215 stw r18,8(sp) +8110e45c: 00c028c4 movi r3,163 +8110e460: 10803fcc andi r2,r2,255 +8110e464: 2821883a mov r16,r5 +8110e468: 2023883a mov r17,r4 +8110e46c: 10c09426 beq r2,r3,8110e6c0 +8110e470: 1880172e bgeu r3,r2,8110e4d0 +8110e474: 00c03884 movi r3,226 +8110e478: 1880442e bgeu r3,r2,8110e58c +8110e47c: 00c03a04 movi r3,232 +8110e480: 10c05b26 beq r2,r3,8110e5f0 +8110e484: 00c03c04 movi r3,240 +8110e488: 10c04e1e bne r2,r3,8110e5c4 +8110e48c: 00a04634 movhi r2,33048 +8110e490: 108f1904 addi r2,r2,15460 +8110e494: 10800803 ldbu r2,32(r2) +8110e498: 00c00104 movi r3,4 +8110e49c: 18800436 bltu r3,r2,8110e4b0 +8110e4a0: d1207917 ldw r4,-32284(gp) +8110e4a4: 01604574 movhi r5,33045 +8110e4a8: 29638b04 addi r5,r5,-29140 +8110e4ac: 112b6200 call 8112b620 +8110e4b0: 800b883a mov r5,r16 +8110e4b4: 8809883a mov r4,r17 +8110e4b8: dfc00317 ldw ra,12(sp) +8110e4bc: dc800217 ldw r18,8(sp) +8110e4c0: dc400117 ldw r17,4(sp) +8110e4c4: dc000017 ldw r16,0(sp) +8110e4c8: dec00404 addi sp,sp,16 +8110e4cc: 110ded81 jmpi 8110ded8 +8110e4d0: 00c00304 movi r3,12 +8110e4d4: 1880142e bgeu r3,r2,8110e528 +8110e4d8: 00c023c4 movi r3,143 +8110e4dc: 10c02326 beq r2,r3,8110e56c +8110e4e0: 00c02844 movi r3,161 +8110e4e4: 10c01426 beq r2,r3,8110e538 +8110e4e8: 00c01c44 movi r3,113 +8110e4ec: 10c02f26 beq r2,r3,8110e5ac +8110e4f0: 00a04634 movhi r2,33048 +8110e4f4: 108f1904 addi r2,r2,15460 +8110e4f8: 10800803 ldbu r2,32(r2) +8110e4fc: 00c001c4 movi r3,7 +8110e500: 18802a36 bltu r3,r2,8110e5ac +8110e504: 01604574 movhi r5,33045 +8110e508: 29645304 addi r5,r5,-28340 +8110e50c: d1207917 ldw r4,-32284(gp) +8110e510: dfc00317 ldw ra,12(sp) +8110e514: dc800217 ldw r18,8(sp) +8110e518: dc400117 ldw r17,4(sp) +8110e51c: dc000017 ldw r16,0(sp) +8110e520: dec00404 addi sp,sp,16 +8110e524: 112b6201 jmpi 8112b620 +8110e528: 00c000c4 movi r3,3 +8110e52c: 10c0282e bgeu r2,r3,8110e5d0 +8110e530: 00c00044 movi r3,1 +8110e534: 10ffee1e bne r2,r3,8110e4f0 <__reset+0xfb0ee4f0> +8110e538: 00800044 movi r2,1 +8110e53c: 8c00b304 addi r16,r17,716 +8110e540: 88803415 stw r2,208(r17) +8110e544: 00800304 movi r2,12 +8110e548: 8009883a mov r4,r16 +8110e54c: 88002b15 stw zero,172(r17) +8110e550: 88003315 stw zero,204(r17) +8110e554: 88803115 stw r2,196(r17) +8110e558: 11023980 call 81102398 +8110e55c: 8009883a mov r4,r16 +8110e560: 8800c315 stw zero,780(r17) +8110e564: 11023600 call 81102360 +8110e568: 89800003 ldbu r6,0(r17) +8110e56c: 000b883a mov r5,zero +8110e570: 01002044 movi r4,129 +8110e574: dfc00317 ldw ra,12(sp) +8110e578: dc800217 ldw r18,8(sp) +8110e57c: dc400117 ldw r17,4(sp) +8110e580: dc000017 ldw r16,0(sp) +8110e584: dec00404 addi sp,sp,16 +8110e588: 1109ac01 jmpi 81109ac0 +8110e58c: 00c03844 movi r3,225 +8110e590: 10c0062e bgeu r2,r3,8110e5ac +8110e594: 00c03804 movi r3,224 +8110e598: 10ffd51e bne r2,r3,8110e4f0 <__reset+0xfb0ee4f0> +8110e59c: 20803517 ldw r2,212(r4) +8110e5a0: 00c00044 movi r3,1 +8110e5a4: 20c02b15 stw r3,172(r4) +8110e5a8: 20803115 stw r2,196(r4) +8110e5ac: dfc00317 ldw ra,12(sp) +8110e5b0: dc800217 ldw r18,8(sp) +8110e5b4: dc400117 ldw r17,4(sp) +8110e5b8: dc000017 ldw r16,0(sp) +8110e5bc: dec00404 addi sp,sp,16 +8110e5c0: f800283a ret +8110e5c4: 00c03904 movi r3,228 +8110e5c8: 10ffc91e bne r2,r3,8110e4f0 <__reset+0xfb0ee4f0> +8110e5cc: 003ff706 br 8110e5ac <__reset+0xfb0ee5ac> +8110e5d0: 00a04634 movhi r2,33048 +8110e5d4: 108f1904 addi r2,r2,15460 +8110e5d8: 10800803 ldbu r2,32(r2) +8110e5dc: 00c001c4 movi r3,7 +8110e5e0: 18bff236 bltu r3,r2,8110e5ac <__reset+0xfb0ee5ac> +8110e5e4: 01604574 movhi r5,33045 +8110e5e8: 29643a04 addi r5,r5,-28440 +8110e5ec: 003fc706 br 8110e50c <__reset+0xfb0ee50c> +8110e5f0: 20812217 ldw r2,1160(r4) +8110e5f4: 10000a1e bne r2,zero,8110e620 +8110e5f8: 20812317 ldw r2,1164(r4) +8110e5fc: 1000081e bne r2,zero,8110e620 +8110e600: 20812617 ldw r2,1176(r4) +8110e604: 1000061e bne r2,zero,8110e620 +8110e608: 20812417 ldw r2,1168(r4) +8110e60c: 1000041e bne r2,zero,8110e620 +8110e610: 20812717 ldw r2,1180(r4) +8110e614: 1000021e bne r2,zero,8110e620 +8110e618: 20812517 ldw r2,1172(r4) +8110e61c: 10001526 beq r2,zero,8110e674 +8110e620: 8c012217 ldw r16,1160(r17) +8110e624: 00800044 movi r2,1 +8110e628: 8080ae26 beq r16,r2,8110e8e4 +8110e62c: 8c012317 ldw r16,1164(r17) +8110e630: 00800044 movi r2,1 +8110e634: 8080a026 beq r16,r2,8110e8b8 +8110e638: 8c012617 ldw r16,1176(r17) +8110e63c: 00800044 movi r2,1 +8110e640: 80808426 beq r16,r2,8110e854 +8110e644: 88c12717 ldw r3,1180(r17) +8110e648: 00800044 movi r2,1 +8110e64c: 18807626 beq r3,r2,8110e828 +8110e650: 88c12417 ldw r3,1168(r17) +8110e654: 00800044 movi r2,1 +8110e658: 18804826 beq r3,r2,8110e77c +8110e65c: 88c12517 ldw r3,1172(r17) +8110e660: 00800044 movi r2,1 +8110e664: 1880031e bne r3,r2,8110e674 +8110e668: 88012515 stw zero,1172(r17) +8110e66c: 88813317 ldw r2,1228(r17) +8110e670: 88800c15 stw r2,48(r17) +8110e674: 8c042d17 ldw r16,4276(r17) +8110e678: 00800044 movi r2,1 +8110e67c: 80802f26 beq r16,r2,8110e73c +8110e680: 8c042e17 ldw r16,4280(r17) +8110e684: 00800044 movi r2,1 +8110e688: 80801c26 beq r16,r2,8110e6fc +8110e68c: 88c1ab17 ldw r3,1708(r17) +8110e690: 00800044 movi r2,1 +8110e694: 18bfc51e bne r3,r2,8110e5ac <__reset+0xfb0ee5ac> +8110e698: 8900b304 addi r4,r17,716 +8110e69c: 1102d340 call 81102d34 +8110e6a0: 10004b26 beq r2,zero,8110e7d0 +8110e6a4: 00a04634 movhi r2,33048 +8110e6a8: 108f1904 addi r2,r2,15460 +8110e6ac: 10800803 ldbu r2,32(r2) +8110e6b0: 00c001c4 movi r3,7 +8110e6b4: 1880b42e bgeu r3,r2,8110e988 +8110e6b8: 8801ab15 stw zero,1708(r17) +8110e6bc: 003fbb06 br 8110e5ac <__reset+0xfb0ee5ac> +8110e6c0: 00c00304 movi r3,12 +8110e6c4: 00800344 movi r2,13 +8110e6c8: 2400b304 addi r16,r4,716 +8110e6cc: 88c03315 stw r3,204(r17) +8110e6d0: 00c00084 movi r3,2 +8110e6d4: 8009883a mov r4,r16 +8110e6d8: 88002b15 stw zero,172(r17) +8110e6dc: 88c03415 stw r3,208(r17) +8110e6e0: 88803515 stw r2,212(r17) +8110e6e4: 88803115 stw r2,196(r17) +8110e6e8: 11023980 call 81102398 +8110e6ec: 00800044 movi r2,1 +8110e6f0: 8009883a mov r4,r16 +8110e6f4: 8880c315 stw r2,780(r17) +8110e6f8: 003f9a06 br 8110e564 <__reset+0xfb0ee564> +8110e6fc: 8c80b304 addi r18,r17,716 +8110e700: 9009883a mov r4,r18 +8110e704: 11027800 call 81102780 +8110e708: 8940fe17 ldw r5,1016(r17) +8110e70c: 2c009526 beq r5,r16,8110e964 +8110e710: 01400044 movi r5,1 +8110e714: 9009883a mov r4,r18 +8110e718: 1102a080 call 81102a08 +8110e71c: 10002126 beq r2,zero,8110e7a4 +8110e720: 00a04634 movhi r2,33048 +8110e724: 108f1904 addi r2,r2,15460 +8110e728: 10800803 ldbu r2,32(r2) +8110e72c: 00c001c4 movi r3,7 +8110e730: 1880862e bgeu r3,r2,8110e94c +8110e734: 88042e15 stw zero,4280(r17) +8110e738: 003fd406 br 8110e68c <__reset+0xfb0ee68c> +8110e73c: 8c80b304 addi r18,r17,716 +8110e740: 9009883a mov r4,r18 +8110e744: 11027100 call 81102710 +8110e748: 8880ef17 ldw r2,956(r17) +8110e74c: 14009a26 beq r2,r16,8110e9b8 +8110e750: 000b883a mov r5,zero +8110e754: 9009883a mov r4,r18 +8110e758: 1102a080 call 81102a08 +8110e75c: 10002726 beq r2,zero,8110e7fc +8110e760: 00a04634 movhi r2,33048 +8110e764: 108f1904 addi r2,r2,15460 +8110e768: 10800803 ldbu r2,32(r2) +8110e76c: 00c001c4 movi r3,7 +8110e770: 18808b2e bgeu r3,r2,8110e9a0 +8110e774: 88042d15 stw zero,4276(r17) +8110e778: 003fc106 br 8110e680 <__reset+0xfb0ee680> +8110e77c: 88012415 stw zero,1168(r17) +8110e780: 89415543 ldbu r5,1365(r17) +8110e784: 89015583 ldbu r4,1366(r17) +8110e788: 88c155c3 ldbu r3,1367(r17) +8110e78c: 88815603 ldbu r2,1368(r17) +8110e790: 89402e45 stb r5,185(r17) +8110e794: 89002e85 stb r4,186(r17) +8110e798: 88c02ec5 stb r3,187(r17) +8110e79c: 88802f05 stb r2,188(r17) +8110e7a0: 003fae06 br 8110e65c <__reset+0xfb0ee65c> +8110e7a4: 00a04634 movhi r2,33048 +8110e7a8: 108f1904 addi r2,r2,15460 +8110e7ac: 10800803 ldbu r2,32(r2) +8110e7b0: 00c001c4 movi r3,7 +8110e7b4: 18bfdf36 bltu r3,r2,8110e734 <__reset+0xfb0ee734> +8110e7b8: 89800003 ldbu r6,0(r17) +8110e7bc: d1207917 ldw r4,-32284(gp) +8110e7c0: 01604574 movhi r5,33045 +8110e7c4: 29627a04 addi r5,r5,-30232 +8110e7c8: 112b6200 call 8112b620 +8110e7cc: 003fd906 br 8110e734 <__reset+0xfb0ee734> +8110e7d0: 00a04634 movhi r2,33048 +8110e7d4: 108f1904 addi r2,r2,15460 +8110e7d8: 10800803 ldbu r2,32(r2) +8110e7dc: 00c001c4 movi r3,7 +8110e7e0: 18bfb536 bltu r3,r2,8110e6b8 <__reset+0xfb0ee6b8> +8110e7e4: 89800003 ldbu r6,0(r17) +8110e7e8: d1207917 ldw r4,-32284(gp) +8110e7ec: 01604574 movhi r5,33045 +8110e7f0: 29629d04 addi r5,r5,-30092 +8110e7f4: 112b6200 call 8112b620 +8110e7f8: 003faf06 br 8110e6b8 <__reset+0xfb0ee6b8> +8110e7fc: 00a04634 movhi r2,33048 +8110e800: 108f1904 addi r2,r2,15460 +8110e804: 10800803 ldbu r2,32(r2) +8110e808: 00c001c4 movi r3,7 +8110e80c: 18bfd936 bltu r3,r2,8110e774 <__reset+0xfb0ee774> +8110e810: 89800003 ldbu r6,0(r17) +8110e814: d1207917 ldw r4,-32284(gp) +8110e818: 01604574 movhi r5,33045 +8110e81c: 29625404 addi r5,r5,-30384 +8110e820: 112b6200 call 8112b620 +8110e824: 003fd306 br 8110e774 <__reset+0xfb0ee774> +8110e828: 8c006404 addi r16,r17,400 +8110e82c: 8009883a mov r4,r16 +8110e830: 88012715 stw zero,1180(r17) +8110e834: 1103bd00 call 81103bd0 +8110e838: 88c17217 ldw r3,1480(r17) +8110e83c: 88817317 ldw r2,1484(r17) +8110e840: 8009883a mov r4,r16 +8110e844: 88c06b15 stw r3,428(r17) +8110e848: 88806c15 stw r2,432(r17) +8110e84c: 1103c380 call 81103c38 +8110e850: 003f7f06 br 8110e650 <__reset+0xfb0ee650> +8110e854: 8c80b304 addi r18,r17,716 +8110e858: 9009883a mov r4,r18 +8110e85c: 88012615 stw zero,1176(r17) +8110e860: 11023980 call 81102398 +8110e864: 8881710b ldhu r2,1476(r17) +8110e868: 9009883a mov r4,r18 +8110e86c: 8c806404 addi r18,r17,400 +8110e870: 10bfffcc andi r2,r2,65535 +8110e874: 8880c015 stw r2,768(r17) +8110e878: 11023600 call 81102360 +8110e87c: 89c1710b ldhu r7,1476(r17) +8110e880: 018006f4 movhi r6,27 +8110e884: 9009883a mov r4,r18 +8110e888: 31b85204 addi r6,r6,-7864 +8110e88c: 000b883a mov r5,zero +8110e890: 39ffffcc andi r7,r7,65535 +8110e894: 1103d640 call 81103d64 +8110e898: 89c1710b ldhu r7,1476(r17) +8110e89c: 018006f4 movhi r6,27 +8110e8a0: 31b85204 addi r6,r6,-7864 +8110e8a4: 800b883a mov r5,r16 +8110e8a8: 39ffffcc andi r7,r7,65535 +8110e8ac: 9009883a mov r4,r18 +8110e8b0: 1103d640 call 81103d64 +8110e8b4: 003f6306 br 8110e644 <__reset+0xfb0ee644> +8110e8b8: 8c80b304 addi r18,r17,716 +8110e8bc: 9009883a mov r4,r18 +8110e8c0: 11023980 call 81102398 +8110e8c4: 88012315 stw zero,1164(r17) +8110e8c8: 88817417 ldw r2,1488(r17) +8110e8cc: 14003e26 beq r2,r16,8110e9c8 +8110e8d0: 88813017 ldw r2,1216(r17) +8110e8d4: 8880b815 stw r2,736(r17) +8110e8d8: 9009883a mov r4,r18 +8110e8dc: 11023600 call 81102360 +8110e8e0: 003f5506 br 8110e638 <__reset+0xfb0ee638> +8110e8e4: 88012215 stw zero,1160(r17) +8110e8e8: 88c13017 ldw r3,1216(r17) +8110e8ec: 88813117 ldw r2,1220(r17) +8110e8f0: 8c80b304 addi r18,r17,716 +8110e8f4: 88c00915 stw r3,36(r17) +8110e8f8: 9009883a mov r4,r18 +8110e8fc: 88800a15 stw r2,40(r17) +8110e900: 11023980 call 81102398 +8110e904: 89413017 ldw r5,1216(r17) +8110e908: 89013117 ldw r4,1220(r17) +8110e90c: 88813117 ldw r2,1220(r17) +8110e910: 88c04c0b ldhu r3,304(r17) +8110e914: 8940b815 stw r5,736(r17) +8110e918: 8900b915 stw r4,740(r17) +8110e91c: 10800044 addi r2,r2,1 +8110e920: 1880132e bgeu r3,r2,8110e970 +8110e924: 88813117 ldw r2,1220(r17) +8110e928: 193fffc4 addi r4,r3,-1 +8110e92c: 8900ba15 stw r4,744(r17) +8110e930: 10c7c83a sub r3,r2,r3 +8110e934: 88c0bb15 stw r3,748(r17) +8110e938: 8c00be15 stw r16,760(r17) +8110e93c: 8c00bf15 stw r16,764(r17) +8110e940: 9009883a mov r4,r18 +8110e944: 11023600 call 81102360 +8110e948: 003f3806 br 8110e62c <__reset+0xfb0ee62c> +8110e94c: 89800003 ldbu r6,0(r17) +8110e950: d1207917 ldw r4,-32284(gp) +8110e954: 01604574 movhi r5,33045 +8110e958: 29626804 addi r5,r5,-30304 +8110e95c: 112b6200 call 8112b620 +8110e960: 003f7406 br 8110e734 <__reset+0xfb0ee734> +8110e964: 9009883a mov r4,r18 +8110e968: 1102a900 call 81102a90 +8110e96c: 003f6806 br 8110e710 <__reset+0xfb0ee710> +8110e970: 88813117 ldw r2,1220(r17) +8110e974: 8800bb15 stw zero,748(r17) +8110e978: 8c00be15 stw r16,760(r17) +8110e97c: 8880ba15 stw r2,744(r17) +8110e980: 8800bf15 stw zero,764(r17) +8110e984: 003fee06 br 8110e940 <__reset+0xfb0ee940> +8110e988: 89800003 ldbu r6,0(r17) +8110e98c: d1207917 ldw r4,-32284(gp) +8110e990: 01604574 movhi r5,33045 +8110e994: 29628e04 addi r5,r5,-30152 +8110e998: 112b6200 call 8112b620 +8110e99c: 003f4606 br 8110e6b8 <__reset+0xfb0ee6b8> +8110e9a0: 89800003 ldbu r6,0(r17) +8110e9a4: d1207917 ldw r4,-32284(gp) +8110e9a8: 01604574 movhi r5,33045 +8110e9ac: 29624204 addi r5,r5,-30456 +8110e9b0: 112b6200 call 8112b620 +8110e9b4: 003f6f06 br 8110e774 <__reset+0xfb0ee774> +8110e9b8: 000b883a mov r5,zero +8110e9bc: 9009883a mov r4,r18 +8110e9c0: 1102a900 call 81102a90 +8110e9c4: 003f6206 br 8110e750 <__reset+0xfb0ee750> +8110e9c8: 8800b815 stw zero,736(r17) +8110e9cc: 003fc206 br 8110e8d8 <__reset+0xfb0ee8d8> + +8110e9d0 : +8110e9d0: defffc04 addi sp,sp,-16 +8110e9d4: dc400115 stw r17,4(sp) +8110e9d8: dc000015 stw r16,0(sp) +8110e9dc: dfc00315 stw ra,12(sp) +8110e9e0: dc800215 stw r18,8(sp) +8110e9e4: 2023883a mov r17,r4 +8110e9e8: 21000003 ldbu r4,0(r4) +8110e9ec: 2820d23a srli r16,r5,8 +8110e9f0: 01604574 movhi r5,33045 +8110e9f4: 21000044 addi r4,r4,1 +8110e9f8: 297348c4 addi r5,r5,-13021 +8110e9fc: 21003fcc andi r4,r4,255 +8110ea00: 111e1e00 call 8111e1e0 +8110ea04: 81803fcc andi r6,r16,255 +8110ea08: 00801a04 movi r2,104 +8110ea0c: 1180d236 bltu r2,r6,8110ed58 +8110ea10: 300690ba slli r3,r6,2 +8110ea14: 00a04474 movhi r2,33041 +8110ea18: 10ba8a04 addi r2,r2,-5592 +8110ea1c: 1885883a add r2,r3,r2 +8110ea20: 10800017 ldw r2,0(r2) +8110ea24: 1000683a jmp r2 +8110ea28: 8110ed38 rdprs r4,r16,17332 +8110ea2c: 8110ed58 cmpnei r4,r16,17333 +8110ea30: 8110ed58 cmpnei r4,r16,17333 +8110ea34: 8110ed58 cmpnei r4,r16,17333 +8110ea38: 8110ece0 cmpeqi r4,r16,17331 +8110ea3c: 8110ed58 cmpnei r4,r16,17333 +8110ea40: 8110ed58 cmpnei r4,r16,17333 +8110ea44: 8110ed58 cmpnei r4,r16,17333 +8110ea48: 8110ed08 cmpgei r4,r16,17332 +8110ea4c: 8110ed58 cmpnei r4,r16,17333 +8110ea50: 8110ed58 cmpnei r4,r16,17333 +8110ea54: 8110ed58 cmpnei r4,r16,17333 +8110ea58: 8110ec64 muli r4,r16,17329 +8110ea5c: 8110ed58 cmpnei r4,r16,17333 +8110ea60: 8110ed58 cmpnei r4,r16,17333 +8110ea64: 8110ed58 cmpnei r4,r16,17333 +8110ea68: 8110ec88 cmpgei r4,r16,17330 +8110ea6c: 8110ed58 cmpnei r4,r16,17333 +8110ea70: 8110ed58 cmpnei r4,r16,17333 +8110ea74: 8110ed58 cmpnei r4,r16,17333 +8110ea78: 8110eca0 cmpeqi r4,r16,17330 +8110ea7c: 8110ed58 cmpnei r4,r16,17333 +8110ea80: 8110ed58 cmpnei r4,r16,17333 +8110ea84: 8110ed58 cmpnei r4,r16,17333 +8110ea88: 8110ebcc andi r4,r16,17327 +8110ea8c: 8110ed58 cmpnei r4,r16,17333 +8110ea90: 8110ed58 cmpnei r4,r16,17333 +8110ea94: 8110ed58 cmpnei r4,r16,17333 +8110ea98: 8110ebcc andi r4,r16,17327 +8110ea9c: 8110ed58 cmpnei r4,r16,17333 +8110eaa0: 8110ed58 cmpnei r4,r16,17333 +8110eaa4: 8110ed58 cmpnei r4,r16,17333 +8110eaa8: 8110ebcc andi r4,r16,17327 +8110eaac: 8110ed58 cmpnei r4,r16,17333 +8110eab0: 8110ed58 cmpnei r4,r16,17333 +8110eab4: 8110ed58 cmpnei r4,r16,17333 +8110eab8: 8110ebcc andi r4,r16,17327 +8110eabc: 8110ed58 cmpnei r4,r16,17333 +8110eac0: 8110ed58 cmpnei r4,r16,17333 +8110eac4: 8110ed58 cmpnei r4,r16,17333 +8110eac8: 8110ebcc andi r4,r16,17327 +8110eacc: 8110ed58 cmpnei r4,r16,17333 +8110ead0: 8110ed58 cmpnei r4,r16,17333 +8110ead4: 8110ed58 cmpnei r4,r16,17333 +8110ead8: 8110ebcc andi r4,r16,17327 +8110eadc: 8110ed58 cmpnei r4,r16,17333 +8110eae0: 8110ed58 cmpnei r4,r16,17333 +8110eae4: 8110ed58 cmpnei r4,r16,17333 +8110eae8: 8110ebcc andi r4,r16,17327 +8110eaec: 8110ed58 cmpnei r4,r16,17333 +8110eaf0: 8110ed58 cmpnei r4,r16,17333 +8110eaf4: 8110ed58 cmpnei r4,r16,17333 +8110eaf8: 8110ebcc andi r4,r16,17327 +8110eafc: 8110ed58 cmpnei r4,r16,17333 +8110eb00: 8110ed58 cmpnei r4,r16,17333 +8110eb04: 8110ed58 cmpnei r4,r16,17333 +8110eb08: 8110ebcc andi r4,r16,17327 +8110eb0c: 8110ed58 cmpnei r4,r16,17333 +8110eb10: 8110ed58 cmpnei r4,r16,17333 +8110eb14: 8110ed58 cmpnei r4,r16,17333 +8110eb18: 8110ebcc andi r4,r16,17327 +8110eb1c: 8110ed58 cmpnei r4,r16,17333 +8110eb20: 8110ed58 cmpnei r4,r16,17333 +8110eb24: 8110ed58 cmpnei r4,r16,17333 +8110eb28: 8110ebcc andi r4,r16,17327 +8110eb2c: 8110ed58 cmpnei r4,r16,17333 +8110eb30: 8110ed58 cmpnei r4,r16,17333 +8110eb34: 8110ed58 cmpnei r4,r16,17333 +8110eb38: 8110ebcc andi r4,r16,17327 +8110eb3c: 8110ed58 cmpnei r4,r16,17333 +8110eb40: 8110ed58 cmpnei r4,r16,17333 +8110eb44: 8110ed58 cmpnei r4,r16,17333 +8110eb48: 8110ebcc andi r4,r16,17327 +8110eb4c: 8110ed58 cmpnei r4,r16,17333 +8110eb50: 8110ed58 cmpnei r4,r16,17333 +8110eb54: 8110ed58 cmpnei r4,r16,17333 +8110eb58: 8110ebcc andi r4,r16,17327 +8110eb5c: 8110ed58 cmpnei r4,r16,17333 +8110eb60: 8110ed58 cmpnei r4,r16,17333 +8110eb64: 8110ed58 cmpnei r4,r16,17333 +8110eb68: 8110ebcc andi r4,r16,17327 +8110eb6c: 8110ed58 cmpnei r4,r16,17333 +8110eb70: 8110ed58 cmpnei r4,r16,17333 +8110eb74: 8110ed58 cmpnei r4,r16,17333 +8110eb78: 8110ebf8 rdprs r4,r16,17327 +8110eb7c: 8110ed58 cmpnei r4,r16,17333 +8110eb80: 8110ed58 cmpnei r4,r16,17333 +8110eb84: 8110ed58 cmpnei r4,r16,17333 +8110eb88: 8110ecc0 call 88110ecc <__reset+0x20f0ecc> +8110eb8c: 8110ed58 cmpnei r4,r16,17333 +8110eb90: 8110ed58 cmpnei r4,r16,17333 +8110eb94: 8110ed58 cmpnei r4,r16,17333 +8110eb98: 8110ecc0 call 88110ecc <__reset+0x20f0ecc> +8110eb9c: 8110ed58 cmpnei r4,r16,17333 +8110eba0: 8110ed58 cmpnei r4,r16,17333 +8110eba4: 8110ed58 cmpnei r4,r16,17333 +8110eba8: 8110ecc0 call 88110ecc <__reset+0x20f0ecc> +8110ebac: 8110ed58 cmpnei r4,r16,17333 +8110ebb0: 8110ed58 cmpnei r4,r16,17333 +8110ebb4: 8110ed58 cmpnei r4,r16,17333 +8110ebb8: 8110ecc0 call 88110ecc <__reset+0x20f0ecc> +8110ebbc: 8110ed58 cmpnei r4,r16,17333 +8110ebc0: 8110ed58 cmpnei r4,r16,17333 +8110ebc4: 8110ed58 cmpnei r4,r16,17333 +8110ebc8: 8110ecc0 call 88110ecc <__reset+0x20f0ecc> +8110ebcc: 00a04634 movhi r2,33048 +8110ebd0: 108f1904 addi r2,r2,15460 +8110ebd4: 10800803 ldbu r2,32(r2) +8110ebd8: 00c001c4 movi r3,7 +8110ebdc: 1880662e bgeu r3,r2,8110ed78 +8110ebe0: dfc00317 ldw ra,12(sp) +8110ebe4: dc800217 ldw r18,8(sp) +8110ebe8: dc400117 ldw r17,4(sp) +8110ebec: dc000017 ldw r16,0(sp) +8110ebf0: dec00404 addi sp,sp,16 +8110ebf4: f800283a ret +8110ebf8: 8880ab17 ldw r2,684(r17) +8110ebfc: 88000b15 stw zero,44(r17) +8110ec00: 00c003c4 movi r3,15 +8110ec04: 10804017 ldw r2,256(r2) +8110ec08: 1880ce36 bltu r3,r2,8110ef44 +8110ec0c: 100490ba slli r2,r2,2 +8110ec10: 00e04474 movhi r3,33041 +8110ec14: 18fb0904 addi r3,r3,-5084 +8110ec18: 10c5883a add r2,r2,r3 +8110ec1c: 10800017 ldw r2,0(r2) +8110ec20: 1000683a jmp r2 +8110ec24: 8110ef0c andi r4,r16,17340 +8110ec28: 8110ed9c xori r4,r16,17334 +8110ec2c: 8110ed9c xori r4,r16,17334 +8110ec30: 8110ef44 addi r4,r16,17341 +8110ec34: 8110ee9c xori r4,r16,17338 +8110ec38: 8110ed9c xori r4,r16,17334 +8110ec3c: 8110ed9c xori r4,r16,17334 +8110ec40: 8110edf8 rdprs r4,r16,17335 +8110ec44: 8110ee3c xorhi r4,r16,17336 +8110ec48: 8110ed9c xori r4,r16,17334 +8110ec4c: 8110ed9c xori r4,r16,17334 +8110ec50: 8110ed9c xori r4,r16,17334 +8110ec54: 8110ed9c xori r4,r16,17334 +8110ec58: 8110eec8 cmpgei r4,r16,17339 +8110ec5c: 8110eec8 cmpgei r4,r16,17339 +8110ec60: 8110eec8 cmpgei r4,r16,17339 +8110ec64: 88c0ab17 ldw r3,684(r17) +8110ec68: 00800044 movi r2,1 +8110ec6c: 88812515 stw r2,1172(r17) +8110ec70: 19000b17 ldw r4,44(r3) +8110ec74: 18c00c17 ldw r3,48(r3) +8110ec78: 89013315 stw r4,1228(r17) +8110ec7c: 88812315 stw r2,1164(r17) +8110ec80: 88c17415 stw r3,1488(r17) +8110ec84: 003fd606 br 8110ebe0 <__reset+0xfb0eebe0> +8110ec88: 8880ab17 ldw r2,684(r17) +8110ec8c: 00c00044 movi r3,1 +8110ec90: 88c12615 stw r3,1176(r17) +8110ec94: 10801017 ldw r2,64(r2) +8110ec98: 8881710d sth r2,1476(r17) +8110ec9c: 003fd006 br 8110ebe0 <__reset+0xfb0eebe0> +8110eca0: 8880ab17 ldw r2,684(r17) +8110eca4: 00c00044 movi r3,1 +8110eca8: 88c12715 stw r3,1180(r17) +8110ecac: 10c01517 ldw r3,84(r2) +8110ecb0: 10801717 ldw r2,92(r2) +8110ecb4: 88c17215 stw r3,1480(r17) +8110ecb8: 88817315 stw r2,1484(r17) +8110ecbc: 003fc806 br 8110ebe0 <__reset+0xfb0eebe0> +8110ecc0: 00a04634 movhi r2,33048 +8110ecc4: 108f1904 addi r2,r2,15460 +8110ecc8: 10800803 ldbu r2,32(r2) +8110eccc: 00c001c4 movi r3,7 +8110ecd0: 18bfc336 bltu r3,r2,8110ebe0 <__reset+0xfb0eebe0> +8110ecd4: 01604574 movhi r5,33045 +8110ecd8: 29633004 addi r5,r5,-29504 +8110ecdc: 00002806 br 8110ed80 +8110ece0: 00a04634 movhi r2,33048 +8110ece4: 108f1904 addi r2,r2,15460 +8110ece8: 10800803 ldbu r2,32(r2) +8110ecec: 00c001c4 movi r3,7 +8110ecf0: 18bfbb36 bltu r3,r2,8110ebe0 <__reset+0xfb0eebe0> +8110ecf4: 01604574 movhi r5,33045 +8110ecf8: 2962dd04 addi r5,r5,-29836 +8110ecfc: d1207917 ldw r4,-32284(gp) +8110ed00: 01800104 movi r6,4 +8110ed04: 00001f06 br 8110ed84 +8110ed08: 8880ab17 ldw r2,684(r17) +8110ed0c: 00c00044 movi r3,1 +8110ed10: 88c12415 stw r3,1168(r17) +8110ed14: 10c00617 ldw r3,24(r2) +8110ed18: 88c15545 stb r3,1365(r17) +8110ed1c: 10c00717 ldw r3,28(r2) +8110ed20: 88c15585 stb r3,1366(r17) +8110ed24: 10c00817 ldw r3,32(r2) +8110ed28: 88c155c5 stb r3,1367(r17) +8110ed2c: 10800917 ldw r2,36(r2) +8110ed30: 88815605 stb r2,1368(r17) +8110ed34: 003faa06 br 8110ebe0 <__reset+0xfb0eebe0> +8110ed38: 8880ab17 ldw r2,684(r17) +8110ed3c: 00c00044 movi r3,1 +8110ed40: 88c12215 stw r3,1160(r17) +8110ed44: 10c00017 ldw r3,0(r2) +8110ed48: 10800117 ldw r2,4(r2) +8110ed4c: 88c13015 stw r3,1216(r17) +8110ed50: 88813115 stw r2,1220(r17) +8110ed54: 003fa206 br 8110ebe0 <__reset+0xfb0eebe0> +8110ed58: 00a04634 movhi r2,33048 +8110ed5c: 108f1904 addi r2,r2,15460 +8110ed60: 10800803 ldbu r2,32(r2) +8110ed64: 00c001c4 movi r3,7 +8110ed68: 18bf9d36 bltu r3,r2,8110ebe0 <__reset+0xfb0eebe0> +8110ed6c: 01604574 movhi r5,33045 +8110ed70: 29633904 addi r5,r5,-29468 +8110ed74: 00000206 br 8110ed80 +8110ed78: 01604574 movhi r5,33045 +8110ed7c: 2962dd04 addi r5,r5,-29836 +8110ed80: d1207917 ldw r4,-32284(gp) +8110ed84: dfc00317 ldw ra,12(sp) +8110ed88: dc800217 ldw r18,8(sp) +8110ed8c: dc400117 ldw r17,4(sp) +8110ed90: dc000017 ldw r16,0(sp) +8110ed94: dec00404 addi sp,sp,16 +8110ed98: 112b6201 jmpi 8112b620 +8110ed9c: 8c00b304 addi r16,r17,716 +8110eda0: 8009883a mov r4,r16 +8110eda4: 11023f00 call 811023f0 +8110eda8: 04800044 movi r18,1 +8110edac: 8c80c515 stw r18,788(r17) +8110edb0: 8009883a mov r4,r16 +8110edb4: 11023d00 call 811023d0 +8110edb8: 00a04634 movhi r2,33048 +8110edbc: 108f1904 addi r2,r2,15460 +8110edc0: 10800803 ldbu r2,32(r2) +8110edc4: 00c001c4 movi r3,7 +8110edc8: 18bf8536 bltu r3,r2,8110ebe0 <__reset+0xfb0eebe0> +8110edcc: d1e07917 ldw r7,-32284(gp) +8110edd0: 01204574 movhi r4,33045 +8110edd4: 21235c04 addi r4,r4,-29328 +8110edd8: 01800d84 movi r6,54 +8110eddc: 900b883a mov r5,r18 +8110ede0: dfc00317 ldw ra,12(sp) +8110ede4: dc800217 ldw r18,8(sp) +8110ede8: dc400117 ldw r17,4(sp) +8110edec: dc000017 ldw r16,0(sp) +8110edf0: dec00404 addi sp,sp,16 +8110edf4: 112bc8c1 jmpi 8112bc8c +8110edf8: 8c00b304 addi r16,r17,716 +8110edfc: 8009883a mov r4,r16 +8110ee00: 11023f00 call 811023f0 +8110ee04: 04800044 movi r18,1 +8110ee08: 8c80c515 stw r18,788(r17) +8110ee0c: 8009883a mov r4,r16 +8110ee10: 11023d00 call 811023d0 +8110ee14: 00a04634 movhi r2,33048 +8110ee18: 108f1904 addi r2,r2,15460 +8110ee1c: 10800803 ldbu r2,32(r2) +8110ee20: 00c001c4 movi r3,7 +8110ee24: 18bf6e36 bltu r3,r2,8110ebe0 <__reset+0xfb0eebe0> +8110ee28: 01204574 movhi r4,33045 +8110ee2c: d1e07917 ldw r7,-32284(gp) +8110ee30: 21230804 addi r4,r4,-29664 +8110ee34: 01800d84 movi r6,54 +8110ee38: 003fe806 br 8110eddc <__reset+0xfb0eeddc> +8110ee3c: 00c00304 movi r3,12 +8110ee40: 00800344 movi r2,13 +8110ee44: 8c00b304 addi r16,r17,716 +8110ee48: 88c03315 stw r3,204(r17) +8110ee4c: 00c00084 movi r3,2 +8110ee50: 8009883a mov r4,r16 +8110ee54: 88c03415 stw r3,208(r17) +8110ee58: 88002b15 stw zero,172(r17) +8110ee5c: 88803515 stw r2,212(r17) +8110ee60: 88803115 stw r2,196(r17) +8110ee64: 11023980 call 81102398 +8110ee68: 00800044 movi r2,1 +8110ee6c: 8009883a mov r4,r16 +8110ee70: 8880c315 stw r2,780(r17) +8110ee74: 11023600 call 81102360 +8110ee78: 89800003 ldbu r6,0(r17) +8110ee7c: 000b883a mov r5,zero +8110ee80: 01002044 movi r4,129 +8110ee84: dfc00317 ldw ra,12(sp) +8110ee88: dc800217 ldw r18,8(sp) +8110ee8c: dc400117 ldw r17,4(sp) +8110ee90: dc000017 ldw r16,0(sp) +8110ee94: dec00404 addi sp,sp,16 +8110ee98: 1109ac01 jmpi 81109ac0 +8110ee9c: 88803417 ldw r2,208(r17) +8110eea0: 00c00144 movi r3,5 +8110eea4: 10bffe84 addi r2,r2,-6 +8110eea8: 18bfbc36 bltu r3,r2,8110ed9c <__reset+0xfb0eed9c> +8110eeac: 88803317 ldw r2,204(r17) +8110eeb0: 00c00044 movi r3,1 +8110eeb4: 88c02b15 stw r3,172(r17) +8110eeb8: 00c00804 movi r3,32 +8110eebc: 88c03115 stw r3,196(r17) +8110eec0: 88803515 stw r2,212(r17) +8110eec4: 003f4606 br 8110ebe0 <__reset+0xfb0eebe0> +8110eec8: 8c00b304 addi r16,r17,716 +8110eecc: 8009883a mov r4,r16 +8110eed0: 11023f00 call 811023f0 +8110eed4: 04800044 movi r18,1 +8110eed8: 8c80c515 stw r18,788(r17) +8110eedc: 8009883a mov r4,r16 +8110eee0: 11023d00 call 811023d0 +8110eee4: 00a04634 movhi r2,33048 +8110eee8: 108f1904 addi r2,r2,15460 +8110eeec: 10800803 ldbu r2,32(r2) +8110eef0: 00c001c4 movi r3,7 +8110eef4: 18bf3a36 bltu r3,r2,8110ebe0 <__reset+0xfb0eebe0> +8110eef8: 01204574 movhi r4,33045 +8110eefc: d1e07917 ldw r7,-32284(gp) +8110ef00: 21231604 addi r4,r4,-29608 +8110ef04: 01800644 movi r6,25 +8110ef08: 003fb406 br 8110eddc <__reset+0xfb0eeddc> +8110ef0c: 88803417 ldw r2,208(r17) +8110ef10: 01400044 movi r5,1 +8110ef14: 10bfff04 addi r2,r2,-4 +8110ef18: 28801c2e bgeu r5,r2,8110ef8c +8110ef1c: 00a04634 movhi r2,33048 +8110ef20: 108f1904 addi r2,r2,15460 +8110ef24: 10800803 ldbu r2,32(r2) +8110ef28: 00c001c4 movi r3,7 +8110ef2c: 18bf2c36 bltu r3,r2,8110ebe0 <__reset+0xfb0eebe0> +8110ef30: 01204574 movhi r4,33045 +8110ef34: d1e07917 ldw r7,-32284(gp) +8110ef38: 21235c04 addi r4,r4,-29328 +8110ef3c: 01800d84 movi r6,54 +8110ef40: 003fa706 br 8110ede0 <__reset+0xfb0eede0> +8110ef44: 8c00b304 addi r16,r17,716 +8110ef48: 8009883a mov r4,r16 +8110ef4c: 11023f00 call 811023f0 +8110ef50: 00800044 movi r2,1 +8110ef54: 8880c515 stw r2,788(r17) +8110ef58: 8009883a mov r4,r16 +8110ef5c: 11023d00 call 811023d0 +8110ef60: 00a04634 movhi r2,33048 +8110ef64: 108f1904 addi r2,r2,15460 +8110ef68: 10800803 ldbu r2,32(r2) +8110ef6c: 00c001c4 movi r3,7 +8110ef70: 18bf1b36 bltu r3,r2,8110ebe0 <__reset+0xfb0eebe0> +8110ef74: 8880ab17 ldw r2,684(r17) +8110ef78: 01604574 movhi r5,33045 +8110ef7c: 29631d04 addi r5,r5,-29580 +8110ef80: d1207917 ldw r4,-32284(gp) +8110ef84: 11804003 ldbu r6,256(r2) +8110ef88: 003f7e06 br 8110ed84 <__reset+0xfb0eed84> +8110ef8c: 88803317 ldw r2,204(r17) +8110ef90: 00c00804 movi r3,32 +8110ef94: 89402b15 stw r5,172(r17) +8110ef98: 88c03115 stw r3,196(r17) +8110ef9c: 88803515 stw r2,212(r17) +8110efa0: 003f0f06 br 8110ebe0 <__reset+0xfb0eebe0> + +8110efa4 : +8110efa4: 21800003 ldbu r6,0(r4) +8110efa8: 2806d63a srli r3,r5,24 +8110efac: 30800444 addi r2,r6,17 +8110efb0: 18800126 beq r3,r2,8110efb8 +8110efb4: f800283a ret +8110efb8: 2804d43a srli r2,r5,16 +8110efbc: 00c023c4 movi r3,143 +8110efc0: 10803fcc andi r2,r2,255 +8110efc4: 10c0b226 beq r2,r3,8110f290 +8110efc8: defffc04 addi sp,sp,-16 +8110efcc: dc400115 stw r17,4(sp) +8110efd0: dc000015 stw r16,0(sp) +8110efd4: dfc00315 stw ra,12(sp) +8110efd8: dc800215 stw r18,8(sp) +8110efdc: 2821883a mov r16,r5 +8110efe0: 2023883a mov r17,r4 +8110efe4: 18801236 bltu r3,r2,8110f030 +8110efe8: 00c00304 movi r3,12 +8110efec: 18804536 bltu r3,r2,8110f104 +8110eff0: 00c00144 movi r3,5 +8110eff4: 10c0b62e bgeu r2,r3,8110f2d0 +8110eff8: 010000c4 movi r4,3 +8110effc: 1100aa26 beq r2,r4,8110f2a8 +8110f000: 20808b2e bgeu r4,r2,8110f230 +8110f004: 88803417 ldw r2,208(r17) +8110f008: 10bffe84 addi r2,r2,-6 +8110f00c: 1880b82e bgeu r3,r2,8110f2f0 +8110f010: 00a04634 movhi r2,33048 +8110f014: 108f1904 addi r2,r2,15460 +8110f018: 10800803 ldbu r2,32(r2) +8110f01c: 00c001c4 movi r3,7 +8110f020: 18807d36 bltu r3,r2,8110f218 +8110f024: 01604574 movhi r5,33045 +8110f028: 29636a04 addi r5,r5,-29272 +8110f02c: 00004006 br 8110f130 +8110f030: 00c03884 movi r3,226 +8110f034: 18801e36 bltu r3,r2,8110f0b0 +8110f038: 00c03804 movi r3,224 +8110f03c: 10c0972e bgeu r2,r3,8110f29c +8110f040: 00c02844 movi r3,161 +8110f044: 10c07c26 beq r2,r3,8110f238 +8110f048: 00c028c4 movi r3,163 +8110f04c: 10c0881e bne r2,r3,8110f270 +8110f050: 00c00304 movi r3,12 +8110f054: 00800344 movi r2,13 +8110f058: 2400b304 addi r16,r4,716 +8110f05c: 88c03315 stw r3,204(r17) +8110f060: 00c00084 movi r3,2 +8110f064: 8009883a mov r4,r16 +8110f068: 88002b15 stw zero,172(r17) +8110f06c: 88c03415 stw r3,208(r17) +8110f070: 88803515 stw r2,212(r17) +8110f074: 88803115 stw r2,196(r17) +8110f078: 11023980 call 81102398 +8110f07c: 00800044 movi r2,1 +8110f080: 8009883a mov r4,r16 +8110f084: 8880c315 stw r2,780(r17) +8110f088: 11023600 call 81102360 +8110f08c: 89800003 ldbu r6,0(r17) +8110f090: 000b883a mov r5,zero +8110f094: 01002044 movi r4,129 +8110f098: dfc00317 ldw ra,12(sp) +8110f09c: dc800217 ldw r18,8(sp) +8110f0a0: dc400117 ldw r17,4(sp) +8110f0a4: dc000017 ldw r16,0(sp) +8110f0a8: dec00404 addi sp,sp,16 +8110f0ac: 1109ac01 jmpi 81109ac0 +8110f0b0: 00c03a04 movi r3,232 +8110f0b4: 10c02526 beq r2,r3,8110f14c +8110f0b8: 00c03c04 movi r3,240 +8110f0bc: 10c06a1e bne r2,r3,8110f268 +8110f0c0: 00a04634 movhi r2,33048 +8110f0c4: 108f1904 addi r2,r2,15460 +8110f0c8: 10800803 ldbu r2,32(r2) +8110f0cc: 00c00104 movi r3,4 +8110f0d0: 18800436 bltu r3,r2,8110f0e4 +8110f0d4: d1207917 ldw r4,-32284(gp) +8110f0d8: 01604574 movhi r5,33045 +8110f0dc: 29638b04 addi r5,r5,-29140 +8110f0e0: 112b6200 call 8112b620 +8110f0e4: 800b883a mov r5,r16 +8110f0e8: 8809883a mov r4,r17 +8110f0ec: dfc00317 ldw ra,12(sp) +8110f0f0: dc800217 ldw r18,8(sp) +8110f0f4: dc400117 ldw r17,4(sp) +8110f0f8: dc000017 ldw r16,0(sp) +8110f0fc: dec00404 addi sp,sp,16 +8110f100: 110e9d01 jmpi 8110e9d0 +8110f104: 00c01c44 movi r3,113 +8110f108: 10c04326 beq r2,r3,8110f218 +8110f10c: 00c01d84 movi r3,118 +8110f110: 10c0571e bne r2,r3,8110f270 +8110f114: 00a04634 movhi r2,33048 +8110f118: 108f1904 addi r2,r2,15460 +8110f11c: 10800803 ldbu r2,32(r2) +8110f120: 00c001c4 movi r3,7 +8110f124: 18803c36 bltu r3,r2,8110f218 +8110f128: 01604574 movhi r5,33045 +8110f12c: 29637d04 addi r5,r5,-29196 +8110f130: d1207917 ldw r4,-32284(gp) +8110f134: dfc00317 ldw ra,12(sp) +8110f138: dc800217 ldw r18,8(sp) +8110f13c: dc400117 ldw r17,4(sp) +8110f140: dc000017 ldw r16,0(sp) +8110f144: dec00404 addi sp,sp,16 +8110f148: 112b6201 jmpi 8112b620 +8110f14c: 20812217 ldw r2,1160(r4) +8110f150: 10000a1e bne r2,zero,8110f17c +8110f154: 20812317 ldw r2,1164(r4) +8110f158: 1000081e bne r2,zero,8110f17c +8110f15c: 20812617 ldw r2,1176(r4) +8110f160: 1000061e bne r2,zero,8110f17c +8110f164: 20812417 ldw r2,1168(r4) +8110f168: 1000041e bne r2,zero,8110f17c +8110f16c: 20812717 ldw r2,1180(r4) +8110f170: 1000021e bne r2,zero,8110f17c +8110f174: 20812517 ldw r2,1172(r4) +8110f178: 10001526 beq r2,zero,8110f1d0 +8110f17c: 8c012217 ldw r16,1160(r17) +8110f180: 00800044 movi r2,1 +8110f184: 8080db26 beq r16,r2,8110f4f4 +8110f188: 8c012317 ldw r16,1164(r17) +8110f18c: 00800044 movi r2,1 +8110f190: 8080cd26 beq r16,r2,8110f4c8 +8110f194: 8c012617 ldw r16,1176(r17) +8110f198: 00800044 movi r2,1 +8110f19c: 8080b126 beq r16,r2,8110f464 +8110f1a0: 88c12717 ldw r3,1180(r17) +8110f1a4: 00800044 movi r2,1 +8110f1a8: 1880a326 beq r3,r2,8110f438 +8110f1ac: 88c12417 ldw r3,1168(r17) +8110f1b0: 00800044 movi r2,1 +8110f1b4: 18807526 beq r3,r2,8110f38c +8110f1b8: 88c12517 ldw r3,1172(r17) +8110f1bc: 00800044 movi r2,1 +8110f1c0: 1880031e bne r3,r2,8110f1d0 +8110f1c4: 88012515 stw zero,1172(r17) +8110f1c8: 88813317 ldw r2,1228(r17) +8110f1cc: 88800c15 stw r2,48(r17) +8110f1d0: 8c042d17 ldw r16,4276(r17) +8110f1d4: 00800044 movi r2,1 +8110f1d8: 80805c26 beq r16,r2,8110f34c +8110f1dc: 8c042e17 ldw r16,4280(r17) +8110f1e0: 00800044 movi r2,1 +8110f1e4: 80804926 beq r16,r2,8110f30c +8110f1e8: 88c1ab17 ldw r3,1708(r17) +8110f1ec: 00800044 movi r2,1 +8110f1f0: 1880091e bne r3,r2,8110f218 +8110f1f4: 8900b304 addi r4,r17,716 +8110f1f8: 1102d340 call 81102d34 +8110f1fc: 10007826 beq r2,zero,8110f3e0 +8110f200: 00a04634 movhi r2,33048 +8110f204: 108f1904 addi r2,r2,15460 +8110f208: 10800803 ldbu r2,32(r2) +8110f20c: 00c001c4 movi r3,7 +8110f210: 1880e72e bgeu r3,r2,8110f5b0 +8110f214: 8801ab15 stw zero,1708(r17) +8110f218: dfc00317 ldw ra,12(sp) +8110f21c: dc800217 ldw r18,8(sp) +8110f220: dc400117 ldw r17,4(sp) +8110f224: dc000017 ldw r16,0(sp) +8110f228: dec00404 addi sp,sp,16 +8110f22c: f800283a ret +8110f230: 00c00044 movi r3,1 +8110f234: 10c00e1e bne r2,r3,8110f270 +8110f238: 00800044 movi r2,1 +8110f23c: 8c00b304 addi r16,r17,716 +8110f240: 88803415 stw r2,208(r17) +8110f244: 00800304 movi r2,12 +8110f248: 8009883a mov r4,r16 +8110f24c: 88002b15 stw zero,172(r17) +8110f250: 88003315 stw zero,204(r17) +8110f254: 88803115 stw r2,196(r17) +8110f258: 11023980 call 81102398 +8110f25c: 8009883a mov r4,r16 +8110f260: 8800c315 stw zero,780(r17) +8110f264: 003f8806 br 8110f088 <__reset+0xfb0ef088> +8110f268: 00c03904 movi r3,228 +8110f26c: 10ffea26 beq r2,r3,8110f218 <__reset+0xfb0ef218> +8110f270: 00a04634 movhi r2,33048 +8110f274: 108f1904 addi r2,r2,15460 +8110f278: 10800803 ldbu r2,32(r2) +8110f27c: 00c001c4 movi r3,7 +8110f280: 18bfe536 bltu r3,r2,8110f218 <__reset+0xfb0ef218> +8110f284: 01604574 movhi r5,33045 +8110f288: 29646404 addi r5,r5,-28272 +8110f28c: 003fa806 br 8110f130 <__reset+0xfb0ef130> +8110f290: 000b883a mov r5,zero +8110f294: 01002044 movi r4,129 +8110f298: 1109ac01 jmpi 81109ac0 +8110f29c: 00800a04 movi r2,40 +8110f2a0: 20803115 stw r2,196(r4) +8110f2a4: 003fdc06 br 8110f218 <__reset+0xfb0ef218> +8110f2a8: 88803417 ldw r2,208(r17) +8110f2ac: 00c00044 movi r3,1 +8110f2b0: 10bfff04 addi r2,r2,-4 +8110f2b4: 18bf9736 bltu r3,r2,8110f114 <__reset+0xfb0ef114> +8110f2b8: 88803317 ldw r2,204(r17) +8110f2bc: 88c02b15 stw r3,172(r17) +8110f2c0: 00c00804 movi r3,32 +8110f2c4: 88c03115 stw r3,196(r17) +8110f2c8: 88803515 stw r2,212(r17) +8110f2cc: 003fd206 br 8110f218 <__reset+0xfb0ef218> +8110f2d0: 00a04634 movhi r2,33048 +8110f2d4: 108f1904 addi r2,r2,15460 +8110f2d8: 10800803 ldbu r2,32(r2) +8110f2dc: 00c001c4 movi r3,7 +8110f2e0: 18bfcd36 bltu r3,r2,8110f218 <__reset+0xfb0ef218> +8110f2e4: 01604574 movhi r5,33045 +8110f2e8: 2962ae04 addi r5,r5,-30024 +8110f2ec: 003f9006 br 8110f130 <__reset+0xfb0ef130> +8110f2f0: 88803317 ldw r2,204(r17) +8110f2f4: 00c00044 movi r3,1 +8110f2f8: 88c02b15 stw r3,172(r17) +8110f2fc: 00c00804 movi r3,32 +8110f300: 88c03115 stw r3,196(r17) +8110f304: 88803515 stw r2,212(r17) +8110f308: 003fc306 br 8110f218 <__reset+0xfb0ef218> +8110f30c: 8c80b304 addi r18,r17,716 +8110f310: 9009883a mov r4,r18 +8110f314: 11027800 call 81102780 +8110f318: 8940fe17 ldw r5,1016(r17) +8110f31c: 2c008f26 beq r5,r16,8110f55c +8110f320: 01400044 movi r5,1 +8110f324: 9009883a mov r4,r18 +8110f328: 1102a080 call 81102a08 +8110f32c: 10002126 beq r2,zero,8110f3b4 +8110f330: 00a04634 movhi r2,33048 +8110f334: 108f1904 addi r2,r2,15460 +8110f338: 10800803 ldbu r2,32(r2) +8110f33c: 00c001c4 movi r3,7 +8110f340: 1880892e bgeu r3,r2,8110f568 +8110f344: 88042e15 stw zero,4280(r17) +8110f348: 003fa706 br 8110f1e8 <__reset+0xfb0ef1e8> +8110f34c: 8c80b304 addi r18,r17,716 +8110f350: 9009883a mov r4,r18 +8110f354: 11027100 call 81102710 +8110f358: 8880ef17 ldw r2,956(r17) +8110f35c: 14009a26 beq r2,r16,8110f5c8 +8110f360: 000b883a mov r5,zero +8110f364: 9009883a mov r4,r18 +8110f368: 1102a080 call 81102a08 +8110f36c: 10002726 beq r2,zero,8110f40c +8110f370: 00a04634 movhi r2,33048 +8110f374: 108f1904 addi r2,r2,15460 +8110f378: 10800803 ldbu r2,32(r2) +8110f37c: 00c001c4 movi r3,7 +8110f380: 1880852e bgeu r3,r2,8110f598 +8110f384: 88042d15 stw zero,4276(r17) +8110f388: 003f9406 br 8110f1dc <__reset+0xfb0ef1dc> +8110f38c: 88012415 stw zero,1168(r17) +8110f390: 89415543 ldbu r5,1365(r17) +8110f394: 89015583 ldbu r4,1366(r17) +8110f398: 88c155c3 ldbu r3,1367(r17) +8110f39c: 88815603 ldbu r2,1368(r17) +8110f3a0: 89402e45 stb r5,185(r17) +8110f3a4: 89002e85 stb r4,186(r17) +8110f3a8: 88c02ec5 stb r3,187(r17) +8110f3ac: 88802f05 stb r2,188(r17) +8110f3b0: 003f8106 br 8110f1b8 <__reset+0xfb0ef1b8> +8110f3b4: 00a04634 movhi r2,33048 +8110f3b8: 108f1904 addi r2,r2,15460 +8110f3bc: 10800803 ldbu r2,32(r2) +8110f3c0: 00c001c4 movi r3,7 +8110f3c4: 18bfdf36 bltu r3,r2,8110f344 <__reset+0xfb0ef344> +8110f3c8: 89800003 ldbu r6,0(r17) +8110f3cc: d1207917 ldw r4,-32284(gp) +8110f3d0: 01604574 movhi r5,33045 +8110f3d4: 29627a04 addi r5,r5,-30232 +8110f3d8: 112b6200 call 8112b620 +8110f3dc: 003fd906 br 8110f344 <__reset+0xfb0ef344> +8110f3e0: 00a04634 movhi r2,33048 +8110f3e4: 108f1904 addi r2,r2,15460 +8110f3e8: 10800803 ldbu r2,32(r2) +8110f3ec: 00c001c4 movi r3,7 +8110f3f0: 18bf8836 bltu r3,r2,8110f214 <__reset+0xfb0ef214> +8110f3f4: 89800003 ldbu r6,0(r17) +8110f3f8: d1207917 ldw r4,-32284(gp) +8110f3fc: 01604574 movhi r5,33045 +8110f400: 29629d04 addi r5,r5,-30092 +8110f404: 112b6200 call 8112b620 +8110f408: 003f8206 br 8110f214 <__reset+0xfb0ef214> +8110f40c: 00a04634 movhi r2,33048 +8110f410: 108f1904 addi r2,r2,15460 +8110f414: 10800803 ldbu r2,32(r2) +8110f418: 00c001c4 movi r3,7 +8110f41c: 18bfd936 bltu r3,r2,8110f384 <__reset+0xfb0ef384> +8110f420: 89800003 ldbu r6,0(r17) +8110f424: d1207917 ldw r4,-32284(gp) +8110f428: 01604574 movhi r5,33045 +8110f42c: 29625404 addi r5,r5,-30384 +8110f430: 112b6200 call 8112b620 +8110f434: 003fd306 br 8110f384 <__reset+0xfb0ef384> +8110f438: 8c006404 addi r16,r17,400 +8110f43c: 8009883a mov r4,r16 +8110f440: 88012715 stw zero,1180(r17) +8110f444: 1103bd00 call 81103bd0 +8110f448: 88c17217 ldw r3,1480(r17) +8110f44c: 88817317 ldw r2,1484(r17) +8110f450: 8009883a mov r4,r16 +8110f454: 88c06b15 stw r3,428(r17) +8110f458: 88806c15 stw r2,432(r17) +8110f45c: 1103c380 call 81103c38 +8110f460: 003f5206 br 8110f1ac <__reset+0xfb0ef1ac> +8110f464: 8c80b304 addi r18,r17,716 +8110f468: 9009883a mov r4,r18 +8110f46c: 88012615 stw zero,1176(r17) +8110f470: 11023980 call 81102398 +8110f474: 8881710b ldhu r2,1476(r17) +8110f478: 9009883a mov r4,r18 +8110f47c: 8c806404 addi r18,r17,400 +8110f480: 10bfffcc andi r2,r2,65535 +8110f484: 8880c015 stw r2,768(r17) +8110f488: 11023600 call 81102360 +8110f48c: 89c1710b ldhu r7,1476(r17) +8110f490: 018006f4 movhi r6,27 +8110f494: 9009883a mov r4,r18 +8110f498: 31b85204 addi r6,r6,-7864 +8110f49c: 000b883a mov r5,zero +8110f4a0: 39ffffcc andi r7,r7,65535 +8110f4a4: 1103d640 call 81103d64 +8110f4a8: 89c1710b ldhu r7,1476(r17) +8110f4ac: 018006f4 movhi r6,27 +8110f4b0: 31b85204 addi r6,r6,-7864 +8110f4b4: 800b883a mov r5,r16 +8110f4b8: 39ffffcc andi r7,r7,65535 +8110f4bc: 9009883a mov r4,r18 +8110f4c0: 1103d640 call 81103d64 +8110f4c4: 003f3606 br 8110f1a0 <__reset+0xfb0ef1a0> +8110f4c8: 8c80b304 addi r18,r17,716 +8110f4cc: 9009883a mov r4,r18 +8110f4d0: 11023980 call 81102398 +8110f4d4: 88012315 stw zero,1164(r17) +8110f4d8: 88817417 ldw r2,1488(r17) +8110f4dc: 14003e26 beq r2,r16,8110f5d8 +8110f4e0: 88813017 ldw r2,1216(r17) +8110f4e4: 8880b815 stw r2,736(r17) +8110f4e8: 9009883a mov r4,r18 +8110f4ec: 11023600 call 81102360 +8110f4f0: 003f2806 br 8110f194 <__reset+0xfb0ef194> +8110f4f4: 88012215 stw zero,1160(r17) +8110f4f8: 88c13017 ldw r3,1216(r17) +8110f4fc: 88813117 ldw r2,1220(r17) +8110f500: 8c80b304 addi r18,r17,716 +8110f504: 88c00915 stw r3,36(r17) +8110f508: 9009883a mov r4,r18 +8110f50c: 88800a15 stw r2,40(r17) +8110f510: 11023980 call 81102398 +8110f514: 89413017 ldw r5,1216(r17) +8110f518: 89013117 ldw r4,1220(r17) +8110f51c: 88813117 ldw r2,1220(r17) +8110f520: 88c04c0b ldhu r3,304(r17) +8110f524: 8940b815 stw r5,736(r17) +8110f528: 8900b915 stw r4,740(r17) +8110f52c: 10800044 addi r2,r2,1 +8110f530: 1880132e bgeu r3,r2,8110f580 +8110f534: 88813117 ldw r2,1220(r17) +8110f538: 193fffc4 addi r4,r3,-1 +8110f53c: 8900ba15 stw r4,744(r17) +8110f540: 10c5c83a sub r2,r2,r3 +8110f544: 8880bb15 stw r2,748(r17) +8110f548: 8c00be15 stw r16,760(r17) +8110f54c: 8c00bf15 stw r16,764(r17) +8110f550: 9009883a mov r4,r18 +8110f554: 11023600 call 81102360 +8110f558: 003f0b06 br 8110f188 <__reset+0xfb0ef188> +8110f55c: 9009883a mov r4,r18 +8110f560: 1102a900 call 81102a90 +8110f564: 003f6e06 br 8110f320 <__reset+0xfb0ef320> +8110f568: 89800003 ldbu r6,0(r17) +8110f56c: d1207917 ldw r4,-32284(gp) +8110f570: 01604574 movhi r5,33045 +8110f574: 29626804 addi r5,r5,-30304 +8110f578: 112b6200 call 8112b620 +8110f57c: 003f7106 br 8110f344 <__reset+0xfb0ef344> +8110f580: 88813117 ldw r2,1220(r17) +8110f584: 8800bb15 stw zero,748(r17) +8110f588: 8c00be15 stw r16,760(r17) +8110f58c: 8880ba15 stw r2,744(r17) +8110f590: 8800bf15 stw zero,764(r17) +8110f594: 003fee06 br 8110f550 <__reset+0xfb0ef550> +8110f598: 89800003 ldbu r6,0(r17) +8110f59c: d1207917 ldw r4,-32284(gp) +8110f5a0: 01604574 movhi r5,33045 +8110f5a4: 29624204 addi r5,r5,-30456 +8110f5a8: 112b6200 call 8112b620 +8110f5ac: 003f7506 br 8110f384 <__reset+0xfb0ef384> +8110f5b0: 89800003 ldbu r6,0(r17) +8110f5b4: d1207917 ldw r4,-32284(gp) +8110f5b8: 01604574 movhi r5,33045 +8110f5bc: 29628e04 addi r5,r5,-30152 +8110f5c0: 112b6200 call 8112b620 +8110f5c4: 003f1306 br 8110f214 <__reset+0xfb0ef214> +8110f5c8: 000b883a mov r5,zero +8110f5cc: 9009883a mov r4,r18 +8110f5d0: 1102a900 call 81102a90 +8110f5d4: 003f6206 br 8110f360 <__reset+0xfb0ef360> +8110f5d8: 8800b815 stw zero,736(r17) +8110f5dc: 003fc206 br 8110f4e8 <__reset+0xfb0ef4e8> + +8110f5e0 : +8110f5e0: defffc04 addi sp,sp,-16 +8110f5e4: dc400115 stw r17,4(sp) +8110f5e8: dc000015 stw r16,0(sp) +8110f5ec: dfc00315 stw ra,12(sp) +8110f5f0: dc800215 stw r18,8(sp) +8110f5f4: 2023883a mov r17,r4 +8110f5f8: 21000003 ldbu r4,0(r4) +8110f5fc: 2820d23a srli r16,r5,8 +8110f600: 01604574 movhi r5,33045 +8110f604: 21000044 addi r4,r4,1 +8110f608: 297348c4 addi r5,r5,-13021 +8110f60c: 21003fcc andi r4,r4,255 +8110f610: 111e1e00 call 8111e1e0 +8110f614: 81803fcc andi r6,r16,255 +8110f618: 00801a04 movi r2,104 +8110f61c: 1180d236 bltu r2,r6,8110f968 +8110f620: 300690ba slli r3,r6,2 +8110f624: 00a04474 movhi r2,33041 +8110f628: 10bd8e04 addi r2,r2,-2504 +8110f62c: 1885883a add r2,r3,r2 +8110f630: 10800017 ldw r2,0(r2) +8110f634: 1000683a jmp r2 +8110f638: 8110f948 cmpgei r4,r16,17381 +8110f63c: 8110f968 cmpgeui r4,r16,17381 +8110f640: 8110f968 cmpgeui r4,r16,17381 +8110f644: 8110f968 cmpgeui r4,r16,17381 +8110f648: 8110f8f0 cmpltui r4,r16,17379 +8110f64c: 8110f968 cmpgeui r4,r16,17381 +8110f650: 8110f968 cmpgeui r4,r16,17381 +8110f654: 8110f968 cmpgeui r4,r16,17381 +8110f658: 8110f918 cmpnei r4,r16,17380 +8110f65c: 8110f968 cmpgeui r4,r16,17381 +8110f660: 8110f968 cmpgeui r4,r16,17381 +8110f664: 8110f968 cmpgeui r4,r16,17381 +8110f668: 8110f874 orhi r4,r16,17377 +8110f66c: 8110f968 cmpgeui r4,r16,17381 +8110f670: 8110f968 cmpgeui r4,r16,17381 +8110f674: 8110f968 cmpgeui r4,r16,17381 +8110f678: 8110f898 cmpnei r4,r16,17378 +8110f67c: 8110f968 cmpgeui r4,r16,17381 +8110f680: 8110f968 cmpgeui r4,r16,17381 +8110f684: 8110f968 cmpgeui r4,r16,17381 +8110f688: 8110f8b0 cmpltui r4,r16,17378 +8110f68c: 8110f968 cmpgeui r4,r16,17381 +8110f690: 8110f968 cmpgeui r4,r16,17381 +8110f694: 8110f968 cmpgeui r4,r16,17381 +8110f698: 8110f7dc xori r4,r16,17375 +8110f69c: 8110f968 cmpgeui r4,r16,17381 +8110f6a0: 8110f968 cmpgeui r4,r16,17381 +8110f6a4: 8110f968 cmpgeui r4,r16,17381 +8110f6a8: 8110f7dc xori r4,r16,17375 +8110f6ac: 8110f968 cmpgeui r4,r16,17381 +8110f6b0: 8110f968 cmpgeui r4,r16,17381 +8110f6b4: 8110f968 cmpgeui r4,r16,17381 +8110f6b8: 8110f7dc xori r4,r16,17375 +8110f6bc: 8110f968 cmpgeui r4,r16,17381 +8110f6c0: 8110f968 cmpgeui r4,r16,17381 +8110f6c4: 8110f968 cmpgeui r4,r16,17381 +8110f6c8: 8110f7dc xori r4,r16,17375 +8110f6cc: 8110f968 cmpgeui r4,r16,17381 +8110f6d0: 8110f968 cmpgeui r4,r16,17381 +8110f6d4: 8110f968 cmpgeui r4,r16,17381 +8110f6d8: 8110f7dc xori r4,r16,17375 +8110f6dc: 8110f968 cmpgeui r4,r16,17381 +8110f6e0: 8110f968 cmpgeui r4,r16,17381 +8110f6e4: 8110f968 cmpgeui r4,r16,17381 +8110f6e8: 8110f7dc xori r4,r16,17375 +8110f6ec: 8110f968 cmpgeui r4,r16,17381 +8110f6f0: 8110f968 cmpgeui r4,r16,17381 +8110f6f4: 8110f968 cmpgeui r4,r16,17381 +8110f6f8: 8110f7dc xori r4,r16,17375 +8110f6fc: 8110f968 cmpgeui r4,r16,17381 +8110f700: 8110f968 cmpgeui r4,r16,17381 +8110f704: 8110f968 cmpgeui r4,r16,17381 +8110f708: 8110f7dc xori r4,r16,17375 +8110f70c: 8110f968 cmpgeui r4,r16,17381 +8110f710: 8110f968 cmpgeui r4,r16,17381 +8110f714: 8110f968 cmpgeui r4,r16,17381 +8110f718: 8110f7dc xori r4,r16,17375 +8110f71c: 8110f968 cmpgeui r4,r16,17381 +8110f720: 8110f968 cmpgeui r4,r16,17381 +8110f724: 8110f968 cmpgeui r4,r16,17381 +8110f728: 8110f7dc xori r4,r16,17375 +8110f72c: 8110f968 cmpgeui r4,r16,17381 +8110f730: 8110f968 cmpgeui r4,r16,17381 +8110f734: 8110f968 cmpgeui r4,r16,17381 +8110f738: 8110f7dc xori r4,r16,17375 +8110f73c: 8110f968 cmpgeui r4,r16,17381 +8110f740: 8110f968 cmpgeui r4,r16,17381 +8110f744: 8110f968 cmpgeui r4,r16,17381 +8110f748: 8110f7dc xori r4,r16,17375 +8110f74c: 8110f968 cmpgeui r4,r16,17381 +8110f750: 8110f968 cmpgeui r4,r16,17381 +8110f754: 8110f968 cmpgeui r4,r16,17381 +8110f758: 8110f7dc xori r4,r16,17375 +8110f75c: 8110f968 cmpgeui r4,r16,17381 +8110f760: 8110f968 cmpgeui r4,r16,17381 +8110f764: 8110f968 cmpgeui r4,r16,17381 +8110f768: 8110f7dc xori r4,r16,17375 +8110f76c: 8110f968 cmpgeui r4,r16,17381 +8110f770: 8110f968 cmpgeui r4,r16,17381 +8110f774: 8110f968 cmpgeui r4,r16,17381 +8110f778: 8110f7dc xori r4,r16,17375 +8110f77c: 8110f968 cmpgeui r4,r16,17381 +8110f780: 8110f968 cmpgeui r4,r16,17381 +8110f784: 8110f968 cmpgeui r4,r16,17381 +8110f788: 8110f808 cmpgei r4,r16,17376 +8110f78c: 8110f968 cmpgeui r4,r16,17381 +8110f790: 8110f968 cmpgeui r4,r16,17381 +8110f794: 8110f968 cmpgeui r4,r16,17381 +8110f798: 8110f8d0 cmplti r4,r16,17379 +8110f79c: 8110f968 cmpgeui r4,r16,17381 +8110f7a0: 8110f968 cmpgeui r4,r16,17381 +8110f7a4: 8110f968 cmpgeui r4,r16,17381 +8110f7a8: 8110f8d0 cmplti r4,r16,17379 +8110f7ac: 8110f968 cmpgeui r4,r16,17381 +8110f7b0: 8110f968 cmpgeui r4,r16,17381 +8110f7b4: 8110f968 cmpgeui r4,r16,17381 +8110f7b8: 8110f8d0 cmplti r4,r16,17379 +8110f7bc: 8110f968 cmpgeui r4,r16,17381 +8110f7c0: 8110f968 cmpgeui r4,r16,17381 +8110f7c4: 8110f968 cmpgeui r4,r16,17381 +8110f7c8: 8110f8d0 cmplti r4,r16,17379 +8110f7cc: 8110f968 cmpgeui r4,r16,17381 +8110f7d0: 8110f968 cmpgeui r4,r16,17381 +8110f7d4: 8110f968 cmpgeui r4,r16,17381 +8110f7d8: 8110f8d0 cmplti r4,r16,17379 +8110f7dc: 00a04634 movhi r2,33048 +8110f7e0: 108f1904 addi r2,r2,15460 +8110f7e4: 10800803 ldbu r2,32(r2) +8110f7e8: 00c001c4 movi r3,7 +8110f7ec: 1880662e bgeu r3,r2,8110f988 +8110f7f0: dfc00317 ldw ra,12(sp) +8110f7f4: dc800217 ldw r18,8(sp) +8110f7f8: dc400117 ldw r17,4(sp) +8110f7fc: dc000017 ldw r16,0(sp) +8110f800: dec00404 addi sp,sp,16 +8110f804: f800283a ret +8110f808: 8880ab17 ldw r2,684(r17) +8110f80c: 88000b15 stw zero,44(r17) +8110f810: 00c003c4 movi r3,15 +8110f814: 10804017 ldw r2,256(r2) +8110f818: 1880d536 bltu r3,r2,8110fb70 +8110f81c: 100490ba slli r2,r2,2 +8110f820: 00e04474 movhi r3,33041 +8110f824: 18fe0d04 addi r3,r3,-1996 +8110f828: 10c5883a add r2,r2,r3 +8110f82c: 10800017 ldw r2,0(r2) +8110f830: 1000683a jmp r2 +8110f834: 8110fb1c xori r4,r16,17388 +8110f838: 8110f9ac andhi r4,r16,17382 +8110f83c: 8110f9ac andhi r4,r16,17382 +8110f840: 8110fb70 cmpltui r4,r16,17389 +8110f844: 8110faac andhi r4,r16,17386 +8110f848: 8110f9ac andhi r4,r16,17382 +8110f84c: 8110f9ac andhi r4,r16,17382 +8110f850: 8110fa08 cmpgei r4,r16,17384 +8110f854: 8110fa4c andi r4,r16,17385 +8110f858: 8110f9ac andhi r4,r16,17382 +8110f85c: 8110f9ac andhi r4,r16,17382 +8110f860: 8110f9ac andhi r4,r16,17382 +8110f864: 8110f9ac andhi r4,r16,17382 +8110f868: 8110fad8 cmpnei r4,r16,17387 +8110f86c: 8110fad8 cmpnei r4,r16,17387 +8110f870: 8110fad8 cmpnei r4,r16,17387 +8110f874: 88c0ab17 ldw r3,684(r17) +8110f878: 00800044 movi r2,1 +8110f87c: 88812515 stw r2,1172(r17) +8110f880: 19000b17 ldw r4,44(r3) +8110f884: 18c00c17 ldw r3,48(r3) +8110f888: 89013315 stw r4,1228(r17) +8110f88c: 88812315 stw r2,1164(r17) +8110f890: 88c17415 stw r3,1488(r17) +8110f894: 003fd606 br 8110f7f0 <__reset+0xfb0ef7f0> +8110f898: 8880ab17 ldw r2,684(r17) +8110f89c: 00c00044 movi r3,1 +8110f8a0: 88c12615 stw r3,1176(r17) +8110f8a4: 10801017 ldw r2,64(r2) +8110f8a8: 8881710d sth r2,1476(r17) +8110f8ac: 003fd006 br 8110f7f0 <__reset+0xfb0ef7f0> +8110f8b0: 8880ab17 ldw r2,684(r17) +8110f8b4: 00c00044 movi r3,1 +8110f8b8: 88c12715 stw r3,1180(r17) +8110f8bc: 10c01517 ldw r3,84(r2) +8110f8c0: 10801717 ldw r2,92(r2) +8110f8c4: 88c17215 stw r3,1480(r17) +8110f8c8: 88817315 stw r2,1484(r17) +8110f8cc: 003fc806 br 8110f7f0 <__reset+0xfb0ef7f0> +8110f8d0: 00a04634 movhi r2,33048 +8110f8d4: 108f1904 addi r2,r2,15460 +8110f8d8: 10800803 ldbu r2,32(r2) +8110f8dc: 00c001c4 movi r3,7 +8110f8e0: 18bfc336 bltu r3,r2,8110f7f0 <__reset+0xfb0ef7f0> +8110f8e4: 01604574 movhi r5,33045 +8110f8e8: 29633004 addi r5,r5,-29504 +8110f8ec: 00002806 br 8110f990 +8110f8f0: 00a04634 movhi r2,33048 +8110f8f4: 108f1904 addi r2,r2,15460 +8110f8f8: 10800803 ldbu r2,32(r2) +8110f8fc: 00c001c4 movi r3,7 +8110f900: 18bfbb36 bltu r3,r2,8110f7f0 <__reset+0xfb0ef7f0> +8110f904: 01604574 movhi r5,33045 +8110f908: 2962dd04 addi r5,r5,-29836 +8110f90c: d1207917 ldw r4,-32284(gp) +8110f910: 01800104 movi r6,4 +8110f914: 00001f06 br 8110f994 +8110f918: 8880ab17 ldw r2,684(r17) +8110f91c: 00c00044 movi r3,1 +8110f920: 88c12415 stw r3,1168(r17) +8110f924: 10c00617 ldw r3,24(r2) +8110f928: 88c15545 stb r3,1365(r17) +8110f92c: 10c00717 ldw r3,28(r2) +8110f930: 88c15585 stb r3,1366(r17) +8110f934: 10c00817 ldw r3,32(r2) +8110f938: 88c155c5 stb r3,1367(r17) +8110f93c: 10800917 ldw r2,36(r2) +8110f940: 88815605 stb r2,1368(r17) +8110f944: 003faa06 br 8110f7f0 <__reset+0xfb0ef7f0> +8110f948: 8880ab17 ldw r2,684(r17) +8110f94c: 00c00044 movi r3,1 +8110f950: 88c12215 stw r3,1160(r17) +8110f954: 10c00017 ldw r3,0(r2) +8110f958: 10800117 ldw r2,4(r2) +8110f95c: 88c13015 stw r3,1216(r17) +8110f960: 88813115 stw r2,1220(r17) +8110f964: 003fa206 br 8110f7f0 <__reset+0xfb0ef7f0> +8110f968: 00a04634 movhi r2,33048 +8110f96c: 108f1904 addi r2,r2,15460 +8110f970: 10800803 ldbu r2,32(r2) +8110f974: 00c001c4 movi r3,7 +8110f978: 18bf9d36 bltu r3,r2,8110f7f0 <__reset+0xfb0ef7f0> +8110f97c: 01604574 movhi r5,33045 +8110f980: 29633904 addi r5,r5,-29468 +8110f984: 00000206 br 8110f990 +8110f988: 01604574 movhi r5,33045 +8110f98c: 2962dd04 addi r5,r5,-29836 +8110f990: d1207917 ldw r4,-32284(gp) +8110f994: dfc00317 ldw ra,12(sp) +8110f998: dc800217 ldw r18,8(sp) +8110f99c: dc400117 ldw r17,4(sp) +8110f9a0: dc000017 ldw r16,0(sp) +8110f9a4: dec00404 addi sp,sp,16 +8110f9a8: 112b6201 jmpi 8112b620 +8110f9ac: 8c00b304 addi r16,r17,716 +8110f9b0: 8009883a mov r4,r16 +8110f9b4: 11023f00 call 811023f0 +8110f9b8: 04800044 movi r18,1 +8110f9bc: 8c80c515 stw r18,788(r17) +8110f9c0: 8009883a mov r4,r16 +8110f9c4: 11023d00 call 811023d0 +8110f9c8: 00a04634 movhi r2,33048 +8110f9cc: 108f1904 addi r2,r2,15460 +8110f9d0: 10800803 ldbu r2,32(r2) +8110f9d4: 00c001c4 movi r3,7 +8110f9d8: 18bf8536 bltu r3,r2,8110f7f0 <__reset+0xfb0ef7f0> +8110f9dc: d1e07917 ldw r7,-32284(gp) +8110f9e0: 01204574 movhi r4,33045 +8110f9e4: 21235c04 addi r4,r4,-29328 +8110f9e8: 01800d84 movi r6,54 +8110f9ec: 900b883a mov r5,r18 +8110f9f0: dfc00317 ldw ra,12(sp) +8110f9f4: dc800217 ldw r18,8(sp) +8110f9f8: dc400117 ldw r17,4(sp) +8110f9fc: dc000017 ldw r16,0(sp) +8110fa00: dec00404 addi sp,sp,16 +8110fa04: 112bc8c1 jmpi 8112bc8c +8110fa08: 8c00b304 addi r16,r17,716 +8110fa0c: 8009883a mov r4,r16 +8110fa10: 11023f00 call 811023f0 +8110fa14: 04800044 movi r18,1 +8110fa18: 8c80c515 stw r18,788(r17) +8110fa1c: 8009883a mov r4,r16 +8110fa20: 11023d00 call 811023d0 +8110fa24: 00a04634 movhi r2,33048 +8110fa28: 108f1904 addi r2,r2,15460 +8110fa2c: 10800803 ldbu r2,32(r2) +8110fa30: 00c001c4 movi r3,7 +8110fa34: 18bf6e36 bltu r3,r2,8110f7f0 <__reset+0xfb0ef7f0> +8110fa38: 01204574 movhi r4,33045 +8110fa3c: d1e07917 ldw r7,-32284(gp) +8110fa40: 21230804 addi r4,r4,-29664 +8110fa44: 01800d84 movi r6,54 +8110fa48: 003fe806 br 8110f9ec <__reset+0xfb0ef9ec> +8110fa4c: 00c00304 movi r3,12 +8110fa50: 00800344 movi r2,13 +8110fa54: 8c00b304 addi r16,r17,716 +8110fa58: 88c03315 stw r3,204(r17) +8110fa5c: 00c00084 movi r3,2 +8110fa60: 8009883a mov r4,r16 +8110fa64: 88c03415 stw r3,208(r17) +8110fa68: 88002b15 stw zero,172(r17) +8110fa6c: 88803515 stw r2,212(r17) +8110fa70: 88803115 stw r2,196(r17) +8110fa74: 11023980 call 81102398 +8110fa78: 00800044 movi r2,1 +8110fa7c: 8009883a mov r4,r16 +8110fa80: 8880c315 stw r2,780(r17) +8110fa84: 11023600 call 81102360 +8110fa88: 89800003 ldbu r6,0(r17) +8110fa8c: 000b883a mov r5,zero +8110fa90: 01002044 movi r4,129 +8110fa94: dfc00317 ldw ra,12(sp) +8110fa98: dc800217 ldw r18,8(sp) +8110fa9c: dc400117 ldw r17,4(sp) +8110faa0: dc000017 ldw r16,0(sp) +8110faa4: dec00404 addi sp,sp,16 +8110faa8: 1109ac01 jmpi 81109ac0 +8110faac: 88803417 ldw r2,208(r17) +8110fab0: 00c00144 movi r3,5 +8110fab4: 10bffe84 addi r2,r2,-6 +8110fab8: 18bfbc36 bltu r3,r2,8110f9ac <__reset+0xfb0ef9ac> +8110fabc: 88803317 ldw r2,204(r17) +8110fac0: 00c00044 movi r3,1 +8110fac4: 88c02b15 stw r3,172(r17) +8110fac8: 00c00a04 movi r3,40 +8110facc: 88c03115 stw r3,196(r17) +8110fad0: 88803515 stw r2,212(r17) +8110fad4: 003f4606 br 8110f7f0 <__reset+0xfb0ef7f0> +8110fad8: 8c00b304 addi r16,r17,716 +8110fadc: 8009883a mov r4,r16 +8110fae0: 11023f00 call 811023f0 +8110fae4: 04800044 movi r18,1 +8110fae8: 8c80c515 stw r18,788(r17) +8110faec: 8009883a mov r4,r16 +8110faf0: 11023d00 call 811023d0 +8110faf4: 00a04634 movhi r2,33048 +8110faf8: 108f1904 addi r2,r2,15460 +8110fafc: 10800803 ldbu r2,32(r2) +8110fb00: 00c001c4 movi r3,7 +8110fb04: 18bf3a36 bltu r3,r2,8110f7f0 <__reset+0xfb0ef7f0> +8110fb08: 01204574 movhi r4,33045 +8110fb0c: d1e07917 ldw r7,-32284(gp) +8110fb10: 21231604 addi r4,r4,-29608 +8110fb14: 01800644 movi r6,25 +8110fb18: 003fb406 br 8110f9ec <__reset+0xfb0ef9ec> +8110fb1c: 88803417 ldw r2,208(r17) +8110fb20: 04000044 movi r16,1 +8110fb24: 10bfff04 addi r2,r2,-4 +8110fb28: 8080232e bgeu r16,r2,8110fbb8 +8110fb2c: 8c80b304 addi r18,r17,716 +8110fb30: 9009883a mov r4,r18 +8110fb34: 11023f00 call 811023f0 +8110fb38: 9009883a mov r4,r18 +8110fb3c: 8c00c515 stw r16,788(r17) +8110fb40: 11023d00 call 811023d0 +8110fb44: 00a04634 movhi r2,33048 +8110fb48: 108f1904 addi r2,r2,15460 +8110fb4c: 10800803 ldbu r2,32(r2) +8110fb50: 00c001c4 movi r3,7 +8110fb54: 18bf2636 bltu r3,r2,8110f7f0 <__reset+0xfb0ef7f0> +8110fb58: 01204574 movhi r4,33045 +8110fb5c: d1e07917 ldw r7,-32284(gp) +8110fb60: 21235c04 addi r4,r4,-29328 +8110fb64: 01800d84 movi r6,54 +8110fb68: 800b883a mov r5,r16 +8110fb6c: 003fa006 br 8110f9f0 <__reset+0xfb0ef9f0> +8110fb70: 8c00b304 addi r16,r17,716 +8110fb74: 8009883a mov r4,r16 +8110fb78: 11023f00 call 811023f0 +8110fb7c: 00800044 movi r2,1 +8110fb80: 8880c515 stw r2,788(r17) +8110fb84: 8009883a mov r4,r16 +8110fb88: 11023d00 call 811023d0 +8110fb8c: 00a04634 movhi r2,33048 +8110fb90: 108f1904 addi r2,r2,15460 +8110fb94: 10800803 ldbu r2,32(r2) +8110fb98: 00c001c4 movi r3,7 +8110fb9c: 18bf1436 bltu r3,r2,8110f7f0 <__reset+0xfb0ef7f0> +8110fba0: 8880ab17 ldw r2,684(r17) +8110fba4: 01604574 movhi r5,33045 +8110fba8: 29631d04 addi r5,r5,-29580 +8110fbac: d1207917 ldw r4,-32284(gp) +8110fbb0: 11804003 ldbu r6,256(r2) +8110fbb4: 003f7706 br 8110f994 <__reset+0xfb0ef994> +8110fbb8: 88803317 ldw r2,204(r17) +8110fbbc: 00c00a04 movi r3,40 +8110fbc0: 8c002b15 stw r16,172(r17) +8110fbc4: 88c03115 stw r3,196(r17) +8110fbc8: 88803515 stw r2,212(r17) +8110fbcc: 003f0806 br 8110f7f0 <__reset+0xfb0ef7f0> + +8110fbd0 : +8110fbd0: 21800003 ldbu r6,0(r4) +8110fbd4: 2806d63a srli r3,r5,24 +8110fbd8: 30800444 addi r2,r6,17 +8110fbdc: 18800126 beq r3,r2,8110fbe4 +8110fbe0: f800283a ret +8110fbe4: 2804d43a srli r2,r5,16 +8110fbe8: 00c01c44 movi r3,113 +8110fbec: 10803fcc andi r2,r2,255 +8110fbf0: 10fffb26 beq r2,r3,8110fbe0 <__reset+0xfb0efbe0> +8110fbf4: defffb04 addi sp,sp,-20 +8110fbf8: dc400115 stw r17,4(sp) +8110fbfc: dc000015 stw r16,0(sp) +8110fc00: dfc00415 stw ra,16(sp) +8110fc04: dcc00315 stw r19,12(sp) +8110fc08: dc800215 stw r18,8(sp) +8110fc0c: 2821883a mov r16,r5 +8110fc10: 2023883a mov r17,r4 +8110fc14: 1880192e bgeu r3,r2,8110fc7c +8110fc18: 00c03884 movi r3,226 +8110fc1c: 18802936 bltu r3,r2,8110fcc4 +8110fc20: 00c03804 movi r3,224 +8110fc24: 10c0432e bgeu r2,r3,8110fd34 +8110fc28: 00c02844 movi r3,161 +8110fc2c: 10c08026 beq r2,r3,8110fe30 +8110fc30: 00c028c4 movi r3,163 +8110fc34: 10c05126 beq r2,r3,8110fd7c +8110fc38: 00c01d84 movi r3,118 +8110fc3c: 10c09026 beq r2,r3,8110fe80 +8110fc40: 00a04634 movhi r2,33048 +8110fc44: 108f1904 addi r2,r2,15460 +8110fc48: 10800803 ldbu r2,32(r2) +8110fc4c: 00c001c4 movi r3,7 +8110fc50: 18803836 bltu r3,r2,8110fd34 +8110fc54: 01604574 movhi r5,33045 +8110fc58: 29649104 addi r5,r5,-28092 +8110fc5c: d1207917 ldw r4,-32284(gp) +8110fc60: dfc00417 ldw ra,16(sp) +8110fc64: dcc00317 ldw r19,12(sp) +8110fc68: dc800217 ldw r18,8(sp) +8110fc6c: dc400117 ldw r17,4(sp) +8110fc70: dc000017 ldw r16,0(sp) +8110fc74: dec00504 addi sp,sp,20 +8110fc78: 112b6201 jmpi 8112b620 +8110fc7c: 00c00304 movi r3,12 +8110fc80: 18803336 bltu r3,r2,8110fd50 +8110fc84: 00c00144 movi r3,5 +8110fc88: 10c08f2e bgeu r2,r3,8110fec8 +8110fc8c: 010000c4 movi r4,3 +8110fc90: 11008326 beq r2,r4,8110fea0 +8110fc94: 2080642e bgeu r4,r2,8110fe28 +8110fc98: 88803417 ldw r2,208(r17) +8110fc9c: 10bffe84 addi r2,r2,-6 +8110fca0: 1880d52e bgeu r3,r2,8110fff8 +8110fca4: 00a04634 movhi r2,33048 +8110fca8: 108f1904 addi r2,r2,15460 +8110fcac: 10800803 ldbu r2,32(r2) +8110fcb0: 00c001c4 movi r3,7 +8110fcb4: 18801f36 bltu r3,r2,8110fd34 +8110fcb8: 01604574 movhi r5,33045 +8110fcbc: 29636a04 addi r5,r5,-29272 +8110fcc0: 003fe606 br 8110fc5c <__reset+0xfb0efc5c> +8110fcc4: 00c03a04 movi r3,232 +8110fcc8: 10c08726 beq r2,r3,8110fee8 +8110fccc: 00c03c04 movi r3,240 +8110fcd0: 10c04326 beq r2,r3,8110fde0 +8110fcd4: 00c03904 movi r3,228 +8110fcd8: 10ffd91e bne r2,r3,8110fc40 <__reset+0xfb0efc40> +8110fcdc: 24006404 addi r16,r4,400 +8110fce0: 8009883a mov r4,r16 +8110fce4: 1103d200 call 81103d20 +8110fce8: 8009883a mov r4,r16 +8110fcec: 1103d400 call 81103d40 +8110fcf0: 8009883a mov r4,r16 +8110fcf4: 1103d000 call 81103d00 +8110fcf8: 88800003 ldbu r2,0(r17) +8110fcfc: 00e04634 movhi r3,33048 +8110fd00: 18e41604 addi r3,r3,-28584 +8110fd04: 1085883a add r2,r2,r2 +8110fd08: 1085883a add r2,r2,r2 +8110fd0c: 1885883a add r2,r3,r2 +8110fd10: 11000017 ldw r4,0(r2) +8110fd14: 1142cc40 call 81142cc4 +8110fd18: 10803fcc andi r2,r2,255 +8110fd1c: 1000b41e bne r2,zero,8110fff0 +8110fd20: 88c03717 ldw r3,220(r17) +8110fd24: 00800044 movi r2,1 +8110fd28: 1880a626 beq r3,r2,8110ffc4 +8110fd2c: 00800984 movi r2,38 +8110fd30: 88803115 stw r2,196(r17) +8110fd34: dfc00417 ldw ra,16(sp) +8110fd38: dcc00317 ldw r19,12(sp) +8110fd3c: dc800217 ldw r18,8(sp) +8110fd40: dc400117 ldw r17,4(sp) +8110fd44: dc000017 ldw r16,0(sp) +8110fd48: dec00504 addi sp,sp,20 +8110fd4c: f800283a ret +8110fd50: 00c00344 movi r3,13 +8110fd54: 10c00226 beq r2,r3,8110fd60 +8110fd58: 00c00384 movi r3,14 +8110fd5c: 10ffb81e bne r2,r3,8110fc40 <__reset+0xfb0efc40> +8110fd60: 8880ab17 ldw r2,684(r17) +8110fd64: 10c01417 ldw r3,80(r2) +8110fd68: 008000c4 movi r2,3 +8110fd6c: 18803c26 beq r3,r2,8110fe60 +8110fd70: 00800a44 movi r2,41 +8110fd74: 88803115 stw r2,196(r17) +8110fd78: 003fee06 br 8110fd34 <__reset+0xfb0efd34> +8110fd7c: 00c00304 movi r3,12 +8110fd80: 00800344 movi r2,13 +8110fd84: 2400b304 addi r16,r4,716 +8110fd88: 88c03315 stw r3,204(r17) +8110fd8c: 00c00084 movi r3,2 +8110fd90: 8009883a mov r4,r16 +8110fd94: 88002b15 stw zero,172(r17) +8110fd98: 88c03415 stw r3,208(r17) +8110fd9c: 88803515 stw r2,212(r17) +8110fda0: 88803115 stw r2,196(r17) +8110fda4: 11023980 call 81102398 +8110fda8: 00800044 movi r2,1 +8110fdac: 8009883a mov r4,r16 +8110fdb0: 8880c315 stw r2,780(r17) +8110fdb4: 11023600 call 81102360 +8110fdb8: 89800003 ldbu r6,0(r17) +8110fdbc: 000b883a mov r5,zero +8110fdc0: 01002044 movi r4,129 +8110fdc4: dfc00417 ldw ra,16(sp) +8110fdc8: dcc00317 ldw r19,12(sp) +8110fdcc: dc800217 ldw r18,8(sp) +8110fdd0: dc400117 ldw r17,4(sp) +8110fdd4: dc000017 ldw r16,0(sp) +8110fdd8: dec00504 addi sp,sp,20 +8110fddc: 1109ac01 jmpi 81109ac0 +8110fde0: 00a04634 movhi r2,33048 +8110fde4: 108f1904 addi r2,r2,15460 +8110fde8: 10800803 ldbu r2,32(r2) +8110fdec: 00c00104 movi r3,4 +8110fdf0: 18800436 bltu r3,r2,8110fe04 +8110fdf4: d1207917 ldw r4,-32284(gp) +8110fdf8: 01604574 movhi r5,33045 +8110fdfc: 29634304 addi r5,r5,-29428 +8110fe00: 112b6200 call 8112b620 +8110fe04: 800b883a mov r5,r16 +8110fe08: 8809883a mov r4,r17 +8110fe0c: dfc00417 ldw ra,16(sp) +8110fe10: dcc00317 ldw r19,12(sp) +8110fe14: dc800217 ldw r18,8(sp) +8110fe18: dc400117 ldw r17,4(sp) +8110fe1c: dc000017 ldw r16,0(sp) +8110fe20: dec00504 addi sp,sp,20 +8110fe24: 110f5e01 jmpi 8110f5e0 +8110fe28: 00c00044 movi r3,1 +8110fe2c: 10ff841e bne r2,r3,8110fc40 <__reset+0xfb0efc40> +8110fe30: 00800044 movi r2,1 +8110fe34: 8c00b304 addi r16,r17,716 +8110fe38: 88803415 stw r2,208(r17) +8110fe3c: 00800304 movi r2,12 +8110fe40: 8009883a mov r4,r16 +8110fe44: 88002b15 stw zero,172(r17) +8110fe48: 88003315 stw zero,204(r17) +8110fe4c: 88803115 stw r2,196(r17) +8110fe50: 11023980 call 81102398 +8110fe54: 8009883a mov r4,r16 +8110fe58: 8800c315 stw zero,780(r17) +8110fe5c: 003fd506 br 8110fdb4 <__reset+0xfb0efdb4> +8110fe60: 88803203 ldbu r2,200(r17) +8110fe64: 00c00084 movi r3,2 +8110fe68: 10800044 addi r2,r2,1 +8110fe6c: 88803205 stb r2,200(r17) +8110fe70: 88803203 ldbu r2,200(r17) +8110fe74: 10803fcc andi r2,r2,255 +8110fe78: 10ffae1e bne r2,r3,8110fd34 <__reset+0xfb0efd34> +8110fe7c: 003fbc06 br 8110fd70 <__reset+0xfb0efd70> +8110fe80: 00a04634 movhi r2,33048 +8110fe84: 108f1904 addi r2,r2,15460 +8110fe88: 10800803 ldbu r2,32(r2) +8110fe8c: 00c001c4 movi r3,7 +8110fe90: 18bfa836 bltu r3,r2,8110fd34 <__reset+0xfb0efd34> +8110fe94: 01604574 movhi r5,33045 +8110fe98: 29637d04 addi r5,r5,-29196 +8110fe9c: 003f6f06 br 8110fc5c <__reset+0xfb0efc5c> +8110fea0: 88803417 ldw r2,208(r17) +8110fea4: 00c00044 movi r3,1 +8110fea8: 10bfff04 addi r2,r2,-4 +8110feac: 18bf7d36 bltu r3,r2,8110fca4 <__reset+0xfb0efca4> +8110feb0: 88803317 ldw r2,204(r17) +8110feb4: 88c02b15 stw r3,172(r17) +8110feb8: 00c00a04 movi r3,40 +8110febc: 88c03115 stw r3,196(r17) +8110fec0: 88803515 stw r2,212(r17) +8110fec4: 003f9b06 br 8110fd34 <__reset+0xfb0efd34> +8110fec8: 00a04634 movhi r2,33048 +8110fecc: 108f1904 addi r2,r2,15460 +8110fed0: 10800803 ldbu r2,32(r2) +8110fed4: 00c001c4 movi r3,7 +8110fed8: 18bf9636 bltu r3,r2,8110fd34 <__reset+0xfb0efd34> +8110fedc: 01604574 movhi r5,33045 +8110fee0: 2962ae04 addi r5,r5,-30024 +8110fee4: 003f5d06 br 8110fc5c <__reset+0xfb0efc5c> +8110fee8: 20812217 ldw r2,1160(r4) +8110feec: 10001e1e bne r2,zero,8110ff68 +8110fef0: 20812317 ldw r2,1164(r4) +8110fef4: 10001c1e bne r2,zero,8110ff68 +8110fef8: 20812617 ldw r2,1176(r4) +8110fefc: 10001a1e bne r2,zero,8110ff68 +8110ff00: 20812417 ldw r2,1168(r4) +8110ff04: 1000181e bne r2,zero,8110ff68 +8110ff08: 20812717 ldw r2,1180(r4) +8110ff0c: 1000161e bne r2,zero,8110ff68 +8110ff10: 20812517 ldw r2,1172(r4) +8110ff14: 1000141e bne r2,zero,8110ff68 +8110ff18: 24006404 addi r16,r4,400 +8110ff1c: 8c842d17 ldw r18,4276(r17) +8110ff20: 00800044 movi r2,1 +8110ff24: 90804b26 beq r18,r2,81110054 +8110ff28: 8c842e17 ldw r18,4280(r17) +8110ff2c: 00800044 movi r2,1 +8110ff30: 90803826 beq r18,r2,81110014 +8110ff34: 88c1ab17 ldw r3,1708(r17) 8110ff38: 00800044 movi r2,1 -8110ff3c: 8080a126 beq r16,r2,811101c4 -8110ff40: 8c012317 ldw r16,1164(r17) -8110ff44: 00800044 movi r2,1 -8110ff48: 80809326 beq r16,r2,81110198 -8110ff4c: 8c812617 ldw r18,1176(r17) -8110ff50: 00800044 movi r2,1 -8110ff54: 90807726 beq r18,r2,81110134 -8110ff58: 8c006404 addi r16,r17,400 -8110ff5c: 88c12717 ldw r3,1180(r17) -8110ff60: 00800044 movi r2,1 -8110ff64: 18806926 beq r3,r2,8111010c -8110ff68: 88c12417 ldw r3,1168(r17) +8110ff3c: 18bf681e bne r3,r2,8110fce0 <__reset+0xfb0efce0> +8110ff40: 8900b304 addi r4,r17,716 +8110ff44: 1102d340 call 81102d34 +8110ff48: 10006726 beq r2,zero,811100e8 +8110ff4c: 00a04634 movhi r2,33048 +8110ff50: 108f1904 addi r2,r2,15460 +8110ff54: 10800803 ldbu r2,32(r2) +8110ff58: 00c001c4 movi r3,7 +8110ff5c: 1880d52e bgeu r3,r2,811102b4 +8110ff60: 8801ab15 stw zero,1708(r17) +8110ff64: 003f5e06 br 8110fce0 <__reset+0xfb0efce0> +8110ff68: 8c012217 ldw r16,1160(r17) 8110ff6c: 00800044 movi r2,1 -8110ff70: 18803b26 beq r3,r2,81110060 -8110ff74: 88c12517 ldw r3,1172(r17) +8110ff70: 8080a126 beq r16,r2,811101f8 +8110ff74: 8c012317 ldw r16,1164(r17) 8110ff78: 00800044 movi r2,1 -8110ff7c: 18bfda1e bne r3,r2,8110fee8 <__reset+0xfb0efee8> -8110ff80: 88012515 stw zero,1172(r17) -8110ff84: 88813317 ldw r2,1228(r17) -8110ff88: 88800c15 stw r2,48(r17) -8110ff8c: 003fd606 br 8110fee8 <__reset+0xfb0efee8> -8110ff90: 00a04634 movhi r2,33048 -8110ff94: 108f0804 addi r2,r2,15392 -8110ff98: 10800803 ldbu r2,32(r2) -8110ff9c: 00c00104 movi r3,4 -8110ffa0: 18bf5536 bltu r3,r2,8110fcf8 <__reset+0xfb0efcf8> -8110ffa4: 89800003 ldbu r6,0(r17) -8110ffa8: d1207917 ldw r4,-32284(gp) -8110ffac: 01604574 movhi r5,33045 -8110ffb0: 29646104 addi r5,r5,-28284 -8110ffb4: 112b5e00 call 8112b5e0 -8110ffb8: 003f4f06 br 8110fcf8 <__reset+0xfb0efcf8> -8110ffbc: 11259b00 call 811259b0 -8110ffc0: 003f4a06 br 8110fcec <__reset+0xfb0efcec> -8110ffc4: 88803317 ldw r2,204(r17) -8110ffc8: 00c00044 movi r3,1 -8110ffcc: 88c02b15 stw r3,172(r17) -8110ffd0: 00c00a04 movi r3,40 -8110ffd4: 88c03115 stw r3,196(r17) -8110ffd8: 88803515 stw r2,212(r17) -8110ffdc: 003f4806 br 8110fd00 <__reset+0xfb0efd00> -8110ffe0: 8cc0b304 addi r19,r17,716 -8110ffe4: 9809883a mov r4,r19 -8110ffe8: 11027800 call 81102780 -8110ffec: 8940fe17 ldw r5,1016(r17) -8110fff0: 2c808e26 beq r5,r18,8111022c -8110fff4: 01400044 movi r5,1 -8110fff8: 9809883a mov r4,r19 -8110fffc: 1102a080 call 81102a08 -81110000: 10002126 beq r2,zero,81110088 -81110004: 00a04634 movhi r2,33048 -81110008: 108f0804 addi r2,r2,15392 -8111000c: 10800803 ldbu r2,32(r2) -81110010: 00c001c4 movi r3,7 -81110014: 1880882e bgeu r3,r2,81110238 -81110018: 88042e15 stw zero,4280(r17) -8111001c: 003fb806 br 8110ff00 <__reset+0xfb0eff00> -81110020: 8cc0b304 addi r19,r17,716 -81110024: 9809883a mov r4,r19 -81110028: 11027100 call 81102710 -8111002c: 8880ef17 ldw r2,956(r17) -81110030: 14809926 beq r2,r18,81110298 -81110034: 000b883a mov r5,zero -81110038: 9809883a mov r4,r19 -8111003c: 1102a080 call 81102a08 -81110040: 10002726 beq r2,zero,811100e0 -81110044: 00a04634 movhi r2,33048 -81110048: 108f0804 addi r2,r2,15392 -8111004c: 10800803 ldbu r2,32(r2) -81110050: 00c001c4 movi r3,7 -81110054: 1880842e bgeu r3,r2,81110268 -81110058: 88042d15 stw zero,4276(r17) -8111005c: 003fa506 br 8110fef4 <__reset+0xfb0efef4> -81110060: 88012415 stw zero,1168(r17) -81110064: 89415543 ldbu r5,1365(r17) -81110068: 89015583 ldbu r4,1366(r17) -8111006c: 88c155c3 ldbu r3,1367(r17) -81110070: 88815603 ldbu r2,1368(r17) -81110074: 89402e45 stb r5,185(r17) -81110078: 89002e85 stb r4,186(r17) -8111007c: 88c02ec5 stb r3,187(r17) -81110080: 88802f05 stb r2,188(r17) -81110084: 003fbb06 br 8110ff74 <__reset+0xfb0eff74> -81110088: 00a04634 movhi r2,33048 -8111008c: 108f0804 addi r2,r2,15392 -81110090: 10800803 ldbu r2,32(r2) -81110094: 00c001c4 movi r3,7 -81110098: 18bfdf36 bltu r3,r2,81110018 <__reset+0xfb0f0018> -8111009c: 89800003 ldbu r6,0(r17) -811100a0: d1207917 ldw r4,-32284(gp) -811100a4: 01604574 movhi r5,33045 -811100a8: 29626a04 addi r5,r5,-30296 -811100ac: 112b5e00 call 8112b5e0 -811100b0: 003fd906 br 81110018 <__reset+0xfb0f0018> -811100b4: 00a04634 movhi r2,33048 -811100b8: 108f0804 addi r2,r2,15392 -811100bc: 10800803 ldbu r2,32(r2) -811100c0: 00c001c4 movi r3,7 -811100c4: 18bf9936 bltu r3,r2,8110ff2c <__reset+0xfb0eff2c> -811100c8: 89800003 ldbu r6,0(r17) -811100cc: d1207917 ldw r4,-32284(gp) -811100d0: 01604574 movhi r5,33045 -811100d4: 29628d04 addi r5,r5,-30156 -811100d8: 112b5e00 call 8112b5e0 -811100dc: 003f9306 br 8110ff2c <__reset+0xfb0eff2c> -811100e0: 00a04634 movhi r2,33048 -811100e4: 108f0804 addi r2,r2,15392 -811100e8: 10800803 ldbu r2,32(r2) -811100ec: 00c001c4 movi r3,7 -811100f0: 18bfd936 bltu r3,r2,81110058 <__reset+0xfb0f0058> -811100f4: 89800003 ldbu r6,0(r17) -811100f8: d1207917 ldw r4,-32284(gp) -811100fc: 01604574 movhi r5,33045 -81110100: 29624404 addi r5,r5,-30448 -81110104: 112b5e00 call 8112b5e0 -81110108: 003fd306 br 81110058 <__reset+0xfb0f0058> -8111010c: 8009883a mov r4,r16 -81110110: 88012715 stw zero,1180(r17) -81110114: 1103bd00 call 81103bd0 -81110118: 88c17217 ldw r3,1480(r17) -8111011c: 88817317 ldw r2,1484(r17) -81110120: 8009883a mov r4,r16 -81110124: 88c06b15 stw r3,428(r17) -81110128: 88806c15 stw r2,432(r17) -8111012c: 1103c380 call 81103c38 -81110130: 003f8d06 br 8110ff68 <__reset+0xfb0eff68> -81110134: 8c00b304 addi r16,r17,716 -81110138: 8009883a mov r4,r16 -8111013c: 88012615 stw zero,1176(r17) -81110140: 11023980 call 81102398 -81110144: 8881710b ldhu r2,1476(r17) -81110148: 8009883a mov r4,r16 -8111014c: 8c006404 addi r16,r17,400 -81110150: 10bfffcc andi r2,r2,65535 -81110154: 8880c015 stw r2,768(r17) -81110158: 11023600 call 81102360 -8111015c: 89c1710b ldhu r7,1476(r17) -81110160: 018006f4 movhi r6,27 -81110164: 8009883a mov r4,r16 -81110168: 31b85204 addi r6,r6,-7864 -8111016c: 000b883a mov r5,zero -81110170: 39ffffcc andi r7,r7,65535 -81110174: 1103d640 call 81103d64 -81110178: 89c1710b ldhu r7,1476(r17) -8111017c: 018006f4 movhi r6,27 -81110180: 31b85204 addi r6,r6,-7864 -81110184: 900b883a mov r5,r18 -81110188: 39ffffcc andi r7,r7,65535 -8111018c: 8009883a mov r4,r16 -81110190: 1103d640 call 81103d64 -81110194: 003f7106 br 8110ff5c <__reset+0xfb0eff5c> -81110198: 8c80b304 addi r18,r17,716 -8111019c: 9009883a mov r4,r18 -811101a0: 11023980 call 81102398 -811101a4: 88012315 stw zero,1164(r17) -811101a8: 88817417 ldw r2,1488(r17) -811101ac: 14003e26 beq r2,r16,811102a8 -811101b0: 88813017 ldw r2,1216(r17) -811101b4: 8880b815 stw r2,736(r17) -811101b8: 9009883a mov r4,r18 -811101bc: 11023600 call 81102360 -811101c0: 003f6206 br 8110ff4c <__reset+0xfb0eff4c> -811101c4: 88012215 stw zero,1160(r17) -811101c8: 88c13017 ldw r3,1216(r17) -811101cc: 88813117 ldw r2,1220(r17) -811101d0: 8c80b304 addi r18,r17,716 -811101d4: 88c00915 stw r3,36(r17) -811101d8: 9009883a mov r4,r18 -811101dc: 88800a15 stw r2,40(r17) -811101e0: 11023980 call 81102398 -811101e4: 89413017 ldw r5,1216(r17) -811101e8: 89013117 ldw r4,1220(r17) -811101ec: 88813117 ldw r2,1220(r17) -811101f0: 88c04c0b ldhu r3,304(r17) -811101f4: 8940b815 stw r5,736(r17) -811101f8: 8900b915 stw r4,740(r17) -811101fc: 10800044 addi r2,r2,1 -81110200: 1880132e bgeu r3,r2,81110250 -81110204: 88813117 ldw r2,1220(r17) -81110208: 193fffc4 addi r4,r3,-1 -8111020c: 8900ba15 stw r4,744(r17) -81110210: 10c5c83a sub r2,r2,r3 -81110214: 8880bb15 stw r2,748(r17) -81110218: 8c00be15 stw r16,760(r17) -8111021c: 8c00bf15 stw r16,764(r17) -81110220: 9009883a mov r4,r18 -81110224: 11023600 call 81102360 -81110228: 003f4506 br 8110ff40 <__reset+0xfb0eff40> -8111022c: 9809883a mov r4,r19 -81110230: 1102a900 call 81102a90 -81110234: 003f6f06 br 8110fff4 <__reset+0xfb0efff4> -81110238: 89800003 ldbu r6,0(r17) -8111023c: d1207917 ldw r4,-32284(gp) -81110240: 01604574 movhi r5,33045 -81110244: 29625804 addi r5,r5,-30368 -81110248: 112b5e00 call 8112b5e0 -8111024c: 003f7206 br 81110018 <__reset+0xfb0f0018> -81110250: 88813117 ldw r2,1220(r17) -81110254: 8800bb15 stw zero,748(r17) -81110258: 8c00be15 stw r16,760(r17) -8111025c: 8880ba15 stw r2,744(r17) -81110260: 8800bf15 stw zero,764(r17) -81110264: 003fee06 br 81110220 <__reset+0xfb0f0220> -81110268: 89800003 ldbu r6,0(r17) -8111026c: d1207917 ldw r4,-32284(gp) -81110270: 01604574 movhi r5,33045 -81110274: 29623204 addi r5,r5,-30520 -81110278: 112b5e00 call 8112b5e0 -8111027c: 003f7606 br 81110058 <__reset+0xfb0f0058> -81110280: 89800003 ldbu r6,0(r17) -81110284: d1207917 ldw r4,-32284(gp) -81110288: 01604574 movhi r5,33045 -8111028c: 29627e04 addi r5,r5,-30216 -81110290: 112b5e00 call 8112b5e0 -81110294: 003f2506 br 8110ff2c <__reset+0xfb0eff2c> -81110298: 000b883a mov r5,zero -8111029c: 9809883a mov r4,r19 -811102a0: 1102a900 call 81102a90 -811102a4: 003f6306 br 81110034 <__reset+0xfb0f0034> -811102a8: 8800b815 stw zero,736(r17) -811102ac: 003fc206 br 811101b8 <__reset+0xfb0f01b8> - -811102b0 : -811102b0: defffc04 addi sp,sp,-16 -811102b4: dc400115 stw r17,4(sp) -811102b8: dc000015 stw r16,0(sp) -811102bc: dfc00315 stw ra,12(sp) -811102c0: dc800215 stw r18,8(sp) -811102c4: 2023883a mov r17,r4 -811102c8: 21000003 ldbu r4,0(r4) -811102cc: 2820d23a srli r16,r5,8 -811102d0: 01604574 movhi r5,33045 -811102d4: 21000044 addi r4,r4,1 -811102d8: 297337c4 addi r5,r5,-13089 -811102dc: 21003fcc andi r4,r4,255 -811102e0: 111e1a00 call 8111e1a0 -811102e4: 81803fcc andi r6,r16,255 -811102e8: 00801a04 movi r2,104 -811102ec: 1180d236 bltu r2,r6,81110638 -811102f0: 300690ba slli r3,r6,2 -811102f4: 00a04474 movhi r2,33041 -811102f8: 1080c204 addi r2,r2,776 -811102fc: 1885883a add r2,r3,r2 -81110300: 10800017 ldw r2,0(r2) -81110304: 1000683a jmp r2 -81110308: 81110618 cmpnei r4,r16,17432 -8111030c: 81110638 rdprs r4,r16,17432 -81110310: 81110638 rdprs r4,r16,17432 -81110314: 81110638 rdprs r4,r16,17432 -81110318: 811105c0 call 8811105c <__reset+0x20f105c> -8111031c: 81110638 rdprs r4,r16,17432 -81110320: 81110638 rdprs r4,r16,17432 -81110324: 81110638 rdprs r4,r16,17432 -81110328: 811105e8 cmpgeui r4,r16,17431 -8111032c: 81110638 rdprs r4,r16,17432 -81110330: 81110638 rdprs r4,r16,17432 -81110334: 81110638 rdprs r4,r16,17432 -81110338: 81110544 addi r4,r16,17429 -8111033c: 81110638 rdprs r4,r16,17432 -81110340: 81110638 rdprs r4,r16,17432 -81110344: 81110638 rdprs r4,r16,17432 -81110348: 81110568 cmpgeui r4,r16,17429 -8111034c: 81110638 rdprs r4,r16,17432 -81110350: 81110638 rdprs r4,r16,17432 -81110354: 81110638 rdprs r4,r16,17432 -81110358: 81110580 call 88111058 <__reset+0x20f1058> -8111035c: 81110638 rdprs r4,r16,17432 -81110360: 81110638 rdprs r4,r16,17432 -81110364: 81110638 rdprs r4,r16,17432 -81110368: 811104ac andhi r4,r16,17426 -8111036c: 81110638 rdprs r4,r16,17432 -81110370: 81110638 rdprs r4,r16,17432 -81110374: 81110638 rdprs r4,r16,17432 -81110378: 811104ac andhi r4,r16,17426 -8111037c: 81110638 rdprs r4,r16,17432 -81110380: 81110638 rdprs r4,r16,17432 -81110384: 81110638 rdprs r4,r16,17432 -81110388: 811104ac andhi r4,r16,17426 -8111038c: 81110638 rdprs r4,r16,17432 -81110390: 81110638 rdprs r4,r16,17432 -81110394: 81110638 rdprs r4,r16,17432 -81110398: 811104ac andhi r4,r16,17426 -8111039c: 81110638 rdprs r4,r16,17432 -811103a0: 81110638 rdprs r4,r16,17432 -811103a4: 81110638 rdprs r4,r16,17432 -811103a8: 811104ac andhi r4,r16,17426 -811103ac: 81110638 rdprs r4,r16,17432 -811103b0: 81110638 rdprs r4,r16,17432 -811103b4: 81110638 rdprs r4,r16,17432 -811103b8: 811104ac andhi r4,r16,17426 -811103bc: 81110638 rdprs r4,r16,17432 -811103c0: 81110638 rdprs r4,r16,17432 -811103c4: 81110638 rdprs r4,r16,17432 -811103c8: 811104ac andhi r4,r16,17426 -811103cc: 81110638 rdprs r4,r16,17432 -811103d0: 81110638 rdprs r4,r16,17432 -811103d4: 81110638 rdprs r4,r16,17432 -811103d8: 811104ac andhi r4,r16,17426 -811103dc: 81110638 rdprs r4,r16,17432 -811103e0: 81110638 rdprs r4,r16,17432 -811103e4: 81110638 rdprs r4,r16,17432 -811103e8: 811104ac andhi r4,r16,17426 -811103ec: 81110638 rdprs r4,r16,17432 -811103f0: 81110638 rdprs r4,r16,17432 -811103f4: 81110638 rdprs r4,r16,17432 -811103f8: 811104ac andhi r4,r16,17426 -811103fc: 81110638 rdprs r4,r16,17432 -81110400: 81110638 rdprs r4,r16,17432 -81110404: 81110638 rdprs r4,r16,17432 -81110408: 811104ac andhi r4,r16,17426 -8111040c: 81110638 rdprs r4,r16,17432 -81110410: 81110638 rdprs r4,r16,17432 -81110414: 81110638 rdprs r4,r16,17432 -81110418: 811104ac andhi r4,r16,17426 -8111041c: 81110638 rdprs r4,r16,17432 -81110420: 81110638 rdprs r4,r16,17432 -81110424: 81110638 rdprs r4,r16,17432 -81110428: 811104ac andhi r4,r16,17426 -8111042c: 81110638 rdprs r4,r16,17432 -81110430: 81110638 rdprs r4,r16,17432 -81110434: 81110638 rdprs r4,r16,17432 -81110438: 811104ac andhi r4,r16,17426 -8111043c: 81110638 rdprs r4,r16,17432 -81110440: 81110638 rdprs r4,r16,17432 -81110444: 81110638 rdprs r4,r16,17432 -81110448: 811104ac andhi r4,r16,17426 -8111044c: 81110638 rdprs r4,r16,17432 -81110450: 81110638 rdprs r4,r16,17432 -81110454: 81110638 rdprs r4,r16,17432 -81110458: 811104d8 cmpnei r4,r16,17427 -8111045c: 81110638 rdprs r4,r16,17432 -81110460: 81110638 rdprs r4,r16,17432 -81110464: 81110638 rdprs r4,r16,17432 -81110468: 811105a0 cmpeqi r4,r16,17430 -8111046c: 81110638 rdprs r4,r16,17432 -81110470: 81110638 rdprs r4,r16,17432 -81110474: 81110638 rdprs r4,r16,17432 -81110478: 811105a0 cmpeqi r4,r16,17430 -8111047c: 81110638 rdprs r4,r16,17432 -81110480: 81110638 rdprs r4,r16,17432 -81110484: 81110638 rdprs r4,r16,17432 -81110488: 811105a0 cmpeqi r4,r16,17430 -8111048c: 81110638 rdprs r4,r16,17432 -81110490: 81110638 rdprs r4,r16,17432 -81110494: 81110638 rdprs r4,r16,17432 -81110498: 811105a0 cmpeqi r4,r16,17430 -8111049c: 81110638 rdprs r4,r16,17432 -811104a0: 81110638 rdprs r4,r16,17432 -811104a4: 81110638 rdprs r4,r16,17432 -811104a8: 811105a0 cmpeqi r4,r16,17430 -811104ac: 00a04634 movhi r2,33048 -811104b0: 108f0804 addi r2,r2,15392 -811104b4: 10800803 ldbu r2,32(r2) -811104b8: 00c001c4 movi r3,7 -811104bc: 1880662e bgeu r3,r2,81110658 -811104c0: dfc00317 ldw ra,12(sp) -811104c4: dc800217 ldw r18,8(sp) -811104c8: dc400117 ldw r17,4(sp) -811104cc: dc000017 ldw r16,0(sp) -811104d0: dec00404 addi sp,sp,16 -811104d4: f800283a ret -811104d8: 8880ab17 ldw r2,684(r17) -811104dc: 88000b15 stw zero,44(r17) -811104e0: 00c003c4 movi r3,15 -811104e4: 10804017 ldw r2,256(r2) -811104e8: 1880d536 bltu r3,r2,81110840 -811104ec: 100490ba slli r2,r2,2 -811104f0: 00e04474 movhi r3,33041 -811104f4: 18c14104 addi r3,r3,1284 -811104f8: 10c5883a add r2,r2,r3 -811104fc: 10800017 ldw r2,0(r2) -81110500: 1000683a jmp r2 -81110504: 811107ec andhi r4,r16,17439 -81110508: 8111067c xorhi r4,r16,17433 -8111050c: 8111067c xorhi r4,r16,17433 -81110510: 81110840 call 88111084 <__reset+0x20f1084> -81110514: 8111077c xorhi r4,r16,17437 -81110518: 8111067c xorhi r4,r16,17433 -8111051c: 8111067c xorhi r4,r16,17433 -81110520: 811106d8 cmpnei r4,r16,17435 -81110524: 8111071c xori r4,r16,17436 -81110528: 8111067c xorhi r4,r16,17433 -8111052c: 8111067c xorhi r4,r16,17433 -81110530: 8111067c xorhi r4,r16,17433 -81110534: 8111067c xorhi r4,r16,17433 -81110538: 811107a8 cmpgeui r4,r16,17438 -8111053c: 811107a8 cmpgeui r4,r16,17438 -81110540: 811107a8 cmpgeui r4,r16,17438 -81110544: 88c0ab17 ldw r3,684(r17) -81110548: 00800044 movi r2,1 -8111054c: 88812515 stw r2,1172(r17) -81110550: 19000b17 ldw r4,44(r3) -81110554: 18c00c17 ldw r3,48(r3) -81110558: 89013315 stw r4,1228(r17) -8111055c: 88812315 stw r2,1164(r17) -81110560: 88c17415 stw r3,1488(r17) -81110564: 003fd606 br 811104c0 <__reset+0xfb0f04c0> -81110568: 8880ab17 ldw r2,684(r17) -8111056c: 00c00044 movi r3,1 -81110570: 88c12615 stw r3,1176(r17) -81110574: 10801017 ldw r2,64(r2) -81110578: 8881710d sth r2,1476(r17) -8111057c: 003fd006 br 811104c0 <__reset+0xfb0f04c0> -81110580: 8880ab17 ldw r2,684(r17) -81110584: 00c00044 movi r3,1 -81110588: 88c12715 stw r3,1180(r17) -8111058c: 10c01517 ldw r3,84(r2) -81110590: 10801717 ldw r2,92(r2) -81110594: 88c17215 stw r3,1480(r17) -81110598: 88817315 stw r2,1484(r17) -8111059c: 003fc806 br 811104c0 <__reset+0xfb0f04c0> -811105a0: 00a04634 movhi r2,33048 -811105a4: 108f0804 addi r2,r2,15392 -811105a8: 10800803 ldbu r2,32(r2) -811105ac: 00c001c4 movi r3,7 -811105b0: 18bfc336 bltu r3,r2,811104c0 <__reset+0xfb0f04c0> -811105b4: 01604574 movhi r5,33045 -811105b8: 29632004 addi r5,r5,-29568 -811105bc: 00002806 br 81110660 -811105c0: 00a04634 movhi r2,33048 -811105c4: 108f0804 addi r2,r2,15392 -811105c8: 10800803 ldbu r2,32(r2) -811105cc: 00c001c4 movi r3,7 -811105d0: 18bfbb36 bltu r3,r2,811104c0 <__reset+0xfb0f04c0> -811105d4: 01604574 movhi r5,33045 -811105d8: 2962cd04 addi r5,r5,-29900 -811105dc: d1207917 ldw r4,-32284(gp) -811105e0: 01800104 movi r6,4 -811105e4: 00001f06 br 81110664 -811105e8: 8880ab17 ldw r2,684(r17) -811105ec: 00c00044 movi r3,1 -811105f0: 88c12415 stw r3,1168(r17) -811105f4: 10c00617 ldw r3,24(r2) -811105f8: 88c15545 stb r3,1365(r17) -811105fc: 10c00717 ldw r3,28(r2) -81110600: 88c15585 stb r3,1366(r17) -81110604: 10c00817 ldw r3,32(r2) -81110608: 88c155c5 stb r3,1367(r17) -8111060c: 10800917 ldw r2,36(r2) -81110610: 88815605 stb r2,1368(r17) -81110614: 003faa06 br 811104c0 <__reset+0xfb0f04c0> -81110618: 8880ab17 ldw r2,684(r17) -8111061c: 00c00044 movi r3,1 -81110620: 88c12215 stw r3,1160(r17) -81110624: 10c00017 ldw r3,0(r2) -81110628: 10800117 ldw r2,4(r2) -8111062c: 88c13015 stw r3,1216(r17) -81110630: 88813115 stw r2,1220(r17) -81110634: 003fa206 br 811104c0 <__reset+0xfb0f04c0> -81110638: 00a04634 movhi r2,33048 -8111063c: 108f0804 addi r2,r2,15392 -81110640: 10800803 ldbu r2,32(r2) -81110644: 00c001c4 movi r3,7 -81110648: 18bf9d36 bltu r3,r2,811104c0 <__reset+0xfb0f04c0> -8111064c: 01604574 movhi r5,33045 -81110650: 29632904 addi r5,r5,-29532 -81110654: 00000206 br 81110660 -81110658: 01604574 movhi r5,33045 -8111065c: 2962cd04 addi r5,r5,-29900 -81110660: d1207917 ldw r4,-32284(gp) -81110664: dfc00317 ldw ra,12(sp) -81110668: dc800217 ldw r18,8(sp) -8111066c: dc400117 ldw r17,4(sp) -81110670: dc000017 ldw r16,0(sp) -81110674: dec00404 addi sp,sp,16 -81110678: 112b5e01 jmpi 8112b5e0 -8111067c: 8c00b304 addi r16,r17,716 -81110680: 8009883a mov r4,r16 -81110684: 11023f00 call 811023f0 -81110688: 04800044 movi r18,1 -8111068c: 8c80c515 stw r18,788(r17) -81110690: 8009883a mov r4,r16 -81110694: 11023d00 call 811023d0 -81110698: 00a04634 movhi r2,33048 -8111069c: 108f0804 addi r2,r2,15392 -811106a0: 10800803 ldbu r2,32(r2) -811106a4: 00c001c4 movi r3,7 -811106a8: 18bf8536 bltu r3,r2,811104c0 <__reset+0xfb0f04c0> -811106ac: d1e07917 ldw r7,-32284(gp) -811106b0: 01204574 movhi r4,33045 -811106b4: 21234c04 addi r4,r4,-29392 -811106b8: 01800d84 movi r6,54 -811106bc: 900b883a mov r5,r18 -811106c0: dfc00317 ldw ra,12(sp) -811106c4: dc800217 ldw r18,8(sp) -811106c8: dc400117 ldw r17,4(sp) -811106cc: dc000017 ldw r16,0(sp) -811106d0: dec00404 addi sp,sp,16 -811106d4: 112bc4c1 jmpi 8112bc4c -811106d8: 8c00b304 addi r16,r17,716 -811106dc: 8009883a mov r4,r16 -811106e0: 11023f00 call 811023f0 -811106e4: 04800044 movi r18,1 -811106e8: 8c80c515 stw r18,788(r17) -811106ec: 8009883a mov r4,r16 -811106f0: 11023d00 call 811023d0 -811106f4: 00a04634 movhi r2,33048 -811106f8: 108f0804 addi r2,r2,15392 -811106fc: 10800803 ldbu r2,32(r2) -81110700: 00c001c4 movi r3,7 -81110704: 18bf6e36 bltu r3,r2,811104c0 <__reset+0xfb0f04c0> -81110708: 01204574 movhi r4,33045 -8111070c: d1e07917 ldw r7,-32284(gp) -81110710: 2122f804 addi r4,r4,-29728 -81110714: 01800d84 movi r6,54 -81110718: 003fe806 br 811106bc <__reset+0xfb0f06bc> -8111071c: 00c00304 movi r3,12 -81110720: 00800344 movi r2,13 -81110724: 8c00b304 addi r16,r17,716 -81110728: 88c03315 stw r3,204(r17) -8111072c: 00c00084 movi r3,2 -81110730: 8009883a mov r4,r16 -81110734: 88c03415 stw r3,208(r17) -81110738: 88002b15 stw zero,172(r17) -8111073c: 88803515 stw r2,212(r17) -81110740: 88803115 stw r2,196(r17) -81110744: 11023980 call 81102398 -81110748: 00800044 movi r2,1 -8111074c: 8009883a mov r4,r16 -81110750: 8880c315 stw r2,780(r17) -81110754: 11023600 call 81102360 -81110758: 89800003 ldbu r6,0(r17) -8111075c: 000b883a mov r5,zero -81110760: 01002044 movi r4,129 -81110764: dfc00317 ldw ra,12(sp) -81110768: dc800217 ldw r18,8(sp) -8111076c: dc400117 ldw r17,4(sp) -81110770: dc000017 ldw r16,0(sp) -81110774: dec00404 addi sp,sp,16 -81110778: 1109a8c1 jmpi 81109a8c -8111077c: 88803417 ldw r2,208(r17) -81110780: 00c00144 movi r3,5 -81110784: 10bffe84 addi r2,r2,-6 -81110788: 18bfbc36 bltu r3,r2,8111067c <__reset+0xfb0f067c> -8111078c: 88803317 ldw r2,204(r17) -81110790: 00c00044 movi r3,1 -81110794: 88c02b15 stw r3,172(r17) -81110798: 00c009c4 movi r3,39 -8111079c: 88c03115 stw r3,196(r17) -811107a0: 88803515 stw r2,212(r17) -811107a4: 003f4606 br 811104c0 <__reset+0xfb0f04c0> -811107a8: 8c00b304 addi r16,r17,716 -811107ac: 8009883a mov r4,r16 -811107b0: 11023f00 call 811023f0 -811107b4: 04800044 movi r18,1 -811107b8: 8c80c515 stw r18,788(r17) -811107bc: 8009883a mov r4,r16 -811107c0: 11023d00 call 811023d0 -811107c4: 00a04634 movhi r2,33048 -811107c8: 108f0804 addi r2,r2,15392 -811107cc: 10800803 ldbu r2,32(r2) -811107d0: 00c001c4 movi r3,7 -811107d4: 18bf3a36 bltu r3,r2,811104c0 <__reset+0xfb0f04c0> -811107d8: 01204574 movhi r4,33045 -811107dc: d1e07917 ldw r7,-32284(gp) -811107e0: 21230604 addi r4,r4,-29672 -811107e4: 01800644 movi r6,25 -811107e8: 003fb406 br 811106bc <__reset+0xfb0f06bc> -811107ec: 88803417 ldw r2,208(r17) -811107f0: 04000044 movi r16,1 -811107f4: 10bfff04 addi r2,r2,-4 -811107f8: 8080232e bgeu r16,r2,81110888 -811107fc: 8c80b304 addi r18,r17,716 -81110800: 9009883a mov r4,r18 -81110804: 11023f00 call 811023f0 -81110808: 9009883a mov r4,r18 -8111080c: 8c00c515 stw r16,788(r17) -81110810: 11023d00 call 811023d0 -81110814: 00a04634 movhi r2,33048 -81110818: 108f0804 addi r2,r2,15392 -8111081c: 10800803 ldbu r2,32(r2) -81110820: 00c001c4 movi r3,7 -81110824: 18bf2636 bltu r3,r2,811104c0 <__reset+0xfb0f04c0> -81110828: 01204574 movhi r4,33045 -8111082c: d1e07917 ldw r7,-32284(gp) -81110830: 21234c04 addi r4,r4,-29392 -81110834: 01800d84 movi r6,54 -81110838: 800b883a mov r5,r16 -8111083c: 003fa006 br 811106c0 <__reset+0xfb0f06c0> -81110840: 8c00b304 addi r16,r17,716 -81110844: 8009883a mov r4,r16 -81110848: 11023f00 call 811023f0 -8111084c: 00800044 movi r2,1 -81110850: 8880c515 stw r2,788(r17) -81110854: 8009883a mov r4,r16 -81110858: 11023d00 call 811023d0 -8111085c: 00a04634 movhi r2,33048 -81110860: 108f0804 addi r2,r2,15392 -81110864: 10800803 ldbu r2,32(r2) -81110868: 00c001c4 movi r3,7 -8111086c: 18bf1436 bltu r3,r2,811104c0 <__reset+0xfb0f04c0> -81110870: 8880ab17 ldw r2,684(r17) -81110874: 01604574 movhi r5,33045 -81110878: 29630d04 addi r5,r5,-29644 -8111087c: d1207917 ldw r4,-32284(gp) -81110880: 11804003 ldbu r6,256(r2) -81110884: 003f7706 br 81110664 <__reset+0xfb0f0664> -81110888: 88803317 ldw r2,204(r17) -8111088c: 00c009c4 movi r3,39 -81110890: 8c002b15 stw r16,172(r17) -81110894: 88c03115 stw r3,196(r17) -81110898: 88803515 stw r2,212(r17) -8111089c: 003f0806 br 811104c0 <__reset+0xfb0f04c0> - -811108a0 : -811108a0: 21800003 ldbu r6,0(r4) -811108a4: 2806d63a srli r3,r5,24 -811108a8: 30800444 addi r2,r6,17 -811108ac: 18800126 beq r3,r2,811108b4 -811108b0: f800283a ret -811108b4: 2804d43a srli r2,r5,16 -811108b8: 00c01d84 movi r3,118 -811108bc: 10803fcc andi r2,r2,255 -811108c0: 10c0d826 beq r2,r3,81110c24 -811108c4: defffc04 addi sp,sp,-16 -811108c8: dc400115 stw r17,4(sp) -811108cc: dc000015 stw r16,0(sp) -811108d0: dfc00315 stw ra,12(sp) -811108d4: dc800215 stw r18,8(sp) -811108d8: 2821883a mov r16,r5 -811108dc: 2023883a mov r17,r4 -811108e0: 1880202e bgeu r3,r2,81110964 -811108e4: 00c03884 movi r3,226 -811108e8: 18803336 bltu r3,r2,811109b8 -811108ec: 00c03804 movi r3,224 -811108f0: 10c0ad2e bgeu r2,r3,81110ba8 -811108f4: 00c02844 movi r3,161 -811108f8: 10c06126 beq r2,r3,81110a80 -811108fc: 00c028c4 movi r3,163 -81110900: 10c0541e bne r2,r3,81110a54 -81110904: 00c00304 movi r3,12 -81110908: 00800344 movi r2,13 -8111090c: 2400b304 addi r16,r4,716 -81110910: 88c03315 stw r3,204(r17) -81110914: 00c00084 movi r3,2 -81110918: 8009883a mov r4,r16 -8111091c: 88002b15 stw zero,172(r17) -81110920: 88c03415 stw r3,208(r17) -81110924: 88803515 stw r2,212(r17) -81110928: 88803115 stw r2,196(r17) -8111092c: 11023980 call 81102398 -81110930: 00800044 movi r2,1 -81110934: 8009883a mov r4,r16 -81110938: 8880c315 stw r2,780(r17) -8111093c: 11023600 call 81102360 -81110940: 89800003 ldbu r6,0(r17) -81110944: 000b883a mov r5,zero -81110948: 01002044 movi r4,129 -8111094c: dfc00317 ldw ra,12(sp) -81110950: dc800217 ldw r18,8(sp) -81110954: dc400117 ldw r17,4(sp) -81110958: dc000017 ldw r16,0(sp) -8111095c: dec00404 addi sp,sp,16 -81110960: 1109a8c1 jmpi 81109a8c -81110964: 00c00104 movi r3,4 -81110968: 10c05026 beq r2,r3,81110aac -8111096c: 18802736 bltu r3,r2,81110a0c -81110970: 00c00044 movi r3,1 -81110974: 10c04226 beq r2,r3,81110a80 -81110978: 010000c4 movi r4,3 -8111097c: 1100351e bne r2,r4,81110a54 -81110980: 88803417 ldw r2,208(r17) -81110984: 10bfff04 addi r2,r2,-4 -81110988: 1880c22e bgeu r3,r2,81110c94 -8111098c: 00a04634 movhi r2,33048 -81110990: 108f0804 addi r2,r2,15392 -81110994: 10800803 ldbu r2,32(r2) -81110998: 00c001c4 movi r3,7 -8111099c: 1880aa2e bgeu r3,r2,81110c48 -811109a0: dfc00317 ldw ra,12(sp) -811109a4: dc800217 ldw r18,8(sp) -811109a8: dc400117 ldw r17,4(sp) -811109ac: dc000017 ldw r16,0(sp) -811109b0: dec00404 addi sp,sp,16 -811109b4: f800283a ret -811109b8: 00c03a04 movi r3,232 -811109bc: 10c04626 beq r2,r3,81110ad8 -811109c0: 00c03c04 movi r3,240 -811109c4: 10c02b1e bne r2,r3,81110a74 -811109c8: 00a04634 movhi r2,33048 -811109cc: 108f0804 addi r2,r2,15392 -811109d0: 10800803 ldbu r2,32(r2) -811109d4: 00c00104 movi r3,4 -811109d8: 18800436 bltu r3,r2,811109ec -811109dc: d1207917 ldw r4,-32284(gp) -811109e0: 01604574 movhi r5,33045 -811109e4: 29633304 addi r5,r5,-29492 -811109e8: 112b5e00 call 8112b5e0 -811109ec: 800b883a mov r5,r16 -811109f0: 8809883a mov r4,r17 -811109f4: dfc00317 ldw ra,12(sp) -811109f8: dc800217 ldw r18,8(sp) -811109fc: dc400117 ldw r17,4(sp) -81110a00: dc000017 ldw r16,0(sp) -81110a04: dec00404 addi sp,sp,16 -81110a08: 11102b01 jmpi 811102b0 -81110a0c: 00c00304 movi r3,12 -81110a10: 18800e36 bltu r3,r2,81110a4c -81110a14: 00a04634 movhi r2,33048 -81110a18: 108f0804 addi r2,r2,15392 -81110a1c: 10800803 ldbu r2,32(r2) -81110a20: 00c001c4 movi r3,7 -81110a24: 18bfde36 bltu r3,r2,811109a0 <__reset+0xfb0f09a0> -81110a28: 01604574 movhi r5,33045 -81110a2c: 29629e04 addi r5,r5,-30088 -81110a30: d1207917 ldw r4,-32284(gp) -81110a34: dfc00317 ldw ra,12(sp) -81110a38: dc800217 ldw r18,8(sp) -81110a3c: dc400117 ldw r17,4(sp) -81110a40: dc000017 ldw r16,0(sp) -81110a44: dec00404 addi sp,sp,16 -81110a48: 112b5e01 jmpi 8112b5e0 -81110a4c: 00c01c44 movi r3,113 -81110a50: 10ffd326 beq r2,r3,811109a0 <__reset+0xfb0f09a0> -81110a54: 00a04634 movhi r2,33048 -81110a58: 108f0804 addi r2,r2,15392 -81110a5c: 10800803 ldbu r2,32(r2) -81110a60: 00c001c4 movi r3,7 -81110a64: 18bfce36 bltu r3,r2,811109a0 <__reset+0xfb0f09a0> -81110a68: 01604574 movhi r5,33045 -81110a6c: 2964b004 addi r5,r5,-27968 -81110a70: 003fef06 br 81110a30 <__reset+0xfb0f0a30> -81110a74: 00c03904 movi r3,228 -81110a78: 10fff61e bne r2,r3,81110a54 <__reset+0xfb0f0a54> -81110a7c: 003fc806 br 811109a0 <__reset+0xfb0f09a0> -81110a80: 00800044 movi r2,1 -81110a84: 8c00b304 addi r16,r17,716 -81110a88: 88803415 stw r2,208(r17) -81110a8c: 00800304 movi r2,12 -81110a90: 8009883a mov r4,r16 -81110a94: 88002b15 stw zero,172(r17) -81110a98: 88803115 stw r2,196(r17) -81110a9c: 11023980 call 81102398 -81110aa0: 8009883a mov r4,r16 -81110aa4: 8800c315 stw zero,780(r17) -81110aa8: 003fa406 br 8111093c <__reset+0xfb0f093c> -81110aac: 20803417 ldw r2,208(r4) -81110ab0: 00c00144 movi r3,5 -81110ab4: 10bffe84 addi r2,r2,-6 -81110ab8: 18bfb436 bltu r3,r2,8111098c <__reset+0xfb0f098c> -81110abc: 20803317 ldw r2,204(r4) -81110ac0: 00c00044 movi r3,1 -81110ac4: 20c02b15 stw r3,172(r4) -81110ac8: 00c009c4 movi r3,39 -81110acc: 20c03115 stw r3,196(r4) -81110ad0: 20803515 stw r2,212(r4) -81110ad4: 003fb206 br 811109a0 <__reset+0xfb0f09a0> -81110ad8: 20812217 ldw r2,1160(r4) -81110adc: 10000a1e bne r2,zero,81110b08 -81110ae0: 20812317 ldw r2,1164(r4) -81110ae4: 1000081e bne r2,zero,81110b08 -81110ae8: 20812617 ldw r2,1176(r4) -81110aec: 1000061e bne r2,zero,81110b08 -81110af0: 20812417 ldw r2,1168(r4) -81110af4: 1000041e bne r2,zero,81110b08 -81110af8: 20812717 ldw r2,1180(r4) -81110afc: 1000021e bne r2,zero,81110b08 -81110b00: 20812517 ldw r2,1172(r4) -81110b04: 10001526 beq r2,zero,81110b5c -81110b08: 8c012217 ldw r16,1160(r17) -81110b0c: 00800044 movi r2,1 -81110b10: 8080a126 beq r16,r2,81110d98 -81110b14: 8c012317 ldw r16,1164(r17) -81110b18: 00800044 movi r2,1 -81110b1c: 8080dc26 beq r16,r2,81110e90 -81110b20: 8c012617 ldw r16,1176(r17) -81110b24: 00800044 movi r2,1 -81110b28: 8080c026 beq r16,r2,81110e2c -81110b2c: 88c12717 ldw r3,1180(r17) -81110b30: 00800044 movi r2,1 -81110b34: 1880b226 beq r3,r2,81110e00 -81110b38: 88c12417 ldw r3,1168(r17) -81110b3c: 00800044 movi r2,1 -81110b40: 18806a26 beq r3,r2,81110cec -81110b44: 88c12517 ldw r3,1172(r17) -81110b48: 00800044 movi r2,1 -81110b4c: 1880031e bne r3,r2,81110b5c -81110b50: 88012515 stw zero,1172(r17) -81110b54: 88813317 ldw r2,1228(r17) -81110b58: 88800c15 stw r2,48(r17) -81110b5c: 8c042d17 ldw r16,4276(r17) -81110b60: 00800044 movi r2,1 -81110b64: 80805126 beq r16,r2,81110cac -81110b68: 8c042e17 ldw r16,4280(r17) -81110b6c: 00800044 movi r2,1 -81110b70: 80803826 beq r16,r2,81110c54 -81110b74: 88c1ab17 ldw r3,1708(r17) -81110b78: 00800044 movi r2,1 -81110b7c: 18bf881e bne r3,r2,811109a0 <__reset+0xfb0f09a0> -81110b80: 8900b304 addi r4,r17,716 -81110b84: 1102d340 call 81102d34 -81110b88: 10006d26 beq r2,zero,81110d40 -81110b8c: 00a04634 movhi r2,33048 -81110b90: 108f0804 addi r2,r2,15392 -81110b94: 10800803 ldbu r2,32(r2) -81110b98: 00c001c4 movi r3,7 -81110b9c: 1880d62e bgeu r3,r2,81110ef8 -81110ba0: 8801ab15 stw zero,1708(r17) -81110ba4: 003f7e06 br 811109a0 <__reset+0xfb0f09a0> -81110ba8: 00a04634 movhi r2,33048 -81110bac: 108f0804 addi r2,r2,15392 -81110bb0: 10800803 ldbu r2,32(r2) -81110bb4: 00c001c4 movi r3,7 -81110bb8: 18800936 bltu r3,r2,81110be0 -81110bbc: d1207917 ldw r4,-32284(gp) -81110bc0: 01604574 movhi r5,33045 -81110bc4: 29649404 addi r5,r5,-28080 -81110bc8: 112b5e00 call 8112b5e0 -81110bcc: 89800003 ldbu r6,0(r17) -81110bd0: d1207917 ldw r4,-32284(gp) -81110bd4: 01604574 movhi r5,33045 -81110bd8: 29639c04 addi r5,r5,-29072 -81110bdc: 112b5e00 call 8112b5e0 -81110be0: 00800044 movi r2,1 -81110be4: 8c00b304 addi r16,r17,716 -81110be8: 88803415 stw r2,208(r17) -81110bec: 00800304 movi r2,12 -81110bf0: 8009883a mov r4,r16 -81110bf4: 88002b15 stw zero,172(r17) -81110bf8: 88003315 stw zero,204(r17) -81110bfc: 88803115 stw r2,196(r17) -81110c00: 11023980 call 81102398 -81110c04: 8009883a mov r4,r16 -81110c08: 8800c315 stw zero,780(r17) -81110c0c: dfc00317 ldw ra,12(sp) -81110c10: dc800217 ldw r18,8(sp) -81110c14: dc400117 ldw r17,4(sp) -81110c18: dc000017 ldw r16,0(sp) -81110c1c: dec00404 addi sp,sp,16 -81110c20: 11023601 jmpi 81102360 -81110c24: 00a04634 movhi r2,33048 -81110c28: 108f0804 addi r2,r2,15392 -81110c2c: 10800803 ldbu r2,32(r2) -81110c30: 00c001c4 movi r3,7 -81110c34: 18bf1e36 bltu r3,r2,811108b0 <__reset+0xfb0f08b0> -81110c38: d1207917 ldw r4,-32284(gp) -81110c3c: 01604574 movhi r5,33045 -81110c40: 29636d04 addi r5,r5,-29260 -81110c44: 112b5e01 jmpi 8112b5e0 -81110c48: 01604574 movhi r5,33045 -81110c4c: 29635a04 addi r5,r5,-29336 -81110c50: 003f7706 br 81110a30 <__reset+0xfb0f0a30> -81110c54: 8c80b304 addi r18,r17,716 -81110c58: 9009883a mov r4,r18 -81110c5c: 11027800 call 81102780 -81110c60: 8940fe17 ldw r5,1016(r17) -81110c64: 2c009b26 beq r5,r16,81110ed4 -81110c68: 01400044 movi r5,1 -81110c6c: 9009883a mov r4,r18 -81110c70: 1102a080 call 81102a08 -81110c74: 10002726 beq r2,zero,81110d14 -81110c78: 00a04634 movhi r2,33048 -81110c7c: 108f0804 addi r2,r2,15392 -81110c80: 10800803 ldbu r2,32(r2) -81110c84: 00c001c4 movi r3,7 -81110c88: 18808c2e bgeu r3,r2,81110ebc -81110c8c: 88042e15 stw zero,4280(r17) -81110c90: 003fb806 br 81110b74 <__reset+0xfb0f0b74> -81110c94: 88803317 ldw r2,204(r17) -81110c98: 88c02b15 stw r3,172(r17) -81110c9c: 00c009c4 movi r3,39 -81110ca0: 88c03115 stw r3,196(r17) -81110ca4: 88803515 stw r2,212(r17) -81110ca8: 003f3d06 br 811109a0 <__reset+0xfb0f09a0> -81110cac: 8c80b304 addi r18,r17,716 -81110cb0: 9009883a mov r4,r18 -81110cb4: 11027100 call 81102710 -81110cb8: 8880ef17 ldw r2,956(r17) -81110cbc: 14009a26 beq r2,r16,81110f28 -81110cc0: 000b883a mov r5,zero -81110cc4: 9009883a mov r4,r18 -81110cc8: 1102a080 call 81102a08 -81110ccc: 10002726 beq r2,zero,81110d6c -81110cd0: 00a04634 movhi r2,33048 -81110cd4: 108f0804 addi r2,r2,15392 -81110cd8: 10800803 ldbu r2,32(r2) -81110cdc: 00c001c4 movi r3,7 -81110ce0: 18808b2e bgeu r3,r2,81110f10 -81110ce4: 88042d15 stw zero,4276(r17) -81110ce8: 003f9f06 br 81110b68 <__reset+0xfb0f0b68> -81110cec: 88012415 stw zero,1168(r17) -81110cf0: 89415543 ldbu r5,1365(r17) -81110cf4: 89015583 ldbu r4,1366(r17) -81110cf8: 88c155c3 ldbu r3,1367(r17) -81110cfc: 88815603 ldbu r2,1368(r17) -81110d00: 89402e45 stb r5,185(r17) -81110d04: 89002e85 stb r4,186(r17) -81110d08: 88c02ec5 stb r3,187(r17) -81110d0c: 88802f05 stb r2,188(r17) -81110d10: 003f8c06 br 81110b44 <__reset+0xfb0f0b44> -81110d14: 00a04634 movhi r2,33048 -81110d18: 108f0804 addi r2,r2,15392 -81110d1c: 10800803 ldbu r2,32(r2) -81110d20: 00c001c4 movi r3,7 -81110d24: 18bfd936 bltu r3,r2,81110c8c <__reset+0xfb0f0c8c> -81110d28: 89800003 ldbu r6,0(r17) -81110d2c: d1207917 ldw r4,-32284(gp) -81110d30: 01604574 movhi r5,33045 -81110d34: 29626a04 addi r5,r5,-30296 -81110d38: 112b5e00 call 8112b5e0 -81110d3c: 003fd306 br 81110c8c <__reset+0xfb0f0c8c> -81110d40: 00a04634 movhi r2,33048 -81110d44: 108f0804 addi r2,r2,15392 -81110d48: 10800803 ldbu r2,32(r2) -81110d4c: 00c001c4 movi r3,7 -81110d50: 18bf9336 bltu r3,r2,81110ba0 <__reset+0xfb0f0ba0> -81110d54: 89800003 ldbu r6,0(r17) -81110d58: d1207917 ldw r4,-32284(gp) -81110d5c: 01604574 movhi r5,33045 -81110d60: 29628d04 addi r5,r5,-30156 -81110d64: 112b5e00 call 8112b5e0 -81110d68: 003f8d06 br 81110ba0 <__reset+0xfb0f0ba0> -81110d6c: 00a04634 movhi r2,33048 -81110d70: 108f0804 addi r2,r2,15392 -81110d74: 10800803 ldbu r2,32(r2) -81110d78: 00c001c4 movi r3,7 -81110d7c: 18bfd936 bltu r3,r2,81110ce4 <__reset+0xfb0f0ce4> -81110d80: 89800003 ldbu r6,0(r17) -81110d84: d1207917 ldw r4,-32284(gp) -81110d88: 01604574 movhi r5,33045 -81110d8c: 29624404 addi r5,r5,-30448 -81110d90: 112b5e00 call 8112b5e0 -81110d94: 003fd306 br 81110ce4 <__reset+0xfb0f0ce4> -81110d98: 88012215 stw zero,1160(r17) -81110d9c: 88c13017 ldw r3,1216(r17) -81110da0: 88813117 ldw r2,1220(r17) -81110da4: 8c80b304 addi r18,r17,716 -81110da8: 88c00915 stw r3,36(r17) -81110dac: 9009883a mov r4,r18 -81110db0: 88800a15 stw r2,40(r17) -81110db4: 11023980 call 81102398 -81110db8: 89413017 ldw r5,1216(r17) -81110dbc: 89013117 ldw r4,1220(r17) -81110dc0: 88813117 ldw r2,1220(r17) -81110dc4: 88c04c0b ldhu r3,304(r17) -81110dc8: 8940b815 stw r5,736(r17) -81110dcc: 8900b915 stw r4,740(r17) -81110dd0: 10800044 addi r2,r2,1 -81110dd4: 1880422e bgeu r3,r2,81110ee0 -81110dd8: 88813117 ldw r2,1220(r17) -81110ddc: 193fffc4 addi r4,r3,-1 -81110de0: 8900ba15 stw r4,744(r17) -81110de4: 10c5c83a sub r2,r2,r3 -81110de8: 8880bb15 stw r2,748(r17) -81110dec: 8c00be15 stw r16,760(r17) -81110df0: 8c00bf15 stw r16,764(r17) -81110df4: 9009883a mov r4,r18 -81110df8: 11023600 call 81102360 -81110dfc: 003f4506 br 81110b14 <__reset+0xfb0f0b14> -81110e00: 8c006404 addi r16,r17,400 -81110e04: 8009883a mov r4,r16 -81110e08: 88012715 stw zero,1180(r17) -81110e0c: 1103bd00 call 81103bd0 -81110e10: 88c17217 ldw r3,1480(r17) -81110e14: 88817317 ldw r2,1484(r17) -81110e18: 8009883a mov r4,r16 -81110e1c: 88c06b15 stw r3,428(r17) -81110e20: 88806c15 stw r2,432(r17) -81110e24: 1103c380 call 81103c38 -81110e28: 003f4306 br 81110b38 <__reset+0xfb0f0b38> -81110e2c: 8c80b304 addi r18,r17,716 -81110e30: 9009883a mov r4,r18 -81110e34: 88012615 stw zero,1176(r17) -81110e38: 11023980 call 81102398 -81110e3c: 8881710b ldhu r2,1476(r17) -81110e40: 9009883a mov r4,r18 -81110e44: 8c806404 addi r18,r17,400 -81110e48: 10bfffcc andi r2,r2,65535 -81110e4c: 8880c015 stw r2,768(r17) -81110e50: 11023600 call 81102360 -81110e54: 89c1710b ldhu r7,1476(r17) -81110e58: 018006f4 movhi r6,27 -81110e5c: 9009883a mov r4,r18 -81110e60: 31b85204 addi r6,r6,-7864 -81110e64: 000b883a mov r5,zero -81110e68: 39ffffcc andi r7,r7,65535 -81110e6c: 1103d640 call 81103d64 -81110e70: 89c1710b ldhu r7,1476(r17) -81110e74: 018006f4 movhi r6,27 -81110e78: 31b85204 addi r6,r6,-7864 -81110e7c: 800b883a mov r5,r16 -81110e80: 39ffffcc andi r7,r7,65535 -81110e84: 9009883a mov r4,r18 -81110e88: 1103d640 call 81103d64 -81110e8c: 003f2706 br 81110b2c <__reset+0xfb0f0b2c> -81110e90: 8c80b304 addi r18,r17,716 -81110e94: 9009883a mov r4,r18 -81110e98: 11023980 call 81102398 -81110e9c: 88012315 stw zero,1164(r17) -81110ea0: 88817417 ldw r2,1488(r17) -81110ea4: 14002426 beq r2,r16,81110f38 -81110ea8: 88813017 ldw r2,1216(r17) -81110eac: 8880b815 stw r2,736(r17) -81110eb0: 9009883a mov r4,r18 -81110eb4: 11023600 call 81102360 -81110eb8: 003f1906 br 81110b20 <__reset+0xfb0f0b20> -81110ebc: 89800003 ldbu r6,0(r17) -81110ec0: d1207917 ldw r4,-32284(gp) -81110ec4: 01604574 movhi r5,33045 -81110ec8: 29625804 addi r5,r5,-30368 -81110ecc: 112b5e00 call 8112b5e0 -81110ed0: 003f6e06 br 81110c8c <__reset+0xfb0f0c8c> -81110ed4: 9009883a mov r4,r18 -81110ed8: 1102a900 call 81102a90 -81110edc: 003f6206 br 81110c68 <__reset+0xfb0f0c68> -81110ee0: 88813117 ldw r2,1220(r17) -81110ee4: 8800bb15 stw zero,748(r17) -81110ee8: 8c00be15 stw r16,760(r17) -81110eec: 8880ba15 stw r2,744(r17) -81110ef0: 8800bf15 stw zero,764(r17) -81110ef4: 003fbf06 br 81110df4 <__reset+0xfb0f0df4> -81110ef8: 89800003 ldbu r6,0(r17) -81110efc: d1207917 ldw r4,-32284(gp) -81110f00: 01604574 movhi r5,33045 -81110f04: 29627e04 addi r5,r5,-30216 -81110f08: 112b5e00 call 8112b5e0 -81110f0c: 003f2406 br 81110ba0 <__reset+0xfb0f0ba0> -81110f10: 89800003 ldbu r6,0(r17) -81110f14: d1207917 ldw r4,-32284(gp) -81110f18: 01604574 movhi r5,33045 -81110f1c: 29623204 addi r5,r5,-30520 -81110f20: 112b5e00 call 8112b5e0 -81110f24: 003f6f06 br 81110ce4 <__reset+0xfb0f0ce4> -81110f28: 000b883a mov r5,zero -81110f2c: 9009883a mov r4,r18 -81110f30: 1102a900 call 81102a90 -81110f34: 003f6206 br 81110cc0 <__reset+0xfb0f0cc0> -81110f38: 8800b815 stw zero,736(r17) -81110f3c: 003fdc06 br 81110eb0 <__reset+0xfb0f0eb0> - -81110f40 : -81110f40: deffe104 addi sp,sp,-124 -81110f44: dc401615 stw r17,88(sp) -81110f48: 04604634 movhi r17,33048 -81110f4c: 8c4f0804 addi r17,r17,15392 -81110f50: 88800803 ldbu r2,32(r17) -81110f54: df001d15 stw fp,116(sp) -81110f58: dfc01e15 stw ra,120(sp) -81110f5c: ddc01c15 stw r23,112(sp) -81110f60: dd801b15 stw r22,108(sp) -81110f64: dd401a15 stw r21,104(sp) -81110f68: dd001915 stw r20,100(sp) -81110f6c: dcc01815 stw r19,96(sp) -81110f70: dc801715 stw r18,92(sp) -81110f74: dc001515 stw r16,84(sp) -81110f78: 00c00104 movi r3,4 -81110f7c: 2039883a mov fp,r4 -81110f80: 1886c52e bgeu r3,r2,81112a98 -81110f84: 04204474 movhi r16,33041 -81110f88: 05204634 movhi r20,33048 -81110f8c: 8403f004 addi r16,r16,4032 -81110f90: a5240504 addi r20,r20,-28652 -81110f94: e480b304 addi r18,fp,716 -81110f98: e5406404 addi r21,fp,400 -81110f9c: e5c04f04 addi r23,fp,316 -81110fa0: e4c09104 addi r19,fp,580 -81110fa4: e0803117 ldw r2,196(fp) -81110fa8: 00c00a44 movi r3,41 -81110fac: 18803f36 bltu r3,r2,811110ac -81110fb0: 100490ba slli r2,r2,2 -81110fb4: 1405883a add r2,r2,r16 -81110fb8: 10800017 ldw r2,0(r2) -81110fbc: 1000683a jmp r2 -81110fc0: 811118e0 cmpeqi r4,r16,17507 -81110fc4: 811118a8 cmpgeui r4,r16,17506 -81110fc8: 81111870 cmpltui r4,r16,17505 -81110fcc: 81111e78 rdprs r4,r16,17529 -81110fd0: 811110ac andhi r4,r16,17474 -81110fd4: 811110ac andhi r4,r16,17474 -81110fd8: 811110ac andhi r4,r16,17474 -81110fdc: 811110ac andhi r4,r16,17474 -81110fe0: 811110ac andhi r4,r16,17474 -81110fe4: 811110ac andhi r4,r16,17474 -81110fe8: 811110ac andhi r4,r16,17474 -81110fec: 811110ac andhi r4,r16,17474 -81110ff0: 81111c8c andi r4,r16,17522 -81110ff4: 81111624 muli r4,r16,17496 -81110ff8: 81111544 addi r4,r16,17493 -81110ffc: 81111e38 rdprs r4,r16,17528 -81111000: 811110ac andhi r4,r16,17474 -81111004: 81111c00 call 881111c0 <__reset+0x20f11c0> -81111008: 811110ac andhi r4,r16,17474 -8111100c: 81111c4c andi r4,r16,17521 -81111010: 811110ac andhi r4,r16,17474 -81111014: 81111768 cmpgeui r4,r16,17501 -81111018: 811110ac andhi r4,r16,17474 -8111101c: 81111b28 cmpgeui r4,r16,17516 -81111020: 811110ac andhi r4,r16,17474 -81111024: 81111ad0 cmplti r4,r16,17515 -81111028: 811110ac andhi r4,r16,17474 -8111102c: 81111b68 cmpgeui r4,r16,17517 -81111030: 811110ac andhi r4,r16,17474 -81111034: 81111494 ori r4,r16,17490 -81111038: 811110ac andhi r4,r16,17474 -8111103c: 81111ba8 cmpgeui r4,r16,17518 -81111040: 811117c4 addi r4,r16,17503 -81111044: 81111a9c xori r4,r16,17514 -81111048: 81111514 ori r4,r16,17492 -8111104c: 81111730 cmpltui r4,r16,17500 -81111050: 81111430 cmpltui r4,r16,17488 -81111054: 811113b4 orhi r4,r16,17486 -81111058: 8111123c xorhi r4,r16,17480 -8111105c: 81111124 muli r4,r16,17476 -81111060: 811110d8 cmpnei r4,r16,17475 -81111064: 81111068 cmpgeui r4,r16,17473 -81111068: 88800803 ldbu r2,32(r17) -8111106c: 00c00104 movi r3,4 -81111070: 18846e2e bgeu r3,r2,8111222c -81111074: d8000215 stw zero,8(sp) -81111078: e009883a mov r4,fp -8111107c: d8000315 stw zero,12(sp) -81111080: 1125ea00 call 81125ea0 -81111084: 00a04634 movhi r2,33048 -81111088: 108ee304 addi r2,r2,15244 -8111108c: 10c00417 ldw r3,16(r2) -81111090: 00800044 movi r2,1 -81111094: 18828b26 beq r3,r2,81111ac4 -81111098: 008008c4 movi r2,35 -8111109c: e0803115 stw r2,196(fp) -811110a0: e0803117 ldw r2,196(fp) -811110a4: 00c00a44 movi r3,41 -811110a8: 18bfc12e bgeu r3,r2,81110fb0 <__reset+0xfb0f0fb0> -811110ac: 88800803 ldbu r2,32(r17) -811110b0: 00c00304 movi r3,12 -811110b4: e0c03115 stw r3,196(fp) -811110b8: 00c001c4 movi r3,7 -811110bc: 18bfb936 bltu r3,r2,81110fa4 <__reset+0xfb0f0fa4> -811110c0: e1800003 ldbu r6,0(fp) -811110c4: d1207917 ldw r4,-32284(gp) -811110c8: 01604574 movhi r5,33045 -811110cc: 29661804 addi r5,r5,-26528 -811110d0: 112b5e00 call 8112b5e0 -811110d4: 003fb306 br 81110fa4 <__reset+0xfb0f0fa4> -811110d8: e0800003 ldbu r2,0(fp) -811110dc: d9800e44 addi r6,sp,57 -811110e0: 000b883a mov r5,zero -811110e4: 1085883a add r2,r2,r2 -811110e8: 1085883a add r2,r2,r2 -811110ec: a085883a add r2,r20,r2 -811110f0: 11000017 ldw r4,0(r2) -811110f4: 1142cd40 call 81142cd4 -811110f8: d8c00e43 ldbu r3,57(sp) -811110fc: 18045626 beq r3,zero,81112258 -81111100: 88800803 ldbu r2,32(r17) -81111104: 00c001c4 movi r3,7 -81111108: 18bfa636 bltu r3,r2,81110fa4 <__reset+0xfb0f0fa4> -8111110c: e1800003 ldbu r6,0(fp) -81111110: d1207917 ldw r4,-32284(gp) -81111114: 01604574 movhi r5,33045 -81111118: 2964da04 addi r5,r5,-27800 -8111111c: 112b5e00 call 8112b5e0 -81111120: 003fa006 br 81110fa4 <__reset+0xfb0f0fa4> -81111124: e0800003 ldbu r2,0(fp) -81111128: d9800e44 addi r6,sp,57 -8111112c: 000b883a mov r5,zero -81111130: 1085883a add r2,r2,r2 -81111134: 1085883a add r2,r2,r2 -81111138: a085883a add r2,r20,r2 -8111113c: 11000017 ldw r4,0(r2) -81111140: 1142cd40 call 81142cd4 -81111144: d8c00e43 ldbu r3,57(sp) -81111148: 183fed1e bne r3,zero,81111100 <__reset+0xfb0f1100> -8111114c: 1006d43a srli r3,r2,16 -81111150: 010023c4 movi r4,143 -81111154: 18c03fcc andi r3,r3,255 -81111158: 1905201e bne r3,r4,811125dc -8111115c: d8c00b03 ldbu r3,44(sp) -81111160: 102cd23a srli r22,r2,8 -81111164: 18803fcc andi r2,r3,255 -81111168: b5803fcc andi r22,r22,255 -8111116c: 1005691e bne r2,zero,81112714 -81111170: b08002c4 addi r2,r22,11 -81111174: 1085883a add r2,r2,r2 -81111178: d8c00104 addi r3,sp,4 -8111117c: 1085883a add r2,r2,r2 -81111180: 1885883a add r2,r3,r2 -81111184: 10c00017 ldw r3,0(r2) -81111188: e0800043 ldbu r2,1(fp) -8111118c: d9800817 ldw r6,32(sp) -81111190: 19400217 ldw r5,8(r3) -81111194: b00f883a mov r7,r22 -81111198: d8800015 stw r2,0(sp) -8111119c: 0009883a mov r4,zero -811111a0: 1101ed80 call 81101ed8 -811111a4: b0c00044 addi r3,r22,1 -811111a8: 18c7883a add r3,r3,r3 -811111ac: 18c7883a add r3,r3,r3 -811111b0: d9000104 addi r4,sp,4 -811111b4: 20c7883a add r3,r4,r3 -811111b8: 18800015 stw r2,0(r3) -811111bc: 18800017 ldw r2,0(r3) -811111c0: 88c00803 ldbu r3,32(r17) -811111c4: 1000021e bne r2,zero,811111d0 -811111c8: 008001c4 movi r2,7 -811111cc: 10c5c02e bgeu r2,r3,811128d0 -811111d0: d8800217 ldw r2,8(sp) -811111d4: 01000044 movi r4,1 -811111d8: 11059526 beq r2,r4,81112830 -811111dc: b0800044 addi r2,r22,1 -811111e0: 1085883a add r2,r2,r2 -811111e4: 1085883a add r2,r2,r2 -811111e8: d9000104 addi r4,sp,4 -811111ec: 2085883a add r2,r4,r2 -811111f0: 10800017 ldw r2,0(r2) -811111f4: 10055d1e bne r2,zero,8111276c -811111f8: 01c001c4 movi r7,7 -811111fc: 38c5cd2e bgeu r7,r3,81112934 -81111200: d8800e03 ldbu r2,56(sp) -81111204: 00c00244 movi r3,9 -81111208: 10803fcc andi r2,r2,255 -8111120c: 18859b2e bgeu r3,r2,8111287c -81111210: 00800304 movi r2,12 -81111214: e0803115 stw r2,196(fp) -81111218: 00800044 movi r2,1 -8111121c: e0002b15 stw zero,172(fp) -81111220: e0003315 stw zero,204(fp) -81111224: e0803415 stw r2,208(fp) -81111228: d8000e05 stb zero,56(sp) -8111122c: d8800e03 ldbu r2,56(sp) -81111230: 10800044 addi r2,r2,1 -81111234: d8800e05 stb r2,56(sp) -81111238: 003f5a06 br 81110fa4 <__reset+0xfb0f0fa4> -8111123c: 00a04634 movhi r2,33048 -81111240: 108ee304 addi r2,r2,15244 -81111244: 10c00217 ldw r3,8(r2) -81111248: 00800044 movi r2,1 -8111124c: 1884ef26 beq r3,r2,8111260c -81111250: e009883a mov r4,fp -81111254: 1125ea00 call 81125ea0 -81111258: e100ab17 ldw r4,684(fp) -8111125c: 00c00044 movi r3,1 -81111260: e0c02615 stw r3,152(fp) -81111264: 20801417 ldw r2,80(r4) -81111268: 014000c4 movi r5,3 -8111126c: 1144d226 beq r2,r5,811125b8 -81111270: 01000084 movi r4,2 -81111274: 1104e326 beq r2,r4,81112604 -81111278: d8c00a15 stw r3,40(sp) -8111127c: 01204634 movhi r4,33048 -81111280: 210ee304 addi r4,r4,15244 -81111284: 20c00503 ldbu r3,20(r4) -81111288: e1003817 ldw r4,224(fp) -8111128c: 00800044 movi r2,1 -81111290: 18c00044 addi r3,r3,1 -81111294: 18c000cc andi r3,r3,3 -81111298: 2084c426 beq r4,r2,811125ac -8111129c: e0c5883a add r2,fp,r3 -811112a0: 10802e43 ldbu r2,185(r2) -811112a4: d8800b45 stb r2,45(sp) -811112a8: d8800b43 ldbu r2,45(sp) -811112ac: 10803fcc andi r2,r2,255 -811112b0: 10800624 muli r2,r2,24 -811112b4: 10800d04 addi r2,r2,52 -811112b8: e085883a add r2,fp,r2 -811112bc: d8800c15 stw r2,48(sp) -811112c0: d8800b43 ldbu r2,45(sp) -811112c4: 10803fcc andi r2,r2,255 -811112c8: 10800624 muli r2,r2,24 -811112cc: 10801004 addi r2,r2,64 -811112d0: e085883a add r2,fp,r2 -811112d4: d8800d15 stw r2,52(sp) -811112d8: d9800c17 ldw r6,48(sp) -811112dc: d9000c17 ldw r4,48(sp) -811112e0: d9400d17 ldw r5,52(sp) -811112e4: d8800d17 ldw r2,52(sp) -811112e8: 21000017 ldw r4,0(r4) -811112ec: 10800017 ldw r2,0(r2) -811112f0: 21008004 addi r4,r4,512 -811112f4: 31000215 stw r4,8(r6) -811112f8: 10808004 addi r2,r2,512 -811112fc: 28800215 stw r2,8(r5) -81111300: e0802d17 ldw r2,180(fp) -81111304: 10800003 ldbu r2,0(r2) -81111308: 1803641e bne r3,zero,8111209c -8111130c: 10800044 addi r2,r2,1 -81111310: 1080004c andi r2,r2,1 -81111314: d8800b05 stb r2,44(sp) -81111318: d8800c17 ldw r2,48(sp) -8111131c: d9400b03 ldbu r5,44(sp) -81111320: e1800003 ldbu r6,0(fp) -81111324: 11000017 ldw r4,0(r2) -81111328: 29403fcc andi r5,r5,255 -8111132c: 11053340 call 81105334 -81111330: e0c00517 ldw r3,20(fp) -81111334: e0800417 ldw r2,16(fp) -81111338: d8000615 stw zero,24(sp) -8111133c: d8c00715 stw r3,28(sp) -81111340: a80b883a mov r5,r21 -81111344: e009883a mov r4,fp -81111348: d8800815 stw r2,32(sp) -8111134c: 110a1d80 call 8110a1d8 -81111350: 9009883a mov r4,r18 -81111354: 11023980 call 81102398 -81111358: a809883a mov r4,r21 -8111135c: 1103bd00 call 81103bd0 -81111360: d8c00b43 ldbu r3,45(sp) -81111364: e0803417 ldw r2,208(fp) -81111368: 18c03fcc andi r3,r3,255 -8111136c: e0c0c415 stw r3,784(fp) -81111370: 10bfff04 addi r2,r2,-4 -81111374: 00c001c4 movi r3,7 -81111378: 18850536 bltu r3,r2,81112790 -8111137c: 100490ba slli r2,r2,2 -81111380: 00e04474 movhi r3,33041 -81111384: 18c4e504 addi r3,r3,5012 -81111388: 10c5883a add r2,r2,r3 -8111138c: 10800017 ldw r2,0(r2) -81111390: 1000683a jmp r2 -81111394: 81111eb0 cmpltui r4,r16,17530 -81111398: 81111f70 cmpltui r4,r16,17533 -8111139c: 81111f48 cmpgei r4,r16,17533 -811113a0: 81111f7c xorhi r4,r16,17533 -811113a4: 81111f24 muli r4,r16,17532 -811113a8: 81111f3c xorhi r4,r16,17532 -811113ac: 81111f30 cmpltui r4,r16,17532 -811113b0: 81111f90 cmplti r4,r16,17534 -811113b4: e0800003 ldbu r2,0(fp) -811113b8: 1085883a add r2,r2,r2 -811113bc: 1085883a add r2,r2,r2 -811113c0: a085883a add r2,r20,r2 -811113c4: 11000017 ldw r4,0(r2) -811113c8: 1142c840 call 81142c84 -811113cc: d8800e45 stb r2,57(sp) -811113d0: 10803fcc andi r2,r2,255 -811113d4: 1003791e bne r2,zero,811121bc -811113d8: e1000043 ldbu r4,1(fp) -811113dc: 11096180 call 81109618 -811113e0: 89c0088b ldhu r7,34(r17) -811113e4: 00800084 movi r2,2 -811113e8: 11c0012e bgeu r2,r7,811113f0 -811113ec: 100f883a mov r7,r2 -811113f0: 000d883a mov r6,zero -811113f4: 000b883a mov r5,zero -811113f8: 0009883a mov r4,zero -811113fc: 114478c0 call 8114478c -81111400: e0c03817 ldw r3,224(fp) -81111404: 00800044 movi r2,1 -81111408: 1883aa26 beq r3,r2,811122b4 -8111140c: e0c03717 ldw r3,220(fp) -81111410: 1884e526 beq r3,r2,811127a8 -81111414: 01204634 movhi r4,33048 -81111418: 210ee304 addi r4,r4,15244 -8111141c: 20c00217 ldw r3,8(r4) -81111420: 1884f126 beq r3,r2,811127e8 -81111424: 00800984 movi r2,38 -81111428: e0803115 stw r2,196(fp) -8111142c: 003edd06 br 81110fa4 <__reset+0xfb0f0fa4> -81111430: 00e04634 movhi r3,33048 -81111434: 18cee304 addi r3,r3,15244 -81111438: 18800217 ldw r2,8(r3) -8111143c: 1001a126 beq r2,zero,81111ac4 -81111440: 01204634 movhi r4,33048 -81111444: 210ee304 addi r4,r4,15244 -81111448: 20c00317 ldw r3,12(r4) -8111144c: 00800044 movi r2,1 -81111450: 18819c26 beq r3,r2,81111ac4 -81111454: 20c00417 ldw r3,16(r4) -81111458: 18819a26 beq r3,r2,81111ac4 -8111145c: e0800003 ldbu r2,0(fp) -81111460: d9800e44 addi r6,sp,57 -81111464: 000b883a mov r5,zero -81111468: 1085883a add r2,r2,r2 -8111146c: 1085883a add r2,r2,r2 -81111470: a085883a add r2,r20,r2 -81111474: 11000017 ldw r4,0(r2) -81111478: 1142cd40 call 81142cd4 -8111147c: d8c00e43 ldbu r3,57(sp) -81111480: 183f1f1e bne r3,zero,81111100 <__reset+0xfb0f1100> -81111484: 100b883a mov r5,r2 -81111488: e009883a mov r4,fp -8111148c: 110c5ec0 call 8110c5ec -81111490: 003ec406 br 81110fa4 <__reset+0xfb0f0fa4> -81111494: 88800803 ldbu r2,32(r17) -81111498: 00c00104 movi r3,4 -8111149c: 18835d2e bgeu r3,r2,81112214 -811114a0: e1000003 ldbu r4,0(fp) -811114a4: 01604574 movhi r5,33045 -811114a8: 297336c4 addi r5,r5,-13093 -811114ac: 21000044 addi r4,r4,1 -811114b0: 21003fcc andi r4,r4,255 -811114b4: 111e1a00 call 8111e1a0 -811114b8: 008002c4 movi r2,11 -811114bc: 00c00384 movi r3,14 -811114c0: e0803415 stw r2,208(fp) -811114c4: e0803515 stw r2,212(fp) -811114c8: e0c03315 stw r3,204(fp) -811114cc: 00800284 movi r2,10 -811114d0: e0803615 stw r2,216(fp) -811114d4: 00800044 movi r2,1 -811114d8: 9009883a mov r4,r18 -811114dc: e0803715 stw r2,220(fp) -811114e0: e0003815 stw zero,224(fp) -811114e4: 11024800 call 81102480 -811114e8: e080cc17 ldw r2,816(fp) -811114ec: e140cd17 ldw r5,820(fp) -811114f0: e100ca17 ldw r4,808(fp) -811114f4: e0c0cb17 ldw r3,812(fp) -811114f8: e0804715 stw r2,284(fp) -811114fc: 00800844 movi r2,33 -81111500: e1404815 stw r5,288(fp) -81111504: e1004515 stw r4,276(fp) -81111508: e0c04615 stw r3,280(fp) -8111150c: e0803115 stw r2,196(fp) -81111510: 003ea406 br 81110fa4 <__reset+0xfb0f0fa4> -81111514: e1800003 ldbu r6,0(fp) -81111518: e0002615 stw zero,152(fp) -8111151c: 000b883a mov r5,zero -81111520: 01002044 movi r4,129 -81111524: 1109a8c0 call 81109a8c -81111528: e0803517 ldw r2,212(fp) -8111152c: 00c00344 movi r3,13 -81111530: 10c46d26 beq r2,r3,811126e8 -81111534: 00c00384 movi r3,14 -81111538: 10c48426 beq r2,r3,8111274c -8111153c: e0803115 stw r2,196(fp) -81111540: 003e9806 br 81110fa4 <__reset+0xfb0f0fa4> -81111544: 9809883a mov r4,r19 -81111548: 1104d100 call 81104d10 -8111154c: e080ab17 ldw r2,684(fp) -81111550: 00c00104 movi r3,4 -81111554: 9809883a mov r4,r19 -81111558: 10c0a115 stw r3,644(r2) -8111155c: d8c00f15 stw r3,60(sp) -81111560: 1104d080 call 81104d08 -81111564: e1000003 ldbu r4,0(fp) -81111568: 05800044 movi r22,1 -8111156c: 01604574 movhi r5,33045 -81111570: 2589883a add r4,r4,r22 -81111574: 29732dc4 addi r5,r5,-13129 -81111578: 21003fcc andi r4,r4,255 -8111157c: 111e1a00 call 8111e1a0 -81111580: a809883a mov r4,r21 -81111584: 110a2380 call 8110a238 +8110ff7c: 80809326 beq r16,r2,811101cc +8110ff80: 8c812617 ldw r18,1176(r17) +8110ff84: 00800044 movi r2,1 +8110ff88: 90807726 beq r18,r2,81110168 +8110ff8c: 8c006404 addi r16,r17,400 +8110ff90: 88c12717 ldw r3,1180(r17) +8110ff94: 00800044 movi r2,1 +8110ff98: 18806926 beq r3,r2,81110140 +8110ff9c: 88c12417 ldw r3,1168(r17) +8110ffa0: 00800044 movi r2,1 +8110ffa4: 18803b26 beq r3,r2,81110094 +8110ffa8: 88c12517 ldw r3,1172(r17) +8110ffac: 00800044 movi r2,1 +8110ffb0: 18bfda1e bne r3,r2,8110ff1c <__reset+0xfb0eff1c> +8110ffb4: 88012515 stw zero,1172(r17) +8110ffb8: 88813317 ldw r2,1228(r17) +8110ffbc: 88800c15 stw r2,48(r17) +8110ffc0: 003fd606 br 8110ff1c <__reset+0xfb0eff1c> +8110ffc4: 00a04634 movhi r2,33048 +8110ffc8: 108f1904 addi r2,r2,15460 +8110ffcc: 10800803 ldbu r2,32(r2) +8110ffd0: 00c00104 movi r3,4 +8110ffd4: 18bf5536 bltu r3,r2,8110fd2c <__reset+0xfb0efd2c> +8110ffd8: 89800003 ldbu r6,0(r17) +8110ffdc: d1207917 ldw r4,-32284(gp) +8110ffe0: 01604574 movhi r5,33045 +8110ffe4: 29647104 addi r5,r5,-28220 +8110ffe8: 112b6200 call 8112b620 +8110ffec: 003f4f06 br 8110fd2c <__reset+0xfb0efd2c> +8110fff0: 11259f00 call 811259f0 +8110fff4: 003f4a06 br 8110fd20 <__reset+0xfb0efd20> +8110fff8: 88803317 ldw r2,204(r17) +8110fffc: 00c00044 movi r3,1 +81110000: 88c02b15 stw r3,172(r17) +81110004: 00c00a04 movi r3,40 +81110008: 88c03115 stw r3,196(r17) +8111000c: 88803515 stw r2,212(r17) +81110010: 003f4806 br 8110fd34 <__reset+0xfb0efd34> +81110014: 8cc0b304 addi r19,r17,716 +81110018: 9809883a mov r4,r19 +8111001c: 11027800 call 81102780 +81110020: 8940fe17 ldw r5,1016(r17) +81110024: 2c808e26 beq r5,r18,81110260 +81110028: 01400044 movi r5,1 +8111002c: 9809883a mov r4,r19 +81110030: 1102a080 call 81102a08 +81110034: 10002126 beq r2,zero,811100bc +81110038: 00a04634 movhi r2,33048 +8111003c: 108f1904 addi r2,r2,15460 +81110040: 10800803 ldbu r2,32(r2) +81110044: 00c001c4 movi r3,7 +81110048: 1880882e bgeu r3,r2,8111026c +8111004c: 88042e15 stw zero,4280(r17) +81110050: 003fb806 br 8110ff34 <__reset+0xfb0eff34> +81110054: 8cc0b304 addi r19,r17,716 +81110058: 9809883a mov r4,r19 +8111005c: 11027100 call 81102710 +81110060: 8880ef17 ldw r2,956(r17) +81110064: 14809926 beq r2,r18,811102cc +81110068: 000b883a mov r5,zero +8111006c: 9809883a mov r4,r19 +81110070: 1102a080 call 81102a08 +81110074: 10002726 beq r2,zero,81110114 +81110078: 00a04634 movhi r2,33048 +8111007c: 108f1904 addi r2,r2,15460 +81110080: 10800803 ldbu r2,32(r2) +81110084: 00c001c4 movi r3,7 +81110088: 1880842e bgeu r3,r2,8111029c +8111008c: 88042d15 stw zero,4276(r17) +81110090: 003fa506 br 8110ff28 <__reset+0xfb0eff28> +81110094: 88012415 stw zero,1168(r17) +81110098: 89415543 ldbu r5,1365(r17) +8111009c: 89015583 ldbu r4,1366(r17) +811100a0: 88c155c3 ldbu r3,1367(r17) +811100a4: 88815603 ldbu r2,1368(r17) +811100a8: 89402e45 stb r5,185(r17) +811100ac: 89002e85 stb r4,186(r17) +811100b0: 88c02ec5 stb r3,187(r17) +811100b4: 88802f05 stb r2,188(r17) +811100b8: 003fbb06 br 8110ffa8 <__reset+0xfb0effa8> +811100bc: 00a04634 movhi r2,33048 +811100c0: 108f1904 addi r2,r2,15460 +811100c4: 10800803 ldbu r2,32(r2) +811100c8: 00c001c4 movi r3,7 +811100cc: 18bfdf36 bltu r3,r2,8111004c <__reset+0xfb0f004c> +811100d0: 89800003 ldbu r6,0(r17) +811100d4: d1207917 ldw r4,-32284(gp) +811100d8: 01604574 movhi r5,33045 +811100dc: 29627a04 addi r5,r5,-30232 +811100e0: 112b6200 call 8112b620 +811100e4: 003fd906 br 8111004c <__reset+0xfb0f004c> +811100e8: 00a04634 movhi r2,33048 +811100ec: 108f1904 addi r2,r2,15460 +811100f0: 10800803 ldbu r2,32(r2) +811100f4: 00c001c4 movi r3,7 +811100f8: 18bf9936 bltu r3,r2,8110ff60 <__reset+0xfb0eff60> +811100fc: 89800003 ldbu r6,0(r17) +81110100: d1207917 ldw r4,-32284(gp) +81110104: 01604574 movhi r5,33045 +81110108: 29629d04 addi r5,r5,-30092 +8111010c: 112b6200 call 8112b620 +81110110: 003f9306 br 8110ff60 <__reset+0xfb0eff60> +81110114: 00a04634 movhi r2,33048 +81110118: 108f1904 addi r2,r2,15460 +8111011c: 10800803 ldbu r2,32(r2) +81110120: 00c001c4 movi r3,7 +81110124: 18bfd936 bltu r3,r2,8111008c <__reset+0xfb0f008c> +81110128: 89800003 ldbu r6,0(r17) +8111012c: d1207917 ldw r4,-32284(gp) +81110130: 01604574 movhi r5,33045 +81110134: 29625404 addi r5,r5,-30384 +81110138: 112b6200 call 8112b620 +8111013c: 003fd306 br 8111008c <__reset+0xfb0f008c> +81110140: 8009883a mov r4,r16 +81110144: 88012715 stw zero,1180(r17) +81110148: 1103bd00 call 81103bd0 +8111014c: 88c17217 ldw r3,1480(r17) +81110150: 88817317 ldw r2,1484(r17) +81110154: 8009883a mov r4,r16 +81110158: 88c06b15 stw r3,428(r17) +8111015c: 88806c15 stw r2,432(r17) +81110160: 1103c380 call 81103c38 +81110164: 003f8d06 br 8110ff9c <__reset+0xfb0eff9c> +81110168: 8c00b304 addi r16,r17,716 +8111016c: 8009883a mov r4,r16 +81110170: 88012615 stw zero,1176(r17) +81110174: 11023980 call 81102398 +81110178: 8881710b ldhu r2,1476(r17) +8111017c: 8009883a mov r4,r16 +81110180: 8c006404 addi r16,r17,400 +81110184: 10bfffcc andi r2,r2,65535 +81110188: 8880c015 stw r2,768(r17) +8111018c: 11023600 call 81102360 +81110190: 89c1710b ldhu r7,1476(r17) +81110194: 018006f4 movhi r6,27 +81110198: 8009883a mov r4,r16 +8111019c: 31b85204 addi r6,r6,-7864 +811101a0: 000b883a mov r5,zero +811101a4: 39ffffcc andi r7,r7,65535 +811101a8: 1103d640 call 81103d64 +811101ac: 89c1710b ldhu r7,1476(r17) +811101b0: 018006f4 movhi r6,27 +811101b4: 31b85204 addi r6,r6,-7864 +811101b8: 900b883a mov r5,r18 +811101bc: 39ffffcc andi r7,r7,65535 +811101c0: 8009883a mov r4,r16 +811101c4: 1103d640 call 81103d64 +811101c8: 003f7106 br 8110ff90 <__reset+0xfb0eff90> +811101cc: 8c80b304 addi r18,r17,716 +811101d0: 9009883a mov r4,r18 +811101d4: 11023980 call 81102398 +811101d8: 88012315 stw zero,1164(r17) +811101dc: 88817417 ldw r2,1488(r17) +811101e0: 14003e26 beq r2,r16,811102dc +811101e4: 88813017 ldw r2,1216(r17) +811101e8: 8880b815 stw r2,736(r17) +811101ec: 9009883a mov r4,r18 +811101f0: 11023600 call 81102360 +811101f4: 003f6206 br 8110ff80 <__reset+0xfb0eff80> +811101f8: 88012215 stw zero,1160(r17) +811101fc: 88c13017 ldw r3,1216(r17) +81110200: 88813117 ldw r2,1220(r17) +81110204: 8c80b304 addi r18,r17,716 +81110208: 88c00915 stw r3,36(r17) +8111020c: 9009883a mov r4,r18 +81110210: 88800a15 stw r2,40(r17) +81110214: 11023980 call 81102398 +81110218: 89413017 ldw r5,1216(r17) +8111021c: 89013117 ldw r4,1220(r17) +81110220: 88813117 ldw r2,1220(r17) +81110224: 88c04c0b ldhu r3,304(r17) +81110228: 8940b815 stw r5,736(r17) +8111022c: 8900b915 stw r4,740(r17) +81110230: 10800044 addi r2,r2,1 +81110234: 1880132e bgeu r3,r2,81110284 +81110238: 88813117 ldw r2,1220(r17) +8111023c: 193fffc4 addi r4,r3,-1 +81110240: 8900ba15 stw r4,744(r17) +81110244: 10c5c83a sub r2,r2,r3 +81110248: 8880bb15 stw r2,748(r17) +8111024c: 8c00be15 stw r16,760(r17) +81110250: 8c00bf15 stw r16,764(r17) +81110254: 9009883a mov r4,r18 +81110258: 11023600 call 81102360 +8111025c: 003f4506 br 8110ff74 <__reset+0xfb0eff74> +81110260: 9809883a mov r4,r19 +81110264: 1102a900 call 81102a90 +81110268: 003f6f06 br 81110028 <__reset+0xfb0f0028> +8111026c: 89800003 ldbu r6,0(r17) +81110270: d1207917 ldw r4,-32284(gp) +81110274: 01604574 movhi r5,33045 +81110278: 29626804 addi r5,r5,-30304 +8111027c: 112b6200 call 8112b620 +81110280: 003f7206 br 8111004c <__reset+0xfb0f004c> +81110284: 88813117 ldw r2,1220(r17) +81110288: 8800bb15 stw zero,748(r17) +8111028c: 8c00be15 stw r16,760(r17) +81110290: 8880ba15 stw r2,744(r17) +81110294: 8800bf15 stw zero,764(r17) +81110298: 003fee06 br 81110254 <__reset+0xfb0f0254> +8111029c: 89800003 ldbu r6,0(r17) +811102a0: d1207917 ldw r4,-32284(gp) +811102a4: 01604574 movhi r5,33045 +811102a8: 29624204 addi r5,r5,-30456 +811102ac: 112b6200 call 8112b620 +811102b0: 003f7606 br 8111008c <__reset+0xfb0f008c> +811102b4: 89800003 ldbu r6,0(r17) +811102b8: d1207917 ldw r4,-32284(gp) +811102bc: 01604574 movhi r5,33045 +811102c0: 29628e04 addi r5,r5,-30152 +811102c4: 112b6200 call 8112b620 +811102c8: 003f2506 br 8110ff60 <__reset+0xfb0eff60> +811102cc: 000b883a mov r5,zero +811102d0: 9809883a mov r4,r19 +811102d4: 1102a900 call 81102a90 +811102d8: 003f6306 br 81110068 <__reset+0xfb0f0068> +811102dc: 8800b815 stw zero,736(r17) +811102e0: 003fc206 br 811101ec <__reset+0xfb0f01ec> + +811102e4 : +811102e4: defffc04 addi sp,sp,-16 +811102e8: dc400115 stw r17,4(sp) +811102ec: dc000015 stw r16,0(sp) +811102f0: dfc00315 stw ra,12(sp) +811102f4: dc800215 stw r18,8(sp) +811102f8: 2023883a mov r17,r4 +811102fc: 21000003 ldbu r4,0(r4) +81110300: 2820d23a srli r16,r5,8 +81110304: 01604574 movhi r5,33045 +81110308: 21000044 addi r4,r4,1 +8111030c: 297348c4 addi r5,r5,-13021 +81110310: 21003fcc andi r4,r4,255 +81110314: 111e1e00 call 8111e1e0 +81110318: 81803fcc andi r6,r16,255 +8111031c: 00801a04 movi r2,104 +81110320: 1180d236 bltu r2,r6,8111066c +81110324: 300690ba slli r3,r6,2 +81110328: 00a04474 movhi r2,33041 +8111032c: 1080cf04 addi r2,r2,828 +81110330: 1885883a add r2,r3,r2 +81110334: 10800017 ldw r2,0(r2) +81110338: 1000683a jmp r2 +8111033c: 8111064c andi r4,r16,17433 +81110340: 8111066c andhi r4,r16,17433 +81110344: 8111066c andhi r4,r16,17433 +81110348: 8111066c andhi r4,r16,17433 +8111034c: 811105f4 orhi r4,r16,17431 +81110350: 8111066c andhi r4,r16,17433 +81110354: 8111066c andhi r4,r16,17433 +81110358: 8111066c andhi r4,r16,17433 +8111035c: 8111061c xori r4,r16,17432 +81110360: 8111066c andhi r4,r16,17433 +81110364: 8111066c andhi r4,r16,17433 +81110368: 8111066c andhi r4,r16,17433 +8111036c: 81110578 rdprs r4,r16,17429 +81110370: 8111066c andhi r4,r16,17433 +81110374: 8111066c andhi r4,r16,17433 +81110378: 8111066c andhi r4,r16,17433 +8111037c: 8111059c xori r4,r16,17430 +81110380: 8111066c andhi r4,r16,17433 +81110384: 8111066c andhi r4,r16,17433 +81110388: 8111066c andhi r4,r16,17433 +8111038c: 811105b4 orhi r4,r16,17430 +81110390: 8111066c andhi r4,r16,17433 +81110394: 8111066c andhi r4,r16,17433 +81110398: 8111066c andhi r4,r16,17433 +8111039c: 811104e0 cmpeqi r4,r16,17427 +811103a0: 8111066c andhi r4,r16,17433 +811103a4: 8111066c andhi r4,r16,17433 +811103a8: 8111066c andhi r4,r16,17433 +811103ac: 811104e0 cmpeqi r4,r16,17427 +811103b0: 8111066c andhi r4,r16,17433 +811103b4: 8111066c andhi r4,r16,17433 +811103b8: 8111066c andhi r4,r16,17433 +811103bc: 811104e0 cmpeqi r4,r16,17427 +811103c0: 8111066c andhi r4,r16,17433 +811103c4: 8111066c andhi r4,r16,17433 +811103c8: 8111066c andhi r4,r16,17433 +811103cc: 811104e0 cmpeqi r4,r16,17427 +811103d0: 8111066c andhi r4,r16,17433 +811103d4: 8111066c andhi r4,r16,17433 +811103d8: 8111066c andhi r4,r16,17433 +811103dc: 811104e0 cmpeqi r4,r16,17427 +811103e0: 8111066c andhi r4,r16,17433 +811103e4: 8111066c andhi r4,r16,17433 +811103e8: 8111066c andhi r4,r16,17433 +811103ec: 811104e0 cmpeqi r4,r16,17427 +811103f0: 8111066c andhi r4,r16,17433 +811103f4: 8111066c andhi r4,r16,17433 +811103f8: 8111066c andhi r4,r16,17433 +811103fc: 811104e0 cmpeqi r4,r16,17427 +81110400: 8111066c andhi r4,r16,17433 +81110404: 8111066c andhi r4,r16,17433 +81110408: 8111066c andhi r4,r16,17433 +8111040c: 811104e0 cmpeqi r4,r16,17427 +81110410: 8111066c andhi r4,r16,17433 +81110414: 8111066c andhi r4,r16,17433 +81110418: 8111066c andhi r4,r16,17433 +8111041c: 811104e0 cmpeqi r4,r16,17427 +81110420: 8111066c andhi r4,r16,17433 +81110424: 8111066c andhi r4,r16,17433 +81110428: 8111066c andhi r4,r16,17433 +8111042c: 811104e0 cmpeqi r4,r16,17427 +81110430: 8111066c andhi r4,r16,17433 +81110434: 8111066c andhi r4,r16,17433 +81110438: 8111066c andhi r4,r16,17433 +8111043c: 811104e0 cmpeqi r4,r16,17427 +81110440: 8111066c andhi r4,r16,17433 +81110444: 8111066c andhi r4,r16,17433 +81110448: 8111066c andhi r4,r16,17433 +8111044c: 811104e0 cmpeqi r4,r16,17427 +81110450: 8111066c andhi r4,r16,17433 +81110454: 8111066c andhi r4,r16,17433 +81110458: 8111066c andhi r4,r16,17433 +8111045c: 811104e0 cmpeqi r4,r16,17427 +81110460: 8111066c andhi r4,r16,17433 +81110464: 8111066c andhi r4,r16,17433 +81110468: 8111066c andhi r4,r16,17433 +8111046c: 811104e0 cmpeqi r4,r16,17427 +81110470: 8111066c andhi r4,r16,17433 +81110474: 8111066c andhi r4,r16,17433 +81110478: 8111066c andhi r4,r16,17433 +8111047c: 811104e0 cmpeqi r4,r16,17427 +81110480: 8111066c andhi r4,r16,17433 +81110484: 8111066c andhi r4,r16,17433 +81110488: 8111066c andhi r4,r16,17433 +8111048c: 8111050c andi r4,r16,17428 +81110490: 8111066c andhi r4,r16,17433 +81110494: 8111066c andhi r4,r16,17433 +81110498: 8111066c andhi r4,r16,17433 +8111049c: 811105d4 ori r4,r16,17431 +811104a0: 8111066c andhi r4,r16,17433 +811104a4: 8111066c andhi r4,r16,17433 +811104a8: 8111066c andhi r4,r16,17433 +811104ac: 811105d4 ori r4,r16,17431 +811104b0: 8111066c andhi r4,r16,17433 +811104b4: 8111066c andhi r4,r16,17433 +811104b8: 8111066c andhi r4,r16,17433 +811104bc: 811105d4 ori r4,r16,17431 +811104c0: 8111066c andhi r4,r16,17433 +811104c4: 8111066c andhi r4,r16,17433 +811104c8: 8111066c andhi r4,r16,17433 +811104cc: 811105d4 ori r4,r16,17431 +811104d0: 8111066c andhi r4,r16,17433 +811104d4: 8111066c andhi r4,r16,17433 +811104d8: 8111066c andhi r4,r16,17433 +811104dc: 811105d4 ori r4,r16,17431 +811104e0: 00a04634 movhi r2,33048 +811104e4: 108f1904 addi r2,r2,15460 +811104e8: 10800803 ldbu r2,32(r2) +811104ec: 00c001c4 movi r3,7 +811104f0: 1880662e bgeu r3,r2,8111068c +811104f4: dfc00317 ldw ra,12(sp) +811104f8: dc800217 ldw r18,8(sp) +811104fc: dc400117 ldw r17,4(sp) +81110500: dc000017 ldw r16,0(sp) +81110504: dec00404 addi sp,sp,16 +81110508: f800283a ret +8111050c: 8880ab17 ldw r2,684(r17) +81110510: 88000b15 stw zero,44(r17) +81110514: 00c003c4 movi r3,15 +81110518: 10804017 ldw r2,256(r2) +8111051c: 1880d536 bltu r3,r2,81110874 +81110520: 100490ba slli r2,r2,2 +81110524: 00e04474 movhi r3,33041 +81110528: 18c14e04 addi r3,r3,1336 +8111052c: 10c5883a add r2,r2,r3 +81110530: 10800017 ldw r2,0(r2) +81110534: 1000683a jmp r2 +81110538: 81110820 cmpeqi r4,r16,17440 +8111053c: 811106b0 cmpltui r4,r16,17434 +81110540: 811106b0 cmpltui r4,r16,17434 +81110544: 81110874 orhi r4,r16,17441 +81110548: 811107b0 cmpltui r4,r16,17438 +8111054c: 811106b0 cmpltui r4,r16,17434 +81110550: 811106b0 cmpltui r4,r16,17434 +81110554: 8111070c andi r4,r16,17436 +81110558: 81110750 cmplti r4,r16,17437 +8111055c: 811106b0 cmpltui r4,r16,17434 +81110560: 811106b0 cmpltui r4,r16,17434 +81110564: 811106b0 cmpltui r4,r16,17434 +81110568: 811106b0 cmpltui r4,r16,17434 +8111056c: 811107dc xori r4,r16,17439 +81110570: 811107dc xori r4,r16,17439 +81110574: 811107dc xori r4,r16,17439 +81110578: 88c0ab17 ldw r3,684(r17) +8111057c: 00800044 movi r2,1 +81110580: 88812515 stw r2,1172(r17) +81110584: 19000b17 ldw r4,44(r3) +81110588: 18c00c17 ldw r3,48(r3) +8111058c: 89013315 stw r4,1228(r17) +81110590: 88812315 stw r2,1164(r17) +81110594: 88c17415 stw r3,1488(r17) +81110598: 003fd606 br 811104f4 <__reset+0xfb0f04f4> +8111059c: 8880ab17 ldw r2,684(r17) +811105a0: 00c00044 movi r3,1 +811105a4: 88c12615 stw r3,1176(r17) +811105a8: 10801017 ldw r2,64(r2) +811105ac: 8881710d sth r2,1476(r17) +811105b0: 003fd006 br 811104f4 <__reset+0xfb0f04f4> +811105b4: 8880ab17 ldw r2,684(r17) +811105b8: 00c00044 movi r3,1 +811105bc: 88c12715 stw r3,1180(r17) +811105c0: 10c01517 ldw r3,84(r2) +811105c4: 10801717 ldw r2,92(r2) +811105c8: 88c17215 stw r3,1480(r17) +811105cc: 88817315 stw r2,1484(r17) +811105d0: 003fc806 br 811104f4 <__reset+0xfb0f04f4> +811105d4: 00a04634 movhi r2,33048 +811105d8: 108f1904 addi r2,r2,15460 +811105dc: 10800803 ldbu r2,32(r2) +811105e0: 00c001c4 movi r3,7 +811105e4: 18bfc336 bltu r3,r2,811104f4 <__reset+0xfb0f04f4> +811105e8: 01604574 movhi r5,33045 +811105ec: 29633004 addi r5,r5,-29504 +811105f0: 00002806 br 81110694 +811105f4: 00a04634 movhi r2,33048 +811105f8: 108f1904 addi r2,r2,15460 +811105fc: 10800803 ldbu r2,32(r2) +81110600: 00c001c4 movi r3,7 +81110604: 18bfbb36 bltu r3,r2,811104f4 <__reset+0xfb0f04f4> +81110608: 01604574 movhi r5,33045 +8111060c: 2962dd04 addi r5,r5,-29836 +81110610: d1207917 ldw r4,-32284(gp) +81110614: 01800104 movi r6,4 +81110618: 00001f06 br 81110698 +8111061c: 8880ab17 ldw r2,684(r17) +81110620: 00c00044 movi r3,1 +81110624: 88c12415 stw r3,1168(r17) +81110628: 10c00617 ldw r3,24(r2) +8111062c: 88c15545 stb r3,1365(r17) +81110630: 10c00717 ldw r3,28(r2) +81110634: 88c15585 stb r3,1366(r17) +81110638: 10c00817 ldw r3,32(r2) +8111063c: 88c155c5 stb r3,1367(r17) +81110640: 10800917 ldw r2,36(r2) +81110644: 88815605 stb r2,1368(r17) +81110648: 003faa06 br 811104f4 <__reset+0xfb0f04f4> +8111064c: 8880ab17 ldw r2,684(r17) +81110650: 00c00044 movi r3,1 +81110654: 88c12215 stw r3,1160(r17) +81110658: 10c00017 ldw r3,0(r2) +8111065c: 10800117 ldw r2,4(r2) +81110660: 88c13015 stw r3,1216(r17) +81110664: 88813115 stw r2,1220(r17) +81110668: 003fa206 br 811104f4 <__reset+0xfb0f04f4> +8111066c: 00a04634 movhi r2,33048 +81110670: 108f1904 addi r2,r2,15460 +81110674: 10800803 ldbu r2,32(r2) +81110678: 00c001c4 movi r3,7 +8111067c: 18bf9d36 bltu r3,r2,811104f4 <__reset+0xfb0f04f4> +81110680: 01604574 movhi r5,33045 +81110684: 29633904 addi r5,r5,-29468 +81110688: 00000206 br 81110694 +8111068c: 01604574 movhi r5,33045 +81110690: 2962dd04 addi r5,r5,-29836 +81110694: d1207917 ldw r4,-32284(gp) +81110698: dfc00317 ldw ra,12(sp) +8111069c: dc800217 ldw r18,8(sp) +811106a0: dc400117 ldw r17,4(sp) +811106a4: dc000017 ldw r16,0(sp) +811106a8: dec00404 addi sp,sp,16 +811106ac: 112b6201 jmpi 8112b620 +811106b0: 8c00b304 addi r16,r17,716 +811106b4: 8009883a mov r4,r16 +811106b8: 11023f00 call 811023f0 +811106bc: 04800044 movi r18,1 +811106c0: 8c80c515 stw r18,788(r17) +811106c4: 8009883a mov r4,r16 +811106c8: 11023d00 call 811023d0 +811106cc: 00a04634 movhi r2,33048 +811106d0: 108f1904 addi r2,r2,15460 +811106d4: 10800803 ldbu r2,32(r2) +811106d8: 00c001c4 movi r3,7 +811106dc: 18bf8536 bltu r3,r2,811104f4 <__reset+0xfb0f04f4> +811106e0: d1e07917 ldw r7,-32284(gp) +811106e4: 01204574 movhi r4,33045 +811106e8: 21235c04 addi r4,r4,-29328 +811106ec: 01800d84 movi r6,54 +811106f0: 900b883a mov r5,r18 +811106f4: dfc00317 ldw ra,12(sp) +811106f8: dc800217 ldw r18,8(sp) +811106fc: dc400117 ldw r17,4(sp) +81110700: dc000017 ldw r16,0(sp) +81110704: dec00404 addi sp,sp,16 +81110708: 112bc8c1 jmpi 8112bc8c +8111070c: 8c00b304 addi r16,r17,716 +81110710: 8009883a mov r4,r16 +81110714: 11023f00 call 811023f0 +81110718: 04800044 movi r18,1 +8111071c: 8c80c515 stw r18,788(r17) +81110720: 8009883a mov r4,r16 +81110724: 11023d00 call 811023d0 +81110728: 00a04634 movhi r2,33048 +8111072c: 108f1904 addi r2,r2,15460 +81110730: 10800803 ldbu r2,32(r2) +81110734: 00c001c4 movi r3,7 +81110738: 18bf6e36 bltu r3,r2,811104f4 <__reset+0xfb0f04f4> +8111073c: 01204574 movhi r4,33045 +81110740: d1e07917 ldw r7,-32284(gp) +81110744: 21230804 addi r4,r4,-29664 +81110748: 01800d84 movi r6,54 +8111074c: 003fe806 br 811106f0 <__reset+0xfb0f06f0> +81110750: 00c00304 movi r3,12 +81110754: 00800344 movi r2,13 +81110758: 8c00b304 addi r16,r17,716 +8111075c: 88c03315 stw r3,204(r17) +81110760: 00c00084 movi r3,2 +81110764: 8009883a mov r4,r16 +81110768: 88c03415 stw r3,208(r17) +8111076c: 88002b15 stw zero,172(r17) +81110770: 88803515 stw r2,212(r17) +81110774: 88803115 stw r2,196(r17) +81110778: 11023980 call 81102398 +8111077c: 00800044 movi r2,1 +81110780: 8009883a mov r4,r16 +81110784: 8880c315 stw r2,780(r17) +81110788: 11023600 call 81102360 +8111078c: 89800003 ldbu r6,0(r17) +81110790: 000b883a mov r5,zero +81110794: 01002044 movi r4,129 +81110798: dfc00317 ldw ra,12(sp) +8111079c: dc800217 ldw r18,8(sp) +811107a0: dc400117 ldw r17,4(sp) +811107a4: dc000017 ldw r16,0(sp) +811107a8: dec00404 addi sp,sp,16 +811107ac: 1109ac01 jmpi 81109ac0 +811107b0: 88803417 ldw r2,208(r17) +811107b4: 00c00144 movi r3,5 +811107b8: 10bffe84 addi r2,r2,-6 +811107bc: 18bfbc36 bltu r3,r2,811106b0 <__reset+0xfb0f06b0> +811107c0: 88803317 ldw r2,204(r17) +811107c4: 00c00044 movi r3,1 +811107c8: 88c02b15 stw r3,172(r17) +811107cc: 00c009c4 movi r3,39 +811107d0: 88c03115 stw r3,196(r17) +811107d4: 88803515 stw r2,212(r17) +811107d8: 003f4606 br 811104f4 <__reset+0xfb0f04f4> +811107dc: 8c00b304 addi r16,r17,716 +811107e0: 8009883a mov r4,r16 +811107e4: 11023f00 call 811023f0 +811107e8: 04800044 movi r18,1 +811107ec: 8c80c515 stw r18,788(r17) +811107f0: 8009883a mov r4,r16 +811107f4: 11023d00 call 811023d0 +811107f8: 00a04634 movhi r2,33048 +811107fc: 108f1904 addi r2,r2,15460 +81110800: 10800803 ldbu r2,32(r2) +81110804: 00c001c4 movi r3,7 +81110808: 18bf3a36 bltu r3,r2,811104f4 <__reset+0xfb0f04f4> +8111080c: 01204574 movhi r4,33045 +81110810: d1e07917 ldw r7,-32284(gp) +81110814: 21231604 addi r4,r4,-29608 +81110818: 01800644 movi r6,25 +8111081c: 003fb406 br 811106f0 <__reset+0xfb0f06f0> +81110820: 88803417 ldw r2,208(r17) +81110824: 04000044 movi r16,1 +81110828: 10bfff04 addi r2,r2,-4 +8111082c: 8080232e bgeu r16,r2,811108bc +81110830: 8c80b304 addi r18,r17,716 +81110834: 9009883a mov r4,r18 +81110838: 11023f00 call 811023f0 +8111083c: 9009883a mov r4,r18 +81110840: 8c00c515 stw r16,788(r17) +81110844: 11023d00 call 811023d0 +81110848: 00a04634 movhi r2,33048 +8111084c: 108f1904 addi r2,r2,15460 +81110850: 10800803 ldbu r2,32(r2) +81110854: 00c001c4 movi r3,7 +81110858: 18bf2636 bltu r3,r2,811104f4 <__reset+0xfb0f04f4> +8111085c: 01204574 movhi r4,33045 +81110860: d1e07917 ldw r7,-32284(gp) +81110864: 21235c04 addi r4,r4,-29328 +81110868: 01800d84 movi r6,54 +8111086c: 800b883a mov r5,r16 +81110870: 003fa006 br 811106f4 <__reset+0xfb0f06f4> +81110874: 8c00b304 addi r16,r17,716 +81110878: 8009883a mov r4,r16 +8111087c: 11023f00 call 811023f0 +81110880: 00800044 movi r2,1 +81110884: 8880c515 stw r2,788(r17) +81110888: 8009883a mov r4,r16 +8111088c: 11023d00 call 811023d0 +81110890: 00a04634 movhi r2,33048 +81110894: 108f1904 addi r2,r2,15460 +81110898: 10800803 ldbu r2,32(r2) +8111089c: 00c001c4 movi r3,7 +811108a0: 18bf1436 bltu r3,r2,811104f4 <__reset+0xfb0f04f4> +811108a4: 8880ab17 ldw r2,684(r17) +811108a8: 01604574 movhi r5,33045 +811108ac: 29631d04 addi r5,r5,-29580 +811108b0: d1207917 ldw r4,-32284(gp) +811108b4: 11804003 ldbu r6,256(r2) +811108b8: 003f7706 br 81110698 <__reset+0xfb0f0698> +811108bc: 88803317 ldw r2,204(r17) +811108c0: 00c009c4 movi r3,39 +811108c4: 8c002b15 stw r16,172(r17) +811108c8: 88c03115 stw r3,196(r17) +811108cc: 88803515 stw r2,212(r17) +811108d0: 003f0806 br 811104f4 <__reset+0xfb0f04f4> + +811108d4 : +811108d4: 21800003 ldbu r6,0(r4) +811108d8: 2806d63a srli r3,r5,24 +811108dc: 30800444 addi r2,r6,17 +811108e0: 18800126 beq r3,r2,811108e8 +811108e4: f800283a ret +811108e8: 2804d43a srli r2,r5,16 +811108ec: 00c01d84 movi r3,118 +811108f0: 10803fcc andi r2,r2,255 +811108f4: 10c0d826 beq r2,r3,81110c58 +811108f8: defffc04 addi sp,sp,-16 +811108fc: dc400115 stw r17,4(sp) +81110900: dc000015 stw r16,0(sp) +81110904: dfc00315 stw ra,12(sp) +81110908: dc800215 stw r18,8(sp) +8111090c: 2821883a mov r16,r5 +81110910: 2023883a mov r17,r4 +81110914: 1880202e bgeu r3,r2,81110998 +81110918: 00c03884 movi r3,226 +8111091c: 18803336 bltu r3,r2,811109ec +81110920: 00c03804 movi r3,224 +81110924: 10c0ad2e bgeu r2,r3,81110bdc +81110928: 00c02844 movi r3,161 +8111092c: 10c06126 beq r2,r3,81110ab4 +81110930: 00c028c4 movi r3,163 +81110934: 10c0541e bne r2,r3,81110a88 +81110938: 00c00304 movi r3,12 +8111093c: 00800344 movi r2,13 +81110940: 2400b304 addi r16,r4,716 +81110944: 88c03315 stw r3,204(r17) +81110948: 00c00084 movi r3,2 +8111094c: 8009883a mov r4,r16 +81110950: 88002b15 stw zero,172(r17) +81110954: 88c03415 stw r3,208(r17) +81110958: 88803515 stw r2,212(r17) +8111095c: 88803115 stw r2,196(r17) +81110960: 11023980 call 81102398 +81110964: 00800044 movi r2,1 +81110968: 8009883a mov r4,r16 +8111096c: 8880c315 stw r2,780(r17) +81110970: 11023600 call 81102360 +81110974: 89800003 ldbu r6,0(r17) +81110978: 000b883a mov r5,zero +8111097c: 01002044 movi r4,129 +81110980: dfc00317 ldw ra,12(sp) +81110984: dc800217 ldw r18,8(sp) +81110988: dc400117 ldw r17,4(sp) +8111098c: dc000017 ldw r16,0(sp) +81110990: dec00404 addi sp,sp,16 +81110994: 1109ac01 jmpi 81109ac0 +81110998: 00c00104 movi r3,4 +8111099c: 10c05026 beq r2,r3,81110ae0 +811109a0: 18802736 bltu r3,r2,81110a40 +811109a4: 00c00044 movi r3,1 +811109a8: 10c04226 beq r2,r3,81110ab4 +811109ac: 010000c4 movi r4,3 +811109b0: 1100351e bne r2,r4,81110a88 +811109b4: 88803417 ldw r2,208(r17) +811109b8: 10bfff04 addi r2,r2,-4 +811109bc: 1880c22e bgeu r3,r2,81110cc8 +811109c0: 00a04634 movhi r2,33048 +811109c4: 108f1904 addi r2,r2,15460 +811109c8: 10800803 ldbu r2,32(r2) +811109cc: 00c001c4 movi r3,7 +811109d0: 1880aa2e bgeu r3,r2,81110c7c +811109d4: dfc00317 ldw ra,12(sp) +811109d8: dc800217 ldw r18,8(sp) +811109dc: dc400117 ldw r17,4(sp) +811109e0: dc000017 ldw r16,0(sp) +811109e4: dec00404 addi sp,sp,16 +811109e8: f800283a ret +811109ec: 00c03a04 movi r3,232 +811109f0: 10c04626 beq r2,r3,81110b0c +811109f4: 00c03c04 movi r3,240 +811109f8: 10c02b1e bne r2,r3,81110aa8 +811109fc: 00a04634 movhi r2,33048 +81110a00: 108f1904 addi r2,r2,15460 +81110a04: 10800803 ldbu r2,32(r2) +81110a08: 00c00104 movi r3,4 +81110a0c: 18800436 bltu r3,r2,81110a20 +81110a10: d1207917 ldw r4,-32284(gp) +81110a14: 01604574 movhi r5,33045 +81110a18: 29634304 addi r5,r5,-29428 +81110a1c: 112b6200 call 8112b620 +81110a20: 800b883a mov r5,r16 +81110a24: 8809883a mov r4,r17 +81110a28: dfc00317 ldw ra,12(sp) +81110a2c: dc800217 ldw r18,8(sp) +81110a30: dc400117 ldw r17,4(sp) +81110a34: dc000017 ldw r16,0(sp) +81110a38: dec00404 addi sp,sp,16 +81110a3c: 11102e41 jmpi 811102e4 +81110a40: 00c00304 movi r3,12 +81110a44: 18800e36 bltu r3,r2,81110a80 +81110a48: 00a04634 movhi r2,33048 +81110a4c: 108f1904 addi r2,r2,15460 +81110a50: 10800803 ldbu r2,32(r2) +81110a54: 00c001c4 movi r3,7 +81110a58: 18bfde36 bltu r3,r2,811109d4 <__reset+0xfb0f09d4> +81110a5c: 01604574 movhi r5,33045 +81110a60: 2962ae04 addi r5,r5,-30024 +81110a64: d1207917 ldw r4,-32284(gp) +81110a68: dfc00317 ldw ra,12(sp) +81110a6c: dc800217 ldw r18,8(sp) +81110a70: dc400117 ldw r17,4(sp) +81110a74: dc000017 ldw r16,0(sp) +81110a78: dec00404 addi sp,sp,16 +81110a7c: 112b6201 jmpi 8112b620 +81110a80: 00c01c44 movi r3,113 +81110a84: 10ffd326 beq r2,r3,811109d4 <__reset+0xfb0f09d4> +81110a88: 00a04634 movhi r2,33048 +81110a8c: 108f1904 addi r2,r2,15460 +81110a90: 10800803 ldbu r2,32(r2) +81110a94: 00c001c4 movi r3,7 +81110a98: 18bfce36 bltu r3,r2,811109d4 <__reset+0xfb0f09d4> +81110a9c: 01604574 movhi r5,33045 +81110aa0: 2964c004 addi r5,r5,-27904 +81110aa4: 003fef06 br 81110a64 <__reset+0xfb0f0a64> +81110aa8: 00c03904 movi r3,228 +81110aac: 10fff61e bne r2,r3,81110a88 <__reset+0xfb0f0a88> +81110ab0: 003fc806 br 811109d4 <__reset+0xfb0f09d4> +81110ab4: 00800044 movi r2,1 +81110ab8: 8c00b304 addi r16,r17,716 +81110abc: 88803415 stw r2,208(r17) +81110ac0: 00800304 movi r2,12 +81110ac4: 8009883a mov r4,r16 +81110ac8: 88002b15 stw zero,172(r17) +81110acc: 88803115 stw r2,196(r17) +81110ad0: 11023980 call 81102398 +81110ad4: 8009883a mov r4,r16 +81110ad8: 8800c315 stw zero,780(r17) +81110adc: 003fa406 br 81110970 <__reset+0xfb0f0970> +81110ae0: 20803417 ldw r2,208(r4) +81110ae4: 00c00144 movi r3,5 +81110ae8: 10bffe84 addi r2,r2,-6 +81110aec: 18bfb436 bltu r3,r2,811109c0 <__reset+0xfb0f09c0> +81110af0: 20803317 ldw r2,204(r4) +81110af4: 00c00044 movi r3,1 +81110af8: 20c02b15 stw r3,172(r4) +81110afc: 00c009c4 movi r3,39 +81110b00: 20c03115 stw r3,196(r4) +81110b04: 20803515 stw r2,212(r4) +81110b08: 003fb206 br 811109d4 <__reset+0xfb0f09d4> +81110b0c: 20812217 ldw r2,1160(r4) +81110b10: 10000a1e bne r2,zero,81110b3c +81110b14: 20812317 ldw r2,1164(r4) +81110b18: 1000081e bne r2,zero,81110b3c +81110b1c: 20812617 ldw r2,1176(r4) +81110b20: 1000061e bne r2,zero,81110b3c +81110b24: 20812417 ldw r2,1168(r4) +81110b28: 1000041e bne r2,zero,81110b3c +81110b2c: 20812717 ldw r2,1180(r4) +81110b30: 1000021e bne r2,zero,81110b3c +81110b34: 20812517 ldw r2,1172(r4) +81110b38: 10001526 beq r2,zero,81110b90 +81110b3c: 8c012217 ldw r16,1160(r17) +81110b40: 00800044 movi r2,1 +81110b44: 8080a126 beq r16,r2,81110dcc +81110b48: 8c012317 ldw r16,1164(r17) +81110b4c: 00800044 movi r2,1 +81110b50: 8080dc26 beq r16,r2,81110ec4 +81110b54: 8c012617 ldw r16,1176(r17) +81110b58: 00800044 movi r2,1 +81110b5c: 8080c026 beq r16,r2,81110e60 +81110b60: 88c12717 ldw r3,1180(r17) +81110b64: 00800044 movi r2,1 +81110b68: 1880b226 beq r3,r2,81110e34 +81110b6c: 88c12417 ldw r3,1168(r17) +81110b70: 00800044 movi r2,1 +81110b74: 18806a26 beq r3,r2,81110d20 +81110b78: 88c12517 ldw r3,1172(r17) +81110b7c: 00800044 movi r2,1 +81110b80: 1880031e bne r3,r2,81110b90 +81110b84: 88012515 stw zero,1172(r17) +81110b88: 88813317 ldw r2,1228(r17) +81110b8c: 88800c15 stw r2,48(r17) +81110b90: 8c042d17 ldw r16,4276(r17) +81110b94: 00800044 movi r2,1 +81110b98: 80805126 beq r16,r2,81110ce0 +81110b9c: 8c042e17 ldw r16,4280(r17) +81110ba0: 00800044 movi r2,1 +81110ba4: 80803826 beq r16,r2,81110c88 +81110ba8: 88c1ab17 ldw r3,1708(r17) +81110bac: 00800044 movi r2,1 +81110bb0: 18bf881e bne r3,r2,811109d4 <__reset+0xfb0f09d4> +81110bb4: 8900b304 addi r4,r17,716 +81110bb8: 1102d340 call 81102d34 +81110bbc: 10006d26 beq r2,zero,81110d74 +81110bc0: 00a04634 movhi r2,33048 +81110bc4: 108f1904 addi r2,r2,15460 +81110bc8: 10800803 ldbu r2,32(r2) +81110bcc: 00c001c4 movi r3,7 +81110bd0: 1880d62e bgeu r3,r2,81110f2c +81110bd4: 8801ab15 stw zero,1708(r17) +81110bd8: 003f7e06 br 811109d4 <__reset+0xfb0f09d4> +81110bdc: 00a04634 movhi r2,33048 +81110be0: 108f1904 addi r2,r2,15460 +81110be4: 10800803 ldbu r2,32(r2) +81110be8: 00c001c4 movi r3,7 +81110bec: 18800936 bltu r3,r2,81110c14 +81110bf0: d1207917 ldw r4,-32284(gp) +81110bf4: 01604574 movhi r5,33045 +81110bf8: 2964a404 addi r5,r5,-28016 +81110bfc: 112b6200 call 8112b620 +81110c00: 89800003 ldbu r6,0(r17) +81110c04: d1207917 ldw r4,-32284(gp) +81110c08: 01604574 movhi r5,33045 +81110c0c: 2963ac04 addi r5,r5,-29008 +81110c10: 112b6200 call 8112b620 +81110c14: 00800044 movi r2,1 +81110c18: 8c00b304 addi r16,r17,716 +81110c1c: 88803415 stw r2,208(r17) +81110c20: 00800304 movi r2,12 +81110c24: 8009883a mov r4,r16 +81110c28: 88002b15 stw zero,172(r17) +81110c2c: 88003315 stw zero,204(r17) +81110c30: 88803115 stw r2,196(r17) +81110c34: 11023980 call 81102398 +81110c38: 8009883a mov r4,r16 +81110c3c: 8800c315 stw zero,780(r17) +81110c40: dfc00317 ldw ra,12(sp) +81110c44: dc800217 ldw r18,8(sp) +81110c48: dc400117 ldw r17,4(sp) +81110c4c: dc000017 ldw r16,0(sp) +81110c50: dec00404 addi sp,sp,16 +81110c54: 11023601 jmpi 81102360 +81110c58: 00a04634 movhi r2,33048 +81110c5c: 108f1904 addi r2,r2,15460 +81110c60: 10800803 ldbu r2,32(r2) +81110c64: 00c001c4 movi r3,7 +81110c68: 18bf1e36 bltu r3,r2,811108e4 <__reset+0xfb0f08e4> +81110c6c: d1207917 ldw r4,-32284(gp) +81110c70: 01604574 movhi r5,33045 +81110c74: 29637d04 addi r5,r5,-29196 +81110c78: 112b6201 jmpi 8112b620 +81110c7c: 01604574 movhi r5,33045 +81110c80: 29636a04 addi r5,r5,-29272 +81110c84: 003f7706 br 81110a64 <__reset+0xfb0f0a64> +81110c88: 8c80b304 addi r18,r17,716 +81110c8c: 9009883a mov r4,r18 +81110c90: 11027800 call 81102780 +81110c94: 8940fe17 ldw r5,1016(r17) +81110c98: 2c009b26 beq r5,r16,81110f08 +81110c9c: 01400044 movi r5,1 +81110ca0: 9009883a mov r4,r18 +81110ca4: 1102a080 call 81102a08 +81110ca8: 10002726 beq r2,zero,81110d48 +81110cac: 00a04634 movhi r2,33048 +81110cb0: 108f1904 addi r2,r2,15460 +81110cb4: 10800803 ldbu r2,32(r2) +81110cb8: 00c001c4 movi r3,7 +81110cbc: 18808c2e bgeu r3,r2,81110ef0 +81110cc0: 88042e15 stw zero,4280(r17) +81110cc4: 003fb806 br 81110ba8 <__reset+0xfb0f0ba8> +81110cc8: 88803317 ldw r2,204(r17) +81110ccc: 88c02b15 stw r3,172(r17) +81110cd0: 00c009c4 movi r3,39 +81110cd4: 88c03115 stw r3,196(r17) +81110cd8: 88803515 stw r2,212(r17) +81110cdc: 003f3d06 br 811109d4 <__reset+0xfb0f09d4> +81110ce0: 8c80b304 addi r18,r17,716 +81110ce4: 9009883a mov r4,r18 +81110ce8: 11027100 call 81102710 +81110cec: 8880ef17 ldw r2,956(r17) +81110cf0: 14009a26 beq r2,r16,81110f5c +81110cf4: 000b883a mov r5,zero +81110cf8: 9009883a mov r4,r18 +81110cfc: 1102a080 call 81102a08 +81110d00: 10002726 beq r2,zero,81110da0 +81110d04: 00a04634 movhi r2,33048 +81110d08: 108f1904 addi r2,r2,15460 +81110d0c: 10800803 ldbu r2,32(r2) +81110d10: 00c001c4 movi r3,7 +81110d14: 18808b2e bgeu r3,r2,81110f44 +81110d18: 88042d15 stw zero,4276(r17) +81110d1c: 003f9f06 br 81110b9c <__reset+0xfb0f0b9c> +81110d20: 88012415 stw zero,1168(r17) +81110d24: 89415543 ldbu r5,1365(r17) +81110d28: 89015583 ldbu r4,1366(r17) +81110d2c: 88c155c3 ldbu r3,1367(r17) +81110d30: 88815603 ldbu r2,1368(r17) +81110d34: 89402e45 stb r5,185(r17) +81110d38: 89002e85 stb r4,186(r17) +81110d3c: 88c02ec5 stb r3,187(r17) +81110d40: 88802f05 stb r2,188(r17) +81110d44: 003f8c06 br 81110b78 <__reset+0xfb0f0b78> +81110d48: 00a04634 movhi r2,33048 +81110d4c: 108f1904 addi r2,r2,15460 +81110d50: 10800803 ldbu r2,32(r2) +81110d54: 00c001c4 movi r3,7 +81110d58: 18bfd936 bltu r3,r2,81110cc0 <__reset+0xfb0f0cc0> +81110d5c: 89800003 ldbu r6,0(r17) +81110d60: d1207917 ldw r4,-32284(gp) +81110d64: 01604574 movhi r5,33045 +81110d68: 29627a04 addi r5,r5,-30232 +81110d6c: 112b6200 call 8112b620 +81110d70: 003fd306 br 81110cc0 <__reset+0xfb0f0cc0> +81110d74: 00a04634 movhi r2,33048 +81110d78: 108f1904 addi r2,r2,15460 +81110d7c: 10800803 ldbu r2,32(r2) +81110d80: 00c001c4 movi r3,7 +81110d84: 18bf9336 bltu r3,r2,81110bd4 <__reset+0xfb0f0bd4> +81110d88: 89800003 ldbu r6,0(r17) +81110d8c: d1207917 ldw r4,-32284(gp) +81110d90: 01604574 movhi r5,33045 +81110d94: 29629d04 addi r5,r5,-30092 +81110d98: 112b6200 call 8112b620 +81110d9c: 003f8d06 br 81110bd4 <__reset+0xfb0f0bd4> +81110da0: 00a04634 movhi r2,33048 +81110da4: 108f1904 addi r2,r2,15460 +81110da8: 10800803 ldbu r2,32(r2) +81110dac: 00c001c4 movi r3,7 +81110db0: 18bfd936 bltu r3,r2,81110d18 <__reset+0xfb0f0d18> +81110db4: 89800003 ldbu r6,0(r17) +81110db8: d1207917 ldw r4,-32284(gp) +81110dbc: 01604574 movhi r5,33045 +81110dc0: 29625404 addi r5,r5,-30384 +81110dc4: 112b6200 call 8112b620 +81110dc8: 003fd306 br 81110d18 <__reset+0xfb0f0d18> +81110dcc: 88012215 stw zero,1160(r17) +81110dd0: 88c13017 ldw r3,1216(r17) +81110dd4: 88813117 ldw r2,1220(r17) +81110dd8: 8c80b304 addi r18,r17,716 +81110ddc: 88c00915 stw r3,36(r17) +81110de0: 9009883a mov r4,r18 +81110de4: 88800a15 stw r2,40(r17) +81110de8: 11023980 call 81102398 +81110dec: 89413017 ldw r5,1216(r17) +81110df0: 89013117 ldw r4,1220(r17) +81110df4: 88813117 ldw r2,1220(r17) +81110df8: 88c04c0b ldhu r3,304(r17) +81110dfc: 8940b815 stw r5,736(r17) +81110e00: 8900b915 stw r4,740(r17) +81110e04: 10800044 addi r2,r2,1 +81110e08: 1880422e bgeu r3,r2,81110f14 +81110e0c: 88813117 ldw r2,1220(r17) +81110e10: 193fffc4 addi r4,r3,-1 +81110e14: 8900ba15 stw r4,744(r17) +81110e18: 10c5c83a sub r2,r2,r3 +81110e1c: 8880bb15 stw r2,748(r17) +81110e20: 8c00be15 stw r16,760(r17) +81110e24: 8c00bf15 stw r16,764(r17) +81110e28: 9009883a mov r4,r18 +81110e2c: 11023600 call 81102360 +81110e30: 003f4506 br 81110b48 <__reset+0xfb0f0b48> +81110e34: 8c006404 addi r16,r17,400 +81110e38: 8009883a mov r4,r16 +81110e3c: 88012715 stw zero,1180(r17) +81110e40: 1103bd00 call 81103bd0 +81110e44: 88c17217 ldw r3,1480(r17) +81110e48: 88817317 ldw r2,1484(r17) +81110e4c: 8009883a mov r4,r16 +81110e50: 88c06b15 stw r3,428(r17) +81110e54: 88806c15 stw r2,432(r17) +81110e58: 1103c380 call 81103c38 +81110e5c: 003f4306 br 81110b6c <__reset+0xfb0f0b6c> +81110e60: 8c80b304 addi r18,r17,716 +81110e64: 9009883a mov r4,r18 +81110e68: 88012615 stw zero,1176(r17) +81110e6c: 11023980 call 81102398 +81110e70: 8881710b ldhu r2,1476(r17) +81110e74: 9009883a mov r4,r18 +81110e78: 8c806404 addi r18,r17,400 +81110e7c: 10bfffcc andi r2,r2,65535 +81110e80: 8880c015 stw r2,768(r17) +81110e84: 11023600 call 81102360 +81110e88: 89c1710b ldhu r7,1476(r17) +81110e8c: 018006f4 movhi r6,27 +81110e90: 9009883a mov r4,r18 +81110e94: 31b85204 addi r6,r6,-7864 +81110e98: 000b883a mov r5,zero +81110e9c: 39ffffcc andi r7,r7,65535 +81110ea0: 1103d640 call 81103d64 +81110ea4: 89c1710b ldhu r7,1476(r17) +81110ea8: 018006f4 movhi r6,27 +81110eac: 31b85204 addi r6,r6,-7864 +81110eb0: 800b883a mov r5,r16 +81110eb4: 39ffffcc andi r7,r7,65535 +81110eb8: 9009883a mov r4,r18 +81110ebc: 1103d640 call 81103d64 +81110ec0: 003f2706 br 81110b60 <__reset+0xfb0f0b60> +81110ec4: 8c80b304 addi r18,r17,716 +81110ec8: 9009883a mov r4,r18 +81110ecc: 11023980 call 81102398 +81110ed0: 88012315 stw zero,1164(r17) +81110ed4: 88817417 ldw r2,1488(r17) +81110ed8: 14002426 beq r2,r16,81110f6c +81110edc: 88813017 ldw r2,1216(r17) +81110ee0: 8880b815 stw r2,736(r17) +81110ee4: 9009883a mov r4,r18 +81110ee8: 11023600 call 81102360 +81110eec: 003f1906 br 81110b54 <__reset+0xfb0f0b54> +81110ef0: 89800003 ldbu r6,0(r17) +81110ef4: d1207917 ldw r4,-32284(gp) +81110ef8: 01604574 movhi r5,33045 +81110efc: 29626804 addi r5,r5,-30304 +81110f00: 112b6200 call 8112b620 +81110f04: 003f6e06 br 81110cc0 <__reset+0xfb0f0cc0> +81110f08: 9009883a mov r4,r18 +81110f0c: 1102a900 call 81102a90 +81110f10: 003f6206 br 81110c9c <__reset+0xfb0f0c9c> +81110f14: 88813117 ldw r2,1220(r17) +81110f18: 8800bb15 stw zero,748(r17) +81110f1c: 8c00be15 stw r16,760(r17) +81110f20: 8880ba15 stw r2,744(r17) +81110f24: 8800bf15 stw zero,764(r17) +81110f28: 003fbf06 br 81110e28 <__reset+0xfb0f0e28> +81110f2c: 89800003 ldbu r6,0(r17) +81110f30: d1207917 ldw r4,-32284(gp) +81110f34: 01604574 movhi r5,33045 +81110f38: 29628e04 addi r5,r5,-30152 +81110f3c: 112b6200 call 8112b620 +81110f40: 003f2406 br 81110bd4 <__reset+0xfb0f0bd4> +81110f44: 89800003 ldbu r6,0(r17) +81110f48: d1207917 ldw r4,-32284(gp) +81110f4c: 01604574 movhi r5,33045 +81110f50: 29624204 addi r5,r5,-30456 +81110f54: 112b6200 call 8112b620 +81110f58: 003f6f06 br 81110d18 <__reset+0xfb0f0d18> +81110f5c: 000b883a mov r5,zero +81110f60: 9009883a mov r4,r18 +81110f64: 1102a900 call 81102a90 +81110f68: 003f6206 br 81110cf4 <__reset+0xfb0f0cf4> +81110f6c: 8800b815 stw zero,736(r17) +81110f70: 003fdc06 br 81110ee4 <__reset+0xfb0f0ee4> + +81110f74 : +81110f74: deffe104 addi sp,sp,-124 +81110f78: dc401615 stw r17,88(sp) +81110f7c: 04604634 movhi r17,33048 +81110f80: 8c4f1904 addi r17,r17,15460 +81110f84: 88800803 ldbu r2,32(r17) +81110f88: df001d15 stw fp,116(sp) +81110f8c: dfc01e15 stw ra,120(sp) +81110f90: ddc01c15 stw r23,112(sp) +81110f94: dd801b15 stw r22,108(sp) +81110f98: dd401a15 stw r21,104(sp) +81110f9c: dd001915 stw r20,100(sp) +81110fa0: dcc01815 stw r19,96(sp) +81110fa4: dc801715 stw r18,92(sp) +81110fa8: dc001515 stw r16,84(sp) +81110fac: 00c00104 movi r3,4 +81110fb0: 2039883a mov fp,r4 +81110fb4: 1886c52e bgeu r3,r2,81112acc +81110fb8: 04204474 movhi r16,33041 +81110fbc: 05204634 movhi r20,33048 +81110fc0: 8403fd04 addi r16,r16,4084 +81110fc4: a5241604 addi r20,r20,-28584 +81110fc8: e480b304 addi r18,fp,716 +81110fcc: e5406404 addi r21,fp,400 +81110fd0: e5c04f04 addi r23,fp,316 +81110fd4: e4c09104 addi r19,fp,580 +81110fd8: e0803117 ldw r2,196(fp) +81110fdc: 00c00a44 movi r3,41 +81110fe0: 18803f36 bltu r3,r2,811110e0 +81110fe4: 100490ba slli r2,r2,2 +81110fe8: 1405883a add r2,r2,r16 +81110fec: 10800017 ldw r2,0(r2) +81110ff0: 1000683a jmp r2 +81110ff4: 81111914 ori r4,r16,17508 +81110ff8: 811118dc xori r4,r16,17507 +81110ffc: 811118a4 muli r4,r16,17506 +81111000: 81111eac andhi r4,r16,17530 +81111004: 811110e0 cmpeqi r4,r16,17475 +81111008: 811110e0 cmpeqi r4,r16,17475 +8111100c: 811110e0 cmpeqi r4,r16,17475 +81111010: 811110e0 cmpeqi r4,r16,17475 +81111014: 811110e0 cmpeqi r4,r16,17475 +81111018: 811110e0 cmpeqi r4,r16,17475 +8111101c: 811110e0 cmpeqi r4,r16,17475 +81111020: 811110e0 cmpeqi r4,r16,17475 +81111024: 81111cc0 call 881111cc <__reset+0x20f11cc> +81111028: 81111658 cmpnei r4,r16,17497 +8111102c: 81111578 rdprs r4,r16,17493 +81111030: 81111e6c andhi r4,r16,17529 +81111034: 811110e0 cmpeqi r4,r16,17475 +81111038: 81111c34 orhi r4,r16,17520 +8111103c: 811110e0 cmpeqi r4,r16,17475 +81111040: 81111c80 call 881111c8 <__reset+0x20f11c8> +81111044: 811110e0 cmpeqi r4,r16,17475 +81111048: 8111179c xori r4,r16,17502 +8111104c: 811110e0 cmpeqi r4,r16,17475 +81111050: 81111b5c xori r4,r16,17517 +81111054: 811110e0 cmpeqi r4,r16,17475 +81111058: 81111b04 addi r4,r16,17516 +8111105c: 811110e0 cmpeqi r4,r16,17475 +81111060: 81111b9c xori r4,r16,17518 +81111064: 811110e0 cmpeqi r4,r16,17475 +81111068: 811114c8 cmpgei r4,r16,17491 +8111106c: 811110e0 cmpeqi r4,r16,17475 +81111070: 81111bdc xori r4,r16,17519 +81111074: 811117f8 rdprs r4,r16,17503 +81111078: 81111ad0 cmplti r4,r16,17515 +8111107c: 81111548 cmpgei r4,r16,17493 +81111080: 81111764 muli r4,r16,17501 +81111084: 81111464 muli r4,r16,17489 +81111088: 811113e8 cmpgeui r4,r16,17487 +8111108c: 81111270 cmpltui r4,r16,17481 +81111090: 81111158 cmpnei r4,r16,17477 +81111094: 8111110c andi r4,r16,17476 +81111098: 8111109c xori r4,r16,17474 +8111109c: 88800803 ldbu r2,32(r17) +811110a0: 00c00104 movi r3,4 +811110a4: 18846e2e bgeu r3,r2,81112260 +811110a8: d8000215 stw zero,8(sp) +811110ac: e009883a mov r4,fp +811110b0: d8000315 stw zero,12(sp) +811110b4: 1125ee00 call 81125ee0 +811110b8: 00a04634 movhi r2,33048 +811110bc: 108ef404 addi r2,r2,15312 +811110c0: 10c00417 ldw r3,16(r2) +811110c4: 00800044 movi r2,1 +811110c8: 18828b26 beq r3,r2,81111af8 +811110cc: 008008c4 movi r2,35 +811110d0: e0803115 stw r2,196(fp) +811110d4: e0803117 ldw r2,196(fp) +811110d8: 00c00a44 movi r3,41 +811110dc: 18bfc12e bgeu r3,r2,81110fe4 <__reset+0xfb0f0fe4> +811110e0: 88800803 ldbu r2,32(r17) +811110e4: 00c00304 movi r3,12 +811110e8: e0c03115 stw r3,196(fp) +811110ec: 00c001c4 movi r3,7 +811110f0: 18bfb936 bltu r3,r2,81110fd8 <__reset+0xfb0f0fd8> +811110f4: e1800003 ldbu r6,0(fp) +811110f8: d1207917 ldw r4,-32284(gp) +811110fc: 01604574 movhi r5,33045 +81111100: 29662804 addi r5,r5,-26464 +81111104: 112b6200 call 8112b620 +81111108: 003fb306 br 81110fd8 <__reset+0xfb0f0fd8> +8111110c: e0800003 ldbu r2,0(fp) +81111110: d9800e44 addi r6,sp,57 +81111114: 000b883a mov r5,zero +81111118: 1085883a add r2,r2,r2 +8111111c: 1085883a add r2,r2,r2 +81111120: a085883a add r2,r20,r2 +81111124: 11000017 ldw r4,0(r2) +81111128: 1142d140 call 81142d14 +8111112c: d8c00e43 ldbu r3,57(sp) +81111130: 18045626 beq r3,zero,8111228c +81111134: 88800803 ldbu r2,32(r17) +81111138: 00c001c4 movi r3,7 +8111113c: 18bfa636 bltu r3,r2,81110fd8 <__reset+0xfb0f0fd8> +81111140: e1800003 ldbu r6,0(fp) +81111144: d1207917 ldw r4,-32284(gp) +81111148: 01604574 movhi r5,33045 +8111114c: 2964ea04 addi r5,r5,-27736 +81111150: 112b6200 call 8112b620 +81111154: 003fa006 br 81110fd8 <__reset+0xfb0f0fd8> +81111158: e0800003 ldbu r2,0(fp) +8111115c: d9800e44 addi r6,sp,57 +81111160: 000b883a mov r5,zero +81111164: 1085883a add r2,r2,r2 +81111168: 1085883a add r2,r2,r2 +8111116c: a085883a add r2,r20,r2 +81111170: 11000017 ldw r4,0(r2) +81111174: 1142d140 call 81142d14 +81111178: d8c00e43 ldbu r3,57(sp) +8111117c: 183fed1e bne r3,zero,81111134 <__reset+0xfb0f1134> +81111180: 1006d43a srli r3,r2,16 +81111184: 010023c4 movi r4,143 +81111188: 18c03fcc andi r3,r3,255 +8111118c: 1905201e bne r3,r4,81112610 +81111190: d8c00b03 ldbu r3,44(sp) +81111194: 102cd23a srli r22,r2,8 +81111198: 18803fcc andi r2,r3,255 +8111119c: b5803fcc andi r22,r22,255 +811111a0: 1005691e bne r2,zero,81112748 +811111a4: b08002c4 addi r2,r22,11 +811111a8: 1085883a add r2,r2,r2 +811111ac: d8c00104 addi r3,sp,4 +811111b0: 1085883a add r2,r2,r2 +811111b4: 1885883a add r2,r3,r2 +811111b8: 10c00017 ldw r3,0(r2) +811111bc: e0800043 ldbu r2,1(fp) +811111c0: d9800817 ldw r6,32(sp) +811111c4: 19400217 ldw r5,8(r3) +811111c8: b00f883a mov r7,r22 +811111cc: d8800015 stw r2,0(sp) +811111d0: 0009883a mov r4,zero +811111d4: 1101ed80 call 81101ed8 +811111d8: b0c00044 addi r3,r22,1 +811111dc: 18c7883a add r3,r3,r3 +811111e0: 18c7883a add r3,r3,r3 +811111e4: d9000104 addi r4,sp,4 +811111e8: 20c7883a add r3,r4,r3 +811111ec: 18800015 stw r2,0(r3) +811111f0: 18800017 ldw r2,0(r3) +811111f4: 88c00803 ldbu r3,32(r17) +811111f8: 1000021e bne r2,zero,81111204 +811111fc: 008001c4 movi r2,7 +81111200: 10c5c02e bgeu r2,r3,81112904 +81111204: d8800217 ldw r2,8(sp) +81111208: 01000044 movi r4,1 +8111120c: 11059526 beq r2,r4,81112864 +81111210: b0800044 addi r2,r22,1 +81111214: 1085883a add r2,r2,r2 +81111218: 1085883a add r2,r2,r2 +8111121c: d9000104 addi r4,sp,4 +81111220: 2085883a add r2,r4,r2 +81111224: 10800017 ldw r2,0(r2) +81111228: 10055d1e bne r2,zero,811127a0 +8111122c: 01c001c4 movi r7,7 +81111230: 38c5cd2e bgeu r7,r3,81112968 +81111234: d8800e03 ldbu r2,56(sp) +81111238: 00c00244 movi r3,9 +8111123c: 10803fcc andi r2,r2,255 +81111240: 18859b2e bgeu r3,r2,811128b0 +81111244: 00800304 movi r2,12 +81111248: e0803115 stw r2,196(fp) +8111124c: 00800044 movi r2,1 +81111250: e0002b15 stw zero,172(fp) +81111254: e0003315 stw zero,204(fp) +81111258: e0803415 stw r2,208(fp) +8111125c: d8000e05 stb zero,56(sp) +81111260: d8800e03 ldbu r2,56(sp) +81111264: 10800044 addi r2,r2,1 +81111268: d8800e05 stb r2,56(sp) +8111126c: 003f5a06 br 81110fd8 <__reset+0xfb0f0fd8> +81111270: 00a04634 movhi r2,33048 +81111274: 108ef404 addi r2,r2,15312 +81111278: 10c00217 ldw r3,8(r2) +8111127c: 00800044 movi r2,1 +81111280: 1884ef26 beq r3,r2,81112640 +81111284: e009883a mov r4,fp +81111288: 1125ee00 call 81125ee0 +8111128c: e100ab17 ldw r4,684(fp) +81111290: 00c00044 movi r3,1 +81111294: e0c02615 stw r3,152(fp) +81111298: 20801417 ldw r2,80(r4) +8111129c: 014000c4 movi r5,3 +811112a0: 1144d226 beq r2,r5,811125ec +811112a4: 01000084 movi r4,2 +811112a8: 1104e326 beq r2,r4,81112638 +811112ac: d8c00a15 stw r3,40(sp) +811112b0: 01204634 movhi r4,33048 +811112b4: 210ef404 addi r4,r4,15312 +811112b8: 20c00503 ldbu r3,20(r4) +811112bc: e1003817 ldw r4,224(fp) +811112c0: 00800044 movi r2,1 +811112c4: 18c00044 addi r3,r3,1 +811112c8: 18c000cc andi r3,r3,3 +811112cc: 2084c426 beq r4,r2,811125e0 +811112d0: e0c5883a add r2,fp,r3 +811112d4: 10802e43 ldbu r2,185(r2) +811112d8: d8800b45 stb r2,45(sp) +811112dc: d8800b43 ldbu r2,45(sp) +811112e0: 10803fcc andi r2,r2,255 +811112e4: 10800624 muli r2,r2,24 +811112e8: 10800d04 addi r2,r2,52 +811112ec: e085883a add r2,fp,r2 +811112f0: d8800c15 stw r2,48(sp) +811112f4: d8800b43 ldbu r2,45(sp) +811112f8: 10803fcc andi r2,r2,255 +811112fc: 10800624 muli r2,r2,24 +81111300: 10801004 addi r2,r2,64 +81111304: e085883a add r2,fp,r2 +81111308: d8800d15 stw r2,52(sp) +8111130c: d9800c17 ldw r6,48(sp) +81111310: d9000c17 ldw r4,48(sp) +81111314: d9400d17 ldw r5,52(sp) +81111318: d8800d17 ldw r2,52(sp) +8111131c: 21000017 ldw r4,0(r4) +81111320: 10800017 ldw r2,0(r2) +81111324: 21008004 addi r4,r4,512 +81111328: 31000215 stw r4,8(r6) +8111132c: 10808004 addi r2,r2,512 +81111330: 28800215 stw r2,8(r5) +81111334: e0802d17 ldw r2,180(fp) +81111338: 10800003 ldbu r2,0(r2) +8111133c: 1803641e bne r3,zero,811120d0 +81111340: 10800044 addi r2,r2,1 +81111344: 1080004c andi r2,r2,1 +81111348: d8800b05 stb r2,44(sp) +8111134c: d8800c17 ldw r2,48(sp) +81111350: d9400b03 ldbu r5,44(sp) +81111354: e1800003 ldbu r6,0(fp) +81111358: 11000017 ldw r4,0(r2) +8111135c: 29403fcc andi r5,r5,255 +81111360: 11053680 call 81105368 +81111364: e0c00517 ldw r3,20(fp) +81111368: e0800417 ldw r2,16(fp) +8111136c: d8000615 stw zero,24(sp) +81111370: d8c00715 stw r3,28(sp) +81111374: a80b883a mov r5,r21 +81111378: e009883a mov r4,fp +8111137c: d8800815 stw r2,32(sp) +81111380: 110a20c0 call 8110a20c +81111384: 9009883a mov r4,r18 +81111388: 11023980 call 81102398 +8111138c: a809883a mov r4,r21 +81111390: 1103bd00 call 81103bd0 +81111394: d8c00b43 ldbu r3,45(sp) +81111398: e0803417 ldw r2,208(fp) +8111139c: 18c03fcc andi r3,r3,255 +811113a0: e0c0c415 stw r3,784(fp) +811113a4: 10bfff04 addi r2,r2,-4 +811113a8: 00c001c4 movi r3,7 +811113ac: 18850536 bltu r3,r2,811127c4 +811113b0: 100490ba slli r2,r2,2 +811113b4: 00e04474 movhi r3,33041 +811113b8: 18c4f204 addi r3,r3,5064 +811113bc: 10c5883a add r2,r2,r3 +811113c0: 10800017 ldw r2,0(r2) +811113c4: 1000683a jmp r2 +811113c8: 81111ee4 muli r4,r16,17531 +811113cc: 81111fa4 muli r4,r16,17534 +811113d0: 81111f7c xorhi r4,r16,17533 +811113d4: 81111fb0 cmpltui r4,r16,17534 +811113d8: 81111f58 cmpnei r4,r16,17533 +811113dc: 81111f70 cmpltui r4,r16,17533 +811113e0: 81111f64 muli r4,r16,17533 +811113e4: 81111fc4 addi r4,r16,17535 +811113e8: e0800003 ldbu r2,0(fp) +811113ec: 1085883a add r2,r2,r2 +811113f0: 1085883a add r2,r2,r2 +811113f4: a085883a add r2,r20,r2 +811113f8: 11000017 ldw r4,0(r2) +811113fc: 1142cc40 call 81142cc4 +81111400: d8800e45 stb r2,57(sp) +81111404: 10803fcc andi r2,r2,255 +81111408: 1003791e bne r2,zero,811121f0 +8111140c: e1000043 ldbu r4,1(fp) +81111410: 110964c0 call 8110964c +81111414: 89c0088b ldhu r7,34(r17) +81111418: 00800084 movi r2,2 +8111141c: 11c0012e bgeu r2,r7,81111424 +81111420: 100f883a mov r7,r2 +81111424: 000d883a mov r6,zero +81111428: 000b883a mov r5,zero +8111142c: 0009883a mov r4,zero +81111430: 11447cc0 call 811447cc +81111434: e0c03817 ldw r3,224(fp) +81111438: 00800044 movi r2,1 +8111143c: 1883aa26 beq r3,r2,811122e8 +81111440: e0c03717 ldw r3,220(fp) +81111444: 1884e526 beq r3,r2,811127dc +81111448: 01204634 movhi r4,33048 +8111144c: 210ef404 addi r4,r4,15312 +81111450: 20c00217 ldw r3,8(r4) +81111454: 1884f126 beq r3,r2,8111281c +81111458: 00800984 movi r2,38 +8111145c: e0803115 stw r2,196(fp) +81111460: 003edd06 br 81110fd8 <__reset+0xfb0f0fd8> +81111464: 00e04634 movhi r3,33048 +81111468: 18cef404 addi r3,r3,15312 +8111146c: 18800217 ldw r2,8(r3) +81111470: 1001a126 beq r2,zero,81111af8 +81111474: 01204634 movhi r4,33048 +81111478: 210ef404 addi r4,r4,15312 +8111147c: 20c00317 ldw r3,12(r4) +81111480: 00800044 movi r2,1 +81111484: 18819c26 beq r3,r2,81111af8 +81111488: 20c00417 ldw r3,16(r4) +8111148c: 18819a26 beq r3,r2,81111af8 +81111490: e0800003 ldbu r2,0(fp) +81111494: d9800e44 addi r6,sp,57 +81111498: 000b883a mov r5,zero +8111149c: 1085883a add r2,r2,r2 +811114a0: 1085883a add r2,r2,r2 +811114a4: a085883a add r2,r20,r2 +811114a8: 11000017 ldw r4,0(r2) +811114ac: 1142d140 call 81142d14 +811114b0: d8c00e43 ldbu r3,57(sp) +811114b4: 183f1f1e bne r3,zero,81111134 <__reset+0xfb0f1134> +811114b8: 100b883a mov r5,r2 +811114bc: e009883a mov r4,fp +811114c0: 110c6200 call 8110c620 +811114c4: 003ec406 br 81110fd8 <__reset+0xfb0f0fd8> +811114c8: 88800803 ldbu r2,32(r17) +811114cc: 00c00104 movi r3,4 +811114d0: 18835d2e bgeu r3,r2,81112248 +811114d4: e1000003 ldbu r4,0(fp) +811114d8: 01604574 movhi r5,33045 +811114dc: 297347c4 addi r5,r5,-13025 +811114e0: 21000044 addi r4,r4,1 +811114e4: 21003fcc andi r4,r4,255 +811114e8: 111e1e00 call 8111e1e0 +811114ec: 008002c4 movi r2,11 +811114f0: 00c00384 movi r3,14 +811114f4: e0803415 stw r2,208(fp) +811114f8: e0803515 stw r2,212(fp) +811114fc: e0c03315 stw r3,204(fp) +81111500: 00800284 movi r2,10 +81111504: e0803615 stw r2,216(fp) +81111508: 00800044 movi r2,1 +8111150c: 9009883a mov r4,r18 +81111510: e0803715 stw r2,220(fp) +81111514: e0003815 stw zero,224(fp) +81111518: 11024800 call 81102480 +8111151c: e080cc17 ldw r2,816(fp) +81111520: e140cd17 ldw r5,820(fp) +81111524: e100ca17 ldw r4,808(fp) +81111528: e0c0cb17 ldw r3,812(fp) +8111152c: e0804715 stw r2,284(fp) +81111530: 00800844 movi r2,33 +81111534: e1404815 stw r5,288(fp) +81111538: e1004515 stw r4,276(fp) +8111153c: e0c04615 stw r3,280(fp) +81111540: e0803115 stw r2,196(fp) +81111544: 003ea406 br 81110fd8 <__reset+0xfb0f0fd8> +81111548: e1800003 ldbu r6,0(fp) +8111154c: e0002615 stw zero,152(fp) +81111550: 000b883a mov r5,zero +81111554: 01002044 movi r4,129 +81111558: 1109ac00 call 81109ac0 +8111155c: e0803517 ldw r2,212(fp) +81111560: 00c00344 movi r3,13 +81111564: 10c46d26 beq r2,r3,8111271c +81111568: 00c00384 movi r3,14 +8111156c: 10c48426 beq r2,r3,81112780 +81111570: e0803115 stw r2,196(fp) +81111574: 003e9806 br 81110fd8 <__reset+0xfb0f0fd8> +81111578: 9809883a mov r4,r19 +8111157c: 1104d100 call 81104d10 +81111580: e080ab17 ldw r2,684(fp) +81111584: 00c00104 movi r3,4 81111588: 9809883a mov r4,r19 -8111158c: 1104ae00 call 81104ae0 -81111590: 9809883a mov r4,r19 -81111594: e580ac15 stw r22,688(fp) -81111598: e580ad15 stw r22,692(fp) -8111159c: 1104ab80 call 81104ab8 -811115a0: e1400003 ldbu r5,0(fp) -811115a4: b809883a mov r4,r23 -811115a8: 110a1640 call 8110a164 -811115ac: 88800803 ldbu r2,32(r17) -811115b0: d8c00f17 ldw r3,60(sp) -811115b4: e5802915 stw r22,164(fp) -811115b8: 1883022e bgeu r3,r2,811121c4 -811115bc: e0803417 ldw r2,208(fp) -811115c0: 058000c4 movi r22,3 -811115c4: 00c00044 movi r3,1 -811115c8: e0803315 stw r2,204(fp) -811115cc: 00800084 movi r2,2 -811115d0: 9009883a mov r4,r18 -811115d4: e0003815 stw zero,224(fp) -811115d8: e0002615 stw zero,152(fp) -811115dc: e0c02b15 stw r3,172(fp) -811115e0: e5803415 stw r22,208(fp) -811115e4: e5803515 stw r22,212(fp) -811115e8: e0803615 stw r2,216(fp) -811115ec: e0003715 stw zero,220(fp) -811115f0: 11024800 call 81102480 -811115f4: e1004517 ldw r4,276(fp) -811115f8: e1404817 ldw r5,288(fp) -811115fc: e0c04617 ldw r3,280(fp) -81111600: e0804717 ldw r2,284(fp) -81111604: e100ca15 stw r4,808(fp) -81111608: e140cd15 stw r5,820(fp) -8111160c: e0c0cb15 stw r3,812(fp) -81111610: e080cc15 stw r2,816(fp) -81111614: 9009883a mov r4,r18 -81111618: 11024480 call 81102448 -8111161c: e5803115 stw r22,196(fp) -81111620: 003e6006 br 81110fa4 <__reset+0xfb0f0fa4> -81111624: e0800003 ldbu r2,0(fp) -81111628: 1085883a add r2,r2,r2 -8111162c: 1085883a add r2,r2,r2 -81111630: a085883a add r2,r20,r2 -81111634: 11000017 ldw r4,0(r2) -81111638: 1142c840 call 81142c84 -8111163c: d8800e45 stb r2,57(sp) -81111640: 10803fcc andi r2,r2,255 -81111644: 10030e1e bne r2,zero,81112280 -81111648: e1000007 ldb r4,0(fp) -8111164c: 000b883a mov r5,zero -81111650: 05800044 movi r22,1 -81111654: 111e1dc0 call 8111e1dc -81111658: e1000003 ldbu r4,0(fp) -8111165c: 01604574 movhi r5,33045 -81111660: 297332c4 addi r5,r5,-13109 -81111664: 2589883a add r4,r4,r22 -81111668: 21003fcc andi r4,r4,255 -8111166c: 111e1a00 call 8111e1a0 -81111670: 9809883a mov r4,r19 -81111674: 1104d100 call 81104d10 -81111678: e080ab17 ldw r2,684(fp) -8111167c: 9809883a mov r4,r19 -81111680: 1000a115 stw zero,644(r2) -81111684: 1104d080 call 81104d08 -81111688: 9009883a mov r4,r18 -8111168c: 11023980 call 81102398 -81111690: 9009883a mov r4,r18 -81111694: e580c315 stw r22,780(fp) -81111698: 11023600 call 81102360 -8111169c: e1000043 ldbu r4,1(fp) -811116a0: b00d883a mov r6,r22 -811116a4: 000b883a mov r5,zero -811116a8: 1101da00 call 81101da0 -811116ac: e1000043 ldbu r4,1(fp) -811116b0: b00d883a mov r6,r22 -811116b4: b00b883a mov r5,r22 -811116b8: 1101da00 call 81101da0 -811116bc: a809883a mov r4,r21 -811116c0: 110a2380 call 8110a238 -811116c4: 9809883a mov r4,r19 -811116c8: 1104ae00 call 81104ae0 -811116cc: 9809883a mov r4,r19 -811116d0: e580ac15 stw r22,688(fp) -811116d4: e580ad15 stw r22,692(fp) -811116d8: 1104ab80 call 81104ab8 -811116dc: e1400003 ldbu r5,0(fp) -811116e0: b809883a mov r4,r23 -811116e4: 110a1640 call 8110a164 -811116e8: 88800803 ldbu r2,32(r17) -811116ec: e5802915 stw r22,164(fp) -811116f0: e5802a15 stw r22,168(fp) -811116f4: e0002615 stw zero,152(fp) -811116f8: 00c00104 movi r3,4 -811116fc: 1882da2e bgeu r3,r2,81112268 -81111700: e0c03417 ldw r3,208(fp) -81111704: 05800084 movi r22,2 -81111708: 00800044 movi r2,1 -8111170c: 9009883a mov r4,r18 -81111710: e0802b15 stw r2,172(fp) -81111714: e0c03315 stw r3,204(fp) -81111718: e5803415 stw r22,208(fp) -8111171c: e5803515 stw r22,212(fp) -81111720: e0803615 stw r2,216(fp) -81111724: e0003715 stw zero,220(fp) -81111728: e0003815 stw zero,224(fp) -8111172c: 003fb006 br 811115f0 <__reset+0xfb0f15f0> -81111730: e0800003 ldbu r2,0(fp) -81111734: d9800e44 addi r6,sp,57 -81111738: 000b883a mov r5,zero -8111173c: 1085883a add r2,r2,r2 -81111740: 1085883a add r2,r2,r2 -81111744: a085883a add r2,r20,r2 -81111748: 11000017 ldw r4,0(r2) -8111174c: 1142cd40 call 81142cd4 -81111750: d8c00e43 ldbu r3,57(sp) -81111754: 183e6a1e bne r3,zero,81111100 <__reset+0xfb0f1100> -81111758: 100b883a mov r5,r2 -8111175c: e009883a mov r4,fp -81111760: 110b9200 call 8110b920 -81111764: 003e0f06 br 81110fa4 <__reset+0xfb0f0fa4> -81111768: 88800803 ldbu r2,32(r17) -8111176c: 00c00104 movi r3,4 -81111770: 1882542e bgeu r3,r2,811120c4 -81111774: e1000003 ldbu r4,0(fp) -81111778: 01604574 movhi r5,33045 -8111177c: 297330c4 addi r5,r5,-13117 -81111780: 21000044 addi r4,r4,1 -81111784: 21003fcc andi r4,r4,255 -81111788: 111e1a00 call 8111e1a0 -8111178c: e0c0ab17 ldw r3,684(fp) -81111790: 010000c4 movi r4,3 -81111794: 008001c4 movi r2,7 -81111798: 19001415 stw r4,80(r3) -8111179c: 00c00384 movi r3,14 -811117a0: e0803415 stw r2,208(fp) -811117a4: e0803515 stw r2,212(fp) -811117a8: e0c03315 stw r3,204(fp) -811117ac: 00800184 movi r2,6 -811117b0: e0803615 stw r2,216(fp) -811117b4: e0003715 stw zero,220(fp) -811117b8: e0003815 stw zero,224(fp) -811117bc: 00800844 movi r2,33 -811117c0: 003f5e06 br 8111153c <__reset+0xfb0f153c> -811117c4: 88800803 ldbu r2,32(r17) -811117c8: 00c00104 movi r3,4 -811117cc: 18824d2e bgeu r3,r2,81112104 -811117d0: e0800003 ldbu r2,0(fp) -811117d4: d9800e44 addi r6,sp,57 -811117d8: 000b883a mov r5,zero -811117dc: 1085883a add r2,r2,r2 -811117e0: 1085883a add r2,r2,r2 -811117e4: a085883a add r2,r20,r2 -811117e8: 11000017 ldw r4,0(r2) -811117ec: 1142cd40 call 81142cd4 -811117f0: d8c00e43 ldbu r3,57(sp) -811117f4: 18023f26 beq r3,zero,811120f4 +8111158c: 10c0a115 stw r3,644(r2) +81111590: d8c00f15 stw r3,60(sp) +81111594: 1104d080 call 81104d08 +81111598: e1000003 ldbu r4,0(fp) +8111159c: 05800044 movi r22,1 +811115a0: 01604574 movhi r5,33045 +811115a4: 2589883a add r4,r4,r22 +811115a8: 29733ec4 addi r5,r5,-13061 +811115ac: 21003fcc andi r4,r4,255 +811115b0: 111e1e00 call 8111e1e0 +811115b4: a809883a mov r4,r21 +811115b8: 110a26c0 call 8110a26c +811115bc: 9809883a mov r4,r19 +811115c0: 1104ae00 call 81104ae0 +811115c4: 9809883a mov r4,r19 +811115c8: e580ac15 stw r22,688(fp) +811115cc: e580ad15 stw r22,692(fp) +811115d0: 1104ab80 call 81104ab8 +811115d4: e1400003 ldbu r5,0(fp) +811115d8: b809883a mov r4,r23 +811115dc: 110a1980 call 8110a198 +811115e0: 88800803 ldbu r2,32(r17) +811115e4: d8c00f17 ldw r3,60(sp) +811115e8: e5802915 stw r22,164(fp) +811115ec: 1883022e bgeu r3,r2,811121f8 +811115f0: e0803417 ldw r2,208(fp) +811115f4: 058000c4 movi r22,3 +811115f8: 00c00044 movi r3,1 +811115fc: e0803315 stw r2,204(fp) +81111600: 00800084 movi r2,2 +81111604: 9009883a mov r4,r18 +81111608: e0003815 stw zero,224(fp) +8111160c: e0002615 stw zero,152(fp) +81111610: e0c02b15 stw r3,172(fp) +81111614: e5803415 stw r22,208(fp) +81111618: e5803515 stw r22,212(fp) +8111161c: e0803615 stw r2,216(fp) +81111620: e0003715 stw zero,220(fp) +81111624: 11024800 call 81102480 +81111628: e1004517 ldw r4,276(fp) +8111162c: e1404817 ldw r5,288(fp) +81111630: e0c04617 ldw r3,280(fp) +81111634: e0804717 ldw r2,284(fp) +81111638: e100ca15 stw r4,808(fp) +8111163c: e140cd15 stw r5,820(fp) +81111640: e0c0cb15 stw r3,812(fp) +81111644: e080cc15 stw r2,816(fp) +81111648: 9009883a mov r4,r18 +8111164c: 11024480 call 81102448 +81111650: e5803115 stw r22,196(fp) +81111654: 003e6006 br 81110fd8 <__reset+0xfb0f0fd8> +81111658: e0800003 ldbu r2,0(fp) +8111165c: 1085883a add r2,r2,r2 +81111660: 1085883a add r2,r2,r2 +81111664: a085883a add r2,r20,r2 +81111668: 11000017 ldw r4,0(r2) +8111166c: 1142cc40 call 81142cc4 +81111670: d8800e45 stb r2,57(sp) +81111674: 10803fcc andi r2,r2,255 +81111678: 10030e1e bne r2,zero,811122b4 +8111167c: e1000007 ldb r4,0(fp) +81111680: 000b883a mov r5,zero +81111684: 05800044 movi r22,1 +81111688: 111e21c0 call 8111e21c +8111168c: e1000003 ldbu r4,0(fp) +81111690: 01604574 movhi r5,33045 +81111694: 297343c4 addi r5,r5,-13041 +81111698: 2589883a add r4,r4,r22 +8111169c: 21003fcc andi r4,r4,255 +811116a0: 111e1e00 call 8111e1e0 +811116a4: 9809883a mov r4,r19 +811116a8: 1104d100 call 81104d10 +811116ac: e080ab17 ldw r2,684(fp) +811116b0: 9809883a mov r4,r19 +811116b4: 1000a115 stw zero,644(r2) +811116b8: 1104d080 call 81104d08 +811116bc: 9009883a mov r4,r18 +811116c0: 11023980 call 81102398 +811116c4: 9009883a mov r4,r18 +811116c8: e580c315 stw r22,780(fp) +811116cc: 11023600 call 81102360 +811116d0: e1000043 ldbu r4,1(fp) +811116d4: b00d883a mov r6,r22 +811116d8: 000b883a mov r5,zero +811116dc: 1101da00 call 81101da0 +811116e0: e1000043 ldbu r4,1(fp) +811116e4: b00d883a mov r6,r22 +811116e8: b00b883a mov r5,r22 +811116ec: 1101da00 call 81101da0 +811116f0: a809883a mov r4,r21 +811116f4: 110a26c0 call 8110a26c +811116f8: 9809883a mov r4,r19 +811116fc: 1104ae00 call 81104ae0 +81111700: 9809883a mov r4,r19 +81111704: e580ac15 stw r22,688(fp) +81111708: e580ad15 stw r22,692(fp) +8111170c: 1104ab80 call 81104ab8 +81111710: e1400003 ldbu r5,0(fp) +81111714: b809883a mov r4,r23 +81111718: 110a1980 call 8110a198 +8111171c: 88800803 ldbu r2,32(r17) +81111720: e5802915 stw r22,164(fp) +81111724: e5802a15 stw r22,168(fp) +81111728: e0002615 stw zero,152(fp) +8111172c: 00c00104 movi r3,4 +81111730: 1882da2e bgeu r3,r2,8111229c +81111734: e0c03417 ldw r3,208(fp) +81111738: 05800084 movi r22,2 +8111173c: 00800044 movi r2,1 +81111740: 9009883a mov r4,r18 +81111744: e0802b15 stw r2,172(fp) +81111748: e0c03315 stw r3,204(fp) +8111174c: e5803415 stw r22,208(fp) +81111750: e5803515 stw r22,212(fp) +81111754: e0803615 stw r2,216(fp) +81111758: e0003715 stw zero,220(fp) +8111175c: e0003815 stw zero,224(fp) +81111760: 003fb006 br 81111624 <__reset+0xfb0f1624> +81111764: e0800003 ldbu r2,0(fp) +81111768: d9800e44 addi r6,sp,57 +8111176c: 000b883a mov r5,zero +81111770: 1085883a add r2,r2,r2 +81111774: 1085883a add r2,r2,r2 +81111778: a085883a add r2,r20,r2 +8111177c: 11000017 ldw r4,0(r2) +81111780: 1142d140 call 81142d14 +81111784: d8c00e43 ldbu r3,57(sp) +81111788: 183e6a1e bne r3,zero,81111134 <__reset+0xfb0f1134> +8111178c: 100b883a mov r5,r2 +81111790: e009883a mov r4,fp +81111794: 110b9540 call 8110b954 +81111798: 003e0f06 br 81110fd8 <__reset+0xfb0f0fd8> +8111179c: 88800803 ldbu r2,32(r17) +811117a0: 00c00104 movi r3,4 +811117a4: 1882542e bgeu r3,r2,811120f8 +811117a8: e1000003 ldbu r4,0(fp) +811117ac: 01604574 movhi r5,33045 +811117b0: 297341c4 addi r5,r5,-13049 +811117b4: 21000044 addi r4,r4,1 +811117b8: 21003fcc andi r4,r4,255 +811117bc: 111e1e00 call 8111e1e0 +811117c0: e0c0ab17 ldw r3,684(fp) +811117c4: 010000c4 movi r4,3 +811117c8: 008001c4 movi r2,7 +811117cc: 19001415 stw r4,80(r3) +811117d0: 00c00384 movi r3,14 +811117d4: e0803415 stw r2,208(fp) +811117d8: e0803515 stw r2,212(fp) +811117dc: e0c03315 stw r3,204(fp) +811117e0: 00800184 movi r2,6 +811117e4: e0803615 stw r2,216(fp) +811117e8: e0003715 stw zero,220(fp) +811117ec: e0003815 stw zero,224(fp) +811117f0: 00800844 movi r2,33 +811117f4: 003f5e06 br 81111570 <__reset+0xfb0f1570> 811117f8: 88800803 ldbu r2,32(r17) -811117fc: 00c001c4 movi r3,7 -81111800: 18837a2e bgeu r3,r2,811125ec -81111804: d8c00117 ldw r3,4(sp) -81111808: 00800044 movi r2,1 -8111180c: 18bde51e bne r3,r2,81110fa4 <__reset+0xfb0f0fa4> -81111810: 9809883a mov r4,r19 -81111814: d8000115 stw zero,4(sp) -81111818: 1104d100 call 81104d10 -8111181c: e0803417 ldw r2,208(fp) -81111820: 00c002c4 movi r3,11 -81111824: 18821436 bltu r3,r2,81112078 -81111828: 100490ba slli r2,r2,2 -8111182c: 00e04474 movhi r3,33041 -81111830: 18c61004 addi r3,r3,6208 -81111834: 10c5883a add r2,r2,r3 -81111838: 10800017 ldw r2,0(r2) -8111183c: 1000683a jmp r2 -81111840: 81112078 rdprs r4,r16,17537 -81111844: 81112078 rdprs r4,r16,17537 -81111848: 81112020 cmpeqi r4,r16,17536 -8111184c: 8111200c andi r4,r16,17536 -81111850: 81111ff8 rdprs r4,r16,17535 -81111854: 81111fe4 muli r4,r16,17535 -81111858: 81111fd0 cmplti r4,r16,17535 -8111185c: 81111fbc xorhi r4,r16,17534 -81111860: 81111f9c xori r4,r16,17534 -81111864: 81112050 cmplti r4,r16,17537 -81111868: 8111203c xorhi r4,r16,17536 -8111186c: 81112064 muli r4,r16,17537 -81111870: e0800003 ldbu r2,0(fp) -81111874: d9800e44 addi r6,sp,57 -81111878: 000b883a mov r5,zero -8111187c: 1085883a add r2,r2,r2 -81111880: 1085883a add r2,r2,r2 -81111884: a085883a add r2,r20,r2 -81111888: 11000017 ldw r4,0(r2) -8111188c: 1142cd40 call 81142cd4 -81111890: d8c00e43 ldbu r3,57(sp) -81111894: 183e1a1e bne r3,zero,81111100 <__reset+0xfb0f1100> -81111898: 100b883a mov r5,r2 -8111189c: e009883a mov r4,fp -811118a0: 110ac4c0 call 8110ac4c -811118a4: 003dbf06 br 81110fa4 <__reset+0xfb0f0fa4> -811118a8: e0800003 ldbu r2,0(fp) -811118ac: d9800e44 addi r6,sp,57 -811118b0: 000b883a mov r5,zero +811117fc: 00c00104 movi r3,4 +81111800: 18824d2e bgeu r3,r2,81112138 +81111804: e0800003 ldbu r2,0(fp) +81111808: d9800e44 addi r6,sp,57 +8111180c: 000b883a mov r5,zero +81111810: 1085883a add r2,r2,r2 +81111814: 1085883a add r2,r2,r2 +81111818: a085883a add r2,r20,r2 +8111181c: 11000017 ldw r4,0(r2) +81111820: 1142d140 call 81142d14 +81111824: d8c00e43 ldbu r3,57(sp) +81111828: 18023f26 beq r3,zero,81112128 +8111182c: 88800803 ldbu r2,32(r17) +81111830: 00c001c4 movi r3,7 +81111834: 18837a2e bgeu r3,r2,81112620 +81111838: d8c00117 ldw r3,4(sp) +8111183c: 00800044 movi r2,1 +81111840: 18bde51e bne r3,r2,81110fd8 <__reset+0xfb0f0fd8> +81111844: 9809883a mov r4,r19 +81111848: d8000115 stw zero,4(sp) +8111184c: 1104d100 call 81104d10 +81111850: e0803417 ldw r2,208(fp) +81111854: 00c002c4 movi r3,11 +81111858: 18821436 bltu r3,r2,811120ac +8111185c: 100490ba slli r2,r2,2 +81111860: 00e04474 movhi r3,33041 +81111864: 18c61d04 addi r3,r3,6260 +81111868: 10c5883a add r2,r2,r3 +8111186c: 10800017 ldw r2,0(r2) +81111870: 1000683a jmp r2 +81111874: 811120ac andhi r4,r16,17538 +81111878: 811120ac andhi r4,r16,17538 +8111187c: 81112054 ori r4,r16,17537 +81111880: 81112040 call 88111204 <__reset+0x20f1204> +81111884: 8111202c andhi r4,r16,17536 +81111888: 81112018 cmpnei r4,r16,17536 +8111188c: 81112004 addi r4,r16,17536 +81111890: 81111ff0 cmpltui r4,r16,17535 +81111894: 81111fd0 cmplti r4,r16,17535 +81111898: 81112084 addi r4,r16,17538 +8111189c: 81112070 cmpltui r4,r16,17537 +811118a0: 81112098 cmpnei r4,r16,17538 +811118a4: e0800003 ldbu r2,0(fp) +811118a8: d9800e44 addi r6,sp,57 +811118ac: 000b883a mov r5,zero +811118b0: 1085883a add r2,r2,r2 811118b4: 1085883a add r2,r2,r2 -811118b8: 1085883a add r2,r2,r2 -811118bc: a085883a add r2,r20,r2 -811118c0: 11000017 ldw r4,0(r2) -811118c4: 1142cd40 call 81142cd4 -811118c8: d8c00e43 ldbu r3,57(sp) -811118cc: 183e0c1e bne r3,zero,81111100 <__reset+0xfb0f1100> -811118d0: 100b883a mov r5,r2 -811118d4: e009883a mov r4,fp -811118d8: 1109af80 call 81109af8 -811118dc: 003db106 br 81110fa4 <__reset+0xfb0f0fa4> -811118e0: e0800003 ldbu r2,0(fp) -811118e4: 1085883a add r2,r2,r2 +811118b8: a085883a add r2,r20,r2 +811118bc: 11000017 ldw r4,0(r2) +811118c0: 1142d140 call 81142d14 +811118c4: d8c00e43 ldbu r3,57(sp) +811118c8: 183e1a1e bne r3,zero,81111134 <__reset+0xfb0f1134> +811118cc: 100b883a mov r5,r2 +811118d0: e009883a mov r4,fp +811118d4: 110ac800 call 8110ac80 +811118d8: 003dbf06 br 81110fd8 <__reset+0xfb0f0fd8> +811118dc: e0800003 ldbu r2,0(fp) +811118e0: d9800e44 addi r6,sp,57 +811118e4: 000b883a mov r5,zero 811118e8: 1085883a add r2,r2,r2 -811118ec: a085883a add r2,r20,r2 -811118f0: 11000017 ldw r4,0(r2) -811118f4: 1142c840 call 81142c84 -811118f8: d8800e45 stb r2,57(sp) -811118fc: 10803fcc andi r2,r2,255 -81111900: 1001ee1e bne r2,zero,811120bc -81111904: e009883a mov r4,fp -81111908: 1108e480 call 81108e48 -8111190c: e009883a mov r4,fp -81111910: 1108d600 call 81108d60 -81111914: e009883a mov r4,fp -81111918: 1108cac0 call 81108cac -8111191c: e080ab17 ldw r2,684(fp) -81111920: 9009883a mov r4,r18 -81111924: 11400017 ldw r5,0(r2) -81111928: 10c00117 ldw r3,4(r2) -8111192c: 10800b17 ldw r2,44(r2) -81111930: e1400915 stw r5,36(fp) -81111934: e0c00a15 stw r3,40(fp) -81111938: e0000b15 stw zero,44(fp) -8111193c: e0800c15 stw r2,48(fp) -81111940: 11023980 call 81102398 -81111944: e0c0ab17 ldw r3,684(fp) -81111948: e1004c0b ldhu r4,304(fp) -8111194c: 19400017 ldw r5,0(r3) -81111950: 18800117 ldw r2,4(r3) -81111954: e140b815 stw r5,736(fp) -81111958: e080b915 stw r2,740(fp) -8111195c: 10c00044 addi r3,r2,1 -81111960: 20c1d02e bgeu r4,r3,811120a4 -81111964: 00c00044 movi r3,1 -81111968: 217fffc4 addi r5,r4,-1 -8111196c: 1105c83a sub r2,r2,r4 -81111970: e140ba15 stw r5,744(fp) -81111974: e080bb15 stw r2,748(fp) -81111978: e0c0be15 stw r3,760(fp) -8111197c: e0c0bf15 stw r3,764(fp) -81111980: 9009883a mov r4,r18 -81111984: 11023600 call 81102360 -81111988: e1000c17 ldw r4,48(fp) -8111198c: e0c00917 ldw r3,36(fp) -81111990: e0800a17 ldw r2,40(fp) -81111994: e1013315 stw r4,1228(fp) -81111998: e0c13015 stw r3,1216(fp) -8111199c: a809883a mov r4,r21 -811119a0: e0813115 stw r2,1220(fp) -811119a4: 1103bd00 call 81103bd0 -811119a8: 88800317 ldw r2,12(r17) -811119ac: 05800044 movi r22,1 -811119b0: a809883a mov r4,r21 -811119b4: e0806815 stw r2,416(fp) -811119b8: e5806b15 stw r22,428(fp) -811119bc: e5806c15 stw r22,432(fp) -811119c0: e5806d15 stw r22,436(fp) -811119c4: 1103c380 call 81103c38 -811119c8: e080ab17 ldw r2,684(fp) -811119cc: e1006b17 ldw r4,428(fp) -811119d0: e0c06c17 ldw r3,432(fp) -811119d4: 10800c17 ldw r2,48(r2) -811119d8: e1017215 stw r4,1480(fp) -811119dc: e0c17315 stw r3,1484(fp) -811119e0: a809883a mov r4,r21 -811119e4: e0817415 stw r2,1488(fp) -811119e8: 1103d600 call 81103d60 -811119ec: e080ab17 ldw r2,684(fp) -811119f0: 018006f4 movhi r6,27 -811119f4: 31b85204 addi r6,r6,-7864 -811119f8: 11c0100b ldhu r7,64(r2) -811119fc: 000b883a mov r5,zero -81111a00: a809883a mov r4,r21 -81111a04: 1103d640 call 81103d64 -81111a08: e080ab17 ldw r2,684(fp) -81111a0c: 018006f4 movhi r6,27 -81111a10: 31b85204 addi r6,r6,-7864 -81111a14: 11c0100b ldhu r7,64(r2) -81111a18: b00b883a mov r5,r22 -81111a1c: a809883a mov r4,r21 -81111a20: 1103d640 call 81103d64 -81111a24: e0800003 ldbu r2,0(fp) -81111a28: 00e04634 movhi r3,33048 -81111a2c: 18ceea04 addi r3,r3,15272 -81111a30: 10800524 muli r2,r2,20 -81111a34: b809883a mov r4,r23 -81111a38: 1885883a add r2,r3,r2 -81111a3c: 11400317 ldw r5,12(r2) -81111a40: 11051200 call 81105120 -81111a44: b809883a mov r4,r23 -81111a48: 1104fa00 call 81104fa0 -81111a4c: e0800003 ldbu r2,0(fp) -81111a50: 01204634 movhi r4,33048 -81111a54: 210eea04 addi r4,r4,15272 -81111a58: 10800524 muli r2,r2,20 -81111a5c: e5805015 stw r22,320(fp) -81111a60: e5805115 stw r22,324(fp) -81111a64: 2085883a add r2,r4,r2 -81111a68: 11400017 ldw r5,0(r2) -81111a6c: 10c00117 ldw r3,4(r2) -81111a70: 11000207 ldb r4,8(r2) -81111a74: e1405215 stw r5,328(fp) -81111a78: e0c05315 stw r3,332(fp) -81111a7c: 11052a40 call 811052a4 -81111a80: 10803fcc andi r2,r2,255 -81111a84: e0805415 stw r2,336(fp) -81111a88: b809883a mov r4,r23 -81111a8c: 1104f600 call 81104f60 -81111a90: 00800304 movi r2,12 -81111a94: e0803115 stw r2,196(fp) -81111a98: 003d4206 br 81110fa4 <__reset+0xfb0f0fa4> -81111a9c: 00800044 movi r2,1 -81111aa0: 01204634 movhi r4,33048 -81111aa4: d8800115 stw r2,4(sp) -81111aa8: 210ee304 addi r4,r4,15244 -81111aac: 20c00417 ldw r3,16(r4) -81111ab0: e0802615 stw r2,152(fp) -81111ab4: e0802c15 stw r2,176(fp) -81111ab8: e0003915 stw zero,228(fp) -81111abc: e0003a15 stw zero,232(fp) -81111ac0: 183d7526 beq r3,zero,81111098 <__reset+0xfb0f1098> -81111ac4: 00800944 movi r2,37 +811118ec: 1085883a add r2,r2,r2 +811118f0: a085883a add r2,r20,r2 +811118f4: 11000017 ldw r4,0(r2) +811118f8: 1142d140 call 81142d14 +811118fc: d8c00e43 ldbu r3,57(sp) +81111900: 183e0c1e bne r3,zero,81111134 <__reset+0xfb0f1134> +81111904: 100b883a mov r5,r2 +81111908: e009883a mov r4,fp +8111190c: 1109b2c0 call 81109b2c +81111910: 003db106 br 81110fd8 <__reset+0xfb0f0fd8> +81111914: e0800003 ldbu r2,0(fp) +81111918: 1085883a add r2,r2,r2 +8111191c: 1085883a add r2,r2,r2 +81111920: a085883a add r2,r20,r2 +81111924: 11000017 ldw r4,0(r2) +81111928: 1142cc40 call 81142cc4 +8111192c: d8800e45 stb r2,57(sp) +81111930: 10803fcc andi r2,r2,255 +81111934: 1001ee1e bne r2,zero,811120f0 +81111938: e009883a mov r4,fp +8111193c: 1108e7c0 call 81108e7c +81111940: e009883a mov r4,fp +81111944: 1108d940 call 81108d94 +81111948: e009883a mov r4,fp +8111194c: 1108ce00 call 81108ce0 +81111950: e080ab17 ldw r2,684(fp) +81111954: 9009883a mov r4,r18 +81111958: 11400017 ldw r5,0(r2) +8111195c: 10c00117 ldw r3,4(r2) +81111960: 10800b17 ldw r2,44(r2) +81111964: e1400915 stw r5,36(fp) +81111968: e0c00a15 stw r3,40(fp) +8111196c: e0000b15 stw zero,44(fp) +81111970: e0800c15 stw r2,48(fp) +81111974: 11023980 call 81102398 +81111978: e0c0ab17 ldw r3,684(fp) +8111197c: e1004c0b ldhu r4,304(fp) +81111980: 19400017 ldw r5,0(r3) +81111984: 18800117 ldw r2,4(r3) +81111988: e140b815 stw r5,736(fp) +8111198c: e080b915 stw r2,740(fp) +81111990: 10c00044 addi r3,r2,1 +81111994: 20c1d02e bgeu r4,r3,811120d8 +81111998: 00c00044 movi r3,1 +8111199c: 217fffc4 addi r5,r4,-1 +811119a0: 1105c83a sub r2,r2,r4 +811119a4: e140ba15 stw r5,744(fp) +811119a8: e080bb15 stw r2,748(fp) +811119ac: e0c0be15 stw r3,760(fp) +811119b0: e0c0bf15 stw r3,764(fp) +811119b4: 9009883a mov r4,r18 +811119b8: 11023600 call 81102360 +811119bc: e1000c17 ldw r4,48(fp) +811119c0: e0c00917 ldw r3,36(fp) +811119c4: e0800a17 ldw r2,40(fp) +811119c8: e1013315 stw r4,1228(fp) +811119cc: e0c13015 stw r3,1216(fp) +811119d0: a809883a mov r4,r21 +811119d4: e0813115 stw r2,1220(fp) +811119d8: 1103bd00 call 81103bd0 +811119dc: 88800317 ldw r2,12(r17) +811119e0: 05800044 movi r22,1 +811119e4: a809883a mov r4,r21 +811119e8: e0806815 stw r2,416(fp) +811119ec: e5806b15 stw r22,428(fp) +811119f0: e5806c15 stw r22,432(fp) +811119f4: e5806d15 stw r22,436(fp) +811119f8: 1103c380 call 81103c38 +811119fc: e080ab17 ldw r2,684(fp) +81111a00: e1006b17 ldw r4,428(fp) +81111a04: e0c06c17 ldw r3,432(fp) +81111a08: 10800c17 ldw r2,48(r2) +81111a0c: e1017215 stw r4,1480(fp) +81111a10: e0c17315 stw r3,1484(fp) +81111a14: a809883a mov r4,r21 +81111a18: e0817415 stw r2,1488(fp) +81111a1c: 1103d600 call 81103d60 +81111a20: e080ab17 ldw r2,684(fp) +81111a24: 018006f4 movhi r6,27 +81111a28: 31b85204 addi r6,r6,-7864 +81111a2c: 11c0100b ldhu r7,64(r2) +81111a30: 000b883a mov r5,zero +81111a34: a809883a mov r4,r21 +81111a38: 1103d640 call 81103d64 +81111a3c: e080ab17 ldw r2,684(fp) +81111a40: 018006f4 movhi r6,27 +81111a44: 31b85204 addi r6,r6,-7864 +81111a48: 11c0100b ldhu r7,64(r2) +81111a4c: b00b883a mov r5,r22 +81111a50: a809883a mov r4,r21 +81111a54: 1103d640 call 81103d64 +81111a58: e0800003 ldbu r2,0(fp) +81111a5c: 00e04634 movhi r3,33048 +81111a60: 18cefb04 addi r3,r3,15340 +81111a64: 10800524 muli r2,r2,20 +81111a68: b809883a mov r4,r23 +81111a6c: 1885883a add r2,r3,r2 +81111a70: 11400317 ldw r5,12(r2) +81111a74: 11051540 call 81105154 +81111a78: b809883a mov r4,r23 +81111a7c: 1104fd40 call 81104fd4 +81111a80: e0800003 ldbu r2,0(fp) +81111a84: 01204634 movhi r4,33048 +81111a88: 210efb04 addi r4,r4,15340 +81111a8c: 10800524 muli r2,r2,20 +81111a90: e5805015 stw r22,320(fp) +81111a94: e5805115 stw r22,324(fp) +81111a98: 2085883a add r2,r4,r2 +81111a9c: 11400017 ldw r5,0(r2) +81111aa0: 10c00117 ldw r3,4(r2) +81111aa4: 11000207 ldb r4,8(r2) +81111aa8: e1405215 stw r5,328(fp) +81111aac: e0c05315 stw r3,332(fp) +81111ab0: 11052d80 call 811052d8 +81111ab4: 10803fcc andi r2,r2,255 +81111ab8: e0805415 stw r2,336(fp) +81111abc: b809883a mov r4,r23 +81111ac0: 1104f940 call 81104f94 +81111ac4: 00800304 movi r2,12 81111ac8: e0803115 stw r2,196(fp) -81111acc: 003d3506 br 81110fa4 <__reset+0xfb0f0fa4> -81111ad0: 88800803 ldbu r2,32(r17) -81111ad4: 00c00104 movi r3,4 -81111ad8: 1881902e bgeu r3,r2,8111211c -81111adc: e1000003 ldbu r4,0(fp) -81111ae0: 01604574 movhi r5,33045 -81111ae4: 297334c4 addi r5,r5,-13101 -81111ae8: 21000044 addi r4,r4,1 -81111aec: 21003fcc andi r4,r4,255 -81111af0: 111e1a00 call 8111e1a0 -81111af4: 00800244 movi r2,9 -81111af8: 00c00384 movi r3,14 -81111afc: e0803415 stw r2,208(fp) -81111b00: e0803515 stw r2,212(fp) -81111b04: e0c03315 stw r3,204(fp) -81111b08: 00800204 movi r2,8 -81111b0c: e0803615 stw r2,216(fp) -81111b10: 00800044 movi r2,1 -81111b14: e0803815 stw r2,224(fp) -81111b18: 00800844 movi r2,33 -81111b1c: e0003715 stw zero,220(fp) -81111b20: e0803115 stw r2,196(fp) -81111b24: 003d1f06 br 81110fa4 <__reset+0xfb0f0fa4> -81111b28: 88800803 ldbu r2,32(r17) -81111b2c: 00c00104 movi r3,4 -81111b30: 18819c2e bgeu r3,r2,811121a4 -81111b34: e1000003 ldbu r4,0(fp) -81111b38: 01604574 movhi r5,33045 -81111b3c: 297333c4 addi r5,r5,-13105 -81111b40: 21000044 addi r4,r4,1 -81111b44: 21003fcc andi r4,r4,255 -81111b48: 111e1a00 call 8111e1a0 -81111b4c: 00800204 movi r2,8 -81111b50: 00c00384 movi r3,14 -81111b54: e0803415 stw r2,208(fp) -81111b58: e0803515 stw r2,212(fp) -81111b5c: e0c03315 stw r3,204(fp) -81111b60: 008001c4 movi r2,7 -81111b64: 003fe906 br 81111b0c <__reset+0xfb0f1b0c> -81111b68: 88800803 ldbu r2,32(r17) -81111b6c: 00c00104 movi r3,4 -81111b70: 18815a2e bgeu r3,r2,811120dc -81111b74: e1000003 ldbu r4,0(fp) -81111b78: 01604574 movhi r5,33045 -81111b7c: 297335c4 addi r5,r5,-13097 -81111b80: 21000044 addi r4,r4,1 -81111b84: 21003fcc andi r4,r4,255 -81111b88: 111e1a00 call 8111e1a0 -81111b8c: 00800284 movi r2,10 -81111b90: 00c00384 movi r3,14 -81111b94: e0803415 stw r2,208(fp) -81111b98: e0803515 stw r2,212(fp) -81111b9c: e0c03315 stw r3,204(fp) -81111ba0: 00800244 movi r2,9 -81111ba4: 003e4a06 br 811114d0 <__reset+0xfb0f14d0> -81111ba8: 88800803 ldbu r2,32(r17) -81111bac: 00c00104 movi r3,4 -81111bb0: 18816a2e bgeu r3,r2,8111215c -81111bb4: e0800003 ldbu r2,0(fp) -81111bb8: d9800e44 addi r6,sp,57 -81111bbc: 000b883a mov r5,zero -81111bc0: 1085883a add r2,r2,r2 -81111bc4: 1085883a add r2,r2,r2 -81111bc8: a085883a add r2,r20,r2 -81111bcc: 11000017 ldw r4,0(r2) -81111bd0: 1142cd40 call 81142cd4 -81111bd4: d8c00e43 ldbu r3,57(sp) -81111bd8: 18015c26 beq r3,zero,8111214c +81111acc: 003d4206 br 81110fd8 <__reset+0xfb0f0fd8> +81111ad0: 00800044 movi r2,1 +81111ad4: 01204634 movhi r4,33048 +81111ad8: d8800115 stw r2,4(sp) +81111adc: 210ef404 addi r4,r4,15312 +81111ae0: 20c00417 ldw r3,16(r4) +81111ae4: e0802615 stw r2,152(fp) +81111ae8: e0802c15 stw r2,176(fp) +81111aec: e0003915 stw zero,228(fp) +81111af0: e0003a15 stw zero,232(fp) +81111af4: 183d7526 beq r3,zero,811110cc <__reset+0xfb0f10cc> +81111af8: 00800944 movi r2,37 +81111afc: e0803115 stw r2,196(fp) +81111b00: 003d3506 br 81110fd8 <__reset+0xfb0f0fd8> +81111b04: 88800803 ldbu r2,32(r17) +81111b08: 00c00104 movi r3,4 +81111b0c: 1881902e bgeu r3,r2,81112150 +81111b10: e1000003 ldbu r4,0(fp) +81111b14: 01604574 movhi r5,33045 +81111b18: 297345c4 addi r5,r5,-13033 +81111b1c: 21000044 addi r4,r4,1 +81111b20: 21003fcc andi r4,r4,255 +81111b24: 111e1e00 call 8111e1e0 +81111b28: 00800244 movi r2,9 +81111b2c: 00c00384 movi r3,14 +81111b30: e0803415 stw r2,208(fp) +81111b34: e0803515 stw r2,212(fp) +81111b38: e0c03315 stw r3,204(fp) +81111b3c: 00800204 movi r2,8 +81111b40: e0803615 stw r2,216(fp) +81111b44: 00800044 movi r2,1 +81111b48: e0803815 stw r2,224(fp) +81111b4c: 00800844 movi r2,33 +81111b50: e0003715 stw zero,220(fp) +81111b54: e0803115 stw r2,196(fp) +81111b58: 003d1f06 br 81110fd8 <__reset+0xfb0f0fd8> +81111b5c: 88800803 ldbu r2,32(r17) +81111b60: 00c00104 movi r3,4 +81111b64: 18819c2e bgeu r3,r2,811121d8 +81111b68: e1000003 ldbu r4,0(fp) +81111b6c: 01604574 movhi r5,33045 +81111b70: 297344c4 addi r5,r5,-13037 +81111b74: 21000044 addi r4,r4,1 +81111b78: 21003fcc andi r4,r4,255 +81111b7c: 111e1e00 call 8111e1e0 +81111b80: 00800204 movi r2,8 +81111b84: 00c00384 movi r3,14 +81111b88: e0803415 stw r2,208(fp) +81111b8c: e0803515 stw r2,212(fp) +81111b90: e0c03315 stw r3,204(fp) +81111b94: 008001c4 movi r2,7 +81111b98: 003fe906 br 81111b40 <__reset+0xfb0f1b40> +81111b9c: 88800803 ldbu r2,32(r17) +81111ba0: 00c00104 movi r3,4 +81111ba4: 18815a2e bgeu r3,r2,81112110 +81111ba8: e1000003 ldbu r4,0(fp) +81111bac: 01604574 movhi r5,33045 +81111bb0: 297346c4 addi r5,r5,-13029 +81111bb4: 21000044 addi r4,r4,1 +81111bb8: 21003fcc andi r4,r4,255 +81111bbc: 111e1e00 call 8111e1e0 +81111bc0: 00800284 movi r2,10 +81111bc4: 00c00384 movi r3,14 +81111bc8: e0803415 stw r2,208(fp) +81111bcc: e0803515 stw r2,212(fp) +81111bd0: e0c03315 stw r3,204(fp) +81111bd4: 00800244 movi r2,9 +81111bd8: 003e4a06 br 81111504 <__reset+0xfb0f1504> 81111bdc: 88800803 ldbu r2,32(r17) -81111be0: 00c001c4 movi r3,7 -81111be4: 18bcef36 bltu r3,r2,81110fa4 <__reset+0xfb0f0fa4> -81111be8: e1800003 ldbu r6,0(fp) -81111bec: d1207917 ldw r4,-32284(gp) -81111bf0: 01604574 movhi r5,33045 -81111bf4: 2964fb04 addi r5,r5,-27668 -81111bf8: 112b5e00 call 8112b5e0 -81111bfc: 003ce906 br 81110fa4 <__reset+0xfb0f0fa4> -81111c00: 88800803 ldbu r2,32(r17) -81111c04: 00c00104 movi r3,4 -81111c08: 18814a2e bgeu r3,r2,81112134 -81111c0c: e1000003 ldbu r4,0(fp) -81111c10: 01604574 movhi r5,33045 -81111c14: 297331c4 addi r5,r5,-13113 -81111c18: 21000044 addi r4,r4,1 -81111c1c: 21003fcc andi r4,r4,255 -81111c20: 111e1a00 call 8111e1a0 -81111c24: e0c0ab17 ldw r3,684(fp) -81111c28: 010000c4 movi r4,3 -81111c2c: 00800144 movi r2,5 -81111c30: 19001415 stw r4,80(r3) -81111c34: 00c00344 movi r3,13 -81111c38: e0803415 stw r2,208(fp) -81111c3c: e0803515 stw r2,212(fp) -81111c40: e0c03315 stw r3,204(fp) -81111c44: 00800104 movi r2,4 -81111c48: 003ed906 br 811117b0 <__reset+0xfb0f17b0> -81111c4c: 88800803 ldbu r2,32(r17) -81111c50: 00c00104 movi r3,4 -81111c54: 1881472e bgeu r3,r2,81112174 -81111c58: e1000003 ldbu r4,0(fp) -81111c5c: 01604574 movhi r5,33045 -81111c60: 29732ec4 addi r5,r5,-13125 -81111c64: 21000044 addi r4,r4,1 -81111c68: 21003fcc andi r4,r4,255 -81111c6c: 111e1a00 call 8111e1a0 -81111c70: 00800184 movi r2,6 -81111c74: 00c00384 movi r3,14 -81111c78: e0803415 stw r2,208(fp) -81111c7c: e0803515 stw r2,212(fp) -81111c80: e0c03315 stw r3,204(fp) -81111c84: 00800144 movi r2,5 -81111c88: 003ec906 br 811117b0 <__reset+0xfb0f17b0> -81111c8c: 88800803 ldbu r2,32(r17) -81111c90: 00c00104 movi r3,4 -81111c94: 1881592e bgeu r3,r2,811121fc -81111c98: e1000007 ldb r4,0(fp) -81111c9c: 05800044 movi r22,1 -81111ca0: b00b883a mov r5,r22 -81111ca4: 111e1dc0 call 8111e1dc -81111ca8: e1000003 ldbu r4,0(fp) -81111cac: 01604574 movhi r5,33045 -81111cb0: 29732cc4 addi r5,r5,-13133 -81111cb4: 2589883a add r4,r4,r22 -81111cb8: 21003fcc andi r4,r4,255 -81111cbc: 111e1a00 call 8111e1a0 -81111cc0: e080ab17 ldw r2,684(fp) -81111cc4: e009883a mov r4,fp -81111cc8: 15804215 stw r22,264(r2) -81111ccc: 1108e480 call 81108e48 -81111cd0: 9009883a mov r4,r18 -81111cd4: 11023980 call 81102398 -81111cd8: e080ab17 ldw r2,684(fp) -81111cdc: 9009883a mov r4,r18 -81111ce0: 10c00117 ldw r3,4(r2) -81111ce4: 11400017 ldw r5,0(r2) -81111ce8: 10801017 ldw r2,64(r2) -81111cec: e0c0b915 stw r3,740(fp) -81111cf0: e140b815 stw r5,736(fp) -81111cf4: e080c015 stw r2,768(fp) -81111cf8: 11023600 call 81102360 -81111cfc: 9809883a mov r4,r19 -81111d00: 1104d100 call 81104d10 -81111d04: e080ab17 ldw r2,684(fp) -81111d08: 9809883a mov r4,r19 -81111d0c: 1000a115 stw zero,644(r2) -81111d10: 1104d080 call 81104d08 -81111d14: 9009883a mov r4,r18 -81111d18: 11023980 call 81102398 -81111d1c: 9009883a mov r4,r18 -81111d20: e000c315 stw zero,780(fp) -81111d24: 11023600 call 81102360 -81111d28: b809883a mov r4,r23 -81111d2c: 1104fa00 call 81104fa0 -81111d30: b809883a mov r4,r23 -81111d34: e0005215 stw zero,328(fp) -81111d38: e0005315 stw zero,332(fp) -81111d3c: e5805115 stw r22,324(fp) -81111d40: 1104f600 call 81104f60 -81111d44: 9809883a mov r4,r19 -81111d48: e0002915 stw zero,164(fp) -81111d4c: 1104ae00 call 81104ae0 -81111d50: 9809883a mov r4,r19 -81111d54: e000ac15 stw zero,688(fp) -81111d58: e000ad15 stw zero,692(fp) -81111d5c: 1104ab80 call 81104ab8 -81111d60: e1000043 ldbu r4,1(fp) -81111d64: b00d883a mov r6,r22 -81111d68: 000b883a mov r5,zero -81111d6c: 1101da00 call 81101da0 -81111d70: e1000043 ldbu r4,1(fp) -81111d74: b00d883a mov r6,r22 -81111d78: b00b883a mov r5,r22 -81111d7c: 1101da00 call 81101da0 -81111d80: a809883a mov r4,r21 -81111d84: 110a2380 call 8110a238 -81111d88: 88800803 ldbu r2,32(r17) -81111d8c: 00c00104 movi r3,4 -81111d90: 1881142e bgeu r3,r2,811121e4 -81111d94: 00800044 movi r2,1 -81111d98: e009883a mov r4,fp -81111d9c: e0802c15 stw r2,176(fp) -81111da0: e0002b15 stw zero,172(fp) -81111da4: e0002a15 stw zero,168(fp) -81111da8: e0002615 stw zero,152(fp) -81111dac: 1125ea00 call 81125ea0 -81111db0: e0800003 ldbu r2,0(fp) -81111db4: 1085883a add r2,r2,r2 -81111db8: 1085883a add r2,r2,r2 -81111dbc: a085883a add r2,r20,r2 -81111dc0: 11000017 ldw r4,0(r2) -81111dc4: 1142c840 call 81142c84 -81111dc8: d8800e45 stb r2,57(sp) -81111dcc: 10803fcc andi r2,r2,255 -81111dd0: 1001021e bne r2,zero,811121dc -81111dd4: 05800044 movi r22,1 -81111dd8: 9009883a mov r4,r18 -81111ddc: e0003315 stw zero,204(fp) -81111de0: e5803415 stw r22,208(fp) -81111de4: e5803515 stw r22,212(fp) -81111de8: e0003615 stw zero,216(fp) -81111dec: e0003715 stw zero,220(fp) -81111df0: e0003815 stw zero,224(fp) -81111df4: d8000e05 stb zero,56(sp) -81111df8: 11024800 call 81102480 -81111dfc: e1804817 ldw r6,288(fp) -81111e00: e1404517 ldw r5,276(fp) -81111e04: e0c04617 ldw r3,280(fp) -81111e08: e0804717 ldw r2,284(fp) +81111be0: 00c00104 movi r3,4 +81111be4: 18816a2e bgeu r3,r2,81112190 +81111be8: e0800003 ldbu r2,0(fp) +81111bec: d9800e44 addi r6,sp,57 +81111bf0: 000b883a mov r5,zero +81111bf4: 1085883a add r2,r2,r2 +81111bf8: 1085883a add r2,r2,r2 +81111bfc: a085883a add r2,r20,r2 +81111c00: 11000017 ldw r4,0(r2) +81111c04: 1142d140 call 81142d14 +81111c08: d8c00e43 ldbu r3,57(sp) +81111c0c: 18015c26 beq r3,zero,81112180 +81111c10: 88800803 ldbu r2,32(r17) +81111c14: 00c001c4 movi r3,7 +81111c18: 18bcef36 bltu r3,r2,81110fd8 <__reset+0xfb0f0fd8> +81111c1c: e1800003 ldbu r6,0(fp) +81111c20: d1207917 ldw r4,-32284(gp) +81111c24: 01604574 movhi r5,33045 +81111c28: 29650b04 addi r5,r5,-27604 +81111c2c: 112b6200 call 8112b620 +81111c30: 003ce906 br 81110fd8 <__reset+0xfb0f0fd8> +81111c34: 88800803 ldbu r2,32(r17) +81111c38: 00c00104 movi r3,4 +81111c3c: 18814a2e bgeu r3,r2,81112168 +81111c40: e1000003 ldbu r4,0(fp) +81111c44: 01604574 movhi r5,33045 +81111c48: 297342c4 addi r5,r5,-13045 +81111c4c: 21000044 addi r4,r4,1 +81111c50: 21003fcc andi r4,r4,255 +81111c54: 111e1e00 call 8111e1e0 +81111c58: e0c0ab17 ldw r3,684(fp) +81111c5c: 010000c4 movi r4,3 +81111c60: 00800144 movi r2,5 +81111c64: 19001415 stw r4,80(r3) +81111c68: 00c00344 movi r3,13 +81111c6c: e0803415 stw r2,208(fp) +81111c70: e0803515 stw r2,212(fp) +81111c74: e0c03315 stw r3,204(fp) +81111c78: 00800104 movi r2,4 +81111c7c: 003ed906 br 811117e4 <__reset+0xfb0f17e4> +81111c80: 88800803 ldbu r2,32(r17) +81111c84: 00c00104 movi r3,4 +81111c88: 1881472e bgeu r3,r2,811121a8 +81111c8c: e1000003 ldbu r4,0(fp) +81111c90: 01604574 movhi r5,33045 +81111c94: 29733fc4 addi r5,r5,-13057 +81111c98: 21000044 addi r4,r4,1 +81111c9c: 21003fcc andi r4,r4,255 +81111ca0: 111e1e00 call 8111e1e0 +81111ca4: 00800184 movi r2,6 +81111ca8: 00c00384 movi r3,14 +81111cac: e0803415 stw r2,208(fp) +81111cb0: e0803515 stw r2,212(fp) +81111cb4: e0c03315 stw r3,204(fp) +81111cb8: 00800144 movi r2,5 +81111cbc: 003ec906 br 811117e4 <__reset+0xfb0f17e4> +81111cc0: 88800803 ldbu r2,32(r17) +81111cc4: 00c00104 movi r3,4 +81111cc8: 1881592e bgeu r3,r2,81112230 +81111ccc: e1000007 ldb r4,0(fp) +81111cd0: 05800044 movi r22,1 +81111cd4: b00b883a mov r5,r22 +81111cd8: 111e21c0 call 8111e21c +81111cdc: e1000003 ldbu r4,0(fp) +81111ce0: 01604574 movhi r5,33045 +81111ce4: 29733dc4 addi r5,r5,-13065 +81111ce8: 2589883a add r4,r4,r22 +81111cec: 21003fcc andi r4,r4,255 +81111cf0: 111e1e00 call 8111e1e0 +81111cf4: e080ab17 ldw r2,684(fp) +81111cf8: e009883a mov r4,fp +81111cfc: 15804215 stw r22,264(r2) +81111d00: 1108e7c0 call 81108e7c +81111d04: 9009883a mov r4,r18 +81111d08: 11023980 call 81102398 +81111d0c: e080ab17 ldw r2,684(fp) +81111d10: 9009883a mov r4,r18 +81111d14: 10c00117 ldw r3,4(r2) +81111d18: 11400017 ldw r5,0(r2) +81111d1c: 10801017 ldw r2,64(r2) +81111d20: e0c0b915 stw r3,740(fp) +81111d24: e140b815 stw r5,736(fp) +81111d28: e080c015 stw r2,768(fp) +81111d2c: 11023600 call 81102360 +81111d30: 9809883a mov r4,r19 +81111d34: 1104d100 call 81104d10 +81111d38: e080ab17 ldw r2,684(fp) +81111d3c: 9809883a mov r4,r19 +81111d40: 1000a115 stw zero,644(r2) +81111d44: 1104d080 call 81104d08 +81111d48: 9009883a mov r4,r18 +81111d4c: 11023980 call 81102398 +81111d50: 9009883a mov r4,r18 +81111d54: e000c315 stw zero,780(fp) +81111d58: 11023600 call 81102360 +81111d5c: b809883a mov r4,r23 +81111d60: 1104fd40 call 81104fd4 +81111d64: b809883a mov r4,r23 +81111d68: e0005215 stw zero,328(fp) +81111d6c: e0005315 stw zero,332(fp) +81111d70: e5805115 stw r22,324(fp) +81111d74: 1104f940 call 81104f94 +81111d78: 9809883a mov r4,r19 +81111d7c: e0002915 stw zero,164(fp) +81111d80: 1104ae00 call 81104ae0 +81111d84: 9809883a mov r4,r19 +81111d88: e000ac15 stw zero,688(fp) +81111d8c: e000ad15 stw zero,692(fp) +81111d90: 1104ab80 call 81104ab8 +81111d94: e1000043 ldbu r4,1(fp) +81111d98: b00d883a mov r6,r22 +81111d9c: 000b883a mov r5,zero +81111da0: 1101da00 call 81101da0 +81111da4: e1000043 ldbu r4,1(fp) +81111da8: b00d883a mov r6,r22 +81111dac: b00b883a mov r5,r22 +81111db0: 1101da00 call 81101da0 +81111db4: a809883a mov r4,r21 +81111db8: 110a26c0 call 8110a26c +81111dbc: 88800803 ldbu r2,32(r17) +81111dc0: 00c00104 movi r3,4 +81111dc4: 1881142e bgeu r3,r2,81112218 +81111dc8: 00800044 movi r2,1 +81111dcc: e009883a mov r4,fp +81111dd0: e0802c15 stw r2,176(fp) +81111dd4: e0002b15 stw zero,172(fp) +81111dd8: e0002a15 stw zero,168(fp) +81111ddc: e0002615 stw zero,152(fp) +81111de0: 1125ee00 call 81125ee0 +81111de4: e0800003 ldbu r2,0(fp) +81111de8: 1085883a add r2,r2,r2 +81111dec: 1085883a add r2,r2,r2 +81111df0: a085883a add r2,r20,r2 +81111df4: 11000017 ldw r4,0(r2) +81111df8: 1142cc40 call 81142cc4 +81111dfc: d8800e45 stb r2,57(sp) +81111e00: 10803fcc andi r2,r2,255 +81111e04: 1001021e bne r2,zero,81112210 +81111e08: 05800044 movi r22,1 81111e0c: 9009883a mov r4,r18 -81111e10: e180cd15 stw r6,820(fp) -81111e14: e140ca15 stw r5,808(fp) -81111e18: e0c0cb15 stw r3,812(fp) -81111e1c: e080cc15 stw r2,816(fp) -81111e20: 11024480 call 81102448 -81111e24: e1000003 ldbu r4,0(fp) -81111e28: b00b883a mov r5,r22 -81111e2c: 11048340 call 81104834 -81111e30: e5803115 stw r22,196(fp) -81111e34: 003c5b06 br 81110fa4 <__reset+0xfb0f0fa4> -81111e38: 88800803 ldbu r2,32(r17) -81111e3c: 00c00104 movi r3,4 -81111e40: 1880d22e bgeu r3,r2,8111218c -81111e44: e1000003 ldbu r4,0(fp) -81111e48: 01604574 movhi r5,33045 -81111e4c: 29732fc4 addi r5,r5,-13121 -81111e50: 21000044 addi r4,r4,1 -81111e54: 21003fcc andi r4,r4,255 -81111e58: 111e1a00 call 8111e1a0 -81111e5c: 00800104 movi r2,4 -81111e60: 00c00344 movi r3,13 -81111e64: e0803415 stw r2,208(fp) -81111e68: e0803515 stw r2,212(fp) -81111e6c: e0c03315 stw r3,204(fp) -81111e70: 008000c4 movi r2,3 -81111e74: 003e4e06 br 811117b0 <__reset+0xfb0f17b0> -81111e78: e0800003 ldbu r2,0(fp) -81111e7c: d9800e44 addi r6,sp,57 -81111e80: 000b883a mov r5,zero -81111e84: 1085883a add r2,r2,r2 -81111e88: 1085883a add r2,r2,r2 -81111e8c: a085883a add r2,r20,r2 -81111e90: 11000017 ldw r4,0(r2) -81111e94: 1142cd40 call 81142cd4 -81111e98: d8c00e43 ldbu r3,57(sp) -81111e9c: 183c981e bne r3,zero,81111100 <__reset+0xfb0f1100> -81111ea0: 100b883a mov r5,r2 -81111ea4: e009883a mov r4,fp -81111ea8: 110d35c0 call 8110d35c -81111eac: 003c3d06 br 81110fa4 <__reset+0xfb0f0fa4> -81111eb0: 00800084 movi r2,2 -81111eb4: e080c315 stw r2,780(fp) -81111eb8: a809883a mov r4,r21 -81111ebc: 1103c380 call 81103c38 -81111ec0: 05800044 movi r22,1 -81111ec4: 9009883a mov r4,r18 -81111ec8: 11023600 call 81102360 -81111ecc: dd800215 stw r22,8(sp) -81111ed0: dd800315 stw r22,12(sp) -81111ed4: d8c00a17 ldw r3,40(sp) -81111ed8: 00800084 movi r2,2 -81111edc: 1880ea26 beq r3,r2,81112288 -81111ee0: d9400a17 ldw r5,40(sp) -81111ee4: e1800003 ldbu r6,0(fp) -81111ee8: 01002004 movi r4,128 -81111eec: 29403fcc andi r5,r5,255 -81111ef0: 1109a8c0 call 81109a8c -81111ef4: d8800a17 ldw r2,40(sp) -81111ef8: d9000104 addi r4,sp,4 -81111efc: 10800044 addi r2,r2,1 -81111f00: 1085883a add r2,r2,r2 -81111f04: 1085883a add r2,r2,r2 -81111f08: 2085883a add r2,r4,r2 -81111f0c: 10000015 stw zero,0(r2) -81111f10: d8000e05 stb zero,56(sp) -81111f14: e0003205 stb zero,200(fp) -81111f18: 008009c4 movi r2,39 -81111f1c: e0803115 stw r2,196(fp) -81111f20: 003c2006 br 81110fa4 <__reset+0xfb0f0fa4> -81111f24: 00800304 movi r2,12 -81111f28: e080c315 stw r2,780(fp) -81111f2c: 003fe206 br 81111eb8 <__reset+0xfb0f1eb8> -81111f30: 008003c4 movi r2,15 -81111f34: e080c315 stw r2,780(fp) -81111f38: 003fdf06 br 81111eb8 <__reset+0xfb0f1eb8> -81111f3c: 00800384 movi r2,14 -81111f40: e080c315 stw r2,780(fp) -81111f44: 003fdc06 br 81111eb8 <__reset+0xfb0f1eb8> -81111f48: e0804917 ldw r2,292(fp) -81111f4c: 10000526 beq r2,zero,81111f64 -81111f50: 00c00044 movi r3,1 -81111f54: 10c2a826 beq r2,r3,811129f8 -81111f58: 88800803 ldbu r2,32(r17) -81111f5c: 00c001c4 movi r3,7 -81111f60: 1882c32e bgeu r3,r2,81112a70 -81111f64: 00800144 movi r2,5 +81111e10: e0003315 stw zero,204(fp) +81111e14: e5803415 stw r22,208(fp) +81111e18: e5803515 stw r22,212(fp) +81111e1c: e0003615 stw zero,216(fp) +81111e20: e0003715 stw zero,220(fp) +81111e24: e0003815 stw zero,224(fp) +81111e28: d8000e05 stb zero,56(sp) +81111e2c: 11024800 call 81102480 +81111e30: e1804817 ldw r6,288(fp) +81111e34: e1404517 ldw r5,276(fp) +81111e38: e0c04617 ldw r3,280(fp) +81111e3c: e0804717 ldw r2,284(fp) +81111e40: 9009883a mov r4,r18 +81111e44: e180cd15 stw r6,820(fp) +81111e48: e140ca15 stw r5,808(fp) +81111e4c: e0c0cb15 stw r3,812(fp) +81111e50: e080cc15 stw r2,816(fp) +81111e54: 11024480 call 81102448 +81111e58: e1000003 ldbu r4,0(fp) +81111e5c: b00b883a mov r5,r22 +81111e60: 11048340 call 81104834 +81111e64: e5803115 stw r22,196(fp) +81111e68: 003c5b06 br 81110fd8 <__reset+0xfb0f0fd8> +81111e6c: 88800803 ldbu r2,32(r17) +81111e70: 00c00104 movi r3,4 +81111e74: 1880d22e bgeu r3,r2,811121c0 +81111e78: e1000003 ldbu r4,0(fp) +81111e7c: 01604574 movhi r5,33045 +81111e80: 297340c4 addi r5,r5,-13053 +81111e84: 21000044 addi r4,r4,1 +81111e88: 21003fcc andi r4,r4,255 +81111e8c: 111e1e00 call 8111e1e0 +81111e90: 00800104 movi r2,4 +81111e94: 00c00344 movi r3,13 +81111e98: e0803415 stw r2,208(fp) +81111e9c: e0803515 stw r2,212(fp) +81111ea0: e0c03315 stw r3,204(fp) +81111ea4: 008000c4 movi r2,3 +81111ea8: 003e4e06 br 811117e4 <__reset+0xfb0f17e4> +81111eac: e0800003 ldbu r2,0(fp) +81111eb0: d9800e44 addi r6,sp,57 +81111eb4: 000b883a mov r5,zero +81111eb8: 1085883a add r2,r2,r2 +81111ebc: 1085883a add r2,r2,r2 +81111ec0: a085883a add r2,r20,r2 +81111ec4: 11000017 ldw r4,0(r2) +81111ec8: 1142d140 call 81142d14 +81111ecc: d8c00e43 ldbu r3,57(sp) +81111ed0: 183c981e bne r3,zero,81111134 <__reset+0xfb0f1134> +81111ed4: 100b883a mov r5,r2 +81111ed8: e009883a mov r4,fp +81111edc: 110d3900 call 8110d390 +81111ee0: 003c3d06 br 81110fd8 <__reset+0xfb0f0fd8> +81111ee4: 00800084 movi r2,2 +81111ee8: e080c315 stw r2,780(fp) +81111eec: a809883a mov r4,r21 +81111ef0: 1103c380 call 81103c38 +81111ef4: 05800044 movi r22,1 +81111ef8: 9009883a mov r4,r18 +81111efc: 11023600 call 81102360 +81111f00: dd800215 stw r22,8(sp) +81111f04: dd800315 stw r22,12(sp) +81111f08: d8c00a17 ldw r3,40(sp) +81111f0c: 00800084 movi r2,2 +81111f10: 1880ea26 beq r3,r2,811122bc +81111f14: d9400a17 ldw r5,40(sp) +81111f18: e1800003 ldbu r6,0(fp) +81111f1c: 01002004 movi r4,128 +81111f20: 29403fcc andi r5,r5,255 +81111f24: 1109ac00 call 81109ac0 +81111f28: d8800a17 ldw r2,40(sp) +81111f2c: d9000104 addi r4,sp,4 +81111f30: 10800044 addi r2,r2,1 +81111f34: 1085883a add r2,r2,r2 +81111f38: 1085883a add r2,r2,r2 +81111f3c: 2085883a add r2,r4,r2 +81111f40: 10000015 stw zero,0(r2) +81111f44: d8000e05 stb zero,56(sp) +81111f48: e0003205 stb zero,200(fp) +81111f4c: 008009c4 movi r2,39 +81111f50: e0803115 stw r2,196(fp) +81111f54: 003c2006 br 81110fd8 <__reset+0xfb0f0fd8> +81111f58: 00800304 movi r2,12 +81111f5c: e080c315 stw r2,780(fp) +81111f60: 003fe206 br 81111eec <__reset+0xfb0f1eec> +81111f64: 008003c4 movi r2,15 81111f68: e080c315 stw r2,780(fp) -81111f6c: 003fd206 br 81111eb8 <__reset+0xfb0f1eb8> -81111f70: 008000c4 movi r2,3 +81111f6c: 003fdf06 br 81111eec <__reset+0xfb0f1eec> +81111f70: 00800384 movi r2,14 81111f74: e080c315 stw r2,780(fp) -81111f78: 003fcf06 br 81111eb8 <__reset+0xfb0f1eb8> +81111f78: 003fdc06 br 81111eec <__reset+0xfb0f1eec> 81111f7c: e0804917 ldw r2,292(fp) -81111f80: 1002321e bne r2,zero,8111284c -81111f84: 008001c4 movi r2,7 -81111f88: e080c315 stw r2,780(fp) -81111f8c: 003fca06 br 81111eb8 <__reset+0xfb0f1eb8> -81111f90: 00800404 movi r2,16 -81111f94: e080c315 stw r2,780(fp) -81111f98: 003fc706 br 81111eb8 <__reset+0xfb0f1eb8> -81111f9c: e080ab17 ldw r2,684(fp) -81111fa0: 00c00244 movi r3,9 -81111fa4: 1100a117 ldw r4,644(r2) -81111fa8: 20fbfe26 beq r4,r3,81110fa4 <__reset+0xfb0f0fa4> -81111fac: 10c0a115 stw r3,644(r2) -81111fb0: 9809883a mov r4,r19 -81111fb4: 1104d080 call 81104d08 -81111fb8: 003bfa06 br 81110fa4 <__reset+0xfb0f0fa4> -81111fbc: e080ab17 ldw r2,684(fp) -81111fc0: 00c00144 movi r3,5 -81111fc4: 1100a117 ldw r4,644(r2) -81111fc8: 20fff81e bne r4,r3,81111fac <__reset+0xfb0f1fac> -81111fcc: 003bf506 br 81110fa4 <__reset+0xfb0f0fa4> +81111f80: 10000526 beq r2,zero,81111f98 +81111f84: 00c00044 movi r3,1 +81111f88: 10c2a826 beq r2,r3,81112a2c +81111f8c: 88800803 ldbu r2,32(r17) +81111f90: 00c001c4 movi r3,7 +81111f94: 1882c32e bgeu r3,r2,81112aa4 +81111f98: 00800144 movi r2,5 +81111f9c: e080c315 stw r2,780(fp) +81111fa0: 003fd206 br 81111eec <__reset+0xfb0f1eec> +81111fa4: 008000c4 movi r2,3 +81111fa8: e080c315 stw r2,780(fp) +81111fac: 003fcf06 br 81111eec <__reset+0xfb0f1eec> +81111fb0: e0804917 ldw r2,292(fp) +81111fb4: 1002321e bne r2,zero,81112880 +81111fb8: 008001c4 movi r2,7 +81111fbc: e080c315 stw r2,780(fp) +81111fc0: 003fca06 br 81111eec <__reset+0xfb0f1eec> +81111fc4: 00800404 movi r2,16 +81111fc8: e080c315 stw r2,780(fp) +81111fcc: 003fc706 br 81111eec <__reset+0xfb0f1eec> 81111fd0: e080ab17 ldw r2,684(fp) -81111fd4: 00c00184 movi r3,6 +81111fd4: 00c00244 movi r3,9 81111fd8: 1100a117 ldw r4,644(r2) -81111fdc: 20fff31e bne r4,r3,81111fac <__reset+0xfb0f1fac> -81111fe0: 003bf006 br 81110fa4 <__reset+0xfb0f0fa4> -81111fe4: e080ab17 ldw r2,684(fp) -81111fe8: 00c00084 movi r3,2 -81111fec: 1100a117 ldw r4,644(r2) -81111ff0: 20ffee1e bne r4,r3,81111fac <__reset+0xfb0f1fac> -81111ff4: 003beb06 br 81110fa4 <__reset+0xfb0f0fa4> -81111ff8: e080ab17 ldw r2,684(fp) -81111ffc: 00c00044 movi r3,1 -81112000: 1100a117 ldw r4,644(r2) -81112004: 20ffe91e bne r4,r3,81111fac <__reset+0xfb0f1fac> -81112008: 003be606 br 81110fa4 <__reset+0xfb0f0fa4> -8111200c: e080ab17 ldw r2,684(fp) -81112010: 00c00104 movi r3,4 -81112014: 1100a117 ldw r4,644(r2) -81112018: 20ffe41e bne r4,r3,81111fac <__reset+0xfb0f1fac> -8111201c: 003be106 br 81110fa4 <__reset+0xfb0f0fa4> -81112020: e080ab17 ldw r2,684(fp) -81112024: 10c0a117 ldw r3,644(r2) -81112028: 183bde26 beq r3,zero,81110fa4 <__reset+0xfb0f0fa4> -8111202c: 9809883a mov r4,r19 -81112030: 1000a115 stw zero,644(r2) -81112034: 1104d080 call 81104d08 -81112038: 003bda06 br 81110fa4 <__reset+0xfb0f0fa4> -8111203c: e080ab17 ldw r2,684(fp) -81112040: 00c002c4 movi r3,11 -81112044: 1100a117 ldw r4,644(r2) -81112048: 20ffd81e bne r4,r3,81111fac <__reset+0xfb0f1fac> -8111204c: 003bd506 br 81110fa4 <__reset+0xfb0f0fa4> -81112050: e080ab17 ldw r2,684(fp) -81112054: 00c00284 movi r3,10 -81112058: 1100a117 ldw r4,644(r2) -8111205c: 20ffd31e bne r4,r3,81111fac <__reset+0xfb0f1fac> -81112060: 003bd006 br 81110fa4 <__reset+0xfb0f0fa4> -81112064: e080ab17 ldw r2,684(fp) -81112068: 00c00304 movi r3,12 -8111206c: 1100a117 ldw r4,644(r2) -81112070: 20ffce1e bne r4,r3,81111fac <__reset+0xfb0f1fac> -81112074: 003bcb06 br 81110fa4 <__reset+0xfb0f0fa4> -81112078: 88800803 ldbu r2,32(r17) -8111207c: 00c001c4 movi r3,7 -81112080: 18bbc836 bltu r3,r2,81110fa4 <__reset+0xfb0f0fa4> -81112084: e1800003 ldbu r6,0(fp) -81112088: d1207917 ldw r4,-32284(gp) -8111208c: 01604574 movhi r5,33045 -81112090: 29660b04 addi r5,r5,-26580 -81112094: 112b5e00 call 8112b5e0 -81112098: 003bc206 br 81110fa4 <__reset+0xfb0f0fa4> -8111209c: d8800b05 stb r2,44(sp) -811120a0: 003c9d06 br 81111318 <__reset+0xfb0f1318> -811120a4: e080ba15 stw r2,744(fp) -811120a8: 00800044 movi r2,1 -811120ac: e000bb15 stw zero,748(fp) -811120b0: e080be15 stw r2,760(fp) -811120b4: e000bf15 stw zero,764(fp) -811120b8: 003e3106 br 81111980 <__reset+0xfb0f1980> -811120bc: 11259b00 call 811259b0 -811120c0: 003e1006 br 81111904 <__reset+0xfb0f1904> -811120c4: e1800003 ldbu r6,0(fp) -811120c8: d1207917 ldw r4,-32284(gp) -811120cc: 01604574 movhi r5,33045 -811120d0: 29652e04 addi r5,r5,-27464 -811120d4: 112b5e00 call 8112b5e0 -811120d8: 003da606 br 81111774 <__reset+0xfb0f1774> -811120dc: e1800003 ldbu r6,0(fp) -811120e0: d1207917 ldw r4,-32284(gp) -811120e4: 01604574 movhi r5,33045 -811120e8: 29655604 addi r5,r5,-27304 -811120ec: 112b5e00 call 8112b5e0 -811120f0: 003ea006 br 81111b74 <__reset+0xfb0f1b74> -811120f4: 100b883a mov r5,r2 -811120f8: e009883a mov r4,fp -811120fc: 110ef700 call 8110ef70 -81112100: 003dc006 br 81111804 <__reset+0xfb0f1804> -81112104: e1800003 ldbu r6,0(fp) -81112108: d1207917 ldw r4,-32284(gp) -8111210c: 01604574 movhi r5,33045 -81112110: 2965f204 addi r5,r5,-26680 -81112114: 112b5e00 call 8112b5e0 -81112118: 003dad06 br 811117d0 <__reset+0xfb0f17d0> -8111211c: e1800003 ldbu r6,0(fp) -81112120: d1207917 ldw r4,-32284(gp) -81112124: 01604574 movhi r5,33045 -81112128: 29654804 addi r5,r5,-27360 -8111212c: 112b5e00 call 8112b5e0 -81112130: 003e6a06 br 81111adc <__reset+0xfb0f1adc> -81112134: e1800003 ldbu r6,0(fp) -81112138: d1207917 ldw r4,-32284(gp) -8111213c: 01604574 movhi r5,33045 -81112140: 29651704 addi r5,r5,-27556 -81112144: 112b5e00 call 8112b5e0 -81112148: 003eb006 br 81111c0c <__reset+0xfb0f1c0c> -8111214c: 100b883a mov r5,r2 -81112150: e009883a mov r4,fp -81112154: 110e3fc0 call 8110e3fc -81112158: 003b9206 br 81110fa4 <__reset+0xfb0f0fa4> -8111215c: e1800003 ldbu r6,0(fp) -81112160: d1207917 ldw r4,-32284(gp) -81112164: 01604574 movhi r5,33045 -81112168: 2964f204 addi r5,r5,-27704 -8111216c: 112b5e00 call 8112b5e0 -81112170: 003e9006 br 81111bb4 <__reset+0xfb0f1bb4> -81112174: e1800003 ldbu r6,0(fp) -81112178: d1207917 ldw r4,-32284(gp) -8111217c: 01604574 movhi r5,33045 -81112180: 29652204 addi r5,r5,-27512 -81112184: 112b5e00 call 8112b5e0 -81112188: 003eb306 br 81111c58 <__reset+0xfb0f1c58> -8111218c: e1800003 ldbu r6,0(fp) -81112190: d1207917 ldw r4,-32284(gp) -81112194: 01604574 movhi r5,33045 -81112198: 29650c04 addi r5,r5,-27600 -8111219c: 112b5e00 call 8112b5e0 -811121a0: 003f2806 br 81111e44 <__reset+0xfb0f1e44> -811121a4: e1800003 ldbu r6,0(fp) -811121a8: d1207917 ldw r4,-32284(gp) -811121ac: 01604574 movhi r5,33045 -811121b0: 29653a04 addi r5,r5,-27416 -811121b4: 112b5e00 call 8112b5e0 -811121b8: 003e5e06 br 81111b34 <__reset+0xfb0f1b34> -811121bc: 11259b00 call 811259b0 -811121c0: 003c8506 br 811113d8 <__reset+0xfb0f13d8> -811121c4: e1800003 ldbu r6,0(fp) -811121c8: d1207917 ldw r4,-32284(gp) -811121cc: 01604574 movhi r5,33045 -811121d0: 2964ec04 addi r5,r5,-27728 -811121d4: 112b5e00 call 8112b5e0 -811121d8: 003cf806 br 811115bc <__reset+0xfb0f15bc> -811121dc: 11259b00 call 811259b0 -811121e0: 003efc06 br 81111dd4 <__reset+0xfb0f1dd4> -811121e4: e1800003 ldbu r6,0(fp) -811121e8: d1207917 ldw r4,-32284(gp) -811121ec: 01604574 movhi r5,33045 -811121f0: 2964d204 addi r5,r5,-27832 -811121f4: 112b5e00 call 8112b5e0 -811121f8: 003ee606 br 81111d94 <__reset+0xfb0f1d94> -811121fc: e1800003 ldbu r6,0(fp) -81112200: d1207917 ldw r4,-32284(gp) -81112204: 01604574 movhi r5,33045 -81112208: 2964ca04 addi r5,r5,-27864 -8111220c: 112b5e00 call 8112b5e0 -81112210: 003ea106 br 81111c98 <__reset+0xfb0f1c98> -81112214: e1800003 ldbu r6,0(fp) -81112218: d1207917 ldw r4,-32284(gp) -8111221c: 01604574 movhi r5,33045 -81112220: 29656304 addi r5,r5,-27252 -81112224: 112b5e00 call 8112b5e0 -81112228: 003c9d06 br 811114a0 <__reset+0xfb0f14a0> -8111222c: d9c00b43 ldbu r7,45(sp) -81112230: d8800b03 ldbu r2,44(sp) -81112234: e1800003 ldbu r6,0(fp) -81112238: d1207917 ldw r4,-32284(gp) -8111223c: 01604574 movhi r5,33045 -81112240: 10803fcc andi r2,r2,255 -81112244: 2965e204 addi r5,r5,-26744 -81112248: d8800015 stw r2,0(sp) -8111224c: 39c03fcc andi r7,r7,255 -81112250: 112b5e00 call 8112b5e0 -81112254: 003b8706 br 81111074 <__reset+0xfb0f1074> -81112258: 100b883a mov r5,r2 -8111225c: e009883a mov r4,fp -81112260: 110fb9c0 call 8110fb9c -81112264: 003b4f06 br 81110fa4 <__reset+0xfb0f0fa4> +81111fdc: 20fbfe26 beq r4,r3,81110fd8 <__reset+0xfb0f0fd8> +81111fe0: 10c0a115 stw r3,644(r2) +81111fe4: 9809883a mov r4,r19 +81111fe8: 1104d080 call 81104d08 +81111fec: 003bfa06 br 81110fd8 <__reset+0xfb0f0fd8> +81111ff0: e080ab17 ldw r2,684(fp) +81111ff4: 00c00144 movi r3,5 +81111ff8: 1100a117 ldw r4,644(r2) +81111ffc: 20fff81e bne r4,r3,81111fe0 <__reset+0xfb0f1fe0> +81112000: 003bf506 br 81110fd8 <__reset+0xfb0f0fd8> +81112004: e080ab17 ldw r2,684(fp) +81112008: 00c00184 movi r3,6 +8111200c: 1100a117 ldw r4,644(r2) +81112010: 20fff31e bne r4,r3,81111fe0 <__reset+0xfb0f1fe0> +81112014: 003bf006 br 81110fd8 <__reset+0xfb0f0fd8> +81112018: e080ab17 ldw r2,684(fp) +8111201c: 00c00084 movi r3,2 +81112020: 1100a117 ldw r4,644(r2) +81112024: 20ffee1e bne r4,r3,81111fe0 <__reset+0xfb0f1fe0> +81112028: 003beb06 br 81110fd8 <__reset+0xfb0f0fd8> +8111202c: e080ab17 ldw r2,684(fp) +81112030: 00c00044 movi r3,1 +81112034: 1100a117 ldw r4,644(r2) +81112038: 20ffe91e bne r4,r3,81111fe0 <__reset+0xfb0f1fe0> +8111203c: 003be606 br 81110fd8 <__reset+0xfb0f0fd8> +81112040: e080ab17 ldw r2,684(fp) +81112044: 00c00104 movi r3,4 +81112048: 1100a117 ldw r4,644(r2) +8111204c: 20ffe41e bne r4,r3,81111fe0 <__reset+0xfb0f1fe0> +81112050: 003be106 br 81110fd8 <__reset+0xfb0f0fd8> +81112054: e080ab17 ldw r2,684(fp) +81112058: 10c0a117 ldw r3,644(r2) +8111205c: 183bde26 beq r3,zero,81110fd8 <__reset+0xfb0f0fd8> +81112060: 9809883a mov r4,r19 +81112064: 1000a115 stw zero,644(r2) +81112068: 1104d080 call 81104d08 +8111206c: 003bda06 br 81110fd8 <__reset+0xfb0f0fd8> +81112070: e080ab17 ldw r2,684(fp) +81112074: 00c002c4 movi r3,11 +81112078: 1100a117 ldw r4,644(r2) +8111207c: 20ffd81e bne r4,r3,81111fe0 <__reset+0xfb0f1fe0> +81112080: 003bd506 br 81110fd8 <__reset+0xfb0f0fd8> +81112084: e080ab17 ldw r2,684(fp) +81112088: 00c00284 movi r3,10 +8111208c: 1100a117 ldw r4,644(r2) +81112090: 20ffd31e bne r4,r3,81111fe0 <__reset+0xfb0f1fe0> +81112094: 003bd006 br 81110fd8 <__reset+0xfb0f0fd8> +81112098: e080ab17 ldw r2,684(fp) +8111209c: 00c00304 movi r3,12 +811120a0: 1100a117 ldw r4,644(r2) +811120a4: 20ffce1e bne r4,r3,81111fe0 <__reset+0xfb0f1fe0> +811120a8: 003bcb06 br 81110fd8 <__reset+0xfb0f0fd8> +811120ac: 88800803 ldbu r2,32(r17) +811120b0: 00c001c4 movi r3,7 +811120b4: 18bbc836 bltu r3,r2,81110fd8 <__reset+0xfb0f0fd8> +811120b8: e1800003 ldbu r6,0(fp) +811120bc: d1207917 ldw r4,-32284(gp) +811120c0: 01604574 movhi r5,33045 +811120c4: 29661b04 addi r5,r5,-26516 +811120c8: 112b6200 call 8112b620 +811120cc: 003bc206 br 81110fd8 <__reset+0xfb0f0fd8> +811120d0: d8800b05 stb r2,44(sp) +811120d4: 003c9d06 br 8111134c <__reset+0xfb0f134c> +811120d8: e080ba15 stw r2,744(fp) +811120dc: 00800044 movi r2,1 +811120e0: e000bb15 stw zero,748(fp) +811120e4: e080be15 stw r2,760(fp) +811120e8: e000bf15 stw zero,764(fp) +811120ec: 003e3106 br 811119b4 <__reset+0xfb0f19b4> +811120f0: 11259f00 call 811259f0 +811120f4: 003e1006 br 81111938 <__reset+0xfb0f1938> +811120f8: e1800003 ldbu r6,0(fp) +811120fc: d1207917 ldw r4,-32284(gp) +81112100: 01604574 movhi r5,33045 +81112104: 29653e04 addi r5,r5,-27400 +81112108: 112b6200 call 8112b620 +8111210c: 003da606 br 811117a8 <__reset+0xfb0f17a8> +81112110: e1800003 ldbu r6,0(fp) +81112114: d1207917 ldw r4,-32284(gp) +81112118: 01604574 movhi r5,33045 +8111211c: 29656604 addi r5,r5,-27240 +81112120: 112b6200 call 8112b620 +81112124: 003ea006 br 81111ba8 <__reset+0xfb0f1ba8> +81112128: 100b883a mov r5,r2 +8111212c: e009883a mov r4,fp +81112130: 110efa40 call 8110efa4 +81112134: 003dc006 br 81111838 <__reset+0xfb0f1838> +81112138: e1800003 ldbu r6,0(fp) +8111213c: d1207917 ldw r4,-32284(gp) +81112140: 01604574 movhi r5,33045 +81112144: 29660204 addi r5,r5,-26616 +81112148: 112b6200 call 8112b620 +8111214c: 003dad06 br 81111804 <__reset+0xfb0f1804> +81112150: e1800003 ldbu r6,0(fp) +81112154: d1207917 ldw r4,-32284(gp) +81112158: 01604574 movhi r5,33045 +8111215c: 29655804 addi r5,r5,-27296 +81112160: 112b6200 call 8112b620 +81112164: 003e6a06 br 81111b10 <__reset+0xfb0f1b10> +81112168: e1800003 ldbu r6,0(fp) +8111216c: d1207917 ldw r4,-32284(gp) +81112170: 01604574 movhi r5,33045 +81112174: 29652704 addi r5,r5,-27492 +81112178: 112b6200 call 8112b620 +8111217c: 003eb006 br 81111c40 <__reset+0xfb0f1c40> +81112180: 100b883a mov r5,r2 +81112184: e009883a mov r4,fp +81112188: 110e4300 call 8110e430 +8111218c: 003b9206 br 81110fd8 <__reset+0xfb0f0fd8> +81112190: e1800003 ldbu r6,0(fp) +81112194: d1207917 ldw r4,-32284(gp) +81112198: 01604574 movhi r5,33045 +8111219c: 29650204 addi r5,r5,-27640 +811121a0: 112b6200 call 8112b620 +811121a4: 003e9006 br 81111be8 <__reset+0xfb0f1be8> +811121a8: e1800003 ldbu r6,0(fp) +811121ac: d1207917 ldw r4,-32284(gp) +811121b0: 01604574 movhi r5,33045 +811121b4: 29653204 addi r5,r5,-27448 +811121b8: 112b6200 call 8112b620 +811121bc: 003eb306 br 81111c8c <__reset+0xfb0f1c8c> +811121c0: e1800003 ldbu r6,0(fp) +811121c4: d1207917 ldw r4,-32284(gp) +811121c8: 01604574 movhi r5,33045 +811121cc: 29651c04 addi r5,r5,-27536 +811121d0: 112b6200 call 8112b620 +811121d4: 003f2806 br 81111e78 <__reset+0xfb0f1e78> +811121d8: e1800003 ldbu r6,0(fp) +811121dc: d1207917 ldw r4,-32284(gp) +811121e0: 01604574 movhi r5,33045 +811121e4: 29654a04 addi r5,r5,-27352 +811121e8: 112b6200 call 8112b620 +811121ec: 003e5e06 br 81111b68 <__reset+0xfb0f1b68> +811121f0: 11259f00 call 811259f0 +811121f4: 003c8506 br 8111140c <__reset+0xfb0f140c> +811121f8: e1800003 ldbu r6,0(fp) +811121fc: d1207917 ldw r4,-32284(gp) +81112200: 01604574 movhi r5,33045 +81112204: 2964fc04 addi r5,r5,-27664 +81112208: 112b6200 call 8112b620 +8111220c: 003cf806 br 811115f0 <__reset+0xfb0f15f0> +81112210: 11259f00 call 811259f0 +81112214: 003efc06 br 81111e08 <__reset+0xfb0f1e08> +81112218: e1800003 ldbu r6,0(fp) +8111221c: d1207917 ldw r4,-32284(gp) +81112220: 01604574 movhi r5,33045 +81112224: 2964e204 addi r5,r5,-27768 +81112228: 112b6200 call 8112b620 +8111222c: 003ee606 br 81111dc8 <__reset+0xfb0f1dc8> +81112230: e1800003 ldbu r6,0(fp) +81112234: d1207917 ldw r4,-32284(gp) +81112238: 01604574 movhi r5,33045 +8111223c: 2964da04 addi r5,r5,-27800 +81112240: 112b6200 call 8112b620 +81112244: 003ea106 br 81111ccc <__reset+0xfb0f1ccc> +81112248: e1800003 ldbu r6,0(fp) +8111224c: d1207917 ldw r4,-32284(gp) +81112250: 01604574 movhi r5,33045 +81112254: 29657304 addi r5,r5,-27188 +81112258: 112b6200 call 8112b620 +8111225c: 003c9d06 br 811114d4 <__reset+0xfb0f14d4> +81112260: d9c00b43 ldbu r7,45(sp) +81112264: d8800b03 ldbu r2,44(sp) 81112268: e1800003 ldbu r6,0(fp) 8111226c: d1207917 ldw r4,-32284(gp) 81112270: 01604574 movhi r5,33045 -81112274: 2964e604 addi r5,r5,-27752 -81112278: 112b5e00 call 8112b5e0 -8111227c: 003d2006 br 81111700 <__reset+0xfb0f1700> -81112280: 11259b00 call 811259b0 -81112284: 003cf006 br 81111648 <__reset+0xfb0f1648> -81112288: e1800003 ldbu r6,0(fp) -8111228c: 000b883a mov r5,zero -81112290: 01002004 movi r4,128 -81112294: 1109a8c0 call 81109a8c -81112298: e1800003 ldbu r6,0(fp) -8111229c: b00b883a mov r5,r22 -811122a0: 01002004 movi r4,128 -811122a4: 1109a8c0 call 81109a8c -811122a8: d8000215 stw zero,8(sp) -811122ac: d8000315 stw zero,12(sp) -811122b0: 003f1706 br 81111f10 <__reset+0xfb0f1f10> -811122b4: e0803917 ldw r2,228(fp) -811122b8: 10c15226 beq r2,r3,81112804 -811122bc: e0803a17 ldw r2,232(fp) -811122c0: 10c1b426 beq r2,r3,81112994 -811122c4: 01204634 movhi r4,33048 -811122c8: 210ee304 addi r4,r4,15244 -811122cc: 20800217 ldw r2,8(r4) -811122d0: 10fb711e bne r2,r3,81111098 <__reset+0xfb0f1098> -811122d4: 9809883a mov r4,r19 -811122d8: 1104d100 call 81104d10 -811122dc: e080ab17 ldw r2,684(fp) -811122e0: 12c05317 ldw r11,332(r2) -811122e4: 13401217 ldw r13,72(r2) -811122e8: 12000517 ldw r8,20(r2) -811122ec: 15805417 ldw r22,336(r2) -811122f0: 10c00417 ldw r3,16(r2) -811122f4: e2c0440d sth r11,272(fp) -811122f8: e3404315 stw r13,268(fp) -811122fc: e200428d sth r8,266(fp) -81112300: e580448d sth r22,274(fp) -81112304: e0c0420d sth r3,264(fp) -81112308: 193fffcc andi r4,r3,65535 -8111230c: dac01415 stw r11,80(sp) -81112310: db401015 stw r13,64(sp) -81112314: da001115 stw r8,68(sp) -81112318: 112ae900 call 8112ae90 <__floatunsidf> -8111231c: 000d883a mov r6,zero -81112320: 01d00434 movhi r7,16400 -81112324: 1009883a mov r4,r2 -81112328: 180b883a mov r5,r3 -8111232c: 1129d200 call 81129d20 <__muldf3> -81112330: 01b88c74 movhi r6,57905 -81112334: 01cf9574 movhi r7,15957 -81112338: 31a30e84 addi r6,r6,-29638 -8111233c: 39de6384 addi r7,r7,31118 -81112340: 180b883a mov r5,r3 -81112344: 1009883a mov r4,r2 -81112348: 1129d200 call 81129d20 <__muldf3> -8111234c: da001117 ldw r8,68(sp) -81112350: d8c01315 stw r3,76(sp) -81112354: d8801215 stw r2,72(sp) -81112358: 413fffcc andi r4,r8,65535 -8111235c: 112ae900 call 8112ae90 <__floatunsidf> -81112360: 000d883a mov r6,zero -81112364: 01d00434 movhi r7,16400 -81112368: 1009883a mov r4,r2 -8111236c: 180b883a mov r5,r3 -81112370: 1129d200 call 81129d20 <__muldf3> -81112374: 01b88c74 movhi r6,57905 -81112378: 01cf9574 movhi r7,15957 -8111237c: 31a30e84 addi r6,r6,-29638 -81112380: 39de6384 addi r7,r7,31118 -81112384: 1009883a mov r4,r2 -81112388: 180b883a mov r5,r3 -8111238c: 1129d200 call 81129d20 <__muldf3> -81112390: da801217 ldw r10,72(sp) -81112394: da401317 ldw r9,76(sp) -81112398: 100d883a mov r6,r2 -8111239c: 5009883a mov r4,r10 -811123a0: 480b883a mov r5,r9 -811123a4: 180f883a mov r7,r3 -811123a8: 1128ab00 call 81128ab0 <__adddf3> -811123ac: 01d02cb4 movhi r7,16562 -811123b0: 39e78004 addi r7,r7,-25088 -811123b4: 000d883a mov r6,zero -811123b8: 180b883a mov r5,r3 -811123bc: 1009883a mov r4,r2 -811123c0: d8c01115 stw r3,68(sp) -811123c4: d8800f15 stw r2,60(sp) -811123c8: 1129d200 call 81129d20 <__muldf3> -811123cc: db401017 ldw r13,64(sp) -811123d0: e0803c15 stw r2,240(fp) -811123d4: e0c03d15 stw r3,244(fp) -811123d8: 6809883a mov r4,r13 -811123dc: d8c01315 stw r3,76(sp) -811123e0: d8801215 stw r2,72(sp) -811123e4: 112ae900 call 8112ae90 <__floatunsidf> -811123e8: 100d883a mov r6,r2 -811123ec: 180f883a mov r7,r3 +81112274: 10803fcc andi r2,r2,255 +81112278: 2965f204 addi r5,r5,-26680 +8111227c: d8800015 stw r2,0(sp) +81112280: 39c03fcc andi r7,r7,255 +81112284: 112b6200 call 8112b620 +81112288: 003b8706 br 811110a8 <__reset+0xfb0f10a8> +8111228c: 100b883a mov r5,r2 +81112290: e009883a mov r4,fp +81112294: 110fbd00 call 8110fbd0 +81112298: 003b4f06 br 81110fd8 <__reset+0xfb0f0fd8> +8111229c: e1800003 ldbu r6,0(fp) +811122a0: d1207917 ldw r4,-32284(gp) +811122a4: 01604574 movhi r5,33045 +811122a8: 2964f604 addi r5,r5,-27688 +811122ac: 112b6200 call 8112b620 +811122b0: 003d2006 br 81111734 <__reset+0xfb0f1734> +811122b4: 11259f00 call 811259f0 +811122b8: 003cf006 br 8111167c <__reset+0xfb0f167c> +811122bc: e1800003 ldbu r6,0(fp) +811122c0: 000b883a mov r5,zero +811122c4: 01002004 movi r4,128 +811122c8: 1109ac00 call 81109ac0 +811122cc: e1800003 ldbu r6,0(fp) +811122d0: b00b883a mov r5,r22 +811122d4: 01002004 movi r4,128 +811122d8: 1109ac00 call 81109ac0 +811122dc: d8000215 stw zero,8(sp) +811122e0: d8000315 stw zero,12(sp) +811122e4: 003f1706 br 81111f44 <__reset+0xfb0f1f44> +811122e8: e0803917 ldw r2,228(fp) +811122ec: 10c15226 beq r2,r3,81112838 +811122f0: e0803a17 ldw r2,232(fp) +811122f4: 10c1b426 beq r2,r3,811129c8 +811122f8: 01204634 movhi r4,33048 +811122fc: 210ef404 addi r4,r4,15312 +81112300: 20800217 ldw r2,8(r4) +81112304: 10fb711e bne r2,r3,811110cc <__reset+0xfb0f10cc> +81112308: 9809883a mov r4,r19 +8111230c: 1104d100 call 81104d10 +81112310: e080ab17 ldw r2,684(fp) +81112314: 12c05317 ldw r11,332(r2) +81112318: 13401217 ldw r13,72(r2) +8111231c: 12000517 ldw r8,20(r2) +81112320: 15805417 ldw r22,336(r2) +81112324: 10c00417 ldw r3,16(r2) +81112328: e2c0440d sth r11,272(fp) +8111232c: e3404315 stw r13,268(fp) +81112330: e200428d sth r8,266(fp) +81112334: e580448d sth r22,274(fp) +81112338: e0c0420d sth r3,264(fp) +8111233c: 193fffcc andi r4,r3,65535 +81112340: dac01415 stw r11,80(sp) +81112344: db401015 stw r13,64(sp) +81112348: da001115 stw r8,68(sp) +8111234c: 112aed00 call 8112aed0 <__floatunsidf> +81112350: 000d883a mov r6,zero +81112354: 01d00434 movhi r7,16400 +81112358: 1009883a mov r4,r2 +8111235c: 180b883a mov r5,r3 +81112360: 1129d600 call 81129d60 <__muldf3> +81112364: 01b88c74 movhi r6,57905 +81112368: 01cf9574 movhi r7,15957 +8111236c: 31a30e84 addi r6,r6,-29638 +81112370: 39de6384 addi r7,r7,31118 +81112374: 180b883a mov r5,r3 +81112378: 1009883a mov r4,r2 +8111237c: 1129d600 call 81129d60 <__muldf3> +81112380: da001117 ldw r8,68(sp) +81112384: d8c01315 stw r3,76(sp) +81112388: d8801215 stw r2,72(sp) +8111238c: 413fffcc andi r4,r8,65535 +81112390: 112aed00 call 8112aed0 <__floatunsidf> +81112394: 000d883a mov r6,zero +81112398: 01d00434 movhi r7,16400 +8111239c: 1009883a mov r4,r2 +811123a0: 180b883a mov r5,r3 +811123a4: 1129d600 call 81129d60 <__muldf3> +811123a8: 01b88c74 movhi r6,57905 +811123ac: 01cf9574 movhi r7,15957 +811123b0: 31a30e84 addi r6,r6,-29638 +811123b4: 39de6384 addi r7,r7,31118 +811123b8: 1009883a mov r4,r2 +811123bc: 180b883a mov r5,r3 +811123c0: 1129d600 call 81129d60 <__muldf3> +811123c4: da801217 ldw r10,72(sp) +811123c8: da401317 ldw r9,76(sp) +811123cc: 100d883a mov r6,r2 +811123d0: 5009883a mov r4,r10 +811123d4: 480b883a mov r5,r9 +811123d8: 180f883a mov r7,r3 +811123dc: 1128af00 call 81128af0 <__adddf3> +811123e0: 01d02cb4 movhi r7,16562 +811123e4: 39e78004 addi r7,r7,-25088 +811123e8: 000d883a mov r6,zero +811123ec: 180b883a mov r5,r3 811123f0: 1009883a mov r4,r2 -811123f4: 180b883a mov r5,r3 -811123f8: 1128ab00 call 81128ab0 <__adddf3> -811123fc: 01b88c74 movhi r6,57905 -81112400: 01cf9574 movhi r7,15957 -81112404: 31a30e84 addi r6,r6,-29638 -81112408: 39de6384 addi r7,r7,31118 -8111240c: 1009883a mov r4,r2 -81112410: 180b883a mov r5,r3 -81112414: 1129d200 call 81129d20 <__muldf3> -81112418: db000f17 ldw r12,60(sp) -8111241c: da001117 ldw r8,68(sp) -81112420: 180b883a mov r5,r3 -81112424: 600d883a mov r6,r12 -81112428: 400f883a mov r7,r8 -8111242c: 1009883a mov r4,r2 -81112430: 1128ab00 call 81128ab0 <__adddf3> -81112434: b13fffcc andi r4,r22,65535 -81112438: d8c00f15 stw r3,60(sp) -8111243c: d8801115 stw r2,68(sp) -81112440: 112ae900 call 8112ae90 <__floatunsidf> -81112444: da001117 ldw r8,68(sp) -81112448: d9400f17 ldw r5,60(sp) -8111244c: 100d883a mov r6,r2 -81112450: 4009883a mov r4,r8 -81112454: 180f883a mov r7,r3 -81112458: 1129d200 call 81129d20 <__muldf3> -8111245c: dac01417 ldw r11,80(sp) -81112460: e0803e15 stw r2,248(fp) -81112464: e0c03f15 stw r3,252(fp) -81112468: 593fffcc andi r4,r11,65535 -8111246c: 182d883a mov r22,r3 +811123f4: d8c01115 stw r3,68(sp) +811123f8: d8800f15 stw r2,60(sp) +811123fc: 1129d600 call 81129d60 <__muldf3> +81112400: db401017 ldw r13,64(sp) +81112404: e0803c15 stw r2,240(fp) +81112408: e0c03d15 stw r3,244(fp) +8111240c: 6809883a mov r4,r13 +81112410: d8c01315 stw r3,76(sp) +81112414: d8801215 stw r2,72(sp) +81112418: 112aed00 call 8112aed0 <__floatunsidf> +8111241c: 100d883a mov r6,r2 +81112420: 180f883a mov r7,r3 +81112424: 1009883a mov r4,r2 +81112428: 180b883a mov r5,r3 +8111242c: 1128af00 call 81128af0 <__adddf3> +81112430: 01b88c74 movhi r6,57905 +81112434: 01cf9574 movhi r7,15957 +81112438: 31a30e84 addi r6,r6,-29638 +8111243c: 39de6384 addi r7,r7,31118 +81112440: 1009883a mov r4,r2 +81112444: 180b883a mov r5,r3 +81112448: 1129d600 call 81129d60 <__muldf3> +8111244c: db000f17 ldw r12,60(sp) +81112450: da001117 ldw r8,68(sp) +81112454: 180b883a mov r5,r3 +81112458: 600d883a mov r6,r12 +8111245c: 400f883a mov r7,r8 +81112460: 1009883a mov r4,r2 +81112464: 1128af00 call 81128af0 <__adddf3> +81112468: b13fffcc andi r4,r22,65535 +8111246c: d8c00f15 stw r3,60(sp) 81112470: d8801115 stw r2,68(sp) -81112474: 112ae900 call 8112ae90 <__floatunsidf> -81112478: 01b4bcb4 movhi r6,54002 -8111247c: 01cfd434 movhi r7,16208 -81112480: 31aa7f04 addi r6,r6,-22020 -81112484: 39d89344 addi r7,r7,25165 -81112488: 1009883a mov r4,r2 -8111248c: 180b883a mov r5,r3 -81112490: 1129d200 call 81129d20 <__muldf3> -81112494: da801217 ldw r10,72(sp) -81112498: da401317 ldw r9,76(sp) -8111249c: 1009883a mov r4,r2 -811124a0: 500d883a mov r6,r10 -811124a4: 480f883a mov r7,r9 -811124a8: 180b883a mov r5,r3 -811124ac: 1128ab00 call 81128ab0 <__adddf3> -811124b0: da001117 ldw r8,68(sp) -811124b4: b00f883a mov r7,r22 -811124b8: 1009883a mov r4,r2 -811124bc: 400d883a mov r6,r8 +81112474: 112aed00 call 8112aed0 <__floatunsidf> +81112478: da001117 ldw r8,68(sp) +8111247c: d9400f17 ldw r5,60(sp) +81112480: 100d883a mov r6,r2 +81112484: 4009883a mov r4,r8 +81112488: 180f883a mov r7,r3 +8111248c: 1129d600 call 81129d60 <__muldf3> +81112490: dac01417 ldw r11,80(sp) +81112494: e0803e15 stw r2,248(fp) +81112498: e0c03f15 stw r3,252(fp) +8111249c: 593fffcc andi r4,r11,65535 +811124a0: 182d883a mov r22,r3 +811124a4: d8801115 stw r2,68(sp) +811124a8: 112aed00 call 8112aed0 <__floatunsidf> +811124ac: 01b4bcb4 movhi r6,54002 +811124b0: 01cfd434 movhi r7,16208 +811124b4: 31aa7f04 addi r6,r6,-22020 +811124b8: 39d89344 addi r7,r7,25165 +811124bc: 1009883a mov r4,r2 811124c0: 180b883a mov r5,r3 -811124c4: 1128ab00 call 81128ab0 <__adddf3> -811124c8: 000d883a mov r6,zero -811124cc: 01d00674 movhi r7,16409 +811124c4: 1129d600 call 81129d60 <__muldf3> +811124c8: da801217 ldw r10,72(sp) +811124cc: da401317 ldw r9,76(sp) 811124d0: 1009883a mov r4,r2 -811124d4: 180b883a mov r5,r3 -811124d8: e0804015 stw r2,256(fp) -811124dc: e0c04115 stw r3,260(fp) -811124e0: 112935c0 call 8112935c <__divdf3> -811124e4: 180b883a mov r5,r3 -811124e8: 1009883a mov r4,r2 -811124ec: 112b0480 call 8112b048 <__truncdfsf2> -811124f0: 1009883a mov r4,r2 -811124f4: 102d883a mov r22,r2 -811124f8: 112882c0 call 8112882c <__fixsfsi> -811124fc: 1009883a mov r4,r2 -81112500: 112889c0 call 8112889c <__floatsisf> -81112504: 100b883a mov r5,r2 -81112508: b009883a mov r4,r22 -8111250c: 11283480 call 81128348 <__subsf3> -81112510: 1009883a mov r4,r2 -81112514: 000b883a mov r5,zero -81112518: 1127e180 call 81127e18 <__eqsf2> -8111251c: b009883a mov r4,r22 -81112520: 1001591e bne r2,zero,81112a88 -81112524: 11279040 call 81127904 <__fixunssfsi> -81112528: e0803b8d sth r2,238(fp) -8111252c: e0003b0d sth zero,236(fp) -81112530: 9009883a mov r4,r18 -81112534: 11023980 call 81102398 -81112538: e0803417 ldw r2,208(fp) -8111253c: 00c00204 movi r3,8 -81112540: 10c16526 beq r2,r3,81112ad8 -81112544: 00c00244 movi r3,9 -81112548: 10c14026 beq r2,r3,81112a4c -8111254c: 88800803 ldbu r2,32(r17) -81112550: 00c00104 movi r3,4 -81112554: 18800536 bltu r3,r2,8111256c -81112558: e1800003 ldbu r6,0(fp) -8111255c: d1207917 ldw r4,-32284(gp) -81112560: 01604574 movhi r5,33045 -81112564: 29657004 addi r5,r5,-27200 -81112568: 112b5e00 call 8112b5e0 -8111256c: 00800044 movi r2,1 -81112570: e080c315 stw r2,780(fp) -81112574: 9009883a mov r4,r18 -81112578: 11023600 call 81102360 -8111257c: 00800044 movi r2,1 -81112580: e0803915 stw r2,228(fp) -81112584: 008008c4 movi r2,35 -81112588: a809883a mov r4,r21 -8111258c: e0003a15 stw zero,232(fp) -81112590: e0803115 stw r2,196(fp) -81112594: 1103d200 call 81103d20 -81112598: a809883a mov r4,r21 -8111259c: 1103d400 call 81103d40 -811125a0: a809883a mov r4,r21 -811125a4: 1103d000 call 81103d00 -811125a8: 003a7e06 br 81110fa4 <__reset+0xfb0f0fa4> -811125ac: e0802e43 ldbu r2,185(fp) -811125b0: d8800b45 stb r2,45(sp) -811125b4: 003b3c06 br 811112a8 <__reset+0xfb0f12a8> -811125b8: e0c03417 ldw r3,208(fp) -811125bc: 00bfff44 movi r2,-3 -811125c0: 1884703a and r2,r3,r2 -811125c4: 00c00144 movi r3,5 -811125c8: 10c04f26 beq r2,r3,81112708 -811125cc: 00800084 movi r2,2 -811125d0: d8000a15 stw zero,40(sp) -811125d4: 20801415 stw r2,80(r4) -811125d8: 003b2806 br 8111127c <__reset+0xfb0f127c> -811125dc: 100b883a mov r5,r2 -811125e0: e009883a mov r4,fp -811125e4: 11108a00 call 811108a0 -811125e8: 003a6e06 br 81110fa4 <__reset+0xfb0f0fa4> -811125ec: e1800003 ldbu r6,0(fp) -811125f0: d1207917 ldw r4,-32284(gp) -811125f4: 01604574 movhi r5,33045 -811125f8: 2965fb04 addi r5,r5,-26644 -811125fc: 112b5e00 call 8112b5e0 -81112600: 003c8006 br 81111804 <__reset+0xfb0f1804> +811124d4: 500d883a mov r6,r10 +811124d8: 480f883a mov r7,r9 +811124dc: 180b883a mov r5,r3 +811124e0: 1128af00 call 81128af0 <__adddf3> +811124e4: da001117 ldw r8,68(sp) +811124e8: b00f883a mov r7,r22 +811124ec: 1009883a mov r4,r2 +811124f0: 400d883a mov r6,r8 +811124f4: 180b883a mov r5,r3 +811124f8: 1128af00 call 81128af0 <__adddf3> +811124fc: 000d883a mov r6,zero +81112500: 01d00674 movhi r7,16409 +81112504: 1009883a mov r4,r2 +81112508: 180b883a mov r5,r3 +8111250c: e0804015 stw r2,256(fp) +81112510: e0c04115 stw r3,260(fp) +81112514: 112939c0 call 8112939c <__divdf3> +81112518: 180b883a mov r5,r3 +8111251c: 1009883a mov r4,r2 +81112520: 112b0880 call 8112b088 <__truncdfsf2> +81112524: 1009883a mov r4,r2 +81112528: 102d883a mov r22,r2 +8111252c: 112886c0 call 8112886c <__fixsfsi> +81112530: 1009883a mov r4,r2 +81112534: 11288dc0 call 811288dc <__floatsisf> +81112538: 100b883a mov r5,r2 +8111253c: b009883a mov r4,r22 +81112540: 11283880 call 81128388 <__subsf3> +81112544: 1009883a mov r4,r2 +81112548: 000b883a mov r5,zero +8111254c: 1127e580 call 81127e58 <__eqsf2> +81112550: b009883a mov r4,r22 +81112554: 1001591e bne r2,zero,81112abc +81112558: 11279440 call 81127944 <__fixunssfsi> +8111255c: e0803b8d sth r2,238(fp) +81112560: e0003b0d sth zero,236(fp) +81112564: 9009883a mov r4,r18 +81112568: 11023980 call 81102398 +8111256c: e0803417 ldw r2,208(fp) +81112570: 00c00204 movi r3,8 +81112574: 10c16526 beq r2,r3,81112b0c +81112578: 00c00244 movi r3,9 +8111257c: 10c14026 beq r2,r3,81112a80 +81112580: 88800803 ldbu r2,32(r17) +81112584: 00c00104 movi r3,4 +81112588: 18800536 bltu r3,r2,811125a0 +8111258c: e1800003 ldbu r6,0(fp) +81112590: d1207917 ldw r4,-32284(gp) +81112594: 01604574 movhi r5,33045 +81112598: 29658004 addi r5,r5,-27136 +8111259c: 112b6200 call 8112b620 +811125a0: 00800044 movi r2,1 +811125a4: e080c315 stw r2,780(fp) +811125a8: 9009883a mov r4,r18 +811125ac: 11023600 call 81102360 +811125b0: 00800044 movi r2,1 +811125b4: e0803915 stw r2,228(fp) +811125b8: 008008c4 movi r2,35 +811125bc: a809883a mov r4,r21 +811125c0: e0003a15 stw zero,232(fp) +811125c4: e0803115 stw r2,196(fp) +811125c8: 1103d200 call 81103d20 +811125cc: a809883a mov r4,r21 +811125d0: 1103d400 call 81103d40 +811125d4: a809883a mov r4,r21 +811125d8: 1103d000 call 81103d00 +811125dc: 003a7e06 br 81110fd8 <__reset+0xfb0f0fd8> +811125e0: e0802e43 ldbu r2,185(fp) +811125e4: d8800b45 stb r2,45(sp) +811125e8: 003b3c06 br 811112dc <__reset+0xfb0f12dc> +811125ec: e0c03417 ldw r3,208(fp) +811125f0: 00bfff44 movi r2,-3 +811125f4: 1884703a and r2,r3,r2 +811125f8: 00c00144 movi r3,5 +811125fc: 10c04f26 beq r2,r3,8111273c +81112600: 00800084 movi r2,2 81112604: d8000a15 stw zero,40(sp) -81112608: 003b1c06 br 8111127c <__reset+0xfb0f127c> -8111260c: e0812217 ldw r2,1160(fp) -81112610: 1000061e bne r2,zero,8111262c -81112614: e0812317 ldw r2,1164(fp) -81112618: 1000041e bne r2,zero,8111262c -8111261c: e0812617 ldw r2,1176(fp) -81112620: 1000021e bne r2,zero,8111262c -81112624: e0812417 ldw r2,1168(fp) -81112628: 10010326 beq r2,zero,81112a38 -8111262c: e5812217 ldw r22,1160(fp) -81112630: 00800044 movi r2,1 -81112634: b0812e26 beq r22,r2,81112af0 -81112638: e5812317 ldw r22,1164(fp) -8111263c: 00800044 movi r2,1 -81112640: b0815b26 beq r22,r2,81112bb0 -81112644: e5812617 ldw r22,1176(fp) -81112648: 00800044 movi r2,1 -8111264c: b0814126 beq r22,r2,81112b54 -81112650: e0c12717 ldw r3,1180(fp) -81112654: 00800044 movi r2,1 -81112658: 18811526 beq r3,r2,81112ab0 -8111265c: e0c12417 ldw r3,1168(fp) -81112660: 00800044 movi r2,1 -81112664: 1880e726 beq r3,r2,81112a04 -81112668: e0c12517 ldw r3,1172(fp) -8111266c: 00800044 movi r2,1 -81112670: 1880031e bne r3,r2,81112680 -81112674: e0012515 stw zero,1172(fp) -81112678: e0813317 ldw r2,1228(fp) -8111267c: e0800c15 stw r2,48(fp) -81112680: e0c03417 ldw r3,208(fp) -81112684: 00bfff44 movi r2,-3 -81112688: 1884703a and r2,r3,r2 -8111268c: 00c00104 movi r3,4 -81112690: 10c08526 beq r2,r3,811128a8 -81112694: 00c00144 movi r3,5 -81112698: 10faed1e bne r2,r3,81111250 <__reset+0xfb0f1250> -8111269c: 9009883a mov r4,r18 -811126a0: 11026880 call 81102688 -811126a4: e2017e17 ldw r8,1528(fp) -811126a8: e1c17d17 ldw r7,1524(fp) -811126ac: e1817c17 ldw r6,1520(fp) -811126b0: e1417f03 ldbu r5,1532(fp) -811126b4: e101800b ldhu r4,1536(fp) -811126b8: e0c1808b ldhu r3,1538(fp) -811126bc: e0817f8b ldhu r2,1534(fp) -811126c0: e100e015 stw r4,896(fp) -811126c4: e200dd15 stw r8,884(fp) -811126c8: e1c0dc15 stw r7,880(fp) -811126cc: e180db15 stw r6,876(fp) -811126d0: e140de15 stw r5,888(fp) -811126d4: e0c0e115 stw r3,900(fp) -811126d8: e080df15 stw r2,892(fp) -811126dc: 9009883a mov r4,r18 -811126e0: 11026380 call 81102638 -811126e4: 003ada06 br 81111250 <__reset+0xfb0f1250> -811126e8: 9009883a mov r4,r18 -811126ec: 11023980 call 81102398 -811126f0: 00800044 movi r2,1 -811126f4: e080c315 stw r2,780(fp) -811126f8: 9009883a mov r4,r18 -811126fc: 11023600 call 81102360 -81112700: e0803517 ldw r2,212(fp) -81112704: 003b8d06 br 8111153c <__reset+0xfb0f153c> -81112708: 00800084 movi r2,2 -8111270c: d8800a15 stw r2,40(sp) -81112710: 003ada06 br 8111127c <__reset+0xfb0f127c> -81112714: b08002c4 addi r2,r22,11 -81112718: 1085883a add r2,r2,r2 -8111271c: d8c00104 addi r3,sp,4 -81112720: 1085883a add r2,r2,r2 -81112724: 1885883a add r2,r3,r2 -81112728: 10c00017 ldw r3,0(r2) -8111272c: e0800043 ldbu r2,1(fp) -81112730: d9800817 ldw r6,32(sp) -81112734: 19400217 ldw r5,8(r3) -81112738: b00f883a mov r7,r22 -8111273c: d8800015 stw r2,0(sp) -81112740: 318d883a add r6,r6,r6 -81112744: 01000044 movi r4,1 -81112748: 003a9506 br 811111a0 <__reset+0xfb0f11a0> -8111274c: 9009883a mov r4,r18 -81112750: 11023980 call 81102398 -81112754: 00800104 movi r2,4 -81112758: e080c315 stw r2,780(fp) -8111275c: 9009883a mov r4,r18 -81112760: 11023600 call 81102360 -81112764: e0803517 ldw r2,212(fp) -81112768: 003b7406 br 8111153c <__reset+0xfb0f153c> -8111276c: 00800104 movi r2,4 -81112770: 10fa0c36 bltu r2,r3,81110fa4 <__reset+0xfb0f0fa4> -81112774: e1800003 ldbu r6,0(fp) -81112778: d1207917 ldw r4,-32284(gp) -8111277c: 01604574 movhi r5,33045 -81112780: 2965ac04 addi r5,r5,-26960 -81112784: b00f883a mov r7,r22 -81112788: 112b5e00 call 8112b5e0 -8111278c: 003a0506 br 81110fa4 <__reset+0xfb0f0fa4> -81112790: 88800803 ldbu r2,32(r17) -81112794: 00c00104 movi r3,4 -81112798: 1880572e bgeu r3,r2,811128f8 -8111279c: 00800044 movi r2,1 -811127a0: e080c315 stw r2,780(fp) -811127a4: 003dc406 br 81111eb8 <__reset+0xfb0f1eb8> -811127a8: 9009883a mov r4,r18 -811127ac: 11023980 call 81102398 -811127b0: e0803417 ldw r2,208(fp) -811127b4: 00c00284 movi r3,10 -811127b8: 10c05b26 beq r2,r3,81112928 -811127bc: 00c002c4 movi r3,11 -811127c0: 10c0531e bne r2,r3,81112910 -811127c4: 00800404 movi r2,16 -811127c8: e080c315 stw r2,780(fp) -811127cc: 9009883a mov r4,r18 -811127d0: 11023600 call 81102360 -811127d4: 00a04634 movhi r2,33048 -811127d8: 108ee304 addi r2,r2,15244 -811127dc: 10c00217 ldw r3,8(r2) -811127e0: 00800044 movi r2,1 -811127e4: 18bb0f1e bne r3,r2,81111424 <__reset+0xfb0f1424> -811127e8: a809883a mov r4,r21 -811127ec: 1103d200 call 81103d20 -811127f0: a809883a mov r4,r21 -811127f4: 1103d400 call 81103d40 -811127f8: a809883a mov r4,r21 -811127fc: 1103d000 call 81103d00 -81112800: 003b0806 br 81111424 <__reset+0xfb0f1424> -81112804: e0c03b0b ldhu r3,236(fp) -81112808: e1003b8b ldhu r4,238(fp) -8111280c: 18c00044 addi r3,r3,1 -81112810: e0c03b0d sth r3,236(fp) -81112814: 18ffffcc andi r3,r3,65535 -81112818: 193a1f36 bltu r3,r4,81111098 <__reset+0xfb0f1098> -8111281c: e0803a15 stw r2,232(fp) -81112820: 00800984 movi r2,38 -81112824: e0003915 stw zero,228(fp) -81112828: e0803115 stw r2,196(fp) -8111282c: 0039dd06 br 81110fa4 <__reset+0xfb0f0fa4> -81112830: d9000317 ldw r4,12(sp) -81112834: 20ba691e bne r4,r2,811111dc <__reset+0xfb0f11dc> -81112838: 00800804 movi r2,32 -8111283c: e0803115 stw r2,196(fp) -81112840: 00800104 movi r2,4 -81112844: 10f9d736 bltu r2,r3,81110fa4 <__reset+0xfb0f0fa4> -81112848: 003fca06 br 81112774 <__reset+0xfb0f2774> -8111284c: 00c00044 movi r3,1 -81112850: 10c06626 beq r2,r3,811129ec -81112854: 00800244 movi r2,9 -81112858: e080c315 stw r2,780(fp) -8111285c: 003d9606 br 81111eb8 <__reset+0xfb0f1eb8> -81112860: 88800803 ldbu r2,32(r17) -81112864: 38800536 bltu r7,r2,8111287c -81112868: e1800003 ldbu r6,0(fp) -8111286c: d1207917 ldw r4,-32284(gp) -81112870: 01604574 movhi r5,33045 -81112874: 2965d604 addi r5,r5,-26792 -81112878: 112b5e00 call 8112b5e0 -8111287c: a809883a mov r4,r21 -81112880: 1103d200 call 81103d20 -81112884: a809883a mov r4,r21 -81112888: 1103d400 call 81103d40 -8111288c: a809883a mov r4,r21 -81112890: 1103d000 call 81103d00 -81112894: e1800003 ldbu r6,0(fp) -81112898: b00b883a mov r5,r22 -8111289c: 01002004 movi r4,128 -811128a0: 11099c40 call 811099c4 -811128a4: 003a6106 br 8111122c <__reset+0xfb0f122c> -811128a8: 9009883a mov r4,r18 -811128ac: 11026880 call 81102688 -811128b0: e2017817 ldw r8,1504(fp) -811128b4: e1c17717 ldw r7,1500(fp) -811128b8: e1817617 ldw r6,1496(fp) -811128bc: e1417903 ldbu r5,1508(fp) -811128c0: e1017a0b ldhu r4,1512(fp) -811128c4: e0c17a8b ldhu r3,1514(fp) -811128c8: e081798b ldhu r2,1510(fp) -811128cc: 003f7c06 br 811126c0 <__reset+0xfb0f26c0> -811128d0: e1800003 ldbu r6,0(fp) -811128d4: d1207917 ldw r4,-32284(gp) -811128d8: 01604574 movhi r5,33045 -811128dc: 2965a104 addi r5,r5,-27004 -811128e0: b00f883a mov r7,r22 -811128e4: 112b5e00 call 8112b5e0 -811128e8: 00a04634 movhi r2,33048 -811128ec: 108f0804 addi r2,r2,15392 -811128f0: 10c00803 ldbu r3,32(r2) -811128f4: 003a3606 br 811111d0 <__reset+0xfb0f11d0> -811128f8: e1800003 ldbu r6,0(fp) -811128fc: d1207917 ldw r4,-32284(gp) -81112900: 01604574 movhi r5,33045 -81112904: 29657004 addi r5,r5,-27200 -81112908: 112b5e00 call 8112b5e0 -8111290c: 003fa306 br 8111279c <__reset+0xfb0f279c> -81112910: 88800803 ldbu r2,32(r17) -81112914: 00c00104 movi r3,4 -81112918: 18804f2e bgeu r3,r2,81112a58 -8111291c: 00800044 movi r2,1 -81112920: e080c315 stw r2,780(fp) -81112924: 003fa906 br 811127cc <__reset+0xfb0f27cc> -81112928: 008003c4 movi r2,15 -8111292c: e080c315 stw r2,780(fp) -81112930: 003fa606 br 811127cc <__reset+0xfb0f27cc> -81112934: e1800003 ldbu r6,0(fp) -81112938: d1207917 ldw r4,-32284(gp) -8111293c: 01604574 movhi r5,33045 -81112940: 2965b604 addi r5,r5,-26920 -81112944: d9c00f15 stw r7,60(sp) -81112948: 112b5e00 call 8112b5e0 -8111294c: d8800e03 ldbu r2,56(sp) -81112950: 00c00244 movi r3,9 -81112954: d9c00f17 ldw r7,60(sp) -81112958: 10803fcc andi r2,r2,255 -8111295c: 18bfc02e bgeu r3,r2,81112860 <__reset+0xfb0f2860> -81112960: 88800803 ldbu r2,32(r17) -81112964: 38ba2a36 bltu r7,r2,81111210 <__reset+0xfb0f1210> +81112608: 20801415 stw r2,80(r4) +8111260c: 003b2806 br 811112b0 <__reset+0xfb0f12b0> +81112610: 100b883a mov r5,r2 +81112614: e009883a mov r4,fp +81112618: 11108d40 call 811108d4 +8111261c: 003a6e06 br 81110fd8 <__reset+0xfb0f0fd8> +81112620: e1800003 ldbu r6,0(fp) +81112624: d1207917 ldw r4,-32284(gp) +81112628: 01604574 movhi r5,33045 +8111262c: 29660b04 addi r5,r5,-26580 +81112630: 112b6200 call 8112b620 +81112634: 003c8006 br 81111838 <__reset+0xfb0f1838> +81112638: d8000a15 stw zero,40(sp) +8111263c: 003b1c06 br 811112b0 <__reset+0xfb0f12b0> +81112640: e0812217 ldw r2,1160(fp) +81112644: 1000061e bne r2,zero,81112660 +81112648: e0812317 ldw r2,1164(fp) +8111264c: 1000041e bne r2,zero,81112660 +81112650: e0812617 ldw r2,1176(fp) +81112654: 1000021e bne r2,zero,81112660 +81112658: e0812417 ldw r2,1168(fp) +8111265c: 10010326 beq r2,zero,81112a6c +81112660: e5812217 ldw r22,1160(fp) +81112664: 00800044 movi r2,1 +81112668: b0812e26 beq r22,r2,81112b24 +8111266c: e5812317 ldw r22,1164(fp) +81112670: 00800044 movi r2,1 +81112674: b0815b26 beq r22,r2,81112be4 +81112678: e5812617 ldw r22,1176(fp) +8111267c: 00800044 movi r2,1 +81112680: b0814126 beq r22,r2,81112b88 +81112684: e0c12717 ldw r3,1180(fp) +81112688: 00800044 movi r2,1 +8111268c: 18811526 beq r3,r2,81112ae4 +81112690: e0c12417 ldw r3,1168(fp) +81112694: 00800044 movi r2,1 +81112698: 1880e726 beq r3,r2,81112a38 +8111269c: e0c12517 ldw r3,1172(fp) +811126a0: 00800044 movi r2,1 +811126a4: 1880031e bne r3,r2,811126b4 +811126a8: e0012515 stw zero,1172(fp) +811126ac: e0813317 ldw r2,1228(fp) +811126b0: e0800c15 stw r2,48(fp) +811126b4: e0c03417 ldw r3,208(fp) +811126b8: 00bfff44 movi r2,-3 +811126bc: 1884703a and r2,r3,r2 +811126c0: 00c00104 movi r3,4 +811126c4: 10c08526 beq r2,r3,811128dc +811126c8: 00c00144 movi r3,5 +811126cc: 10faed1e bne r2,r3,81111284 <__reset+0xfb0f1284> +811126d0: 9009883a mov r4,r18 +811126d4: 11026880 call 81102688 +811126d8: e2017e17 ldw r8,1528(fp) +811126dc: e1c17d17 ldw r7,1524(fp) +811126e0: e1817c17 ldw r6,1520(fp) +811126e4: e1417f03 ldbu r5,1532(fp) +811126e8: e101800b ldhu r4,1536(fp) +811126ec: e0c1808b ldhu r3,1538(fp) +811126f0: e0817f8b ldhu r2,1534(fp) +811126f4: e100e015 stw r4,896(fp) +811126f8: e200dd15 stw r8,884(fp) +811126fc: e1c0dc15 stw r7,880(fp) +81112700: e180db15 stw r6,876(fp) +81112704: e140de15 stw r5,888(fp) +81112708: e0c0e115 stw r3,900(fp) +8111270c: e080df15 stw r2,892(fp) +81112710: 9009883a mov r4,r18 +81112714: 11026380 call 81102638 +81112718: 003ada06 br 81111284 <__reset+0xfb0f1284> +8111271c: 9009883a mov r4,r18 +81112720: 11023980 call 81102398 +81112724: 00800044 movi r2,1 +81112728: e080c315 stw r2,780(fp) +8111272c: 9009883a mov r4,r18 +81112730: 11023600 call 81102360 +81112734: e0803517 ldw r2,212(fp) +81112738: 003b8d06 br 81111570 <__reset+0xfb0f1570> +8111273c: 00800084 movi r2,2 +81112740: d8800a15 stw r2,40(sp) +81112744: 003ada06 br 811112b0 <__reset+0xfb0f12b0> +81112748: b08002c4 addi r2,r22,11 +8111274c: 1085883a add r2,r2,r2 +81112750: d8c00104 addi r3,sp,4 +81112754: 1085883a add r2,r2,r2 +81112758: 1885883a add r2,r3,r2 +8111275c: 10c00017 ldw r3,0(r2) +81112760: e0800043 ldbu r2,1(fp) +81112764: d9800817 ldw r6,32(sp) +81112768: 19400217 ldw r5,8(r3) +8111276c: b00f883a mov r7,r22 +81112770: d8800015 stw r2,0(sp) +81112774: 318d883a add r6,r6,r6 +81112778: 01000044 movi r4,1 +8111277c: 003a9506 br 811111d4 <__reset+0xfb0f11d4> +81112780: 9009883a mov r4,r18 +81112784: 11023980 call 81102398 +81112788: 00800104 movi r2,4 +8111278c: e080c315 stw r2,780(fp) +81112790: 9009883a mov r4,r18 +81112794: 11023600 call 81102360 +81112798: e0803517 ldw r2,212(fp) +8111279c: 003b7406 br 81111570 <__reset+0xfb0f1570> +811127a0: 00800104 movi r2,4 +811127a4: 10fa0c36 bltu r2,r3,81110fd8 <__reset+0xfb0f0fd8> +811127a8: e1800003 ldbu r6,0(fp) +811127ac: d1207917 ldw r4,-32284(gp) +811127b0: 01604574 movhi r5,33045 +811127b4: 2965bc04 addi r5,r5,-26896 +811127b8: b00f883a mov r7,r22 +811127bc: 112b6200 call 8112b620 +811127c0: 003a0506 br 81110fd8 <__reset+0xfb0f0fd8> +811127c4: 88800803 ldbu r2,32(r17) +811127c8: 00c00104 movi r3,4 +811127cc: 1880572e bgeu r3,r2,8111292c +811127d0: 00800044 movi r2,1 +811127d4: e080c315 stw r2,780(fp) +811127d8: 003dc406 br 81111eec <__reset+0xfb0f1eec> +811127dc: 9009883a mov r4,r18 +811127e0: 11023980 call 81102398 +811127e4: e0803417 ldw r2,208(fp) +811127e8: 00c00284 movi r3,10 +811127ec: 10c05b26 beq r2,r3,8111295c +811127f0: 00c002c4 movi r3,11 +811127f4: 10c0531e bne r2,r3,81112944 +811127f8: 00800404 movi r2,16 +811127fc: e080c315 stw r2,780(fp) +81112800: 9009883a mov r4,r18 +81112804: 11023600 call 81102360 +81112808: 00a04634 movhi r2,33048 +8111280c: 108ef404 addi r2,r2,15312 +81112810: 10c00217 ldw r3,8(r2) +81112814: 00800044 movi r2,1 +81112818: 18bb0f1e bne r3,r2,81111458 <__reset+0xfb0f1458> +8111281c: a809883a mov r4,r21 +81112820: 1103d200 call 81103d20 +81112824: a809883a mov r4,r21 +81112828: 1103d400 call 81103d40 +8111282c: a809883a mov r4,r21 +81112830: 1103d000 call 81103d00 +81112834: 003b0806 br 81111458 <__reset+0xfb0f1458> +81112838: e0c03b0b ldhu r3,236(fp) +8111283c: e1003b8b ldhu r4,238(fp) +81112840: 18c00044 addi r3,r3,1 +81112844: e0c03b0d sth r3,236(fp) +81112848: 18ffffcc andi r3,r3,65535 +8111284c: 193a1f36 bltu r3,r4,811110cc <__reset+0xfb0f10cc> +81112850: e0803a15 stw r2,232(fp) +81112854: 00800984 movi r2,38 +81112858: e0003915 stw zero,228(fp) +8111285c: e0803115 stw r2,196(fp) +81112860: 0039dd06 br 81110fd8 <__reset+0xfb0f0fd8> +81112864: d9000317 ldw r4,12(sp) +81112868: 20ba691e bne r4,r2,81111210 <__reset+0xfb0f1210> +8111286c: 00800804 movi r2,32 +81112870: e0803115 stw r2,196(fp) +81112874: 00800104 movi r2,4 +81112878: 10f9d736 bltu r2,r3,81110fd8 <__reset+0xfb0f0fd8> +8111287c: 003fca06 br 811127a8 <__reset+0xfb0f27a8> +81112880: 00c00044 movi r3,1 +81112884: 10c06626 beq r2,r3,81112a20 +81112888: 00800244 movi r2,9 +8111288c: e080c315 stw r2,780(fp) +81112890: 003d9606 br 81111eec <__reset+0xfb0f1eec> +81112894: 88800803 ldbu r2,32(r17) +81112898: 38800536 bltu r7,r2,811128b0 +8111289c: e1800003 ldbu r6,0(fp) +811128a0: d1207917 ldw r4,-32284(gp) +811128a4: 01604574 movhi r5,33045 +811128a8: 2965e604 addi r5,r5,-26728 +811128ac: 112b6200 call 8112b620 +811128b0: a809883a mov r4,r21 +811128b4: 1103d200 call 81103d20 +811128b8: a809883a mov r4,r21 +811128bc: 1103d400 call 81103d40 +811128c0: a809883a mov r4,r21 +811128c4: 1103d000 call 81103d00 +811128c8: e1800003 ldbu r6,0(fp) +811128cc: b00b883a mov r5,r22 +811128d0: 01002004 movi r4,128 +811128d4: 11099f80 call 811099f8 +811128d8: 003a6106 br 81111260 <__reset+0xfb0f1260> +811128dc: 9009883a mov r4,r18 +811128e0: 11026880 call 81102688 +811128e4: e2017817 ldw r8,1504(fp) +811128e8: e1c17717 ldw r7,1500(fp) +811128ec: e1817617 ldw r6,1496(fp) +811128f0: e1417903 ldbu r5,1508(fp) +811128f4: e1017a0b ldhu r4,1512(fp) +811128f8: e0c17a8b ldhu r3,1514(fp) +811128fc: e081798b ldhu r2,1510(fp) +81112900: 003f7c06 br 811126f4 <__reset+0xfb0f26f4> +81112904: e1800003 ldbu r6,0(fp) +81112908: d1207917 ldw r4,-32284(gp) +8111290c: 01604574 movhi r5,33045 +81112910: 2965b104 addi r5,r5,-26940 +81112914: b00f883a mov r7,r22 +81112918: 112b6200 call 8112b620 +8111291c: 00a04634 movhi r2,33048 +81112920: 108f1904 addi r2,r2,15460 +81112924: 10c00803 ldbu r3,32(r2) +81112928: 003a3606 br 81111204 <__reset+0xfb0f1204> +8111292c: e1800003 ldbu r6,0(fp) +81112930: d1207917 ldw r4,-32284(gp) +81112934: 01604574 movhi r5,33045 +81112938: 29658004 addi r5,r5,-27136 +8111293c: 112b6200 call 8112b620 +81112940: 003fa306 br 811127d0 <__reset+0xfb0f27d0> +81112944: 88800803 ldbu r2,32(r17) +81112948: 00c00104 movi r3,4 +8111294c: 18804f2e bgeu r3,r2,81112a8c +81112950: 00800044 movi r2,1 +81112954: e080c315 stw r2,780(fp) +81112958: 003fa906 br 81112800 <__reset+0xfb0f2800> +8111295c: 008003c4 movi r2,15 +81112960: e080c315 stw r2,780(fp) +81112964: 003fa606 br 81112800 <__reset+0xfb0f2800> 81112968: e1800003 ldbu r6,0(fp) 8111296c: d1207917 ldw r4,-32284(gp) 81112970: 01604574 movhi r5,33045 81112974: 2965c604 addi r5,r5,-26856 -81112978: 112b5e00 call 8112b5e0 -8111297c: e1800003 ldbu r6,0(fp) -81112980: d1207917 ldw r4,-32284(gp) -81112984: 01604574 movhi r5,33045 -81112988: 29639c04 addi r5,r5,-29072 -8111298c: 112b5e00 call 8112b5e0 -81112990: 003a1f06 br 81111210 <__reset+0xfb0f1210> -81112994: e0003915 stw zero,228(fp) -81112998: e0003a15 stw zero,232(fp) -8111299c: 9009883a mov r4,r18 -811129a0: 11023980 call 81102398 -811129a4: e0803417 ldw r2,208(fp) -811129a8: 00c00204 movi r3,8 -811129ac: 10c04d26 beq r2,r3,81112ae4 -811129b0: 00c00244 movi r3,9 -811129b4: 10c01d26 beq r2,r3,81112a2c -811129b8: 88800803 ldbu r2,32(r17) -811129bc: 00c00104 movi r3,4 -811129c0: 18800536 bltu r3,r2,811129d8 -811129c4: e1800003 ldbu r6,0(fp) -811129c8: d1207917 ldw r4,-32284(gp) -811129cc: 01604574 movhi r5,33045 -811129d0: 29657004 addi r5,r5,-27200 -811129d4: 112b5e00 call 8112b5e0 -811129d8: 00800044 movi r2,1 -811129dc: e080c315 stw r2,780(fp) -811129e0: 9009883a mov r4,r18 -811129e4: 11023600 call 81102360 -811129e8: 003c3606 br 81111ac4 <__reset+0xfb0f1ac4> -811129ec: 00800204 movi r2,8 -811129f0: e080c315 stw r2,780(fp) -811129f4: 003d3006 br 81111eb8 <__reset+0xfb0f1eb8> -811129f8: 00800184 movi r2,6 -811129fc: e080c315 stw r2,780(fp) -81112a00: 003d2d06 br 81111eb8 <__reset+0xfb0f1eb8> -81112a04: e0012415 stw zero,1168(fp) -81112a08: e1415543 ldbu r5,1365(fp) -81112a0c: e1015583 ldbu r4,1366(fp) -81112a10: e0c155c3 ldbu r3,1367(fp) -81112a14: e0815603 ldbu r2,1368(fp) -81112a18: e1402e45 stb r5,185(fp) -81112a1c: e1002e85 stb r4,186(fp) -81112a20: e0c02ec5 stb r3,187(fp) -81112a24: e0802f05 stb r2,188(fp) -81112a28: 003f0f06 br 81112668 <__reset+0xfb0f2668> -81112a2c: 00800344 movi r2,13 +81112978: d9c00f15 stw r7,60(sp) +8111297c: 112b6200 call 8112b620 +81112980: d8800e03 ldbu r2,56(sp) +81112984: 00c00244 movi r3,9 +81112988: d9c00f17 ldw r7,60(sp) +8111298c: 10803fcc andi r2,r2,255 +81112990: 18bfc02e bgeu r3,r2,81112894 <__reset+0xfb0f2894> +81112994: 88800803 ldbu r2,32(r17) +81112998: 38ba2a36 bltu r7,r2,81111244 <__reset+0xfb0f1244> +8111299c: e1800003 ldbu r6,0(fp) +811129a0: d1207917 ldw r4,-32284(gp) +811129a4: 01604574 movhi r5,33045 +811129a8: 2965d604 addi r5,r5,-26792 +811129ac: 112b6200 call 8112b620 +811129b0: e1800003 ldbu r6,0(fp) +811129b4: d1207917 ldw r4,-32284(gp) +811129b8: 01604574 movhi r5,33045 +811129bc: 2963ac04 addi r5,r5,-29008 +811129c0: 112b6200 call 8112b620 +811129c4: 003a1f06 br 81111244 <__reset+0xfb0f1244> +811129c8: e0003915 stw zero,228(fp) +811129cc: e0003a15 stw zero,232(fp) +811129d0: 9009883a mov r4,r18 +811129d4: 11023980 call 81102398 +811129d8: e0803417 ldw r2,208(fp) +811129dc: 00c00204 movi r3,8 +811129e0: 10c04d26 beq r2,r3,81112b18 +811129e4: 00c00244 movi r3,9 +811129e8: 10c01d26 beq r2,r3,81112a60 +811129ec: 88800803 ldbu r2,32(r17) +811129f0: 00c00104 movi r3,4 +811129f4: 18800536 bltu r3,r2,81112a0c +811129f8: e1800003 ldbu r6,0(fp) +811129fc: d1207917 ldw r4,-32284(gp) +81112a00: 01604574 movhi r5,33045 +81112a04: 29658004 addi r5,r5,-27136 +81112a08: 112b6200 call 8112b620 +81112a0c: 00800044 movi r2,1 +81112a10: e080c315 stw r2,780(fp) +81112a14: 9009883a mov r4,r18 +81112a18: 11023600 call 81102360 +81112a1c: 003c3606 br 81111af8 <__reset+0xfb0f1af8> +81112a20: 00800204 movi r2,8 +81112a24: e080c315 stw r2,780(fp) +81112a28: 003d3006 br 81111eec <__reset+0xfb0f1eec> +81112a2c: 00800184 movi r2,6 81112a30: e080c315 stw r2,780(fp) -81112a34: 003fea06 br 811129e0 <__reset+0xfb0f29e0> -81112a38: e0812717 ldw r2,1180(fp) -81112a3c: 103efb1e bne r2,zero,8111262c <__reset+0xfb0f262c> -81112a40: e0812517 ldw r2,1172(fp) -81112a44: 103ef91e bne r2,zero,8111262c <__reset+0xfb0f262c> -81112a48: 003f0d06 br 81112680 <__reset+0xfb0f2680> -81112a4c: 00800344 movi r2,13 -81112a50: e080c315 stw r2,780(fp) -81112a54: 003ec706 br 81112574 <__reset+0xfb0f2574> -81112a58: e1800003 ldbu r6,0(fp) -81112a5c: d1207917 ldw r4,-32284(gp) -81112a60: 01604574 movhi r5,33045 -81112a64: 29657004 addi r5,r5,-27200 -81112a68: 112b5e00 call 8112b5e0 -81112a6c: 003fab06 br 8111291c <__reset+0xfb0f291c> -81112a70: e1800003 ldbu r6,0(fp) -81112a74: d1207917 ldw r4,-32284(gp) -81112a78: 01604574 movhi r5,33045 -81112a7c: 29658804 addi r5,r5,-27104 -81112a80: 112b5e00 call 8112b5e0 -81112a84: 003d3706 br 81111f64 <__reset+0xfb0f1f64> -81112a88: 11279040 call 81127904 <__fixunssfsi> -81112a8c: 10800044 addi r2,r2,1 -81112a90: e0803b8d sth r2,238(fp) -81112a94: 003ea506 br 8111252c <__reset+0xfb0f252c> -81112a98: 21800003 ldbu r6,0(r4) -81112a9c: d1207917 ldw r4,-32284(gp) -81112aa0: 01604574 movhi r5,33045 -81112aa4: 2964c304 addi r5,r5,-27892 -81112aa8: 112b5e00 call 8112b5e0 -81112aac: 00393506 br 81110f84 <__reset+0xfb0f0f84> -81112ab0: a809883a mov r4,r21 -81112ab4: e0012715 stw zero,1180(fp) -81112ab8: 1103bd00 call 81103bd0 -81112abc: e0c17217 ldw r3,1480(fp) -81112ac0: e0817317 ldw r2,1484(fp) -81112ac4: a809883a mov r4,r21 -81112ac8: e0c06b15 stw r3,428(fp) -81112acc: e0806c15 stw r2,432(fp) -81112ad0: 1103c380 call 81103c38 -81112ad4: 003ee106 br 8111265c <__reset+0xfb0f265c> -81112ad8: 008002c4 movi r2,11 -81112adc: e080c315 stw r2,780(fp) -81112ae0: 003ea406 br 81112574 <__reset+0xfb0f2574> -81112ae4: 008002c4 movi r2,11 -81112ae8: e080c315 stw r2,780(fp) -81112aec: 003fbc06 br 811129e0 <__reset+0xfb0f29e0> -81112af0: e0012215 stw zero,1160(fp) -81112af4: e0c13017 ldw r3,1216(fp) -81112af8: e0813117 ldw r2,1220(fp) -81112afc: 9009883a mov r4,r18 -81112b00: e0c00915 stw r3,36(fp) -81112b04: e0800a15 stw r2,40(fp) -81112b08: 11023980 call 81102398 -81112b0c: e1413017 ldw r5,1216(fp) -81112b10: e1013117 ldw r4,1220(fp) -81112b14: e0813117 ldw r2,1220(fp) -81112b18: e0c04c0b ldhu r3,304(fp) -81112b1c: e140b815 stw r5,736(fp) -81112b20: e100b915 stw r4,740(fp) -81112b24: 10800044 addi r2,r2,1 -81112b28: 18802b2e bgeu r3,r2,81112bd8 +81112a34: 003d2d06 br 81111eec <__reset+0xfb0f1eec> +81112a38: e0012415 stw zero,1168(fp) +81112a3c: e1415543 ldbu r5,1365(fp) +81112a40: e1015583 ldbu r4,1366(fp) +81112a44: e0c155c3 ldbu r3,1367(fp) +81112a48: e0815603 ldbu r2,1368(fp) +81112a4c: e1402e45 stb r5,185(fp) +81112a50: e1002e85 stb r4,186(fp) +81112a54: e0c02ec5 stb r3,187(fp) +81112a58: e0802f05 stb r2,188(fp) +81112a5c: 003f0f06 br 8111269c <__reset+0xfb0f269c> +81112a60: 00800344 movi r2,13 +81112a64: e080c315 stw r2,780(fp) +81112a68: 003fea06 br 81112a14 <__reset+0xfb0f2a14> +81112a6c: e0812717 ldw r2,1180(fp) +81112a70: 103efb1e bne r2,zero,81112660 <__reset+0xfb0f2660> +81112a74: e0812517 ldw r2,1172(fp) +81112a78: 103ef91e bne r2,zero,81112660 <__reset+0xfb0f2660> +81112a7c: 003f0d06 br 811126b4 <__reset+0xfb0f26b4> +81112a80: 00800344 movi r2,13 +81112a84: e080c315 stw r2,780(fp) +81112a88: 003ec706 br 811125a8 <__reset+0xfb0f25a8> +81112a8c: e1800003 ldbu r6,0(fp) +81112a90: d1207917 ldw r4,-32284(gp) +81112a94: 01604574 movhi r5,33045 +81112a98: 29658004 addi r5,r5,-27136 +81112a9c: 112b6200 call 8112b620 +81112aa0: 003fab06 br 81112950 <__reset+0xfb0f2950> +81112aa4: e1800003 ldbu r6,0(fp) +81112aa8: d1207917 ldw r4,-32284(gp) +81112aac: 01604574 movhi r5,33045 +81112ab0: 29659804 addi r5,r5,-27040 +81112ab4: 112b6200 call 8112b620 +81112ab8: 003d3706 br 81111f98 <__reset+0xfb0f1f98> +81112abc: 11279440 call 81127944 <__fixunssfsi> +81112ac0: 10800044 addi r2,r2,1 +81112ac4: e0803b8d sth r2,238(fp) +81112ac8: 003ea506 br 81112560 <__reset+0xfb0f2560> +81112acc: 21800003 ldbu r6,0(r4) +81112ad0: d1207917 ldw r4,-32284(gp) +81112ad4: 01604574 movhi r5,33045 +81112ad8: 2964d304 addi r5,r5,-27828 +81112adc: 112b6200 call 8112b620 +81112ae0: 00393506 br 81110fb8 <__reset+0xfb0f0fb8> +81112ae4: a809883a mov r4,r21 +81112ae8: e0012715 stw zero,1180(fp) +81112aec: 1103bd00 call 81103bd0 +81112af0: e0c17217 ldw r3,1480(fp) +81112af4: e0817317 ldw r2,1484(fp) +81112af8: a809883a mov r4,r21 +81112afc: e0c06b15 stw r3,428(fp) +81112b00: e0806c15 stw r2,432(fp) +81112b04: 1103c380 call 81103c38 +81112b08: 003ee106 br 81112690 <__reset+0xfb0f2690> +81112b0c: 008002c4 movi r2,11 +81112b10: e080c315 stw r2,780(fp) +81112b14: 003ea406 br 811125a8 <__reset+0xfb0f25a8> +81112b18: 008002c4 movi r2,11 +81112b1c: e080c315 stw r2,780(fp) +81112b20: 003fbc06 br 81112a14 <__reset+0xfb0f2a14> +81112b24: e0012215 stw zero,1160(fp) +81112b28: e0c13017 ldw r3,1216(fp) 81112b2c: e0813117 ldw r2,1220(fp) -81112b30: 193fffc4 addi r4,r3,-1 -81112b34: e100ba15 stw r4,744(fp) -81112b38: 10c5c83a sub r2,r2,r3 -81112b3c: e080bb15 stw r2,748(fp) -81112b40: e580be15 stw r22,760(fp) -81112b44: e580bf15 stw r22,764(fp) -81112b48: 9009883a mov r4,r18 -81112b4c: 11023600 call 81102360 -81112b50: 003eb906 br 81112638 <__reset+0xfb0f2638> -81112b54: 9009883a mov r4,r18 -81112b58: e0012615 stw zero,1176(fp) -81112b5c: 11023980 call 81102398 -81112b60: e081710b ldhu r2,1476(fp) -81112b64: 9009883a mov r4,r18 -81112b68: 10bfffcc andi r2,r2,65535 -81112b6c: e080c015 stw r2,768(fp) -81112b70: 11023600 call 81102360 -81112b74: e1c1710b ldhu r7,1476(fp) -81112b78: 018006f4 movhi r6,27 -81112b7c: a809883a mov r4,r21 -81112b80: 31b85204 addi r6,r6,-7864 -81112b84: 000b883a mov r5,zero -81112b88: 39ffffcc andi r7,r7,65535 -81112b8c: 1103d640 call 81103d64 -81112b90: e1c1710b ldhu r7,1476(fp) -81112b94: 018006f4 movhi r6,27 -81112b98: 31b85204 addi r6,r6,-7864 -81112b9c: b00b883a mov r5,r22 -81112ba0: 39ffffcc andi r7,r7,65535 -81112ba4: a809883a mov r4,r21 -81112ba8: 1103d640 call 81103d64 -81112bac: 003ea806 br 81112650 <__reset+0xfb0f2650> -81112bb0: 9009883a mov r4,r18 -81112bb4: 11023980 call 81102398 -81112bb8: e0012315 stw zero,1164(fp) -81112bbc: e0817417 ldw r2,1488(fp) -81112bc0: 15800b26 beq r2,r22,81112bf0 -81112bc4: e0813017 ldw r2,1216(fp) -81112bc8: e080b815 stw r2,736(fp) -81112bcc: 9009883a mov r4,r18 -81112bd0: 11023600 call 81102360 -81112bd4: 003e9b06 br 81112644 <__reset+0xfb0f2644> -81112bd8: e0813117 ldw r2,1220(fp) -81112bdc: e000bb15 stw zero,748(fp) -81112be0: e580be15 stw r22,760(fp) -81112be4: e080ba15 stw r2,744(fp) -81112be8: e000bf15 stw zero,764(fp) -81112bec: 003fd606 br 81112b48 <__reset+0xfb0f2b48> -81112bf0: e000b815 stw zero,736(fp) -81112bf4: 003ff506 br 81112bcc <__reset+0xfb0f2bcc> - -81112bf8 : -81112bf8: defffe04 addi sp,sp,-8 -81112bfc: dc000015 stw r16,0(sp) -81112c00: dfc00115 stw ra,4(sp) -81112c04: 00e045f4 movhi r3,33047 -81112c08: 2180008b ldhu r6,2(r4) -81112c0c: 18f2be04 addi r3,r3,-13576 -81112c10: 2821883a mov r16,r5 -81112c14: 28000015 stw zero,0(r5) -81112c18: 0005883a mov r2,zero -81112c1c: 01c00404 movi r7,16 -81112c20: 1900000b ldhu r4,0(r3) -81112c24: 18c08304 addi r3,r3,524 -81112c28: 21800926 beq r4,r6,81112c50 -81112c2c: 10800044 addi r2,r2,1 -81112c30: 11fffb1e bne r2,r7,81112c20 <__reset+0xfb0f2c20> -81112c34: 0005883a mov r2,zero -81112c38: 00c00044 movi r3,1 -81112c3c: 80c00015 stw r3,0(r16) -81112c40: dfc00117 ldw ra,4(sp) -81112c44: dc000017 ldw r16,0(sp) -81112c48: dec00204 addi sp,sp,8 -81112c4c: f800283a ret -81112c50: d0e08503 ldbu r3,-32236(gp) -81112c54: 1085883a add r2,r2,r2 -81112c58: d1207e17 ldw r4,-32264(gp) -81112c5c: 016045f4 movhi r5,33047 -81112c60: 2956c504 addi r5,r5,23316 -81112c64: 1085883a add r2,r2,r2 -81112c68: 2885883a add r2,r5,r2 -81112c6c: 18c00044 addi r3,r3,1 -81112c70: 10000015 stw zero,0(r2) -81112c74: d0e08505 stb r3,-32236(gp) -81112c78: 114379c0 call 8114379c -81112c7c: 10803fcc andi r2,r2,255 -81112c80: 1000021e bne r2,zero,81112c8c -81112c84: 00800044 movi r2,1 -81112c88: 003feb06 br 81112c38 <__reset+0xfb0f2c38> -81112c8c: d0a08503 ldbu r2,-32236(gp) -81112c90: 10bfffc4 addi r2,r2,-1 -81112c94: d0a08505 stb r2,-32236(gp) -81112c98: 112433c0 call 8112433c -81112c9c: 00800044 movi r2,1 -81112ca0: 003fe506 br 81112c38 <__reset+0xfb0f2c38> - -81112ca4 : -81112ca4: defffe04 addi sp,sp,-8 -81112ca8: dc000015 stw r16,0(sp) -81112cac: dfc00115 stw ra,4(sp) -81112cb0: 00e045f4 movhi r3,33047 -81112cb4: 2180008b ldhu r6,2(r4) -81112cb8: 18d77604 addi r3,r3,24024 -81112cbc: 2821883a mov r16,r5 -81112cc0: 28000015 stw zero,0(r5) -81112cc4: 0005883a mov r2,zero -81112cc8: 01c00804 movi r7,32 -81112ccc: 00000206 br 81112cd8 -81112cd0: 10800044 addi r2,r2,1 -81112cd4: 11c01826 beq r2,r7,81112d38 -81112cd8: 1900000b ldhu r4,0(r3) -81112cdc: 18c02304 addi r3,r3,140 -81112ce0: 21bffb1e bne r4,r6,81112cd0 <__reset+0xfb0f2cd0> -81112ce4: d0e09043 ldbu r3,-32191(gp) -81112ce8: 10800404 addi r2,r2,16 -81112cec: 1085883a add r2,r2,r2 -81112cf0: d1207a17 ldw r4,-32280(gp) -81112cf4: 016045f4 movhi r5,33047 -81112cf8: 2956c504 addi r5,r5,23316 -81112cfc: 1085883a add r2,r2,r2 -81112d00: 2885883a add r2,r5,r2 -81112d04: 18c00044 addi r3,r3,1 -81112d08: 10000015 stw zero,0(r2) -81112d0c: d0e09045 stb r3,-32191(gp) -81112d10: 114379c0 call 8114379c -81112d14: 10803fcc andi r2,r2,255 -81112d18: 1000091e bne r2,zero,81112d40 -81112d1c: 00800044 movi r2,1 -81112d20: 00c00044 movi r3,1 -81112d24: 80c00015 stw r3,0(r16) -81112d28: dfc00117 ldw ra,4(sp) -81112d2c: dc000017 ldw r16,0(sp) -81112d30: dec00204 addi sp,sp,8 -81112d34: f800283a ret -81112d38: 0005883a mov r2,zero -81112d3c: 003ff806 br 81112d20 <__reset+0xfb0f2d20> -81112d40: d0a09043 ldbu r2,-32191(gp) -81112d44: 10bfffc4 addi r2,r2,-1 -81112d48: d0a09045 stb r2,-32191(gp) -81112d4c: 11243940 call 81124394 +81112b30: 9009883a mov r4,r18 +81112b34: e0c00915 stw r3,36(fp) +81112b38: e0800a15 stw r2,40(fp) +81112b3c: 11023980 call 81102398 +81112b40: e1413017 ldw r5,1216(fp) +81112b44: e1013117 ldw r4,1220(fp) +81112b48: e0813117 ldw r2,1220(fp) +81112b4c: e0c04c0b ldhu r3,304(fp) +81112b50: e140b815 stw r5,736(fp) +81112b54: e100b915 stw r4,740(fp) +81112b58: 10800044 addi r2,r2,1 +81112b5c: 18802b2e bgeu r3,r2,81112c0c +81112b60: e0813117 ldw r2,1220(fp) +81112b64: 193fffc4 addi r4,r3,-1 +81112b68: e100ba15 stw r4,744(fp) +81112b6c: 10c5c83a sub r2,r2,r3 +81112b70: e080bb15 stw r2,748(fp) +81112b74: e580be15 stw r22,760(fp) +81112b78: e580bf15 stw r22,764(fp) +81112b7c: 9009883a mov r4,r18 +81112b80: 11023600 call 81102360 +81112b84: 003eb906 br 8111266c <__reset+0xfb0f266c> +81112b88: 9009883a mov r4,r18 +81112b8c: e0012615 stw zero,1176(fp) +81112b90: 11023980 call 81102398 +81112b94: e081710b ldhu r2,1476(fp) +81112b98: 9009883a mov r4,r18 +81112b9c: 10bfffcc andi r2,r2,65535 +81112ba0: e080c015 stw r2,768(fp) +81112ba4: 11023600 call 81102360 +81112ba8: e1c1710b ldhu r7,1476(fp) +81112bac: 018006f4 movhi r6,27 +81112bb0: a809883a mov r4,r21 +81112bb4: 31b85204 addi r6,r6,-7864 +81112bb8: 000b883a mov r5,zero +81112bbc: 39ffffcc andi r7,r7,65535 +81112bc0: 1103d640 call 81103d64 +81112bc4: e1c1710b ldhu r7,1476(fp) +81112bc8: 018006f4 movhi r6,27 +81112bcc: 31b85204 addi r6,r6,-7864 +81112bd0: b00b883a mov r5,r22 +81112bd4: 39ffffcc andi r7,r7,65535 +81112bd8: a809883a mov r4,r21 +81112bdc: 1103d640 call 81103d64 +81112be0: 003ea806 br 81112684 <__reset+0xfb0f2684> +81112be4: 9009883a mov r4,r18 +81112be8: 11023980 call 81102398 +81112bec: e0012315 stw zero,1164(fp) +81112bf0: e0817417 ldw r2,1488(fp) +81112bf4: 15800b26 beq r2,r22,81112c24 +81112bf8: e0813017 ldw r2,1216(fp) +81112bfc: e080b815 stw r2,736(fp) +81112c00: 9009883a mov r4,r18 +81112c04: 11023600 call 81102360 +81112c08: 003e9b06 br 81112678 <__reset+0xfb0f2678> +81112c0c: e0813117 ldw r2,1220(fp) +81112c10: e000bb15 stw zero,748(fp) +81112c14: e580be15 stw r22,760(fp) +81112c18: e080ba15 stw r2,744(fp) +81112c1c: e000bf15 stw zero,764(fp) +81112c20: 003fd606 br 81112b7c <__reset+0xfb0f2b7c> +81112c24: e000b815 stw zero,736(fp) +81112c28: 003ff506 br 81112c00 <__reset+0xfb0f2c00> + +81112c2c : +81112c2c: defffe04 addi sp,sp,-8 +81112c30: dc000015 stw r16,0(sp) +81112c34: dfc00115 stw ra,4(sp) +81112c38: 00e045f4 movhi r3,33047 +81112c3c: 2180008b ldhu r6,2(r4) +81112c40: 18f2cf04 addi r3,r3,-13508 +81112c44: 2821883a mov r16,r5 +81112c48: 28000015 stw zero,0(r5) +81112c4c: 0005883a mov r2,zero +81112c50: 01c00404 movi r7,16 +81112c54: 1900000b ldhu r4,0(r3) +81112c58: 18c08304 addi r3,r3,524 +81112c5c: 21800926 beq r4,r6,81112c84 +81112c60: 10800044 addi r2,r2,1 +81112c64: 11fffb1e bne r2,r7,81112c54 <__reset+0xfb0f2c54> +81112c68: 0005883a mov r2,zero +81112c6c: 00c00044 movi r3,1 +81112c70: 80c00015 stw r3,0(r16) +81112c74: dfc00117 ldw ra,4(sp) +81112c78: dc000017 ldw r16,0(sp) +81112c7c: dec00204 addi sp,sp,8 +81112c80: f800283a ret +81112c84: d0e08503 ldbu r3,-32236(gp) +81112c88: 1085883a add r2,r2,r2 +81112c8c: d1207e17 ldw r4,-32264(gp) +81112c90: 016045f4 movhi r5,33047 +81112c94: 2956d604 addi r5,r5,23384 +81112c98: 1085883a add r2,r2,r2 +81112c9c: 2885883a add r2,r5,r2 +81112ca0: 18c00044 addi r3,r3,1 +81112ca4: 10000015 stw zero,0(r2) +81112ca8: d0e08505 stb r3,-32236(gp) +81112cac: 11437dc0 call 811437dc +81112cb0: 10803fcc andi r2,r2,255 +81112cb4: 1000021e bne r2,zero,81112cc0 +81112cb8: 00800044 movi r2,1 +81112cbc: 003feb06 br 81112c6c <__reset+0xfb0f2c6c> +81112cc0: d0a08503 ldbu r2,-32236(gp) +81112cc4: 10bfffc4 addi r2,r2,-1 +81112cc8: d0a08505 stb r2,-32236(gp) +81112ccc: 112437c0 call 8112437c +81112cd0: 00800044 movi r2,1 +81112cd4: 003fe506 br 81112c6c <__reset+0xfb0f2c6c> + +81112cd8 : +81112cd8: defffe04 addi sp,sp,-8 +81112cdc: dc000015 stw r16,0(sp) +81112ce0: dfc00115 stw ra,4(sp) +81112ce4: 00e045f4 movhi r3,33047 +81112ce8: 2180008b ldhu r6,2(r4) +81112cec: 18d78704 addi r3,r3,24092 +81112cf0: 2821883a mov r16,r5 +81112cf4: 28000015 stw zero,0(r5) +81112cf8: 0005883a mov r2,zero +81112cfc: 01c00804 movi r7,32 +81112d00: 00000206 br 81112d0c +81112d04: 10800044 addi r2,r2,1 +81112d08: 11c01826 beq r2,r7,81112d6c +81112d0c: 1900000b ldhu r4,0(r3) +81112d10: 18c02304 addi r3,r3,140 +81112d14: 21bffb1e bne r4,r6,81112d04 <__reset+0xfb0f2d04> +81112d18: d0e09043 ldbu r3,-32191(gp) +81112d1c: 10800404 addi r2,r2,16 +81112d20: 1085883a add r2,r2,r2 +81112d24: d1207a17 ldw r4,-32280(gp) +81112d28: 016045f4 movhi r5,33047 +81112d2c: 2956d604 addi r5,r5,23384 +81112d30: 1085883a add r2,r2,r2 +81112d34: 2885883a add r2,r5,r2 +81112d38: 18c00044 addi r3,r3,1 +81112d3c: 10000015 stw zero,0(r2) +81112d40: d0e09045 stb r3,-32191(gp) +81112d44: 11437dc0 call 811437dc +81112d48: 10803fcc andi r2,r2,255 +81112d4c: 1000091e bne r2,zero,81112d74 81112d50: 00800044 movi r2,1 -81112d54: 003ff206 br 81112d20 <__reset+0xfb0f2d20> - -81112d58 : -81112d58: defffe04 addi sp,sp,-8 -81112d5c: dc000015 stw r16,0(sp) -81112d60: dfc00115 stw ra,4(sp) -81112d64: 00e04574 movhi r3,33045 -81112d68: 2180008b ldhu r6,2(r4) -81112d6c: 18d5e804 addi r3,r3,22432 -81112d70: 2821883a mov r16,r5 -81112d74: 28000015 stw zero,0(r5) -81112d78: 0005883a mov r2,zero -81112d7c: 01c00804 movi r7,32 -81112d80: 00000206 br 81112d8c -81112d84: 10800044 addi r2,r2,1 -81112d88: 11c01826 beq r2,r7,81112dec -81112d8c: 1900000b ldhu r4,0(r3) -81112d90: 18c01304 addi r3,r3,76 -81112d94: 21bffb1e bne r4,r6,81112d84 <__reset+0xfb0f2d84> -81112d98: d0e09003 ldbu r3,-32192(gp) -81112d9c: 10800c04 addi r2,r2,48 -81112da0: 1085883a add r2,r2,r2 -81112da4: d1207517 ldw r4,-32300(gp) -81112da8: 016045f4 movhi r5,33047 -81112dac: 2956c504 addi r5,r5,23316 -81112db0: 1085883a add r2,r2,r2 -81112db4: 2885883a add r2,r5,r2 -81112db8: 18c00044 addi r3,r3,1 -81112dbc: 10000015 stw zero,0(r2) -81112dc0: d0e09005 stb r3,-32192(gp) -81112dc4: 114379c0 call 8114379c -81112dc8: 10803fcc andi r2,r2,255 -81112dcc: 1000091e bne r2,zero,81112df4 -81112dd0: 00800044 movi r2,1 -81112dd4: 00c00044 movi r3,1 -81112dd8: 80c00015 stw r3,0(r16) -81112ddc: dfc00117 ldw ra,4(sp) -81112de0: dc000017 ldw r16,0(sp) -81112de4: dec00204 addi sp,sp,8 -81112de8: f800283a ret -81112dec: 0005883a mov r2,zero -81112df0: 003ff806 br 81112dd4 <__reset+0xfb0f2dd4> -81112df4: d0a09003 ldbu r2,-32192(gp) -81112df8: 10bfffc4 addi r2,r2,-1 -81112dfc: d0a09005 stb r2,-32192(gp) -81112e00: 11242e40 call 811242e4 +81112d54: 00c00044 movi r3,1 +81112d58: 80c00015 stw r3,0(r16) +81112d5c: dfc00117 ldw ra,4(sp) +81112d60: dc000017 ldw r16,0(sp) +81112d64: dec00204 addi sp,sp,8 +81112d68: f800283a ret +81112d6c: 0005883a mov r2,zero +81112d70: 003ff806 br 81112d54 <__reset+0xfb0f2d54> +81112d74: d0a09043 ldbu r2,-32191(gp) +81112d78: 10bfffc4 addi r2,r2,-1 +81112d7c: d0a09045 stb r2,-32191(gp) +81112d80: 11243d40 call 811243d4 +81112d84: 00800044 movi r2,1 +81112d88: 003ff206 br 81112d54 <__reset+0xfb0f2d54> + +81112d8c : +81112d8c: defffe04 addi sp,sp,-8 +81112d90: dc000015 stw r16,0(sp) +81112d94: dfc00115 stw ra,4(sp) +81112d98: 00e04574 movhi r3,33045 +81112d9c: 2180008b ldhu r6,2(r4) +81112da0: 18d5f904 addi r3,r3,22500 +81112da4: 2821883a mov r16,r5 +81112da8: 28000015 stw zero,0(r5) +81112dac: 0005883a mov r2,zero +81112db0: 01c00804 movi r7,32 +81112db4: 00000206 br 81112dc0 +81112db8: 10800044 addi r2,r2,1 +81112dbc: 11c01826 beq r2,r7,81112e20 +81112dc0: 1900000b ldhu r4,0(r3) +81112dc4: 18c01304 addi r3,r3,76 +81112dc8: 21bffb1e bne r4,r6,81112db8 <__reset+0xfb0f2db8> +81112dcc: d0e09003 ldbu r3,-32192(gp) +81112dd0: 10800c04 addi r2,r2,48 +81112dd4: 1085883a add r2,r2,r2 +81112dd8: d1207517 ldw r4,-32300(gp) +81112ddc: 016045f4 movhi r5,33047 +81112de0: 2956d604 addi r5,r5,23384 +81112de4: 1085883a add r2,r2,r2 +81112de8: 2885883a add r2,r5,r2 +81112dec: 18c00044 addi r3,r3,1 +81112df0: 10000015 stw zero,0(r2) +81112df4: d0e09005 stb r3,-32192(gp) +81112df8: 11437dc0 call 811437dc +81112dfc: 10803fcc andi r2,r2,255 +81112e00: 1000091e bne r2,zero,81112e28 81112e04: 00800044 movi r2,1 -81112e08: 003ff206 br 81112dd4 <__reset+0xfb0f2dd4> - -81112e0c : -81112e0c: defffe04 addi sp,sp,-8 -81112e10: dc000015 stw r16,0(sp) -81112e14: dfc00115 stw ra,4(sp) -81112e18: 00e045f4 movhi r3,33047 -81112e1c: 2180008b ldhu r6,2(r4) -81112e20: 18f0e604 addi r3,r3,-15464 -81112e24: 2821883a mov r16,r5 -81112e28: 28000015 stw zero,0(r5) -81112e2c: 0005883a mov r2,zero -81112e30: 01c00804 movi r7,32 -81112e34: 00000206 br 81112e40 -81112e38: 10800044 addi r2,r2,1 -81112e3c: 11c01826 beq r2,r7,81112ea0 -81112e40: 1900000b ldhu r4,0(r3) -81112e44: 18c00b04 addi r3,r3,44 -81112e48: 21bffb1e bne r4,r6,81112e38 <__reset+0xfb0f2e38> -81112e4c: d0e08083 ldbu r3,-32254(gp) -81112e50: 10801404 addi r2,r2,80 -81112e54: 1085883a add r2,r2,r2 -81112e58: d1208a17 ldw r4,-32216(gp) -81112e5c: 016045f4 movhi r5,33047 -81112e60: 2956c504 addi r5,r5,23316 -81112e64: 1085883a add r2,r2,r2 -81112e68: 2885883a add r2,r5,r2 -81112e6c: 18c00044 addi r3,r3,1 -81112e70: 10000015 stw zero,0(r2) -81112e74: d0e08085 stb r3,-32254(gp) -81112e78: 114379c0 call 8114379c -81112e7c: 10803fcc andi r2,r2,255 -81112e80: 1000091e bne r2,zero,81112ea8 -81112e84: 00800044 movi r2,1 -81112e88: 00c00044 movi r3,1 -81112e8c: 80c00015 stw r3,0(r16) -81112e90: dfc00117 ldw ra,4(sp) -81112e94: dc000017 ldw r16,0(sp) -81112e98: dec00204 addi sp,sp,8 -81112e9c: f800283a ret -81112ea0: 0005883a mov r2,zero -81112ea4: 003ff806 br 81112e88 <__reset+0xfb0f2e88> -81112ea8: d0a08083 ldbu r2,-32254(gp) -81112eac: 10bfffc4 addi r2,r2,-1 -81112eb0: d0a08085 stb r2,-32254(gp) -81112eb4: 112428c0 call 8112428c +81112e08: 00c00044 movi r3,1 +81112e0c: 80c00015 stw r3,0(r16) +81112e10: dfc00117 ldw ra,4(sp) +81112e14: dc000017 ldw r16,0(sp) +81112e18: dec00204 addi sp,sp,8 +81112e1c: f800283a ret +81112e20: 0005883a mov r2,zero +81112e24: 003ff806 br 81112e08 <__reset+0xfb0f2e08> +81112e28: d0a09003 ldbu r2,-32192(gp) +81112e2c: 10bfffc4 addi r2,r2,-1 +81112e30: d0a09005 stb r2,-32192(gp) +81112e34: 11243240 call 81124324 +81112e38: 00800044 movi r2,1 +81112e3c: 003ff206 br 81112e08 <__reset+0xfb0f2e08> + +81112e40 : +81112e40: defffe04 addi sp,sp,-8 +81112e44: dc000015 stw r16,0(sp) +81112e48: dfc00115 stw ra,4(sp) +81112e4c: 00e045f4 movhi r3,33047 +81112e50: 2180008b ldhu r6,2(r4) +81112e54: 18f0f704 addi r3,r3,-15396 +81112e58: 2821883a mov r16,r5 +81112e5c: 28000015 stw zero,0(r5) +81112e60: 0005883a mov r2,zero +81112e64: 01c00804 movi r7,32 +81112e68: 00000206 br 81112e74 +81112e6c: 10800044 addi r2,r2,1 +81112e70: 11c01826 beq r2,r7,81112ed4 +81112e74: 1900000b ldhu r4,0(r3) +81112e78: 18c00b04 addi r3,r3,44 +81112e7c: 21bffb1e bne r4,r6,81112e6c <__reset+0xfb0f2e6c> +81112e80: d0e08083 ldbu r3,-32254(gp) +81112e84: 10801404 addi r2,r2,80 +81112e88: 1085883a add r2,r2,r2 +81112e8c: d1208a17 ldw r4,-32216(gp) +81112e90: 016045f4 movhi r5,33047 +81112e94: 2956d604 addi r5,r5,23384 +81112e98: 1085883a add r2,r2,r2 +81112e9c: 2885883a add r2,r5,r2 +81112ea0: 18c00044 addi r3,r3,1 +81112ea4: 10000015 stw zero,0(r2) +81112ea8: d0e08085 stb r3,-32254(gp) +81112eac: 11437dc0 call 811437dc +81112eb0: 10803fcc andi r2,r2,255 +81112eb4: 1000091e bne r2,zero,81112edc 81112eb8: 00800044 movi r2,1 -81112ebc: 003ff206 br 81112e88 <__reset+0xfb0f2e88> - -81112ec0 : -81112ec0: defff204 addi sp,sp,-56 -81112ec4: 00a04634 movhi r2,33048 -81112ec8: dfc00d15 stw ra,52(sp) -81112ecc: ddc00c15 stw r23,48(sp) -81112ed0: dd800b15 stw r22,44(sp) -81112ed4: dd400a15 stw r21,40(sp) -81112ed8: dd000915 stw r20,36(sp) -81112edc: dcc00815 stw r19,32(sp) -81112ee0: dc800715 stw r18,28(sp) -81112ee4: dc400615 stw r17,24(sp) -81112ee8: dc000515 stw r16,20(sp) -81112eec: 108f0804 addi r2,r2,15392 -81112ef0: 10800803 ldbu r2,32(r2) -81112ef4: d8000315 stw zero,12(sp) -81112ef8: d8000215 stw zero,8(sp) -81112efc: d8000115 stw zero,4(sp) -81112f00: d8000015 stw zero,0(sp) -81112f04: 00c00104 movi r3,4 -81112f08: 18800636 bltu r3,r2,81112f24 -81112f0c: d1e07917 ldw r7,-32284(gp) -81112f10: 01204574 movhi r4,33045 -81112f14: 21263304 addi r4,r4,-26420 -81112f18: 018007c4 movi r6,31 -81112f1c: 01400044 movi r5,1 -81112f20: 112bc4c0 call 8112bc4c -81112f24: 05204634 movhi r20,33048 -81112f28: a52a1b04 addi r20,r20,-22420 -81112f2c: 05400804 movi r21,32 -81112f30: 05800044 movi r22,1 -81112f34: d1207d17 ldw r4,-32268(gp) -81112f38: d9800404 addi r6,sp,16 -81112f3c: 000b883a mov r5,zero -81112f40: 11435640 call 81143564 -81112f44: d8800403 ldbu r2,16(sp) -81112f48: 10006a1e bne r2,zero,811130f4 -81112f4c: d1207c17 ldw r4,-32272(gp) -81112f50: d9800404 addi r6,sp,16 -81112f54: 000b883a mov r5,zero -81112f58: 11424480 call 81142448 -81112f5c: d8800403 ldbu r2,16(sp) -81112f60: 1000691e bne r2,zero,81113108 -81112f64: 0007883a mov r3,zero -81112f68: 00000106 br 81112f70 -81112f6c: 1d406326 beq r3,r21,811130fc -81112f70: 18c5883a add r2,r3,r3 -81112f74: 1085883a add r2,r2,r2 -81112f78: a085883a add r2,r20,r2 -81112f7c: 11000003 ldbu r4,0(r2) -81112f80: 18c00044 addi r3,r3,1 -81112f84: 21003fcc andi r4,r4,255 -81112f88: 2100201c xori r4,r4,128 -81112f8c: 213fe004 addi r4,r4,-128 -81112f90: 203ff626 beq r4,zero,81112f6c <__reset+0xfb0f2f6c> -81112f94: 1140000b ldhu r5,0(r2) -81112f98: d1207c17 ldw r4,-32272(gp) -81112f9c: 10c0008b ldhu r3,2(r2) -81112fa0: 10000005 stb zero,0(r2) -81112fa4: d1606b0d sth r5,-32340(gp) -81112fa8: d0e06b8d sth r3,-32338(gp) -81112fac: 114274c0 call 8114274c -81112fb0: d1208503 ldbu r4,-32236(gp) -81112fb4: d0e08083 ldbu r3,-32254(gp) -81112fb8: d0a09003 ldbu r2,-32192(gp) -81112fbc: 21003fcc andi r4,r4,255 -81112fc0: d1609043 ldbu r5,-32191(gp) -81112fc4: 21000420 cmpeqi r4,r4,16 -81112fc8: 18c03fcc andi r3,r3,255 -81112fcc: 14003fcc andi r16,r2,255 -81112fd0: 200890fa slli r4,r4,3 -81112fd4: 18c00820 cmpeqi r3,r3,32 -81112fd8: 80800820 cmpeqi r2,r16,32 -81112fdc: 180690ba slli r3,r3,2 -81112fe0: 2c003fcc andi r16,r5,255 -81112fe4: 1004907a slli r2,r2,1 -81112fe8: 84000820 cmpeqi r16,r16,32 -81112fec: 8120b03a or r16,r16,r4 -81112ff0: 80e0b03a or r16,r16,r3 -81112ff4: 80a0b03a or r16,r16,r2 -81112ff8: 84c0010c andi r19,r16,4 -81112ffc: 8480020c andi r18,r16,8 -81113000: 8440008c andi r17,r16,2 -81113004: d8000315 stw zero,12(sp) -81113008: d8000215 stw zero,8(sp) -8111300c: d8000115 stw zero,4(sp) -81113010: d8000015 stw zero,0(sp) -81113014: 05c00544 movi r23,21 -81113018: 8400004c andi r16,r16,1 -8111301c: 9cc03fcc andi r19,r19,255 -81113020: 94803fcc andi r18,r18,255 -81113024: 8c403fcc andi r17,r17,255 -81113028: 80001c26 beq r16,zero,8111309c -8111302c: dd800115 stw r22,4(sp) -81113030: 88001f26 beq r17,zero,811130b0 -81113034: 0005883a mov r2,zero -81113038: dd800215 stw r22,8(sp) -8111303c: 9800011e bne r19,zero,81113044 -81113040: 10002826 beq r2,zero,811130e4 -81113044: dd800315 stw r22,12(sp) -81113048: 9000011e bne r18,zero,81113050 -8111304c: 10001c26 beq r2,zero,811130c0 -81113050: b8ffffc4 addi r3,r23,-1 -81113054: dd800015 stw r22,0(sp) -81113058: 19003fcc andi r4,r3,255 -8111305c: 182f883a mov r23,r3 -81113060: 20001e26 beq r4,zero,811130dc -81113064: 103fb31e bne r2,zero,81112f34 <__reset+0xfb0f2f34> -81113068: d8c00317 ldw r3,12(sp) -8111306c: d8800217 ldw r2,8(sp) -81113070: 1807003a cmpeq r3,r3,zero -81113074: 1005003a cmpeq r2,r2,zero -81113078: 1886b03a or r3,r3,r2 -8111307c: d8800117 ldw r2,4(sp) -81113080: 1005003a cmpeq r2,r2,zero -81113084: 10c4b03a or r2,r2,r3 -81113088: 103fe71e bne r2,zero,81113028 <__reset+0xfb0f3028> -8111308c: d8800017 ldw r2,0(sp) -81113090: 103fe526 beq r2,zero,81113028 <__reset+0xfb0f3028> -81113094: 112454c0 call 8112454c -81113098: 003fa606 br 81112f34 <__reset+0xfb0f2f34> -8111309c: d9400104 addi r5,sp,4 -811130a0: d1206b04 addi r4,gp,-32340 -811130a4: 1112ca40 call 81112ca4 -811130a8: 883fe31e bne r17,zero,81113038 <__reset+0xfb0f3038> -811130ac: 103fe21e bne r2,zero,81113038 <__reset+0xfb0f3038> -811130b0: d9400204 addi r5,sp,8 -811130b4: d1206b04 addi r4,gp,-32340 -811130b8: 1112d580 call 81112d58 -811130bc: 003fdf06 br 8111303c <__reset+0xfb0f303c> -811130c0: d1206b04 addi r4,gp,-32340 -811130c4: d80b883a mov r5,sp -811130c8: 1112bf80 call 81112bf8 -811130cc: b8ffffc4 addi r3,r23,-1 -811130d0: 19003fcc andi r4,r3,255 -811130d4: 182f883a mov r23,r3 -811130d8: 203fe21e bne r4,zero,81113064 <__reset+0xfb0f3064> -811130dc: 103f951e bne r2,zero,81112f34 <__reset+0xfb0f2f34> -811130e0: 003fec06 br 81113094 <__reset+0xfb0f3094> -811130e4: d9400304 addi r5,sp,12 +81112ebc: 00c00044 movi r3,1 +81112ec0: 80c00015 stw r3,0(r16) +81112ec4: dfc00117 ldw ra,4(sp) +81112ec8: dc000017 ldw r16,0(sp) +81112ecc: dec00204 addi sp,sp,8 +81112ed0: f800283a ret +81112ed4: 0005883a mov r2,zero +81112ed8: 003ff806 br 81112ebc <__reset+0xfb0f2ebc> +81112edc: d0a08083 ldbu r2,-32254(gp) +81112ee0: 10bfffc4 addi r2,r2,-1 +81112ee4: d0a08085 stb r2,-32254(gp) +81112ee8: 11242cc0 call 811242cc +81112eec: 00800044 movi r2,1 +81112ef0: 003ff206 br 81112ebc <__reset+0xfb0f2ebc> + +81112ef4 : +81112ef4: defff204 addi sp,sp,-56 +81112ef8: 00a04634 movhi r2,33048 +81112efc: dfc00d15 stw ra,52(sp) +81112f00: ddc00c15 stw r23,48(sp) +81112f04: dd800b15 stw r22,44(sp) +81112f08: dd400a15 stw r21,40(sp) +81112f0c: dd000915 stw r20,36(sp) +81112f10: dcc00815 stw r19,32(sp) +81112f14: dc800715 stw r18,28(sp) +81112f18: dc400615 stw r17,24(sp) +81112f1c: dc000515 stw r16,20(sp) +81112f20: 108f1904 addi r2,r2,15460 +81112f24: 10800803 ldbu r2,32(r2) +81112f28: d8000315 stw zero,12(sp) +81112f2c: d8000215 stw zero,8(sp) +81112f30: d8000115 stw zero,4(sp) +81112f34: d8000015 stw zero,0(sp) +81112f38: 00c00104 movi r3,4 +81112f3c: 18800636 bltu r3,r2,81112f58 +81112f40: d1e07917 ldw r7,-32284(gp) +81112f44: 01204574 movhi r4,33045 +81112f48: 21264304 addi r4,r4,-26356 +81112f4c: 018007c4 movi r6,31 +81112f50: 01400044 movi r5,1 +81112f54: 112bc8c0 call 8112bc8c +81112f58: 05204634 movhi r20,33048 +81112f5c: a52a2c04 addi r20,r20,-22352 +81112f60: 05400804 movi r21,32 +81112f64: 05800044 movi r22,1 +81112f68: d1207d17 ldw r4,-32268(gp) +81112f6c: d9800404 addi r6,sp,16 +81112f70: 000b883a mov r5,zero +81112f74: 11435a40 call 811435a4 +81112f78: d8800403 ldbu r2,16(sp) +81112f7c: 10006a1e bne r2,zero,81113128 +81112f80: d1207c17 ldw r4,-32272(gp) +81112f84: d9800404 addi r6,sp,16 +81112f88: 000b883a mov r5,zero +81112f8c: 11424880 call 81142488 +81112f90: d8800403 ldbu r2,16(sp) +81112f94: 1000691e bne r2,zero,8111313c +81112f98: 0007883a mov r3,zero +81112f9c: 00000106 br 81112fa4 +81112fa0: 1d406326 beq r3,r21,81113130 +81112fa4: 18c5883a add r2,r3,r3 +81112fa8: 1085883a add r2,r2,r2 +81112fac: a085883a add r2,r20,r2 +81112fb0: 11000003 ldbu r4,0(r2) +81112fb4: 18c00044 addi r3,r3,1 +81112fb8: 21003fcc andi r4,r4,255 +81112fbc: 2100201c xori r4,r4,128 +81112fc0: 213fe004 addi r4,r4,-128 +81112fc4: 203ff626 beq r4,zero,81112fa0 <__reset+0xfb0f2fa0> +81112fc8: 1140000b ldhu r5,0(r2) +81112fcc: d1207c17 ldw r4,-32272(gp) +81112fd0: 10c0008b ldhu r3,2(r2) +81112fd4: 10000005 stb zero,0(r2) +81112fd8: d1606b0d sth r5,-32340(gp) +81112fdc: d0e06b8d sth r3,-32338(gp) +81112fe0: 114278c0 call 8114278c +81112fe4: d1208503 ldbu r4,-32236(gp) +81112fe8: d0e08083 ldbu r3,-32254(gp) +81112fec: d0a09003 ldbu r2,-32192(gp) +81112ff0: 21003fcc andi r4,r4,255 +81112ff4: d1609043 ldbu r5,-32191(gp) +81112ff8: 21000420 cmpeqi r4,r4,16 +81112ffc: 18c03fcc andi r3,r3,255 +81113000: 14003fcc andi r16,r2,255 +81113004: 200890fa slli r4,r4,3 +81113008: 18c00820 cmpeqi r3,r3,32 +8111300c: 80800820 cmpeqi r2,r16,32 +81113010: 180690ba slli r3,r3,2 +81113014: 2c003fcc andi r16,r5,255 +81113018: 1004907a slli r2,r2,1 +8111301c: 84000820 cmpeqi r16,r16,32 +81113020: 8120b03a or r16,r16,r4 +81113024: 80e0b03a or r16,r16,r3 +81113028: 80a0b03a or r16,r16,r2 +8111302c: 84c0010c andi r19,r16,4 +81113030: 8480020c andi r18,r16,8 +81113034: 8440008c andi r17,r16,2 +81113038: d8000315 stw zero,12(sp) +8111303c: d8000215 stw zero,8(sp) +81113040: d8000115 stw zero,4(sp) +81113044: d8000015 stw zero,0(sp) +81113048: 05c00544 movi r23,21 +8111304c: 8400004c andi r16,r16,1 +81113050: 9cc03fcc andi r19,r19,255 +81113054: 94803fcc andi r18,r18,255 +81113058: 8c403fcc andi r17,r17,255 +8111305c: 80001c26 beq r16,zero,811130d0 +81113060: dd800115 stw r22,4(sp) +81113064: 88001f26 beq r17,zero,811130e4 +81113068: 0005883a mov r2,zero +8111306c: dd800215 stw r22,8(sp) +81113070: 9800011e bne r19,zero,81113078 +81113074: 10002826 beq r2,zero,81113118 +81113078: dd800315 stw r22,12(sp) +8111307c: 9000011e bne r18,zero,81113084 +81113080: 10001c26 beq r2,zero,811130f4 +81113084: b8ffffc4 addi r3,r23,-1 +81113088: dd800015 stw r22,0(sp) +8111308c: 19003fcc andi r4,r3,255 +81113090: 182f883a mov r23,r3 +81113094: 20001e26 beq r4,zero,81113110 +81113098: 103fb31e bne r2,zero,81112f68 <__reset+0xfb0f2f68> +8111309c: d8c00317 ldw r3,12(sp) +811130a0: d8800217 ldw r2,8(sp) +811130a4: 1807003a cmpeq r3,r3,zero +811130a8: 1005003a cmpeq r2,r2,zero +811130ac: 1886b03a or r3,r3,r2 +811130b0: d8800117 ldw r2,4(sp) +811130b4: 1005003a cmpeq r2,r2,zero +811130b8: 10c4b03a or r2,r2,r3 +811130bc: 103fe71e bne r2,zero,8111305c <__reset+0xfb0f305c> +811130c0: d8800017 ldw r2,0(sp) +811130c4: 103fe526 beq r2,zero,8111305c <__reset+0xfb0f305c> +811130c8: 112458c0 call 8112458c +811130cc: 003fa606 br 81112f68 <__reset+0xfb0f2f68> +811130d0: d9400104 addi r5,sp,4 +811130d4: d1206b04 addi r4,gp,-32340 +811130d8: 1112cd80 call 81112cd8 +811130dc: 883fe31e bne r17,zero,8111306c <__reset+0xfb0f306c> +811130e0: 103fe21e bne r2,zero,8111306c <__reset+0xfb0f306c> +811130e4: d9400204 addi r5,sp,8 811130e8: d1206b04 addi r4,gp,-32340 -811130ec: 1112e0c0 call 81112e0c -811130f0: 003fd506 br 81113048 <__reset+0xfb0f3048> -811130f4: 11240680 call 81124068 -811130f8: 003f8e06 br 81112f34 <__reset+0xfb0f2f34> -811130fc: d1207c17 ldw r4,-32272(gp) -81113100: 114274c0 call 8114274c -81113104: 003f8b06 br 81112f34 <__reset+0xfb0f2f34> -81113108: d1207d17 ldw r4,-32268(gp) -8111310c: 114379c0 call 8114379c -81113110: 11240c00 call 811240c0 -81113114: 003f8706 br 81112f34 <__reset+0xfb0f2f34> - -81113118 : -81113118: defffe04 addi sp,sp,-8 -8111311c: dc000015 stw r16,0(sp) -81113120: 04000044 movi r16,1 -81113124: 8009883a mov r4,r16 -81113128: dfc00115 stw ra,4(sp) -8111312c: 1105fec0 call 81105fec -81113130: 8009883a mov r4,r16 -81113134: 1105ff80 call 81105ff8 -81113138: 8009883a mov r4,r16 -8111313c: 11060040 call 81106004 -81113140: 8009883a mov r4,r16 -81113144: 11060100 call 81106010 -81113148: 8009883a mov r4,r16 -8111314c: 1105fe00 call 81105fe0 -81113150: 1105d440 call 81105d44 -81113154: dfc00117 ldw ra,4(sp) -81113158: dc000017 ldw r16,0(sp) -8111315c: dec00204 addi sp,sp,8 -81113160: 1105d801 jmpi 81105d80 - -81113164 : -81113164: defff604 addi sp,sp,-40 -81113168: dc400715 stw r17,28(sp) -8111316c: dc000615 stw r16,24(sp) -81113170: dfc00915 stw ra,36(sp) -81113174: dc800815 stw r18,32(sp) -81113178: d8000505 stb zero,20(sp) -8111317c: 0021883a mov r16,zero -81113180: 11206700 call 81120670 -81113184: 04400184 movi r17,6 -81113188: 8009883a mov r4,r16 -8111318c: 84000044 addi r16,r16,1 -81113190: 11207040 call 81120704 -81113194: 847ffc1e bne r16,r17,81113188 <__reset+0xfb0f3188> -81113198: 11207a40 call 811207a4 -8111319c: 01a045b4 movhi r6,33046 -811131a0: 31a2df04 addi r6,r6,-29828 -811131a4: 01c008c4 movi r7,35 -811131a8: 00818004 movi r2,1536 -811131ac: 012044b4 movhi r4,33042 -811131b0: 212f6004 addi r4,r4,-17024 -811131b4: d9800115 stw r6,4(sp) -811131b8: d8000415 stw zero,16(sp) -811131bc: d8000315 stw zero,12(sp) -811131c0: d8800215 stw r2,8(sp) -811131c4: d9c00015 stw r7,0(sp) -811131c8: 3185ff04 addi r6,r6,6140 -811131cc: 000b883a mov r5,zero -811131d0: 1143c800 call 81143c80 -811131d4: 04204634 movhi r16,33048 -811131d8: d8800505 stb r2,20(sp) -811131dc: 11003fcc andi r4,r2,255 -811131e0: 840f0804 addi r16,r16,15392 -811131e4: 20000426 beq r4,zero,811131f8 -811131e8: 80800803 ldbu r2,32(r16) -811131ec: 00c001c4 movi r3,7 -811131f0: 18822e2e bgeu r3,r2,81113aac -811131f4: 1124a300 call 81124a30 -811131f8: 01c03204 movi r7,200 -811131fc: 000d883a mov r6,zero +811130ec: 1112d8c0 call 81112d8c +811130f0: 003fdf06 br 81113070 <__reset+0xfb0f3070> +811130f4: d1206b04 addi r4,gp,-32340 +811130f8: d80b883a mov r5,sp +811130fc: 1112c2c0 call 81112c2c +81113100: b8ffffc4 addi r3,r23,-1 +81113104: 19003fcc andi r4,r3,255 +81113108: 182f883a mov r23,r3 +8111310c: 203fe21e bne r4,zero,81113098 <__reset+0xfb0f3098> +81113110: 103f951e bne r2,zero,81112f68 <__reset+0xfb0f2f68> +81113114: 003fec06 br 811130c8 <__reset+0xfb0f30c8> +81113118: d9400304 addi r5,sp,12 +8111311c: d1206b04 addi r4,gp,-32340 +81113120: 1112e400 call 81112e40 +81113124: 003fd506 br 8111307c <__reset+0xfb0f307c> +81113128: 11240a80 call 811240a8 +8111312c: 003f8e06 br 81112f68 <__reset+0xfb0f2f68> +81113130: d1207c17 ldw r4,-32272(gp) +81113134: 114278c0 call 8114278c +81113138: 003f8b06 br 81112f68 <__reset+0xfb0f2f68> +8111313c: d1207d17 ldw r4,-32268(gp) +81113140: 11437dc0 call 811437dc +81113144: 11241000 call 81124100 +81113148: 003f8706 br 81112f68 <__reset+0xfb0f2f68> + +8111314c : +8111314c: defffe04 addi sp,sp,-8 +81113150: dc000015 stw r16,0(sp) +81113154: 04000044 movi r16,1 +81113158: 8009883a mov r4,r16 +8111315c: dfc00115 stw ra,4(sp) +81113160: 11060200 call 81106020 +81113164: 8009883a mov r4,r16 +81113168: 110602c0 call 8110602c +8111316c: 8009883a mov r4,r16 +81113170: 11060380 call 81106038 +81113174: 8009883a mov r4,r16 +81113178: 11060440 call 81106044 +8111317c: 8009883a mov r4,r16 +81113180: 11060140 call 81106014 +81113184: 1105d780 call 81105d78 +81113188: dfc00117 ldw ra,4(sp) +8111318c: dc000017 ldw r16,0(sp) +81113190: dec00204 addi sp,sp,8 +81113194: 1105db41 jmpi 81105db4 + +81113198 : +81113198: defff604 addi sp,sp,-40 +8111319c: dc400715 stw r17,28(sp) +811131a0: dc000615 stw r16,24(sp) +811131a4: dfc00915 stw ra,36(sp) +811131a8: dc800815 stw r18,32(sp) +811131ac: d8000505 stb zero,20(sp) +811131b0: 0021883a mov r16,zero +811131b4: 11206b00 call 811206b0 +811131b8: 04400184 movi r17,6 +811131bc: 8009883a mov r4,r16 +811131c0: 84000044 addi r16,r16,1 +811131c4: 11207440 call 81120744 +811131c8: 847ffc1e bne r16,r17,811131bc <__reset+0xfb0f31bc> +811131cc: 11207e40 call 811207e4 +811131d0: 01a045b4 movhi r6,33046 +811131d4: 31a2f004 addi r6,r6,-29760 +811131d8: 01c008c4 movi r7,35 +811131dc: 00818004 movi r2,1536 +811131e0: 012044b4 movhi r4,33042 +811131e4: 212f7004 addi r4,r4,-16960 +811131e8: d9800115 stw r6,4(sp) +811131ec: d8000415 stw zero,16(sp) +811131f0: d8000315 stw zero,12(sp) +811131f4: d8800215 stw r2,8(sp) +811131f8: d9c00015 stw r7,0(sp) +811131fc: 3185ff04 addi r6,r6,6140 81113200: 000b883a mov r5,zero -81113204: 0009883a mov r4,zero -81113208: 114478c0 call 8114478c -8111320c: 01a045f4 movhi r6,33047 -81113210: 3182ad04 addi r6,r6,2740 -81113214: 01c006c4 movi r7,27 -81113218: 00818004 movi r2,1536 -8111321c: 01204474 movhi r4,33041 -81113220: 21122704 addi r4,r4,18588 -81113224: d9800115 stw r6,4(sp) -81113228: d8000415 stw zero,16(sp) -8111322c: d8000315 stw zero,12(sp) -81113230: d8800215 stw r2,8(sp) -81113234: d9c00015 stw r7,0(sp) -81113238: 3185ff04 addi r6,r6,6140 -8111323c: 000b883a mov r5,zero -81113240: 1143c800 call 81143c80 -81113244: d8800505 stb r2,20(sp) -81113248: 11003fcc andi r4,r2,255 -8111324c: 20000426 beq r4,zero,81113260 -81113250: 80800803 ldbu r2,32(r16) -81113254: 00c001c4 movi r3,7 -81113258: 1882512e bgeu r3,r2,81113ba0 -8111325c: 112499c0 call 8112499c -81113260: 01c03204 movi r7,200 -81113264: 000d883a mov r6,zero -81113268: 000b883a mov r5,zero -8111326c: 0009883a mov r4,zero -81113270: 114478c0 call 8114478c -81113274: 01a04574 movhi r6,33045 -81113278: 318fd704 addi r6,r6,16220 -8111327c: 01c00644 movi r7,25 -81113280: 00818004 movi r2,1536 -81113284: 01204474 movhi r4,33041 -81113288: 210bb004 addi r4,r4,11968 -8111328c: d9800115 stw r6,4(sp) -81113290: d8000415 stw zero,16(sp) -81113294: d8000315 stw zero,12(sp) -81113298: d8800215 stw r2,8(sp) -8111329c: d9c00015 stw r7,0(sp) -811132a0: 3185ff04 addi r6,r6,6140 -811132a4: 000b883a mov r5,zero -811132a8: 1143c800 call 81143c80 -811132ac: d8800505 stb r2,20(sp) -811132b0: 11003fcc andi r4,r2,255 -811132b4: 20000426 beq r4,zero,811132c8 -811132b8: 80800803 ldbu r2,32(r16) -811132bc: 00c001c4 movi r3,7 -811132c0: 1882352e bgeu r3,r2,81113b98 -811132c4: 11249cc0 call 811249cc -811132c8: 01c03204 movi r7,200 -811132cc: 000d883a mov r6,zero -811132d0: 000b883a mov r5,zero -811132d4: 0009883a mov r4,zero -811132d8: 114478c0 call 8114478c -811132dc: 01a04634 movhi r6,33048 -811132e0: 31b03b04 addi r6,r6,-16148 -811132e4: 01c00704 movi r7,28 -811132e8: 00820004 movi r2,2048 -811132ec: 01204474 movhi r4,33041 -811132f0: 2112e804 addi r4,r4,19360 -811132f4: d9800115 stw r6,4(sp) -811132f8: d8000415 stw zero,16(sp) -811132fc: d8000315 stw zero,12(sp) -81113300: d8800215 stw r2,8(sp) -81113304: d9c00015 stw r7,0(sp) -81113308: 3187ff04 addi r6,r6,8188 -8111330c: 000b883a mov r5,zero -81113310: 1143c800 call 81143c80 -81113314: d8800505 stb r2,20(sp) -81113318: 11003fcc andi r4,r2,255 -8111331c: 20000426 beq r4,zero,81113330 -81113320: 80800803 ldbu r2,32(r16) -81113324: 00c001c4 movi r3,7 -81113328: 1882192e bgeu r3,r2,81113b90 -8111332c: 112496c0 call 8112496c -81113330: 01c03204 movi r7,200 -81113334: 000d883a mov r6,zero -81113338: 000b883a mov r5,zero -8111333c: 0009883a mov r4,zero -81113340: 114478c0 call 8114478c -81113344: 01a045f4 movhi r6,33047 -81113348: 31ba6d04 addi r6,r6,-5708 -8111334c: 01c00904 movi r7,36 -81113350: 00820004 movi r2,2048 -81113354: 01204474 movhi r4,33041 -81113358: 211b4204 addi r4,r4,27912 -8111335c: d9800115 stw r6,4(sp) -81113360: d8000415 stw zero,16(sp) -81113364: d8000315 stw zero,12(sp) -81113368: d8800215 stw r2,8(sp) -8111336c: d9c00015 stw r7,0(sp) -81113370: 3187ff04 addi r6,r6,8188 -81113374: 000b883a mov r5,zero -81113378: 1143c800 call 81143c80 -8111337c: d8800505 stb r2,20(sp) -81113380: 11003fcc andi r4,r2,255 -81113384: 20000426 beq r4,zero,81113398 -81113388: 80800803 ldbu r2,32(r16) -8111338c: 00c001c4 movi r3,7 -81113390: 1881fd2e bgeu r3,r2,81113b88 -81113394: 11241fc0 call 811241fc -81113398: 01c03204 movi r7,200 -8111339c: 000d883a mov r6,zero -811133a0: 000b883a mov r5,zero -811133a4: 0009883a mov r4,zero -811133a8: 114478c0 call 8114478c -811133ac: 00e04574 movhi r3,33045 -811133b0: 18dc9f04 addi r3,r3,29308 -811133b4: 00800684 movi r2,26 -811133b8: 02018004 movi r8,1536 -811133bc: 01204474 movhi r4,33041 -811133c0: 1985ff04 addi r6,r3,6140 -811133c4: d8c00115 stw r3,4(sp) -811133c8: 211bc504 addi r4,r4,28436 -811133cc: 100f883a mov r7,r2 -811133d0: 000b883a mov r5,zero -811133d4: da000215 stw r8,8(sp) -811133d8: d8000415 stw zero,16(sp) -811133dc: d8000315 stw zero,12(sp) -811133e0: d8800015 stw r2,0(sp) -811133e4: 1143c800 call 81143c80 -811133e8: 01c03204 movi r7,200 -811133ec: 000d883a mov r6,zero -811133f0: 000b883a mov r5,zero -811133f4: 0009883a mov r4,zero -811133f8: d8800505 stb r2,20(sp) -811133fc: 114478c0 call 8114478c -81113400: 80800803 ldbu r2,32(r16) -81113404: 00c00104 movi r3,4 -81113408: 1881e92e bgeu r3,r2,81113bb0 -8111340c: d9000503 ldbu r4,20(sp) -81113410: 20000426 beq r4,zero,81113424 -81113414: 80800803 ldbu r2,32(r16) -81113418: 00c001c4 movi r3,7 -8111341c: 1881e22e bgeu r3,r2,81113ba8 -81113420: 112422c0 call 8112422c -81113424: d1208717 ldw r4,-32228(gp) -81113428: d9400504 addi r5,sp,20 -8111342c: 11451ec0 call 811451ec -81113430: d8800503 ldbu r2,20(sp) -81113434: 10000426 beq r2,zero,81113448 -81113438: 0001e406 br 81113bcc -8111343c: d0e09117 ldw r3,-32188(gp) -81113440: d0a00c17 ldw r2,-32720(gp) -81113444: 1880072e bgeu r3,r2,81113464 -81113448: 000f883a mov r7,zero -8111344c: 01800044 movi r6,1 -81113450: 000b883a mov r5,zero -81113454: 0009883a mov r4,zero -81113458: 114478c0 call 8114478c -8111345c: d0a09217 ldw r2,-32184(gp) -81113460: 103ff626 beq r2,zero,8111343c <__reset+0xfb0f343c> -81113464: 000f883a mov r7,zero -81113468: 01800144 movi r6,5 -8111346c: 000b883a mov r5,zero -81113470: 0009883a mov r4,zero -81113474: 114478c0 call 8114478c -81113478: 80800803 ldbu r2,32(r16) -8111347c: 00c00104 movi r3,4 -81113480: 1881ba2e bgeu r3,r2,81113b6c -81113484: 81400903 ldbu r5,36(r16) -81113488: 012045b4 movhi r4,33046 -8111348c: 212edf04 addi r4,r4,-17540 -81113490: 11262000 call 81126200 -81113494: 8140028b ldhu r5,10(r16) -81113498: 012045b4 movhi r4,33046 -8111349c: 212edf04 addi r4,r4,-17540 -811134a0: 11261d40 call 811261d4 -811134a4: 00a04634 movhi r2,33048 -811134a8: 108f1904 addi r2,r2,15460 -811134ac: 12c00017 ldw r11,0(r2) -811134b0: 12800117 ldw r10,4(r2) -811134b4: 12400217 ldw r9,8(r2) -811134b8: 12000317 ldw r8,12(r2) -811134bc: 11c00417 ldw r7,16(r2) -811134c0: 11800517 ldw r6,20(r2) -811134c4: 11400617 ldw r5,24(r2) -811134c8: 11000717 ldw r4,28(r2) -811134cc: 10c00817 ldw r3,32(r2) -811134d0: 10800917 ldw r2,36(r2) -811134d4: 03604634 movhi r13,33048 -811134d8: 03204634 movhi r12,33048 -811134dc: 81400615 stw r5,24(r16) -811134e0: 81000715 stw r4,28(r16) -811134e4: 6b4eea04 addi r13,r13,15272 -811134e8: 630f2a04 addi r12,r12,15528 -811134ec: 82c00015 stw r11,0(r16) -811134f0: 82800115 stw r10,4(r16) -811134f4: 82400215 stw r9,8(r16) -811134f8: 82000315 stw r8,12(r16) -811134fc: 81c00415 stw r7,16(r16) -81113500: 81800515 stw r6,20(r16) -81113504: 80c00815 stw r3,32(r16) -81113508: 80800915 stw r2,36(r16) -8111350c: 0009883a mov r4,zero -81113510: 01400184 movi r5,6 -81113514: 2080b524 muli r2,r4,724 -81113518: 20c00524 muli r3,r4,20 -8111351c: 21000044 addi r4,r4,1 -81113520: 6085883a add r2,r12,r2 -81113524: 1080b004 addi r2,r2,704 -81113528: 12800017 ldw r10,0(r2) -8111352c: 12400117 ldw r9,4(r2) -81113530: 12000217 ldw r8,8(r2) -81113534: 11c00317 ldw r7,12(r2) -81113538: 11800417 ldw r6,16(r2) -8111353c: 68c5883a add r2,r13,r3 -81113540: 12800015 stw r10,0(r2) -81113544: 12400115 stw r9,4(r2) -81113548: 12000215 stw r8,8(r2) -8111354c: 11c00315 stw r7,12(r2) -81113550: 11800415 stw r6,16(r2) -81113554: 217fef1e bne r4,r5,81113514 <__reset+0xfb0f3514> -81113558: 00e04634 movhi r3,33048 -8111355c: 18cf2304 addi r3,r3,15500 -81113560: 1a800017 ldw r10,0(r3) -81113564: 1a400117 ldw r9,4(r3) -81113568: 1a000217 ldw r8,8(r3) -8111356c: 19c00317 ldw r7,12(r3) -81113570: 19800417 ldw r6,16(r3) -81113574: 19400517 ldw r5,20(r3) -81113578: 18c00617 ldw r3,24(r3) -8111357c: 00a04634 movhi r2,33048 -81113580: 81000803 ldbu r4,32(r16) -81113584: 108f1204 addi r2,r2,15432 -81113588: 12800015 stw r10,0(r2) -8111358c: 12400115 stw r9,4(r2) -81113590: 12000215 stw r8,8(r2) -81113594: 11c00315 stw r7,12(r2) -81113598: 11800415 stw r6,16(r2) -8111359c: 11400515 stw r5,20(r2) -811135a0: 10c00615 stw r3,24(r2) -811135a4: 00800104 movi r2,4 -811135a8: 1101662e bgeu r2,r4,81113b44 -811135ac: 012045b4 movhi r4,33046 -811135b0: 212edf04 addi r4,r4,-17540 -811135b4: 11261100 call 81126110 -811135b8: 11266900 call 81126690 -811135bc: 11131180 call 81113118 -811135c0: 1106c180 call 81106c18 -811135c4: 00818004 movi r2,1536 -811135c8: 01a04574 movhi r6,33045 -811135cc: d8800215 stw r2,8(sp) -811135d0: 3189d704 addi r6,r6,10076 -811135d4: 01c004c4 movi r7,19 -811135d8: 00a04634 movhi r2,33048 -811135dc: d9800115 stw r6,4(sp) -811135e0: d8000415 stw zero,16(sp) -811135e4: d8000315 stw zero,12(sp) -811135e8: d9c00015 stw r7,0(sp) -811135ec: 108ee304 addi r2,r2,15244 -811135f0: 016045b4 movhi r5,33046 -811135f4: 01204474 movhi r4,33041 -811135f8: 2103d004 addi r4,r4,3904 -811135fc: 29482404 addi r5,r5,8336 -81113600: 3185ff04 addi r6,r6,6140 -81113604: 10000015 stw zero,0(r2) -81113608: 1143c800 call 81143c80 -8111360c: d8800505 stb r2,20(sp) -81113610: 11003fcc andi r4,r2,255 -81113614: 20000426 beq r4,zero,81113628 -81113618: 80800803 ldbu r2,32(r16) -8111361c: 00c001c4 movi r3,7 -81113620: 1881462e bgeu r3,r2,81113b3c -81113624: 11250900 call 81125090 -81113628: 01c17704 movi r7,1500 -8111362c: 000d883a mov r6,zero -81113630: 000b883a mov r5,zero -81113634: 0009883a mov r4,zero -81113638: 114478c0 call 8114478c -8111363c: 01a04634 movhi r6,33048 -81113640: 31aa3b04 addi r6,r6,-22292 -81113644: 01c00504 movi r7,20 -81113648: 00818004 movi r2,1536 -8111364c: 016045b4 movhi r5,33046 -81113650: 01204474 movhi r4,33041 -81113654: 2103d004 addi r4,r4,3904 -81113658: d9800115 stw r6,4(sp) -8111365c: 294c5304 addi r5,r5,12620 -81113660: d8000415 stw zero,16(sp) -81113664: d8000315 stw zero,12(sp) -81113668: d8800215 stw r2,8(sp) -8111366c: d9c00015 stw r7,0(sp) -81113670: 3185ff04 addi r6,r6,6140 -81113674: 1143c800 call 81143c80 -81113678: d8800505 stb r2,20(sp) -8111367c: 11003fcc andi r4,r2,255 -81113680: 20012b1e bne r4,zero,81113b30 -81113684: 01c17704 movi r7,1500 -81113688: 000d883a mov r6,zero -8111368c: 000b883a mov r5,zero -81113690: 0009883a mov r4,zero -81113694: 114478c0 call 8114478c -81113698: 01a04634 movhi r6,33048 -8111369c: 31843f04 addi r6,r6,4348 -811136a0: 01c00544 movi r7,21 -811136a4: 00818004 movi r2,1536 -811136a8: 016045b4 movhi r5,33046 -811136ac: 01204474 movhi r4,33041 -811136b0: 2103d004 addi r4,r4,3904 -811136b4: d9800115 stw r6,4(sp) -811136b8: 29508204 addi r5,r5,16904 -811136bc: d8000415 stw zero,16(sp) -811136c0: d8000315 stw zero,12(sp) -811136c4: d8800215 stw r2,8(sp) -811136c8: d9c00015 stw r7,0(sp) -811136cc: 3185ff04 addi r6,r6,6140 -811136d0: 1143c800 call 81143c80 -811136d4: d8800505 stb r2,20(sp) -811136d8: 11003fcc andi r4,r2,255 -811136dc: 2001111e bne r4,zero,81113b24 -811136e0: 01c17704 movi r7,1500 -811136e4: 000d883a mov r6,zero -811136e8: 000b883a mov r5,zero -811136ec: 0009883a mov r4,zero -811136f0: 114478c0 call 8114478c -811136f4: 01a04634 movhi r6,33048 -811136f8: 31be3f04 addi r6,r6,-1796 -811136fc: 01c00584 movi r7,22 -81113700: 00818004 movi r2,1536 -81113704: 016045b4 movhi r5,33046 -81113708: 01204474 movhi r4,33041 -8111370c: 2103d004 addi r4,r4,3904 -81113710: d9800115 stw r6,4(sp) -81113714: 2954b104 addi r5,r5,21188 -81113718: d8000415 stw zero,16(sp) -8111371c: d8000315 stw zero,12(sp) -81113720: d8800215 stw r2,8(sp) -81113724: d9c00015 stw r7,0(sp) -81113728: 3185ff04 addi r6,r6,6140 -8111372c: 1143c800 call 81143c80 -81113730: d8800505 stb r2,20(sp) -81113734: 11003fcc andi r4,r2,255 -81113738: 2000f71e bne r4,zero,81113b18 -8111373c: 01c17704 movi r7,1500 -81113740: 000d883a mov r6,zero -81113744: 000b883a mov r5,zero -81113748: 0009883a mov r4,zero -8111374c: 114478c0 call 8114478c -81113750: 01a04634 movhi r6,33048 -81113754: 31a41b04 addi r6,r6,-28564 -81113758: 01c005c4 movi r7,23 -8111375c: 00818004 movi r2,1536 -81113760: 016045b4 movhi r5,33046 -81113764: 01204474 movhi r4,33041 -81113768: 2103d004 addi r4,r4,3904 -8111376c: d9800115 stw r6,4(sp) -81113770: 2958e004 addi r5,r5,25472 -81113774: d8000415 stw zero,16(sp) -81113778: d8000315 stw zero,12(sp) -8111377c: d8800215 stw r2,8(sp) -81113780: d9c00015 stw r7,0(sp) -81113784: 3185ff04 addi r6,r6,6140 -81113788: 1143c800 call 81143c80 -8111378c: d8800505 stb r2,20(sp) -81113790: 11003fcc andi r4,r2,255 -81113794: 2000dd1e bne r4,zero,81113b0c -81113798: 01c17704 movi r7,1500 -8111379c: 000d883a mov r6,zero -811137a0: 000b883a mov r5,zero -811137a4: 0009883a mov r4,zero -811137a8: 114478c0 call 8114478c -811137ac: 01a045f4 movhi r6,33047 -811137b0: 31a16504 addi r6,r6,-31340 -811137b4: 01c00604 movi r7,24 -811137b8: 00818004 movi r2,1536 -811137bc: 016045b4 movhi r5,33046 -811137c0: 01204474 movhi r4,33041 -811137c4: 2103d004 addi r4,r4,3904 -811137c8: d9800115 stw r6,4(sp) -811137cc: 295d0f04 addi r5,r5,29756 -811137d0: d8000415 stw zero,16(sp) -811137d4: d8000315 stw zero,12(sp) -811137d8: d8800215 stw r2,8(sp) -811137dc: d9c00015 stw r7,0(sp) -811137e0: 3185ff04 addi r6,r6,6140 -811137e4: 1143c800 call 81143c80 -811137e8: d8800505 stb r2,20(sp) -811137ec: 11003fcc andi r4,r2,255 -811137f0: 2000c31e bne r4,zero,81113b00 -811137f4: 01c17704 movi r7,1500 -811137f8: 000d883a mov r6,zero -811137fc: 000b883a mov r5,zero -81113800: 0009883a mov r4,zero -81113804: 114478c0 call 8114478c -81113808: 01a045b4 movhi r6,33046 -8111380c: 31a8df04 addi r6,r6,-23684 -81113810: 01c00484 movi r7,18 -81113814: 00818004 movi r2,1536 -81113818: 016045b4 movhi r5,33046 -8111381c: 01204474 movhi r4,33041 -81113820: 2120d904 addi r4,r4,-31900 -81113824: d9800115 stw r6,4(sp) -81113828: 296ee804 addi r5,r5,-17504 -8111382c: d8000415 stw zero,16(sp) -81113830: d8000315 stw zero,12(sp) -81113834: d8800215 stw r2,8(sp) -81113838: d9c00015 stw r7,0(sp) -8111383c: 3185ff04 addi r6,r6,6140 -81113840: 1143c800 call 81143c80 -81113844: d8800505 stb r2,20(sp) -81113848: 11003fcc andi r4,r2,255 -8111384c: 20000426 beq r4,zero,81113860 -81113850: 80800803 ldbu r2,32(r16) -81113854: 00c001c4 movi r3,7 -81113858: 1880a72e bgeu r3,r2,81113af8 -8111385c: 11252f80 call 811252f8 -81113860: 01c17704 movi r7,1500 -81113864: 000d883a mov r6,zero -81113868: 000b883a mov r5,zero -8111386c: 0009883a mov r4,zero -81113870: 114478c0 call 8114478c -81113874: 01a045f4 movhi r6,33047 -81113878: 319c0504 addi r6,r6,28692 -8111387c: 01c00444 movi r7,17 -81113880: 00820004 movi r2,2048 -81113884: 016045b4 movhi r5,33046 -81113888: 01204474 movhi r4,33041 -8111388c: 21118904 addi r4,r4,17956 -81113890: d9800115 stw r6,4(sp) -81113894: 29482404 addi r5,r5,8336 -81113898: d8000415 stw zero,16(sp) -8111389c: d8000315 stw zero,12(sp) -811138a0: d8800215 stw r2,8(sp) -811138a4: d9c00015 stw r7,0(sp) -811138a8: 3187ff04 addi r6,r6,8188 -811138ac: 1143c800 call 81143c80 -811138b0: d8800505 stb r2,20(sp) -811138b4: 11003fcc andi r4,r2,255 -811138b8: 20000426 beq r4,zero,811138cc -811138bc: 80800803 ldbu r2,32(r16) -811138c0: 00c001c4 movi r3,7 -811138c4: 18808a2e bgeu r3,r2,81113af0 -811138c8: 11252a00 call 811252a0 -811138cc: 01c17704 movi r7,1500 -811138d0: 000d883a mov r6,zero -811138d4: 000b883a mov r5,zero -811138d8: 0009883a mov r4,zero -811138dc: 114478c0 call 8114478c -811138e0: 01a04634 movhi r6,33048 -811138e4: 318a3f04 addi r6,r6,10492 -811138e8: 01c00384 movi r7,14 -811138ec: 00808004 movi r2,512 -811138f0: 016045b4 movhi r5,33046 -811138f4: 01204474 movhi r4,33041 -811138f8: 210fd604 addi r4,r4,16216 -811138fc: d9800115 stw r6,4(sp) -81113900: 296edf04 addi r5,r5,-17540 -81113904: d8000415 stw zero,16(sp) -81113908: d8000315 stw zero,12(sp) -8111390c: d8800215 stw r2,8(sp) -81113910: d9c00015 stw r7,0(sp) -81113914: 3181ff04 addi r6,r6,2044 -81113918: 1143c800 call 81143c80 -8111391c: d8800505 stb r2,20(sp) -81113920: 11003fcc andi r4,r2,255 -81113924: 20000426 beq r4,zero,81113938 -81113928: 80800803 ldbu r2,32(r16) -8111392c: 00c001c4 movi r3,7 -81113930: 18806d2e bgeu r3,r2,81113ae8 -81113934: 11252a00 call 811252a0 -81113938: 01c17704 movi r7,1500 -8111393c: 000d883a mov r6,zero -81113940: 000b883a mov r5,zero -81113944: 0009883a mov r4,zero -81113948: 114478c0 call 8114478c -8111394c: 01a04634 movhi r6,33048 -81113950: 31b83f04 addi r6,r6,-7940 -81113954: 01c00404 movi r7,16 -81113958: 00818004 movi r2,1536 -8111395c: 016045b4 movhi r5,33046 -81113960: 012044b4 movhi r4,33042 -81113964: 212ae704 addi r4,r4,-21604 -81113968: d9800115 stw r6,4(sp) -8111396c: 296edf04 addi r5,r5,-17540 -81113970: d8000415 stw zero,16(sp) -81113974: d8000315 stw zero,12(sp) -81113978: d8800215 stw r2,8(sp) -8111397c: d9c00015 stw r7,0(sp) -81113980: 3185ff04 addi r6,r6,6140 -81113984: 1143c800 call 81143c80 -81113988: d8800505 stb r2,20(sp) -8111398c: 11003fcc andi r4,r2,255 -81113990: 20000426 beq r4,zero,811139a4 -81113994: 80800803 ldbu r2,32(r16) -81113998: 00c001c4 movi r3,7 -8111399c: 1880502e bgeu r3,r2,81113ae0 -811139a0: 11253500 call 81125350 -811139a4: 01c17704 movi r7,1500 -811139a8: 000d883a mov r6,zero -811139ac: 000b883a mov r5,zero -811139b0: 0009883a mov r4,zero -811139b4: 114478c0 call 8114478c -811139b8: 01a045f4 movhi r6,33047 -811139bc: 3188ad04 addi r6,r6,8884 -811139c0: 01c00084 movi r7,2 -811139c4: 00808004 movi r2,512 -811139c8: 016045b4 movhi r5,33046 -811139cc: 012044b4 movhi r4,33042 -811139d0: 212d6804 addi r4,r4,-19040 -811139d4: d9800115 stw r6,4(sp) -811139d8: 296edf04 addi r5,r5,-17540 -811139dc: d8000415 stw zero,16(sp) -811139e0: d8000315 stw zero,12(sp) -811139e4: d8800215 stw r2,8(sp) -811139e8: d9c00015 stw r7,0(sp) -811139ec: 3181ff04 addi r6,r6,2044 -811139f0: 1143c800 call 81143c80 -811139f4: d8800505 stb r2,20(sp) -811139f8: 11003fcc andi r4,r2,255 -811139fc: 20000426 beq r4,zero,81113a10 -81113a00: 80800803 ldbu r2,32(r16) -81113a04: 00c001c4 movi r3,7 -81113a08: 1880332e bgeu r3,r2,81113ad8 -81113a0c: 11259e00 call 811259e0 -81113a10: 01c03204 movi r7,200 -81113a14: 000d883a mov r6,zero -81113a18: 000b883a mov r5,zero -81113a1c: 0009883a mov r4,zero -81113a20: 114478c0 call 8114478c -81113a24: 000f883a mov r7,zero -81113a28: 01800284 movi r6,10 -81113a2c: 000b883a mov r5,zero -81113a30: 0009883a mov r4,zero -81113a34: 114478c0 call 8114478c -81113a38: 80800803 ldbu r2,32(r16) -81113a3c: 00c00104 movi r3,4 -81113a40: 18801e2e bgeu r3,r2,81113abc -81113a44: 01003fc4 movi r4,255 -81113a48: 1143de00 call 81143de0 -81113a4c: d8800505 stb r2,20(sp) -81113a50: 11003fcc andi r4,r2,255 -81113a54: 20000f26 beq r4,zero,81113a94 -81113a58: 80800803 ldbu r2,32(r16) -81113a5c: 00c001c4 movi r3,7 -81113a60: 1880142e bgeu r3,r2,81113ab4 -81113a64: 112425c0 call 8112425c -81113a68: 014009c4 movi r5,39 -81113a6c: 01000044 movi r4,1 -81113a70: 11439200 call 81143920 -81113a74: 01003fc4 movi r4,255 -81113a78: 1143de00 call 81143de0 -81113a7c: 000f883a mov r7,zero -81113a80: 01800284 movi r6,10 -81113a84: 000b883a mov r5,zero -81113a88: 0009883a mov r4,zero -81113a8c: 114478c0 call 8114478c -81113a90: 003ff806 br 81113a74 <__reset+0xfb0f3a74> -81113a94: dfc00917 ldw ra,36(sp) -81113a98: dc800817 ldw r18,32(sp) -81113a9c: dc400717 ldw r17,28(sp) -81113aa0: dc000617 ldw r16,24(sp) -81113aa4: dec00a04 addi sp,sp,40 -81113aa8: f800283a ret -81113aac: 1123bc00 call 81123bc0 -81113ab0: 003dd006 br 811131f4 <__reset+0xfb0f31f4> -81113ab4: 1123bc00 call 81123bc0 -81113ab8: 003fea06 br 81113a64 <__reset+0xfb0f3a64> -81113abc: d1e07917 ldw r7,-32284(gp) -81113ac0: 01204574 movhi r4,33045 -81113ac4: 21265804 addi r4,r4,-26272 -81113ac8: 01801104 movi r6,68 -81113acc: 01400044 movi r5,1 -81113ad0: 112bc4c0 call 8112bc4c -81113ad4: 003fdb06 br 81113a44 <__reset+0xfb0f3a44> -81113ad8: 1123bc00 call 81123bc0 -81113adc: 003fcb06 br 81113a0c <__reset+0xfb0f3a0c> -81113ae0: 1123bc00 call 81123bc0 -81113ae4: 003fae06 br 811139a0 <__reset+0xfb0f39a0> -81113ae8: 1123bc00 call 81123bc0 -81113aec: 003f9106 br 81113934 <__reset+0xfb0f3934> -81113af0: 1123bc00 call 81123bc0 -81113af4: 003f7406 br 811138c8 <__reset+0xfb0f38c8> -81113af8: 1123bc00 call 81123bc0 -81113afc: 003f5706 br 8111385c <__reset+0xfb0f385c> -81113b00: 1123bc00 call 81123bc0 -81113b04: 11252480 call 81125248 -81113b08: 003f3a06 br 811137f4 <__reset+0xfb0f37f4> -81113b0c: 1123bc00 call 81123bc0 -81113b10: 11251f00 call 811251f0 -81113b14: 003f2006 br 81113798 <__reset+0xfb0f3798> -81113b18: 1123bc00 call 81123bc0 -81113b1c: 11251980 call 81125198 -81113b20: 003f0606 br 8111373c <__reset+0xfb0f373c> -81113b24: 1123bc00 call 81123bc0 -81113b28: 11251400 call 81125140 -81113b2c: 003eec06 br 811136e0 <__reset+0xfb0f36e0> -81113b30: 1123bc00 call 81123bc0 -81113b34: 11250e80 call 811250e8 -81113b38: 003ed206 br 81113684 <__reset+0xfb0f3684> -81113b3c: 1123bc00 call 81123bc0 -81113b40: 003eb806 br 81113624 <__reset+0xfb0f3624> -81113b44: 11202ac0 call 811202ac -81113b48: 0023883a mov r17,zero -81113b4c: 111eeb80 call 8111eeb8 -81113b50: 04800184 movi r18,6 -81113b54: 8809883a mov r4,r17 -81113b58: 8c400044 addi r17,r17,1 -81113b5c: 11203f40 call 811203f4 -81113b60: 8cbffc1e bne r17,r18,81113b54 <__reset+0xfb0f3b54> -81113b64: 11201640 call 81120164 -81113b68: 003e9006 br 811135ac <__reset+0xfb0f35ac> -81113b6c: d1e07917 ldw r7,-32284(gp) -81113b70: 01204574 movhi r4,33045 -81113b74: 21264604 addi r4,r4,-26344 -81113b78: 01801104 movi r6,68 -81113b7c: 01400044 movi r5,1 -81113b80: 112bc4c0 call 8112bc4c -81113b84: 003e3f06 br 81113484 <__reset+0xfb0f3484> -81113b88: 1123bc00 call 81123bc0 -81113b8c: 003e0106 br 81113394 <__reset+0xfb0f3394> -81113b90: 1123bc00 call 81123bc0 -81113b94: 003de506 br 8111332c <__reset+0xfb0f332c> -81113b98: 1123bc00 call 81123bc0 -81113b9c: 003dc906 br 811132c4 <__reset+0xfb0f32c4> -81113ba0: 1123bc00 call 81123bc0 -81113ba4: 003dad06 br 8111325c <__reset+0xfb0f325c> -81113ba8: 1123bc00 call 81123bc0 -81113bac: 003e1c06 br 81113420 <__reset+0xfb0f3420> -81113bb0: d1e07917 ldw r7,-32284(gp) -81113bb4: 01204574 movhi r4,33045 -81113bb8: 21263b04 addi r4,r4,-26388 -81113bbc: 01800a44 movi r6,41 -81113bc0: 01400044 movi r5,1 -81113bc4: 112bc4c0 call 8112bc4c -81113bc8: 003e1006 br 8111340c <__reset+0xfb0f340c> -81113bcc: 1124c700 call 81124c70 -81113bd0: 003e1d06 br 81113448 <__reset+0xfb0f3448> - -81113bd4 : -81113bd4: d1e07917 ldw r7,-32284(gp) -81113bd8: 01204574 movhi r4,33045 -81113bdc: 21266a04 addi r4,r4,-26200 -81113be0: 018007c4 movi r6,31 -81113be4: 01400044 movi r5,1 -81113be8: 112bc4c1 jmpi 8112bc4c - -81113bec : -81113bec: 2804d43a srli r2,r5,16 -81113bf0: 00c00f84 movi r3,62 -81113bf4: 10801384 addi r2,r2,78 -81113bf8: 10803fcc andi r2,r2,255 -81113bfc: 18804536 bltu r3,r2,81113d14 -81113c00: 100490ba slli r2,r2,2 -81113c04: 00e04474 movhi r3,33041 -81113c08: 18cf0604 addi r3,r3,15384 -81113c0c: 10c5883a add r2,r2,r3 -81113c10: 10800017 ldw r2,0(r2) -81113c14: 1000683a jmp r2 -81113c18: 81113d28 cmpgeui r4,r16,17652 -81113c1c: 81113d14 ori r4,r16,17652 -81113c20: 81113d14 ori r4,r16,17652 -81113c24: 81113d14 ori r4,r16,17652 -81113c28: 81113d14 ori r4,r16,17652 -81113c2c: 81113d14 ori r4,r16,17652 -81113c30: 81113d14 ori r4,r16,17652 -81113c34: 81113d14 ori r4,r16,17652 -81113c38: 81113d14 ori r4,r16,17652 -81113c3c: 81113d14 ori r4,r16,17652 -81113c40: 81113d14 ori r4,r16,17652 -81113c44: 81113d14 ori r4,r16,17652 -81113c48: 81113d14 ori r4,r16,17652 -81113c4c: 81113d14 ori r4,r16,17652 -81113c50: 81113d14 ori r4,r16,17652 -81113c54: 81113d74 orhi r4,r16,17653 -81113c58: 81113d14 ori r4,r16,17652 -81113c5c: 81113d14 ori r4,r16,17652 -81113c60: 81113d14 ori r4,r16,17652 -81113c64: 81113d14 ori r4,r16,17652 -81113c68: 81113d14 ori r4,r16,17652 -81113c6c: 81113d14 ori r4,r16,17652 -81113c70: 81113d14 ori r4,r16,17652 -81113c74: 81113d14 ori r4,r16,17652 -81113c78: 81113d14 ori r4,r16,17652 -81113c7c: 81113d14 ori r4,r16,17652 -81113c80: 81113d14 ori r4,r16,17652 -81113c84: 81113d14 ori r4,r16,17652 -81113c88: 81113d14 ori r4,r16,17652 -81113c8c: 81113d14 ori r4,r16,17652 -81113c90: 81113d14 ori r4,r16,17652 -81113c94: 81113d14 ori r4,r16,17652 -81113c98: 81113d14 ori r4,r16,17652 -81113c9c: 81113d14 ori r4,r16,17652 -81113ca0: 81113d14 ori r4,r16,17652 -81113ca4: 81113d14 ori r4,r16,17652 -81113ca8: 81113d14 ori r4,r16,17652 -81113cac: 81113d14 ori r4,r16,17652 -81113cb0: 81113d14 ori r4,r16,17652 -81113cb4: 81113d14 ori r4,r16,17652 -81113cb8: 81113d14 ori r4,r16,17652 -81113cbc: 81113d14 ori r4,r16,17652 -81113cc0: 81113d14 ori r4,r16,17652 -81113cc4: 81113d14 ori r4,r16,17652 -81113cc8: 81113d14 ori r4,r16,17652 -81113ccc: 81113d14 ori r4,r16,17652 -81113cd0: 81113d28 cmpgeui r4,r16,17652 -81113cd4: 81113d28 cmpgeui r4,r16,17652 -81113cd8: 81113d28 cmpgeui r4,r16,17652 -81113cdc: 81113d14 ori r4,r16,17652 -81113ce0: 81113d28 cmpgeui r4,r16,17652 -81113ce4: 81113d14 ori r4,r16,17652 -81113ce8: 81113d14 ori r4,r16,17652 -81113cec: 81113d14 ori r4,r16,17652 -81113cf0: 81113d2c andhi r4,r16,17652 -81113cf4: 81113d14 ori r4,r16,17652 -81113cf8: 81113d14 ori r4,r16,17652 -81113cfc: 81113d14 ori r4,r16,17652 -81113d00: 81113d14 ori r4,r16,17652 -81113d04: 81113d14 ori r4,r16,17652 -81113d08: 81113d14 ori r4,r16,17652 -81113d0c: 81113d14 ori r4,r16,17652 -81113d10: 81113d40 call 881113d4 <__reset+0x20f13d4> -81113d14: 00a04634 movhi r2,33048 -81113d18: 108f0804 addi r2,r2,15392 -81113d1c: 10800803 ldbu r2,32(r2) -81113d20: 00c001c4 movi r3,7 -81113d24: 18801a2e bgeu r3,r2,81113d90 -81113d28: f800283a ret -81113d2c: 00b28514 movui r2,51732 -81113d30: 2089883a add r4,r4,r2 -81113d34: 00800144 movi r2,5 -81113d38: 20800015 stw r2,0(r4) -81113d3c: f800283a ret -81113d40: 29403fcc andi r5,r5,255 -81113d44: 294b883a add r5,r5,r5 -81113d48: 294b883a add r5,r5,r5 -81113d4c: 2149883a add r4,r4,r5 -81113d50: 00b27714 movui r2,51676 -81113d54: 2085883a add r2,r4,r2 -81113d58: 10800017 ldw r2,0(r2) -81113d5c: 103ff21e bne r2,zero,81113d28 <__reset+0xfb0f3d28> -81113d60: 01727114 movui r5,51652 -81113d64: 2149883a add r4,r4,r5 -81113d68: 00800044 movi r2,1 +81113204: 1143cc00 call 81143cc0 +81113208: 04204634 movhi r16,33048 +8111320c: d8800505 stb r2,20(sp) +81113210: 11003fcc andi r4,r2,255 +81113214: 840f1904 addi r16,r16,15460 +81113218: 20000426 beq r4,zero,8111322c +8111321c: 80800803 ldbu r2,32(r16) +81113220: 00c001c4 movi r3,7 +81113224: 18822e2e bgeu r3,r2,81113ae0 +81113228: 1124a700 call 81124a70 +8111322c: 01c03204 movi r7,200 +81113230: 000d883a mov r6,zero +81113234: 000b883a mov r5,zero +81113238: 0009883a mov r4,zero +8111323c: 11447cc0 call 811447cc +81113240: 01a045f4 movhi r6,33047 +81113244: 3182be04 addi r6,r6,2808 +81113248: 01c006c4 movi r7,27 +8111324c: 00818004 movi r2,1536 +81113250: 01204474 movhi r4,33041 +81113254: 21123404 addi r4,r4,18640 +81113258: d9800115 stw r6,4(sp) +8111325c: d8000415 stw zero,16(sp) +81113260: d8000315 stw zero,12(sp) +81113264: d8800215 stw r2,8(sp) +81113268: d9c00015 stw r7,0(sp) +8111326c: 3185ff04 addi r6,r6,6140 +81113270: 000b883a mov r5,zero +81113274: 1143cc00 call 81143cc0 +81113278: d8800505 stb r2,20(sp) +8111327c: 11003fcc andi r4,r2,255 +81113280: 20000426 beq r4,zero,81113294 +81113284: 80800803 ldbu r2,32(r16) +81113288: 00c001c4 movi r3,7 +8111328c: 1882512e bgeu r3,r2,81113bd4 +81113290: 11249dc0 call 811249dc +81113294: 01c03204 movi r7,200 +81113298: 000d883a mov r6,zero +8111329c: 000b883a mov r5,zero +811132a0: 0009883a mov r4,zero +811132a4: 11447cc0 call 811447cc +811132a8: 01a04574 movhi r6,33045 +811132ac: 318fe804 addi r6,r6,16288 +811132b0: 01c00644 movi r7,25 +811132b4: 00818004 movi r2,1536 +811132b8: 01204474 movhi r4,33041 +811132bc: 210bbd04 addi r4,r4,12020 +811132c0: d9800115 stw r6,4(sp) +811132c4: d8000415 stw zero,16(sp) +811132c8: d8000315 stw zero,12(sp) +811132cc: d8800215 stw r2,8(sp) +811132d0: d9c00015 stw r7,0(sp) +811132d4: 3185ff04 addi r6,r6,6140 +811132d8: 000b883a mov r5,zero +811132dc: 1143cc00 call 81143cc0 +811132e0: d8800505 stb r2,20(sp) +811132e4: 11003fcc andi r4,r2,255 +811132e8: 20000426 beq r4,zero,811132fc +811132ec: 80800803 ldbu r2,32(r16) +811132f0: 00c001c4 movi r3,7 +811132f4: 1882352e bgeu r3,r2,81113bcc +811132f8: 1124a0c0 call 81124a0c +811132fc: 01c03204 movi r7,200 +81113300: 000d883a mov r6,zero +81113304: 000b883a mov r5,zero +81113308: 0009883a mov r4,zero +8111330c: 11447cc0 call 811447cc +81113310: 01a04634 movhi r6,33048 +81113314: 31b04c04 addi r6,r6,-16080 +81113318: 01c00704 movi r7,28 +8111331c: 00820004 movi r2,2048 +81113320: 01204474 movhi r4,33041 +81113324: 2112f504 addi r4,r4,19412 +81113328: d9800115 stw r6,4(sp) +8111332c: d8000415 stw zero,16(sp) +81113330: d8000315 stw zero,12(sp) +81113334: d8800215 stw r2,8(sp) +81113338: d9c00015 stw r7,0(sp) +8111333c: 3187ff04 addi r6,r6,8188 +81113340: 000b883a mov r5,zero +81113344: 1143cc00 call 81143cc0 +81113348: d8800505 stb r2,20(sp) +8111334c: 11003fcc andi r4,r2,255 +81113350: 20000426 beq r4,zero,81113364 +81113354: 80800803 ldbu r2,32(r16) +81113358: 00c001c4 movi r3,7 +8111335c: 1882192e bgeu r3,r2,81113bc4 +81113360: 11249ac0 call 811249ac +81113364: 01c03204 movi r7,200 +81113368: 000d883a mov r6,zero +8111336c: 000b883a mov r5,zero +81113370: 0009883a mov r4,zero +81113374: 11447cc0 call 811447cc +81113378: 01a045f4 movhi r6,33047 +8111337c: 31ba7e04 addi r6,r6,-5640 +81113380: 01c00904 movi r7,36 +81113384: 00820004 movi r2,2048 +81113388: 01204474 movhi r4,33041 +8111338c: 211b4f04 addi r4,r4,27964 +81113390: d9800115 stw r6,4(sp) +81113394: d8000415 stw zero,16(sp) +81113398: d8000315 stw zero,12(sp) +8111339c: d8800215 stw r2,8(sp) +811133a0: d9c00015 stw r7,0(sp) +811133a4: 3187ff04 addi r6,r6,8188 +811133a8: 000b883a mov r5,zero +811133ac: 1143cc00 call 81143cc0 +811133b0: d8800505 stb r2,20(sp) +811133b4: 11003fcc andi r4,r2,255 +811133b8: 20000426 beq r4,zero,811133cc +811133bc: 80800803 ldbu r2,32(r16) +811133c0: 00c001c4 movi r3,7 +811133c4: 1881fd2e bgeu r3,r2,81113bbc +811133c8: 112423c0 call 8112423c +811133cc: 01c03204 movi r7,200 +811133d0: 000d883a mov r6,zero +811133d4: 000b883a mov r5,zero +811133d8: 0009883a mov r4,zero +811133dc: 11447cc0 call 811447cc +811133e0: 00e04574 movhi r3,33045 +811133e4: 18dcb004 addi r3,r3,29376 +811133e8: 00800684 movi r2,26 +811133ec: 02018004 movi r8,1536 +811133f0: 01204474 movhi r4,33041 +811133f4: 1985ff04 addi r6,r3,6140 +811133f8: d8c00115 stw r3,4(sp) +811133fc: 211bd204 addi r4,r4,28488 +81113400: 100f883a mov r7,r2 +81113404: 000b883a mov r5,zero +81113408: da000215 stw r8,8(sp) +8111340c: d8000415 stw zero,16(sp) +81113410: d8000315 stw zero,12(sp) +81113414: d8800015 stw r2,0(sp) +81113418: 1143cc00 call 81143cc0 +8111341c: 01c03204 movi r7,200 +81113420: 000d883a mov r6,zero +81113424: 000b883a mov r5,zero +81113428: 0009883a mov r4,zero +8111342c: d8800505 stb r2,20(sp) +81113430: 11447cc0 call 811447cc +81113434: 80800803 ldbu r2,32(r16) +81113438: 00c00104 movi r3,4 +8111343c: 1881e92e bgeu r3,r2,81113be4 +81113440: d9000503 ldbu r4,20(sp) +81113444: 20000426 beq r4,zero,81113458 +81113448: 80800803 ldbu r2,32(r16) +8111344c: 00c001c4 movi r3,7 +81113450: 1881e22e bgeu r3,r2,81113bdc +81113454: 112426c0 call 8112426c +81113458: d1208717 ldw r4,-32228(gp) +8111345c: d9400504 addi r5,sp,20 +81113460: 114522c0 call 8114522c +81113464: d8800503 ldbu r2,20(sp) +81113468: 10000426 beq r2,zero,8111347c +8111346c: 0001e406 br 81113c00 +81113470: d0e09117 ldw r3,-32188(gp) +81113474: d0a00c17 ldw r2,-32720(gp) +81113478: 1880072e bgeu r3,r2,81113498 +8111347c: 000f883a mov r7,zero +81113480: 01800044 movi r6,1 +81113484: 000b883a mov r5,zero +81113488: 0009883a mov r4,zero +8111348c: 11447cc0 call 811447cc +81113490: d0a09217 ldw r2,-32184(gp) +81113494: 103ff626 beq r2,zero,81113470 <__reset+0xfb0f3470> +81113498: 000f883a mov r7,zero +8111349c: 01800144 movi r6,5 +811134a0: 000b883a mov r5,zero +811134a4: 0009883a mov r4,zero +811134a8: 11447cc0 call 811447cc +811134ac: 80800803 ldbu r2,32(r16) +811134b0: 00c00104 movi r3,4 +811134b4: 1881ba2e bgeu r3,r2,81113ba0 +811134b8: 81400903 ldbu r5,36(r16) +811134bc: 012045b4 movhi r4,33046 +811134c0: 212ef004 addi r4,r4,-17472 +811134c4: 11262400 call 81126240 +811134c8: 8140028b ldhu r5,10(r16) +811134cc: 012045b4 movhi r4,33046 +811134d0: 212ef004 addi r4,r4,-17472 +811134d4: 11262140 call 81126214 +811134d8: 00a04634 movhi r2,33048 +811134dc: 108f2a04 addi r2,r2,15528 +811134e0: 12c00017 ldw r11,0(r2) +811134e4: 12800117 ldw r10,4(r2) +811134e8: 12400217 ldw r9,8(r2) +811134ec: 12000317 ldw r8,12(r2) +811134f0: 11c00417 ldw r7,16(r2) +811134f4: 11800517 ldw r6,20(r2) +811134f8: 11400617 ldw r5,24(r2) +811134fc: 11000717 ldw r4,28(r2) +81113500: 10c00817 ldw r3,32(r2) +81113504: 10800917 ldw r2,36(r2) +81113508: 03604634 movhi r13,33048 +8111350c: 03204634 movhi r12,33048 +81113510: 81400615 stw r5,24(r16) +81113514: 81000715 stw r4,28(r16) +81113518: 6b4efb04 addi r13,r13,15340 +8111351c: 630f3b04 addi r12,r12,15596 +81113520: 82c00015 stw r11,0(r16) +81113524: 82800115 stw r10,4(r16) +81113528: 82400215 stw r9,8(r16) +8111352c: 82000315 stw r8,12(r16) +81113530: 81c00415 stw r7,16(r16) +81113534: 81800515 stw r6,20(r16) +81113538: 80c00815 stw r3,32(r16) +8111353c: 80800915 stw r2,36(r16) +81113540: 0009883a mov r4,zero +81113544: 01400184 movi r5,6 +81113548: 2080b524 muli r2,r4,724 +8111354c: 20c00524 muli r3,r4,20 +81113550: 21000044 addi r4,r4,1 +81113554: 6085883a add r2,r12,r2 +81113558: 1080b004 addi r2,r2,704 +8111355c: 12800017 ldw r10,0(r2) +81113560: 12400117 ldw r9,4(r2) +81113564: 12000217 ldw r8,8(r2) +81113568: 11c00317 ldw r7,12(r2) +8111356c: 11800417 ldw r6,16(r2) +81113570: 68c5883a add r2,r13,r3 +81113574: 12800015 stw r10,0(r2) +81113578: 12400115 stw r9,4(r2) +8111357c: 12000215 stw r8,8(r2) +81113580: 11c00315 stw r7,12(r2) +81113584: 11800415 stw r6,16(r2) +81113588: 217fef1e bne r4,r5,81113548 <__reset+0xfb0f3548> +8111358c: 00e04634 movhi r3,33048 +81113590: 18cf3404 addi r3,r3,15568 +81113594: 1a800017 ldw r10,0(r3) +81113598: 1a400117 ldw r9,4(r3) +8111359c: 1a000217 ldw r8,8(r3) +811135a0: 19c00317 ldw r7,12(r3) +811135a4: 19800417 ldw r6,16(r3) +811135a8: 19400517 ldw r5,20(r3) +811135ac: 18c00617 ldw r3,24(r3) +811135b0: 00a04634 movhi r2,33048 +811135b4: 81000803 ldbu r4,32(r16) +811135b8: 108f2304 addi r2,r2,15500 +811135bc: 12800015 stw r10,0(r2) +811135c0: 12400115 stw r9,4(r2) +811135c4: 12000215 stw r8,8(r2) +811135c8: 11c00315 stw r7,12(r2) +811135cc: 11800415 stw r6,16(r2) +811135d0: 11400515 stw r5,20(r2) +811135d4: 10c00615 stw r3,24(r2) +811135d8: 00800104 movi r2,4 +811135dc: 1101662e bgeu r2,r4,81113b78 +811135e0: 012045b4 movhi r4,33046 +811135e4: 212ef004 addi r4,r4,-17472 +811135e8: 11261500 call 81126150 +811135ec: 11266d00 call 811266d0 +811135f0: 111314c0 call 8111314c +811135f4: 1106c4c0 call 81106c4c +811135f8: 00818004 movi r2,1536 +811135fc: 01a04574 movhi r6,33045 +81113600: d8800215 stw r2,8(sp) +81113604: 3189e804 addi r6,r6,10144 +81113608: 01c004c4 movi r7,19 +8111360c: 00a04634 movhi r2,33048 +81113610: d9800115 stw r6,4(sp) +81113614: d8000415 stw zero,16(sp) +81113618: d8000315 stw zero,12(sp) +8111361c: d9c00015 stw r7,0(sp) +81113620: 108ef404 addi r2,r2,15312 +81113624: 016045b4 movhi r5,33046 +81113628: 01204474 movhi r4,33041 +8111362c: 2103dd04 addi r4,r4,3956 +81113630: 29483504 addi r5,r5,8404 +81113634: 3185ff04 addi r6,r6,6140 +81113638: 10000015 stw zero,0(r2) +8111363c: 1143cc00 call 81143cc0 +81113640: d8800505 stb r2,20(sp) +81113644: 11003fcc andi r4,r2,255 +81113648: 20000426 beq r4,zero,8111365c +8111364c: 80800803 ldbu r2,32(r16) +81113650: 00c001c4 movi r3,7 +81113654: 1881462e bgeu r3,r2,81113b70 +81113658: 11250d00 call 811250d0 +8111365c: 01c17704 movi r7,1500 +81113660: 000d883a mov r6,zero +81113664: 000b883a mov r5,zero +81113668: 0009883a mov r4,zero +8111366c: 11447cc0 call 811447cc +81113670: 01a04634 movhi r6,33048 +81113674: 31aa4c04 addi r6,r6,-22224 +81113678: 01c00504 movi r7,20 +8111367c: 00818004 movi r2,1536 +81113680: 016045b4 movhi r5,33046 +81113684: 01204474 movhi r4,33041 +81113688: 2103dd04 addi r4,r4,3956 +8111368c: d9800115 stw r6,4(sp) +81113690: 294c6404 addi r5,r5,12688 +81113694: d8000415 stw zero,16(sp) +81113698: d8000315 stw zero,12(sp) +8111369c: d8800215 stw r2,8(sp) +811136a0: d9c00015 stw r7,0(sp) +811136a4: 3185ff04 addi r6,r6,6140 +811136a8: 1143cc00 call 81143cc0 +811136ac: d8800505 stb r2,20(sp) +811136b0: 11003fcc andi r4,r2,255 +811136b4: 20012b1e bne r4,zero,81113b64 +811136b8: 01c17704 movi r7,1500 +811136bc: 000d883a mov r6,zero +811136c0: 000b883a mov r5,zero +811136c4: 0009883a mov r4,zero +811136c8: 11447cc0 call 811447cc +811136cc: 01a04634 movhi r6,33048 +811136d0: 31845004 addi r6,r6,4416 +811136d4: 01c00544 movi r7,21 +811136d8: 00818004 movi r2,1536 +811136dc: 016045b4 movhi r5,33046 +811136e0: 01204474 movhi r4,33041 +811136e4: 2103dd04 addi r4,r4,3956 +811136e8: d9800115 stw r6,4(sp) +811136ec: 29509304 addi r5,r5,16972 +811136f0: d8000415 stw zero,16(sp) +811136f4: d8000315 stw zero,12(sp) +811136f8: d8800215 stw r2,8(sp) +811136fc: d9c00015 stw r7,0(sp) +81113700: 3185ff04 addi r6,r6,6140 +81113704: 1143cc00 call 81143cc0 +81113708: d8800505 stb r2,20(sp) +8111370c: 11003fcc andi r4,r2,255 +81113710: 2001111e bne r4,zero,81113b58 +81113714: 01c17704 movi r7,1500 +81113718: 000d883a mov r6,zero +8111371c: 000b883a mov r5,zero +81113720: 0009883a mov r4,zero +81113724: 11447cc0 call 811447cc +81113728: 01a04634 movhi r6,33048 +8111372c: 31be5004 addi r6,r6,-1728 +81113730: 01c00584 movi r7,22 +81113734: 00818004 movi r2,1536 +81113738: 016045b4 movhi r5,33046 +8111373c: 01204474 movhi r4,33041 +81113740: 2103dd04 addi r4,r4,3956 +81113744: d9800115 stw r6,4(sp) +81113748: 2954c204 addi r5,r5,21256 +8111374c: d8000415 stw zero,16(sp) +81113750: d8000315 stw zero,12(sp) +81113754: d8800215 stw r2,8(sp) +81113758: d9c00015 stw r7,0(sp) +8111375c: 3185ff04 addi r6,r6,6140 +81113760: 1143cc00 call 81143cc0 +81113764: d8800505 stb r2,20(sp) +81113768: 11003fcc andi r4,r2,255 +8111376c: 2000f71e bne r4,zero,81113b4c +81113770: 01c17704 movi r7,1500 +81113774: 000d883a mov r6,zero +81113778: 000b883a mov r5,zero +8111377c: 0009883a mov r4,zero +81113780: 11447cc0 call 811447cc +81113784: 01a04634 movhi r6,33048 +81113788: 31a42c04 addi r6,r6,-28496 +8111378c: 01c005c4 movi r7,23 +81113790: 00818004 movi r2,1536 +81113794: 016045b4 movhi r5,33046 +81113798: 01204474 movhi r4,33041 +8111379c: 2103dd04 addi r4,r4,3956 +811137a0: d9800115 stw r6,4(sp) +811137a4: 2958f104 addi r5,r5,25540 +811137a8: d8000415 stw zero,16(sp) +811137ac: d8000315 stw zero,12(sp) +811137b0: d8800215 stw r2,8(sp) +811137b4: d9c00015 stw r7,0(sp) +811137b8: 3185ff04 addi r6,r6,6140 +811137bc: 1143cc00 call 81143cc0 +811137c0: d8800505 stb r2,20(sp) +811137c4: 11003fcc andi r4,r2,255 +811137c8: 2000dd1e bne r4,zero,81113b40 +811137cc: 01c17704 movi r7,1500 +811137d0: 000d883a mov r6,zero +811137d4: 000b883a mov r5,zero +811137d8: 0009883a mov r4,zero +811137dc: 11447cc0 call 811447cc +811137e0: 01a045f4 movhi r6,33047 +811137e4: 31a17604 addi r6,r6,-31272 +811137e8: 01c00604 movi r7,24 +811137ec: 00818004 movi r2,1536 +811137f0: 016045b4 movhi r5,33046 +811137f4: 01204474 movhi r4,33041 +811137f8: 2103dd04 addi r4,r4,3956 +811137fc: d9800115 stw r6,4(sp) +81113800: 295d2004 addi r5,r5,29824 +81113804: d8000415 stw zero,16(sp) +81113808: d8000315 stw zero,12(sp) +8111380c: d8800215 stw r2,8(sp) +81113810: d9c00015 stw r7,0(sp) +81113814: 3185ff04 addi r6,r6,6140 +81113818: 1143cc00 call 81143cc0 +8111381c: d8800505 stb r2,20(sp) +81113820: 11003fcc andi r4,r2,255 +81113824: 2000c31e bne r4,zero,81113b34 +81113828: 01c17704 movi r7,1500 +8111382c: 000d883a mov r6,zero +81113830: 000b883a mov r5,zero +81113834: 0009883a mov r4,zero +81113838: 11447cc0 call 811447cc +8111383c: 01a045b4 movhi r6,33046 +81113840: 31a8f004 addi r6,r6,-23616 +81113844: 01c00484 movi r7,18 +81113848: 00818004 movi r2,1536 +8111384c: 016045b4 movhi r5,33046 +81113850: 01204474 movhi r4,33041 +81113854: 2120e604 addi r4,r4,-31848 +81113858: d9800115 stw r6,4(sp) +8111385c: 296ef904 addi r5,r5,-17436 +81113860: d8000415 stw zero,16(sp) +81113864: d8000315 stw zero,12(sp) +81113868: d8800215 stw r2,8(sp) +8111386c: d9c00015 stw r7,0(sp) +81113870: 3185ff04 addi r6,r6,6140 +81113874: 1143cc00 call 81143cc0 +81113878: d8800505 stb r2,20(sp) +8111387c: 11003fcc andi r4,r2,255 +81113880: 20000426 beq r4,zero,81113894 +81113884: 80800803 ldbu r2,32(r16) +81113888: 00c001c4 movi r3,7 +8111388c: 1880a72e bgeu r3,r2,81113b2c +81113890: 11253380 call 81125338 +81113894: 01c17704 movi r7,1500 +81113898: 000d883a mov r6,zero +8111389c: 000b883a mov r5,zero +811138a0: 0009883a mov r4,zero +811138a4: 11447cc0 call 811447cc +811138a8: 01a045f4 movhi r6,33047 +811138ac: 319c1604 addi r6,r6,28760 +811138b0: 01c00444 movi r7,17 +811138b4: 00820004 movi r2,2048 +811138b8: 016045b4 movhi r5,33046 +811138bc: 01204474 movhi r4,33041 +811138c0: 21119604 addi r4,r4,18008 +811138c4: d9800115 stw r6,4(sp) +811138c8: 29483504 addi r5,r5,8404 +811138cc: d8000415 stw zero,16(sp) +811138d0: d8000315 stw zero,12(sp) +811138d4: d8800215 stw r2,8(sp) +811138d8: d9c00015 stw r7,0(sp) +811138dc: 3187ff04 addi r6,r6,8188 +811138e0: 1143cc00 call 81143cc0 +811138e4: d8800505 stb r2,20(sp) +811138e8: 11003fcc andi r4,r2,255 +811138ec: 20000426 beq r4,zero,81113900 +811138f0: 80800803 ldbu r2,32(r16) +811138f4: 00c001c4 movi r3,7 +811138f8: 18808a2e bgeu r3,r2,81113b24 +811138fc: 11252e00 call 811252e0 +81113900: 01c17704 movi r7,1500 +81113904: 000d883a mov r6,zero +81113908: 000b883a mov r5,zero +8111390c: 0009883a mov r4,zero +81113910: 11447cc0 call 811447cc +81113914: 01a04634 movhi r6,33048 +81113918: 318a5004 addi r6,r6,10560 +8111391c: 01c00384 movi r7,14 +81113920: 00808004 movi r2,512 +81113924: 016045b4 movhi r5,33046 +81113928: 01204474 movhi r4,33041 +8111392c: 210fe304 addi r4,r4,16268 +81113930: d9800115 stw r6,4(sp) +81113934: 296ef004 addi r5,r5,-17472 +81113938: d8000415 stw zero,16(sp) +8111393c: d8000315 stw zero,12(sp) +81113940: d8800215 stw r2,8(sp) +81113944: d9c00015 stw r7,0(sp) +81113948: 3181ff04 addi r6,r6,2044 +8111394c: 1143cc00 call 81143cc0 +81113950: d8800505 stb r2,20(sp) +81113954: 11003fcc andi r4,r2,255 +81113958: 20000426 beq r4,zero,8111396c +8111395c: 80800803 ldbu r2,32(r16) +81113960: 00c001c4 movi r3,7 +81113964: 18806d2e bgeu r3,r2,81113b1c +81113968: 11252e00 call 811252e0 +8111396c: 01c17704 movi r7,1500 +81113970: 000d883a mov r6,zero +81113974: 000b883a mov r5,zero +81113978: 0009883a mov r4,zero +8111397c: 11447cc0 call 811447cc +81113980: 01a04634 movhi r6,33048 +81113984: 31b85004 addi r6,r6,-7872 +81113988: 01c00404 movi r7,16 +8111398c: 00818004 movi r2,1536 +81113990: 016045b4 movhi r5,33046 +81113994: 012044b4 movhi r4,33042 +81113998: 212af404 addi r4,r4,-21552 +8111399c: d9800115 stw r6,4(sp) +811139a0: 296ef004 addi r5,r5,-17472 +811139a4: d8000415 stw zero,16(sp) +811139a8: d8000315 stw zero,12(sp) +811139ac: d8800215 stw r2,8(sp) +811139b0: d9c00015 stw r7,0(sp) +811139b4: 3185ff04 addi r6,r6,6140 +811139b8: 1143cc00 call 81143cc0 +811139bc: d8800505 stb r2,20(sp) +811139c0: 11003fcc andi r4,r2,255 +811139c4: 20000426 beq r4,zero,811139d8 +811139c8: 80800803 ldbu r2,32(r16) +811139cc: 00c001c4 movi r3,7 +811139d0: 1880502e bgeu r3,r2,81113b14 +811139d4: 11253900 call 81125390 +811139d8: 01c17704 movi r7,1500 +811139dc: 000d883a mov r6,zero +811139e0: 000b883a mov r5,zero +811139e4: 0009883a mov r4,zero +811139e8: 11447cc0 call 811447cc +811139ec: 01a045f4 movhi r6,33047 +811139f0: 3188be04 addi r6,r6,8952 +811139f4: 01c00084 movi r7,2 +811139f8: 00808004 movi r2,512 +811139fc: 016045b4 movhi r5,33046 +81113a00: 012044b4 movhi r4,33042 +81113a04: 212d7804 addi r4,r4,-18976 +81113a08: d9800115 stw r6,4(sp) +81113a0c: 296ef004 addi r5,r5,-17472 +81113a10: d8000415 stw zero,16(sp) +81113a14: d8000315 stw zero,12(sp) +81113a18: d8800215 stw r2,8(sp) +81113a1c: d9c00015 stw r7,0(sp) +81113a20: 3181ff04 addi r6,r6,2044 +81113a24: 1143cc00 call 81143cc0 +81113a28: d8800505 stb r2,20(sp) +81113a2c: 11003fcc andi r4,r2,255 +81113a30: 20000426 beq r4,zero,81113a44 +81113a34: 80800803 ldbu r2,32(r16) +81113a38: 00c001c4 movi r3,7 +81113a3c: 1880332e bgeu r3,r2,81113b0c +81113a40: 1125a200 call 81125a20 +81113a44: 01c03204 movi r7,200 +81113a48: 000d883a mov r6,zero +81113a4c: 000b883a mov r5,zero +81113a50: 0009883a mov r4,zero +81113a54: 11447cc0 call 811447cc +81113a58: 000f883a mov r7,zero +81113a5c: 01800284 movi r6,10 +81113a60: 000b883a mov r5,zero +81113a64: 0009883a mov r4,zero +81113a68: 11447cc0 call 811447cc +81113a6c: 80800803 ldbu r2,32(r16) +81113a70: 00c00104 movi r3,4 +81113a74: 18801e2e bgeu r3,r2,81113af0 +81113a78: 01003fc4 movi r4,255 +81113a7c: 1143e200 call 81143e20 +81113a80: d8800505 stb r2,20(sp) +81113a84: 11003fcc andi r4,r2,255 +81113a88: 20000f26 beq r4,zero,81113ac8 +81113a8c: 80800803 ldbu r2,32(r16) +81113a90: 00c001c4 movi r3,7 +81113a94: 1880142e bgeu r3,r2,81113ae8 +81113a98: 112429c0 call 8112429c +81113a9c: 014009c4 movi r5,39 +81113aa0: 01000044 movi r4,1 +81113aa4: 11439600 call 81143960 +81113aa8: 01003fc4 movi r4,255 +81113aac: 1143e200 call 81143e20 +81113ab0: 000f883a mov r7,zero +81113ab4: 01800284 movi r6,10 +81113ab8: 000b883a mov r5,zero +81113abc: 0009883a mov r4,zero +81113ac0: 11447cc0 call 811447cc +81113ac4: 003ff806 br 81113aa8 <__reset+0xfb0f3aa8> +81113ac8: dfc00917 ldw ra,36(sp) +81113acc: dc800817 ldw r18,32(sp) +81113ad0: dc400717 ldw r17,28(sp) +81113ad4: dc000617 ldw r16,24(sp) +81113ad8: dec00a04 addi sp,sp,40 +81113adc: f800283a ret +81113ae0: 1123c000 call 81123c00 +81113ae4: 003dd006 br 81113228 <__reset+0xfb0f3228> +81113ae8: 1123c000 call 81123c00 +81113aec: 003fea06 br 81113a98 <__reset+0xfb0f3a98> +81113af0: d1e07917 ldw r7,-32284(gp) +81113af4: 01204574 movhi r4,33045 +81113af8: 21266804 addi r4,r4,-26208 +81113afc: 01801104 movi r6,68 +81113b00: 01400044 movi r5,1 +81113b04: 112bc8c0 call 8112bc8c +81113b08: 003fdb06 br 81113a78 <__reset+0xfb0f3a78> +81113b0c: 1123c000 call 81123c00 +81113b10: 003fcb06 br 81113a40 <__reset+0xfb0f3a40> +81113b14: 1123c000 call 81123c00 +81113b18: 003fae06 br 811139d4 <__reset+0xfb0f39d4> +81113b1c: 1123c000 call 81123c00 +81113b20: 003f9106 br 81113968 <__reset+0xfb0f3968> +81113b24: 1123c000 call 81123c00 +81113b28: 003f7406 br 811138fc <__reset+0xfb0f38fc> +81113b2c: 1123c000 call 81123c00 +81113b30: 003f5706 br 81113890 <__reset+0xfb0f3890> +81113b34: 1123c000 call 81123c00 +81113b38: 11252880 call 81125288 +81113b3c: 003f3a06 br 81113828 <__reset+0xfb0f3828> +81113b40: 1123c000 call 81123c00 +81113b44: 11252300 call 81125230 +81113b48: 003f2006 br 811137cc <__reset+0xfb0f37cc> +81113b4c: 1123c000 call 81123c00 +81113b50: 11251d80 call 811251d8 +81113b54: 003f0606 br 81113770 <__reset+0xfb0f3770> +81113b58: 1123c000 call 81123c00 +81113b5c: 11251800 call 81125180 +81113b60: 003eec06 br 81113714 <__reset+0xfb0f3714> +81113b64: 1123c000 call 81123c00 +81113b68: 11251280 call 81125128 +81113b6c: 003ed206 br 811136b8 <__reset+0xfb0f36b8> +81113b70: 1123c000 call 81123c00 +81113b74: 003eb806 br 81113658 <__reset+0xfb0f3658> +81113b78: 11202ec0 call 811202ec +81113b7c: 0023883a mov r17,zero +81113b80: 111eef80 call 8111eef8 +81113b84: 04800184 movi r18,6 +81113b88: 8809883a mov r4,r17 +81113b8c: 8c400044 addi r17,r17,1 +81113b90: 11204340 call 81120434 +81113b94: 8cbffc1e bne r17,r18,81113b88 <__reset+0xfb0f3b88> +81113b98: 11201a40 call 811201a4 +81113b9c: 003e9006 br 811135e0 <__reset+0xfb0f35e0> +81113ba0: d1e07917 ldw r7,-32284(gp) +81113ba4: 01204574 movhi r4,33045 +81113ba8: 21265604 addi r4,r4,-26280 +81113bac: 01801104 movi r6,68 +81113bb0: 01400044 movi r5,1 +81113bb4: 112bc8c0 call 8112bc8c +81113bb8: 003e3f06 br 811134b8 <__reset+0xfb0f34b8> +81113bbc: 1123c000 call 81123c00 +81113bc0: 003e0106 br 811133c8 <__reset+0xfb0f33c8> +81113bc4: 1123c000 call 81123c00 +81113bc8: 003de506 br 81113360 <__reset+0xfb0f3360> +81113bcc: 1123c000 call 81123c00 +81113bd0: 003dc906 br 811132f8 <__reset+0xfb0f32f8> +81113bd4: 1123c000 call 81123c00 +81113bd8: 003dad06 br 81113290 <__reset+0xfb0f3290> +81113bdc: 1123c000 call 81123c00 +81113be0: 003e1c06 br 81113454 <__reset+0xfb0f3454> +81113be4: d1e07917 ldw r7,-32284(gp) +81113be8: 01204574 movhi r4,33045 +81113bec: 21264b04 addi r4,r4,-26324 +81113bf0: 01800a44 movi r6,41 +81113bf4: 01400044 movi r5,1 +81113bf8: 112bc8c0 call 8112bc8c +81113bfc: 003e1006 br 81113440 <__reset+0xfb0f3440> +81113c00: 1124cb00 call 81124cb0 +81113c04: 003e1d06 br 8111347c <__reset+0xfb0f347c> + +81113c08 : +81113c08: d1e07917 ldw r7,-32284(gp) +81113c0c: 01204574 movhi r4,33045 +81113c10: 21267a04 addi r4,r4,-26136 +81113c14: 018007c4 movi r6,31 +81113c18: 01400044 movi r5,1 +81113c1c: 112bc8c1 jmpi 8112bc8c + +81113c20 : +81113c20: 2804d43a srli r2,r5,16 +81113c24: 00c00f84 movi r3,62 +81113c28: 10801384 addi r2,r2,78 +81113c2c: 10803fcc andi r2,r2,255 +81113c30: 18804536 bltu r3,r2,81113d48 +81113c34: 100490ba slli r2,r2,2 +81113c38: 00e04474 movhi r3,33041 +81113c3c: 18cf1304 addi r3,r3,15436 +81113c40: 10c5883a add r2,r2,r3 +81113c44: 10800017 ldw r2,0(r2) +81113c48: 1000683a jmp r2 +81113c4c: 81113d5c xori r4,r16,17653 +81113c50: 81113d48 cmpgei r4,r16,17653 +81113c54: 81113d48 cmpgei r4,r16,17653 +81113c58: 81113d48 cmpgei r4,r16,17653 +81113c5c: 81113d48 cmpgei r4,r16,17653 +81113c60: 81113d48 cmpgei r4,r16,17653 +81113c64: 81113d48 cmpgei r4,r16,17653 +81113c68: 81113d48 cmpgei r4,r16,17653 +81113c6c: 81113d48 cmpgei r4,r16,17653 +81113c70: 81113d48 cmpgei r4,r16,17653 +81113c74: 81113d48 cmpgei r4,r16,17653 +81113c78: 81113d48 cmpgei r4,r16,17653 +81113c7c: 81113d48 cmpgei r4,r16,17653 +81113c80: 81113d48 cmpgei r4,r16,17653 +81113c84: 81113d48 cmpgei r4,r16,17653 +81113c88: 81113da8 cmpgeui r4,r16,17654 +81113c8c: 81113d48 cmpgei r4,r16,17653 +81113c90: 81113d48 cmpgei r4,r16,17653 +81113c94: 81113d48 cmpgei r4,r16,17653 +81113c98: 81113d48 cmpgei r4,r16,17653 +81113c9c: 81113d48 cmpgei r4,r16,17653 +81113ca0: 81113d48 cmpgei r4,r16,17653 +81113ca4: 81113d48 cmpgei r4,r16,17653 +81113ca8: 81113d48 cmpgei r4,r16,17653 +81113cac: 81113d48 cmpgei r4,r16,17653 +81113cb0: 81113d48 cmpgei r4,r16,17653 +81113cb4: 81113d48 cmpgei r4,r16,17653 +81113cb8: 81113d48 cmpgei r4,r16,17653 +81113cbc: 81113d48 cmpgei r4,r16,17653 +81113cc0: 81113d48 cmpgei r4,r16,17653 +81113cc4: 81113d48 cmpgei r4,r16,17653 +81113cc8: 81113d48 cmpgei r4,r16,17653 +81113ccc: 81113d48 cmpgei r4,r16,17653 +81113cd0: 81113d48 cmpgei r4,r16,17653 +81113cd4: 81113d48 cmpgei r4,r16,17653 +81113cd8: 81113d48 cmpgei r4,r16,17653 +81113cdc: 81113d48 cmpgei r4,r16,17653 +81113ce0: 81113d48 cmpgei r4,r16,17653 +81113ce4: 81113d48 cmpgei r4,r16,17653 +81113ce8: 81113d48 cmpgei r4,r16,17653 +81113cec: 81113d48 cmpgei r4,r16,17653 +81113cf0: 81113d48 cmpgei r4,r16,17653 +81113cf4: 81113d48 cmpgei r4,r16,17653 +81113cf8: 81113d48 cmpgei r4,r16,17653 +81113cfc: 81113d48 cmpgei r4,r16,17653 +81113d00: 81113d48 cmpgei r4,r16,17653 +81113d04: 81113d5c xori r4,r16,17653 +81113d08: 81113d5c xori r4,r16,17653 +81113d0c: 81113d5c xori r4,r16,17653 +81113d10: 81113d48 cmpgei r4,r16,17653 +81113d14: 81113d5c xori r4,r16,17653 +81113d18: 81113d48 cmpgei r4,r16,17653 +81113d1c: 81113d48 cmpgei r4,r16,17653 +81113d20: 81113d48 cmpgei r4,r16,17653 +81113d24: 81113d60 cmpeqi r4,r16,17653 +81113d28: 81113d48 cmpgei r4,r16,17653 +81113d2c: 81113d48 cmpgei r4,r16,17653 +81113d30: 81113d48 cmpgei r4,r16,17653 +81113d34: 81113d48 cmpgei r4,r16,17653 +81113d38: 81113d48 cmpgei r4,r16,17653 +81113d3c: 81113d48 cmpgei r4,r16,17653 +81113d40: 81113d48 cmpgei r4,r16,17653 +81113d44: 81113d74 orhi r4,r16,17653 +81113d48: 00a04634 movhi r2,33048 +81113d4c: 108f1904 addi r2,r2,15460 +81113d50: 10800803 ldbu r2,32(r2) +81113d54: 00c001c4 movi r3,7 +81113d58: 18801a2e bgeu r3,r2,81113dc4 +81113d5c: f800283a ret +81113d60: 00b28514 movui r2,51732 +81113d64: 2089883a add r4,r4,r2 +81113d68: 00800144 movi r2,5 81113d6c: 20800015 stw r2,0(r4) 81113d70: f800283a ret -81113d74: deffff04 addi sp,sp,-4 -81113d78: dfc00015 stw ra,0(sp) -81113d7c: 1105fcc0 call 81105fcc -81113d80: 1105fbc0 call 81105fbc -81113d84: dfc00017 ldw ra,0(sp) -81113d88: dec00104 addi sp,sp,4 -81113d8c: 1105fa81 jmpi 81105fa8 -81113d90: 1113bd41 jmpi 81113bd4 - -81113d94 : -81113d94: 2804d43a srli r2,r5,16 -81113d98: 00c00f84 movi r3,62 -81113d9c: 10801384 addi r2,r2,78 -81113da0: 10803fcc andi r2,r2,255 -81113da4: 18806636 bltu r3,r2,81113f40 -81113da8: 100490ba slli r2,r2,2 -81113dac: 00e04474 movhi r3,33041 -81113db0: 18cf7004 addi r3,r3,15808 -81113db4: 10c5883a add r2,r2,r3 -81113db8: 10800017 ldw r2,0(r2) -81113dbc: 1000683a jmp r2 -81113dc0: 81113ebc xorhi r4,r16,17658 -81113dc4: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113dc8: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113dcc: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113dd0: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113dd4: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113dd8: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113ddc: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113de0: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113de4: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113de8: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113dec: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113df0: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113df4: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113df8: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113dfc: 81113f04 addi r4,r16,17660 -81113e00: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e04: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e08: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e0c: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e10: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e14: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e18: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e1c: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e20: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e24: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e28: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e2c: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e30: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e34: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e38: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e3c: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e40: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e44: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e48: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e4c: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e50: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e54: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e58: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e5c: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e60: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e64: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e68: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e6c: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e70: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e74: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e78: 81113ecc andi r4,r16,17659 -81113e7c: 81113ecc andi r4,r16,17659 -81113e80: 81113ecc andi r4,r16,17659 -81113e84: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e88: 81113ecc andi r4,r16,17659 -81113e8c: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e90: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e94: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113e98: 81113ecc andi r4,r16,17659 -81113e9c: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113ea0: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113ea4: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113ea8: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113eac: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113eb0: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113eb4: 81113f40 call 881113f4 <__reset+0x20f13f4> -81113eb8: 81113ed0 cmplti r4,r16,17659 -81113ebc: 00b28514 movui r2,51732 -81113ec0: 2089883a add r4,r4,r2 -81113ec4: 008000c4 movi r2,3 -81113ec8: 20800015 stw r2,0(r4) -81113ecc: f800283a ret -81113ed0: 29403fcc andi r5,r5,255 -81113ed4: 294b883a add r5,r5,r5 -81113ed8: 294b883a add r5,r5,r5 -81113edc: 2149883a add r4,r4,r5 -81113ee0: 00b27714 movui r2,51676 -81113ee4: 2085883a add r2,r4,r2 -81113ee8: 10800017 ldw r2,0(r2) -81113eec: 1000131e bne r2,zero,81113f3c -81113ef0: 01727114 movui r5,51652 -81113ef4: 2149883a add r4,r4,r5 -81113ef8: 00800044 movi r2,1 +81113d74: 29403fcc andi r5,r5,255 +81113d78: 294b883a add r5,r5,r5 +81113d7c: 294b883a add r5,r5,r5 +81113d80: 2149883a add r4,r4,r5 +81113d84: 00b27714 movui r2,51676 +81113d88: 2085883a add r2,r4,r2 +81113d8c: 10800017 ldw r2,0(r2) +81113d90: 103ff21e bne r2,zero,81113d5c <__reset+0xfb0f3d5c> +81113d94: 01727114 movui r5,51652 +81113d98: 2149883a add r4,r4,r5 +81113d9c: 00800044 movi r2,1 +81113da0: 20800015 stw r2,0(r4) +81113da4: f800283a ret +81113da8: deffff04 addi sp,sp,-4 +81113dac: dfc00015 stw ra,0(sp) +81113db0: 11060000 call 81106000 +81113db4: 1105ff00 call 81105ff0 +81113db8: dfc00017 ldw ra,0(sp) +81113dbc: dec00104 addi sp,sp,4 +81113dc0: 1105fdc1 jmpi 81105fdc +81113dc4: 1113c081 jmpi 81113c08 + +81113dc8 : +81113dc8: 2804d43a srli r2,r5,16 +81113dcc: 00c00f84 movi r3,62 +81113dd0: 10801384 addi r2,r2,78 +81113dd4: 10803fcc andi r2,r2,255 +81113dd8: 18806636 bltu r3,r2,81113f74 +81113ddc: 100490ba slli r2,r2,2 +81113de0: 00e04474 movhi r3,33041 +81113de4: 18cf7d04 addi r3,r3,15860 +81113de8: 10c5883a add r2,r2,r3 +81113dec: 10800017 ldw r2,0(r2) +81113df0: 1000683a jmp r2 +81113df4: 81113ef0 cmpltui r4,r16,17659 +81113df8: 81113f74 orhi r4,r16,17661 +81113dfc: 81113f74 orhi r4,r16,17661 +81113e00: 81113f74 orhi r4,r16,17661 +81113e04: 81113f74 orhi r4,r16,17661 +81113e08: 81113f74 orhi r4,r16,17661 +81113e0c: 81113f74 orhi r4,r16,17661 +81113e10: 81113f74 orhi r4,r16,17661 +81113e14: 81113f74 orhi r4,r16,17661 +81113e18: 81113f74 orhi r4,r16,17661 +81113e1c: 81113f74 orhi r4,r16,17661 +81113e20: 81113f74 orhi r4,r16,17661 +81113e24: 81113f74 orhi r4,r16,17661 +81113e28: 81113f74 orhi r4,r16,17661 +81113e2c: 81113f74 orhi r4,r16,17661 +81113e30: 81113f38 rdprs r4,r16,17660 +81113e34: 81113f74 orhi r4,r16,17661 +81113e38: 81113f74 orhi r4,r16,17661 +81113e3c: 81113f74 orhi r4,r16,17661 +81113e40: 81113f74 orhi r4,r16,17661 +81113e44: 81113f74 orhi r4,r16,17661 +81113e48: 81113f74 orhi r4,r16,17661 +81113e4c: 81113f74 orhi r4,r16,17661 +81113e50: 81113f74 orhi r4,r16,17661 +81113e54: 81113f74 orhi r4,r16,17661 +81113e58: 81113f74 orhi r4,r16,17661 +81113e5c: 81113f74 orhi r4,r16,17661 +81113e60: 81113f74 orhi r4,r16,17661 +81113e64: 81113f74 orhi r4,r16,17661 +81113e68: 81113f74 orhi r4,r16,17661 +81113e6c: 81113f74 orhi r4,r16,17661 +81113e70: 81113f74 orhi r4,r16,17661 +81113e74: 81113f74 orhi r4,r16,17661 +81113e78: 81113f74 orhi r4,r16,17661 +81113e7c: 81113f74 orhi r4,r16,17661 +81113e80: 81113f74 orhi r4,r16,17661 +81113e84: 81113f74 orhi r4,r16,17661 +81113e88: 81113f74 orhi r4,r16,17661 +81113e8c: 81113f74 orhi r4,r16,17661 +81113e90: 81113f74 orhi r4,r16,17661 +81113e94: 81113f74 orhi r4,r16,17661 +81113e98: 81113f74 orhi r4,r16,17661 +81113e9c: 81113f74 orhi r4,r16,17661 +81113ea0: 81113f74 orhi r4,r16,17661 +81113ea4: 81113f74 orhi r4,r16,17661 +81113ea8: 81113f74 orhi r4,r16,17661 +81113eac: 81113f00 call 881113f0 <__reset+0x20f13f0> +81113eb0: 81113f00 call 881113f0 <__reset+0x20f13f0> +81113eb4: 81113f00 call 881113f0 <__reset+0x20f13f0> +81113eb8: 81113f74 orhi r4,r16,17661 +81113ebc: 81113f00 call 881113f0 <__reset+0x20f13f0> +81113ec0: 81113f74 orhi r4,r16,17661 +81113ec4: 81113f74 orhi r4,r16,17661 +81113ec8: 81113f74 orhi r4,r16,17661 +81113ecc: 81113f00 call 881113f0 <__reset+0x20f13f0> +81113ed0: 81113f74 orhi r4,r16,17661 +81113ed4: 81113f74 orhi r4,r16,17661 +81113ed8: 81113f74 orhi r4,r16,17661 +81113edc: 81113f74 orhi r4,r16,17661 +81113ee0: 81113f74 orhi r4,r16,17661 +81113ee4: 81113f74 orhi r4,r16,17661 +81113ee8: 81113f74 orhi r4,r16,17661 +81113eec: 81113f04 addi r4,r16,17660 +81113ef0: 00b28514 movui r2,51732 +81113ef4: 2089883a add r4,r4,r2 +81113ef8: 008000c4 movi r2,3 81113efc: 20800015 stw r2,0(r4) 81113f00: f800283a ret -81113f04: defffe04 addi sp,sp,-8 -81113f08: dfc00115 stw ra,4(sp) -81113f0c: dc000015 stw r16,0(sp) -81113f10: 2021883a mov r16,r4 -81113f14: 1105fcc0 call 81105fcc -81113f18: 1105fbc0 call 81105fbc -81113f1c: 1105fa80 call 81105fa8 -81113f20: 01328514 movui r4,51732 -81113f24: 8109883a add r4,r16,r4 -81113f28: 008000c4 movi r2,3 -81113f2c: 20800015 stw r2,0(r4) -81113f30: dfc00117 ldw ra,4(sp) -81113f34: dc000017 ldw r16,0(sp) -81113f38: dec00204 addi sp,sp,8 -81113f3c: f800283a ret -81113f40: 00a04634 movhi r2,33048 -81113f44: 108f0804 addi r2,r2,15392 -81113f48: 10800803 ldbu r2,32(r2) -81113f4c: 00c001c4 movi r3,7 -81113f50: 18bffa36 bltu r3,r2,81113f3c <__reset+0xfb0f3f3c> -81113f54: 1113bd41 jmpi 81113bd4 - -81113f58 : -81113f58: defff404 addi sp,sp,-48 -81113f5c: dd400715 stw r21,28(sp) -81113f60: 05604634 movhi r21,33048 -81113f64: ad4f0804 addi r21,r21,15392 -81113f68: a8800803 ldbu r2,32(r21) -81113f6c: dc800415 stw r18,16(sp) -81113f70: dfc00b15 stw ra,44(sp) -81113f74: df000a15 stw fp,40(sp) -81113f78: ddc00915 stw r23,36(sp) -81113f7c: dd800815 stw r22,32(sp) -81113f80: dd000615 stw r20,24(sp) -81113f84: dcc00515 stw r19,20(sp) -81113f88: dc400315 stw r17,12(sp) -81113f8c: dc000215 stw r16,8(sp) -81113f90: 00c00104 movi r3,4 -81113f94: 2025883a mov r18,r4 -81113f98: 1880de2e bgeu r3,r2,81114314 -81113f9c: 05328514 movui r20,51732 -81113fa0: 9529883a add r20,r18,r20 -81113fa4: a0800017 ldw r2,0(r20) -81113fa8: 04e04474 movhi r19,33041 -81113fac: 04328314 movui r16,51724 -81113fb0: 04728414 movui r17,51728 -81113fb4: 002d883a mov r22,zero -81113fb8: 9ccff704 addi r19,r19,16348 -81113fbc: 9421883a add r16,r18,r16 -81113fc0: 9463883a add r17,r18,r17 -81113fc4: 100690ba slli r3,r2,2 -81113fc8: 01000184 movi r4,6 -81113fcc: 1cc7883a add r3,r3,r19 -81113fd0: 20806036 bltu r4,r2,81114154 -81113fd4: 19400017 ldw r5,0(r3) -81113fd8: 2800683a jmp r5 -81113fdc: 811140c4 addi r4,r16,17667 -81113fe0: 81113fd0 cmplti r4,r16,17663 -81113fe4: 81114080 call 88111408 <__reset+0x20f1408> -81113fe8: 8111404c andi r4,r16,17665 -81113fec: 81114020 cmpeqi r4,r16,17664 -81113ff0: 81113ff8 rdprs r4,r16,17663 -81113ff4: 81114108 cmpgei r4,r16,17668 -81113ff8: d1208917 ldw r4,-32220(gp) -81113ffc: d80d883a mov r6,sp -81114000: 000b883a mov r5,zero -81114004: 11424480 call 81142448 -81114008: d8800003 ldbu r2,0(sp) -8111400c: 1000581e bne r2,zero,81114170 -81114010: 008000c4 movi r2,3 -81114014: a0800015 stw r2,0(r20) -81114018: 002d883a mov r22,zero -8111401c: 003fe906 br 81113fc4 <__reset+0xfb0f3fc4> -81114020: d1208617 ldw r4,-32232(gp) -81114024: d80d883a mov r6,sp -81114028: 000b883a mov r5,zero -8111402c: 1142cd40 call 81142cd4 -81114030: d8c00003 ldbu r3,0(sp) -81114034: 18006326 beq r3,zero,811141c4 -81114038: a8800803 ldbu r2,32(r21) -8111403c: 00c001c4 movi r3,7 -81114040: 1880652e bgeu r3,r2,811141d8 -81114044: a0800017 ldw r2,0(r20) -81114048: 003fde06 br 81113fc4 <__reset+0xfb0f3fc4> -8111404c: b7003fcc andi fp,r22,255 -81114050: 00c00144 movi r3,5 -81114054: 1f004c36 bltu r3,fp,81114188 -81114058: e707883a add r3,fp,fp -8111405c: 18c7883a add r3,r3,r3 -81114060: 90ef883a add r23,r18,r3 -81114064: 00f27114 movui r3,51652 -81114068: b8c7883a add r3,r23,r3 -8111406c: 18c00017 ldw r3,0(r3) -81114070: 01000044 movi r4,1 -81114074: 19006826 beq r3,r4,81114218 -81114078: b5800044 addi r22,r22,1 -8111407c: 003fd106 br 81113fc4 <__reset+0xfb0f3fc4> -81114080: d1208617 ldw r4,-32232(gp) -81114084: d80d883a mov r6,sp -81114088: 000b883a mov r5,zero -8111408c: 1142cd40 call 81142cd4 -81114090: d8c00003 ldbu r3,0(sp) -81114094: 18003f26 beq r3,zero,81114194 -81114098: a8800803 ldbu r2,32(r21) -8111409c: 00c001c4 movi r3,7 -811140a0: 18bfe836 bltu r3,r2,81114044 <__reset+0xfb0f4044> -811140a4: d1e07917 ldw r7,-32284(gp) -811140a8: 01204574 movhi r4,33045 -811140ac: 21267a04 addi r4,r4,-26136 -811140b0: 01800d84 movi r6,54 -811140b4: 01400044 movi r5,1 -811140b8: 112bc4c0 call 8112bc4c -811140bc: a0800017 ldw r2,0(r20) -811140c0: 003fc006 br 81113fc4 <__reset+0xfb0f3fc4> -811140c4: 05f27d14 movui r23,51700 -811140c8: 95ef883a add r23,r18,r23 -811140cc: 0039883a mov fp,zero -811140d0: b9400017 ldw r5,0(r23) -811140d4: 81800017 ldw r6,0(r16) -811140d8: 89000003 ldbu r4,0(r17) -811140dc: bdc00104 addi r23,r23,4 -811140e0: 110595c0 call 8110595c -811140e4: b9bfff17 ldw r6,-4(r23) -811140e8: 89400003 ldbu r5,0(r17) -811140ec: e009883a mov r4,fp -811140f0: e7000044 addi fp,fp,1 -811140f4: 11059e00 call 811059e0 -811140f8: bc3ff51e bne r23,r16,811140d0 <__reset+0xfb0f40d0> -811140fc: 00800084 movi r2,2 -81114100: a0800015 stw r2,0(r20) -81114104: 003faf06 br 81113fc4 <__reset+0xfb0f3fc4> -81114108: d1208917 ldw r4,-32220(gp) -8111410c: 114274c0 call 8114274c -81114110: 0007883a mov r3,zero -81114114: 01f27114 movui r7,51652 -81114118: 01b27714 movui r6,51676 -8111411c: 01400184 movi r5,6 -81114120: 18c5883a add r2,r3,r3 -81114124: 1085883a add r2,r2,r2 -81114128: 9085883a add r2,r18,r2 -8111412c: 11c9883a add r4,r2,r7 -81114130: 20000015 stw zero,0(r4) -81114134: 1185883a add r2,r2,r6 -81114138: 10000015 stw zero,0(r2) -8111413c: 18c00044 addi r3,r3,1 -81114140: 197ff71e bne r3,r5,81114120 <__reset+0xfb0f4120> -81114144: 1105f140 call 81105f14 -81114148: 00800084 movi r2,2 -8111414c: a0800015 stw r2,0(r20) -81114150: 003f9c06 br 81113fc4 <__reset+0xfb0f3fc4> -81114154: a8800803 ldbu r2,32(r21) -81114158: 00c001c4 movi r3,7 -8111415c: 1880122e bgeu r3,r2,811141a8 -81114160: 00800084 movi r2,2 -81114164: 90800115 stw r2,4(r18) -81114168: a0800017 ldw r2,0(r20) -8111416c: 003f9506 br 81113fc4 <__reset+0xfb0f3fc4> -81114170: a8800803 ldbu r2,32(r21) -81114174: 00c001c4 movi r3,7 -81114178: 18801f2e bgeu r3,r2,811141f8 -8111417c: a0800017 ldw r2,0(r20) -81114180: 002d883a mov r22,zero -81114184: 003f8f06 br 81113fc4 <__reset+0xfb0f3fc4> -81114188: 00800184 movi r2,6 -8111418c: a0800015 stw r2,0(r20) -81114190: 003f8c06 br 81113fc4 <__reset+0xfb0f3fc4> -81114194: 100b883a mov r5,r2 -81114198: 9009883a mov r4,r18 -8111419c: 1113bec0 call 81113bec -811141a0: a0800017 ldw r2,0(r20) -811141a4: 003f8706 br 81113fc4 <__reset+0xfb0f3fc4> -811141a8: d1e07917 ldw r7,-32284(gp) -811141ac: 01204574 movhi r4,33045 -811141b0: 2126c604 addi r4,r4,-25832 -811141b4: 01800804 movi r6,32 -811141b8: 01400044 movi r5,1 -811141bc: 112bc4c0 call 8112bc4c -811141c0: 003fe706 br 81114160 <__reset+0xfb0f4160> -811141c4: 100b883a mov r5,r2 -811141c8: 9009883a mov r4,r18 -811141cc: 1113d940 call 81113d94 -811141d0: a0800017 ldw r2,0(r20) -811141d4: 003f7b06 br 81113fc4 <__reset+0xfb0f3fc4> -811141d8: d1e07917 ldw r7,-32284(gp) -811141dc: 01204574 movhi r4,33045 -811141e0: 2126b504 addi r4,r4,-25900 -811141e4: 01801004 movi r6,64 -811141e8: 01400044 movi r5,1 -811141ec: 112bc4c0 call 8112bc4c -811141f0: a0800017 ldw r2,0(r20) -811141f4: 003f7306 br 81113fc4 <__reset+0xfb0f3fc4> -811141f8: d1207917 ldw r4,-32284(gp) -811141fc: 01604574 movhi r5,33045 -81114200: 29668804 addi r5,r5,-26080 -81114204: 000d883a mov r6,zero -81114208: 112b5e00 call 8112b5e0 -8111420c: 002d883a mov r22,zero -81114210: a0800017 ldw r2,0(r20) -81114214: 003f6b06 br 81113fc4 <__reset+0xfb0f3fc4> -81114218: d8c00115 stw r3,4(sp) -8111421c: 1105fcc0 call 81105fcc -81114220: 1105fbc0 call 81105fbc -81114224: 1105fa80 call 81105fa8 -81114228: 1105f140 call 81105f14 -8111422c: e009883a mov r4,fp -81114230: 11055e00 call 811055e0 -81114234: e0842f24 muli r2,fp,4284 -81114238: 81c00017 ldw r7,0(r16) -8111423c: e009883a mov r4,fp -81114240: 9085883a add r2,r18,r2 -81114244: 1219910b ldhu r8,26180(r2) -81114248: 1199900b ldhu r6,26176(r2) -8111424c: 1159908b ldhu r5,26178(r2) -81114250: 418d883a add r6,r8,r6 -81114254: 31bfffcc andi r6,r6,65535 -81114258: 1105e940 call 81105e94 -8111425c: d8c00117 ldw r3,4(sp) -81114260: 10c00a26 beq r2,r3,8111428c -81114264: a8800803 ldbu r2,32(r21) -81114268: 00c001c4 movi r3,7 -8111426c: 18bf7536 bltu r3,r2,81114044 <__reset+0xfb0f4044> -81114270: d1207917 ldw r4,-32284(gp) -81114274: 01604574 movhi r5,33045 -81114278: 2966a604 addi r5,r5,-25960 -8111427c: e00d883a mov r6,fp -81114280: 112b5e00 call 8112b5e0 -81114284: a0800017 ldw r2,0(r20) -81114288: 003f4e06 br 81113fc4 <__reset+0xfb0f3fc4> -8111428c: 88c00003 ldbu r3,0(r17) -81114290: 1800131e bne r3,zero,811142e0 -81114294: 00b27d14 movui r2,51700 -81114298: b8af883a add r23,r23,r2 -8111429c: 81800017 ldw r6,0(r16) -811142a0: b9400017 ldw r5,0(r23) -811142a4: 000f883a mov r7,zero -811142a8: 0009883a mov r4,zero -811142ac: 110209c0 call 8110209c -811142b0: 00c00044 movi r3,1 -811142b4: 10c01326 beq r2,r3,81114304 -811142b8: a8800803 ldbu r2,32(r21) -811142bc: 00c001c4 movi r3,7 -811142c0: 18bf6036 bltu r3,r2,81114044 <__reset+0xfb0f4044> -811142c4: d1207917 ldw r4,-32284(gp) -811142c8: 01604574 movhi r5,33045 -811142cc: 29669904 addi r5,r5,-26012 -811142d0: e00d883a mov r6,fp -811142d4: 112b5e00 call 8112b5e0 -811142d8: a0800017 ldw r2,0(r20) -811142dc: 003f3906 br 81113fc4 <__reset+0xfb0f3fc4> -811142e0: 00f27d14 movui r3,51700 -811142e4: b8ef883a add r23,r23,r3 -811142e8: 81800017 ldw r6,0(r16) -811142ec: b9400017 ldw r5,0(r23) -811142f0: 000f883a mov r7,zero -811142f4: 1009883a mov r4,r2 -811142f8: 110209c0 call 8110209c -811142fc: 00c00044 movi r3,1 -81114300: 10ffed1e bne r2,r3,811142b8 <__reset+0xfb0f42b8> -81114304: 00800104 movi r2,4 -81114308: a0800015 stw r2,0(r20) -8111430c: b0ed883a add r22,r22,r3 -81114310: 003f2c06 br 81113fc4 <__reset+0xfb0f3fc4> -81114314: d1e07917 ldw r7,-32284(gp) -81114318: 01204574 movhi r4,33045 -8111431c: 21267204 addi r4,r4,-26168 -81114320: 01800704 movi r6,28 -81114324: 01400044 movi r5,1 -81114328: 112bc4c0 call 8112bc4c -8111432c: 003f1b06 br 81113f9c <__reset+0xfb0f3f9c> - -81114330 : -81114330: 2008d43a srli r4,r4,16 -81114334: 00802044 movi r2,129 -81114338: 21003fcc andi r4,r4,255 -8111433c: 11000936 bltu r2,r4,81114364 -81114340: 00802004 movi r2,128 -81114344: 2080122e bgeu r4,r2,81114390 -81114348: 00800044 movi r2,1 -8111434c: 20800b26 beq r4,r2,8111437c -81114350: 00800084 movi r2,2 -81114354: 20800f1e bne r4,r2,81114394 -81114358: 00800104 movi r2,4 -8111435c: 28992815 stw r2,25760(r5) -81114360: f800283a ret -81114364: 00802884 movi r2,162 -81114368: 20bffb26 beq r4,r2,81114358 <__reset+0xfb0f4358> -8111436c: 008028c4 movi r2,163 -81114370: 20800726 beq r4,r2,81114390 -81114374: 00802844 movi r2,161 -81114378: 2080061e bne r4,r2,81114394 -8111437c: 00a04634 movhi r2,33048 -81114380: 108f0804 addi r2,r2,15392 -81114384: 10800803 ldbu r2,32(r2) -81114388: 00c00084 movi r3,2 -8111438c: 18800c2e bgeu r3,r2,811143c0 -81114390: f800283a ret -81114394: 00a04634 movhi r2,33048 -81114398: 108f0804 addi r2,r2,15392 -8111439c: 10800803 ldbu r2,32(r2) -811143a0: 00c001c4 movi r3,7 -811143a4: 18bffa36 bltu r3,r2,81114390 <__reset+0xfb0f4390> -811143a8: d1e07917 ldw r7,-32284(gp) -811143ac: 01204574 movhi r4,33045 -811143b0: 2126dd04 addi r4,r4,-25740 -811143b4: 018009c4 movi r6,39 -811143b8: 01400044 movi r5,1 -811143bc: 112bc4c1 jmpi 8112bc4c -811143c0: d1e07917 ldw r7,-32284(gp) -811143c4: 01204574 movhi r4,33045 -811143c8: 2126cf04 addi r4,r4,-25796 -811143cc: 01800d44 movi r6,53 -811143d0: 01400044 movi r5,1 -811143d4: 112bc4c1 jmpi 8112bc4c - -811143d8 : -811143d8: defffe04 addi sp,sp,-8 -811143dc: dc000015 stw r16,0(sp) -811143e0: 20c00444 addi r3,r4,17 -811143e4: 24003fcc andi r16,r4,255 -811143e8: 29403fcc andi r5,r5,255 -811143ec: 280a943a slli r5,r5,16 -811143f0: 1806963a slli r3,r3,24 -811143f4: 31803fcc andi r6,r6,255 -811143f8: 8405883a add r2,r16,r16 -811143fc: 01204634 movhi r4,33048 -81114400: 21240504 addi r4,r4,-28652 -81114404: 300c923a slli r6,r6,8 -81114408: 1085883a add r2,r2,r2 -8111440c: 2085883a add r2,r4,r2 -81114410: 1946b03a or r3,r3,r5 -81114414: 11000017 ldw r4,0(r2) -81114418: 39403fcc andi r5,r7,255 -8111441c: 198cb03a or r6,r3,r6 -81114420: 314ab03a or r5,r6,r5 -81114424: dfc00115 stw ra,4(sp) -81114428: 1142f580 call 81142f58 -8111442c: 10803fcc andi r2,r2,255 -81114430: 1000051e bne r2,zero,81114448 -81114434: 00800044 movi r2,1 -81114438: dfc00117 ldw ra,4(sp) -8111443c: dc000017 ldw r16,0(sp) -81114440: dec00204 addi sp,sp,8 -81114444: f800283a ret -81114448: 8009883a mov r4,r16 -8111444c: 11256140 call 81125614 -81114450: 0005883a mov r2,zero -81114454: dfc00117 ldw ra,4(sp) -81114458: dc000017 ldw r16,0(sp) -8111445c: dec00204 addi sp,sp,8 -81114460: f800283a ret - -81114464 : -81114464: defffe04 addi sp,sp,-8 -81114468: dc000015 stw r16,0(sp) -8111446c: 20c00444 addi r3,r4,17 -81114470: 24003fcc andi r16,r4,255 -81114474: 29403fcc andi r5,r5,255 -81114478: 280a943a slli r5,r5,16 -8111447c: 1806963a slli r3,r3,24 -81114480: 31803fcc andi r6,r6,255 -81114484: 8405883a add r2,r16,r16 -81114488: 01204634 movhi r4,33048 -8111448c: 21240504 addi r4,r4,-28652 -81114490: 300c923a slli r6,r6,8 -81114494: 1085883a add r2,r2,r2 -81114498: 2085883a add r2,r4,r2 -8111449c: 1946b03a or r3,r3,r5 -811144a0: 11000017 ldw r4,0(r2) -811144a4: 39403fcc andi r5,r7,255 -811144a8: 198cb03a or r6,r3,r6 -811144ac: 314ab03a or r5,r6,r5 -811144b0: dfc00115 stw ra,4(sp) -811144b4: 11430240 call 81143024 -811144b8: 10803fcc andi r2,r2,255 -811144bc: 1000051e bne r2,zero,811144d4 -811144c0: 00800044 movi r2,1 -811144c4: dfc00117 ldw ra,4(sp) -811144c8: dc000017 ldw r16,0(sp) -811144cc: dec00204 addi sp,sp,8 -811144d0: f800283a ret -811144d4: 8009883a mov r4,r16 -811144d8: 11256140 call 81125614 -811144dc: 0005883a mov r2,zero -811144e0: dfc00117 ldw ra,4(sp) -811144e4: dc000017 ldw r16,0(sp) -811144e8: dec00204 addi sp,sp,8 -811144ec: f800283a ret - -811144f0 : -811144f0: 2008d43a srli r4,r4,16 -811144f4: defffb04 addi sp,sp,-20 -811144f8: dfc00415 stw ra,16(sp) -811144fc: dcc00315 stw r19,12(sp) -81114500: dc800215 stw r18,8(sp) -81114504: dc400115 stw r17,4(sp) -81114508: dc000015 stw r16,0(sp) -8111450c: 21003fcc andi r4,r4,255 -81114510: 00802044 movi r2,129 -81114514: 11001136 bltu r2,r4,8111455c -81114518: 00802004 movi r2,128 -8111451c: 2080082e bgeu r4,r2,81114540 -81114520: 00800044 movi r2,1 -81114524: 20802526 beq r4,r2,811145bc -81114528: 00c00084 movi r3,2 -8111452c: 20c0121e bne r4,r3,81114578 -81114530: 00e04634 movhi r3,33048 -81114534: 18cf0804 addi r3,r3,15392 -81114538: 18c00803 ldbu r3,32(r3) -8111453c: 20c0332e bgeu r4,r3,8111460c -81114540: dfc00417 ldw ra,16(sp) -81114544: dcc00317 ldw r19,12(sp) -81114548: dc800217 ldw r18,8(sp) -8111454c: dc400117 ldw r17,4(sp) -81114550: dc000017 ldw r16,0(sp) -81114554: dec00504 addi sp,sp,20 -81114558: f800283a ret -8111455c: 00802844 movi r2,161 -81114560: 20801626 beq r4,r2,811145bc -81114564: 008028c4 movi r2,163 -81114568: 2080031e bne r4,r2,81114578 -8111456c: 008000c4 movi r2,3 -81114570: 28992815 stw r2,25760(r5) -81114574: 003ff206 br 81114540 <__reset+0xfb0f4540> -81114578: 00a04634 movhi r2,33048 -8111457c: 108f0804 addi r2,r2,15392 -81114580: 10800803 ldbu r2,32(r2) -81114584: 00c001c4 movi r3,7 -81114588: 18bfed36 bltu r3,r2,81114540 <__reset+0xfb0f4540> -8111458c: d1e07917 ldw r7,-32284(gp) -81114590: 01204574 movhi r4,33045 -81114594: 2126dd04 addi r4,r4,-25740 -81114598: 018009c4 movi r6,39 -8111459c: 01400044 movi r5,1 -811145a0: dfc00417 ldw ra,16(sp) -811145a4: dcc00317 ldw r19,12(sp) -811145a8: dc800217 ldw r18,8(sp) -811145ac: dc400117 ldw r17,4(sp) -811145b0: dc000017 ldw r16,0(sp) -811145b4: dec00504 addi sp,sp,20 -811145b8: 112bc4c1 jmpi 8112bc4c -811145bc: 008000c4 movi r2,3 -811145c0: 2c002a04 addi r16,r5,168 -811145c4: 28992815 stw r2,25760(r5) -811145c8: 80800017 ldw r2,0(r16) -811145cc: 04c00044 movi r19,1 -811145d0: 0023883a mov r17,zero -811145d4: 04800184 movi r18,6 -811145d8: 84042f04 addi r16,r16,4284 -811145dc: 14c00526 beq r2,r19,811145f4 -811145e0: 8c400044 addi r17,r17,1 -811145e4: 8cbfd626 beq r17,r18,81114540 <__reset+0xfb0f4540> -811145e8: 80800017 ldw r2,0(r16) -811145ec: 84042f04 addi r16,r16,4284 -811145f0: 14fffb1e bne r2,r19,811145e0 <__reset+0xfb0f45e0> -811145f4: 880f883a mov r7,r17 -811145f8: 8809883a mov r4,r17 -811145fc: 000d883a mov r6,zero -81114600: 01402844 movi r5,161 -81114604: 11144640 call 81114464 -81114608: 003ff506 br 811145e0 <__reset+0xfb0f45e0> -8111460c: 01204574 movhi r4,33045 -81114610: d1e07917 ldw r7,-32284(gp) -81114614: 2126e704 addi r4,r4,-25700 -81114618: 01800d84 movi r6,54 -8111461c: 100b883a mov r5,r2 -81114620: 003fdf06 br 811145a0 <__reset+0xfb0f45a0> - -81114624 : -81114624: defff804 addi sp,sp,-32 -81114628: dc400215 stw r17,8(sp) -8111462c: 04604634 movhi r17,33048 -81114630: 8c4f0804 addi r17,r17,15392 -81114634: 88800803 ldbu r2,32(r17) -81114638: dc000115 stw r16,4(sp) -8111463c: dfc00715 stw ra,28(sp) -81114640: dd400615 stw r21,24(sp) -81114644: dd000515 stw r20,20(sp) -81114648: dcc00415 stw r19,16(sp) -8111464c: dc800315 stw r18,12(sp) -81114650: 00c00104 movi r3,4 -81114654: 2021883a mov r16,r4 -81114658: 1880892e bgeu r3,r2,81114880 -8111465c: 80992817 ldw r2,25760(r16) -81114660: 04e04474 movhi r19,33041 -81114664: 9cd1a204 addi r19,r19,18056 -81114668: 04800104 movi r18,4 -8111466c: 054001c4 movi r21,7 -81114670: 050000c4 movi r20,3 -81114674: 90800936 bltu r18,r2,8111469c -81114678: 100490ba slli r2,r2,2 -8111467c: 14c5883a add r2,r2,r19 -81114680: 10800017 ldw r2,0(r2) -81114684: 1000683a jmp r2 -81114688: 811146bc xorhi r4,r16,17690 -8111468c: 811146fc xorhi r4,r16,17691 -81114690: 81114768 cmpgeui r4,r16,17693 -81114694: 811146c0 call 8811146c <__reset+0x20f146c> -81114698: 81114728 cmpgeui r4,r16,17692 -8111469c: 88800803 ldbu r2,32(r17) -811146a0: a8800636 bltu r21,r2,811146bc -811146a4: d1e07917 ldw r7,-32284(gp) -811146a8: 01204574 movhi r4,33045 -811146ac: 21272004 addi r4,r4,-25472 -811146b0: 01800f44 movi r6,61 -811146b4: 01400044 movi r5,1 -811146b8: 112bc4c0 call 8112bc4c -811146bc: 85192815 stw r20,25760(r16) -811146c0: 88800803 ldbu r2,32(r17) -811146c4: 00c00084 movi r3,2 -811146c8: 1880422e bgeu r3,r2,811147d4 -811146cc: d1207417 ldw r4,-32304(gp) -811146d0: 1142c840 call 81142c84 -811146d4: d8800005 stb r2,0(sp) -811146d8: 10803fcc andi r2,r2,255 -811146dc: 10003b1e bne r2,zero,811147cc -811146e0: d1208b17 ldw r4,-32212(gp) -811146e4: 1142c840 call 81142c84 -811146e8: d8800005 stb r2,0(sp) -811146ec: 10803fcc andi r2,r2,255 -811146f0: 1000341e bne r2,zero,811147c4 -811146f4: 00800044 movi r2,1 -811146f8: 80992815 stw r2,25760(r16) -811146fc: d1208b17 ldw r4,-32212(gp) -81114700: d80d883a mov r6,sp -81114704: 000b883a mov r5,zero -81114708: 1142cd40 call 81142cd4 -8111470c: d8c00003 ldbu r3,0(sp) -81114710: 1800371e bne r3,zero,811147f0 -81114714: 1006d63a srli r3,r2,24 -81114718: 01000404 movi r4,16 -8111471c: 19004e26 beq r3,r4,81114858 -81114720: 80992817 ldw r2,25760(r16) -81114724: 003fd306 br 81114674 <__reset+0xfb0f4674> -81114728: 1125a140 call 81125a14 -8111472c: 88800803 ldbu r2,32(r17) -81114730: 00c00084 movi r3,2 -81114734: 1880332e bgeu r3,r2,81114804 -81114738: d1207417 ldw r4,-32304(gp) -8111473c: 1142c840 call 81142c84 -81114740: d8800005 stb r2,0(sp) -81114744: 10803fcc andi r2,r2,255 -81114748: 10002c1e bne r2,zero,811147fc -8111474c: d0206e15 stw zero,-32328(gp) -81114750: 00800044 movi r2,1 -81114754: d0a06c15 stw r2,-32336(gp) -81114758: 00bfffc4 movi r2,-1 -8111475c: d0a06d05 stb r2,-32332(gp) -81114760: 00800084 movi r2,2 -81114764: 80992815 stw r2,25760(r16) -81114768: d1208b17 ldw r4,-32212(gp) -8111476c: d80d883a mov r6,sp -81114770: 000b883a mov r5,zero -81114774: 1142cd40 call 81142cd4 -81114778: d8c00003 ldbu r3,0(sp) -8111477c: 183fe81e bne r3,zero,81114720 <__reset+0xfb0f4720> -81114780: 1006d43a srli r3,r2,16 -81114784: 01002004 movi r4,128 -81114788: 18c03fcc andi r3,r3,255 -8111478c: 19002426 beq r3,r4,81114820 -81114790: 1006d63a srli r3,r2,24 -81114794: 01000404 movi r4,16 -81114798: 19003426 beq r3,r4,8111486c -8111479c: 88800803 ldbu r2,32(r17) -811147a0: a8bfdf36 bltu r21,r2,81114720 <__reset+0xfb0f4720> -811147a4: d1e07917 ldw r7,-32284(gp) -811147a8: 01204574 movhi r4,33045 -811147ac: 21270e04 addi r4,r4,-25544 -811147b0: 01801184 movi r6,70 -811147b4: 01400044 movi r5,1 -811147b8: 112bc4c0 call 8112bc4c -811147bc: 80992817 ldw r2,25760(r16) -811147c0: 003fac06 br 81114674 <__reset+0xfb0f4674> -811147c4: 11259200 call 81125920 -811147c8: 003fca06 br 811146f4 <__reset+0xfb0f46f4> -811147cc: 11259200 call 81125920 -811147d0: 003fc306 br 811146e0 <__reset+0xfb0f46e0> -811147d4: d1e07917 ldw r7,-32284(gp) -811147d8: 01204574 movhi r4,33045 -811147dc: 2126fd04 addi r4,r4,-25612 -811147e0: 01800884 movi r6,34 -811147e4: 01400044 movi r5,1 -811147e8: 112bc4c0 call 8112bc4c -811147ec: 003fb706 br 811146cc <__reset+0xfb0f46cc> -811147f0: 11255640 call 81125564 -811147f4: 80992817 ldw r2,25760(r16) -811147f8: 003f9e06 br 81114674 <__reset+0xfb0f4674> -811147fc: 11259200 call 81125920 -81114800: 003fd206 br 8111474c <__reset+0xfb0f474c> -81114804: d1e07917 ldw r7,-32284(gp) -81114808: 01204574 movhi r4,33045 -8111480c: 21270604 addi r4,r4,-25576 -81114810: 018007c4 movi r6,31 -81114814: 01400044 movi r5,1 -81114818: 112bc4c0 call 8112bc4c -8111481c: 003fc606 br 81114738 <__reset+0xfb0f4738> -81114820: 11c03fcc andi r7,r2,255 -81114824: 38c42f24 muli r3,r7,4284 -81114828: 80c7883a add r3,r16,r3 -8111482c: 19002617 ldw r4,152(r3) -81114830: 00c00044 movi r3,1 -81114834: 20ffba1e bne r4,r3,81114720 <__reset+0xfb0f4720> -81114838: 100cd23a srli r6,r2,8 -8111483c: 014023c4 movi r5,143 -81114840: 3809883a mov r4,r7 -81114844: 31803fcc andi r6,r6,255 -81114848: 11143d80 call 811143d8 -8111484c: d0a06e15 stw r2,-32328(gp) -81114850: 80992817 ldw r2,25760(r16) -81114854: 003f8706 br 81114674 <__reset+0xfb0f4674> -81114858: 1009883a mov r4,r2 -8111485c: 800b883a mov r5,r16 -81114860: 11143300 call 81114330 -81114864: 80992817 ldw r2,25760(r16) -81114868: 003f8206 br 81114674 <__reset+0xfb0f4674> -8111486c: 1009883a mov r4,r2 -81114870: 800b883a mov r5,r16 -81114874: 11144f00 call 811144f0 -81114878: 80992817 ldw r2,25760(r16) -8111487c: 003f7d06 br 81114674 <__reset+0xfb0f4674> -81114880: d1e07917 ldw r7,-32284(gp) -81114884: 01204574 movhi r4,33045 -81114888: 2126f504 addi r4,r4,-25644 -8111488c: 018007c4 movi r6,31 -81114890: 01400044 movi r5,1 -81114894: 112bc4c0 call 8112bc4c -81114898: 003f7006 br 8111465c <__reset+0xfb0f465c> - -8111489c : -8111489c: defff504 addi sp,sp,-44 -811148a0: 00a04634 movhi r2,33048 -811148a4: dfc00a15 stw ra,40(sp) -811148a8: dd000915 stw r20,36(sp) -811148ac: dcc00815 stw r19,32(sp) -811148b0: dc800715 stw r18,28(sp) -811148b4: dc400615 stw r17,24(sp) -811148b8: dc000515 stw r16,20(sp) -811148bc: 108f0804 addi r2,r2,15392 -811148c0: 10800803 ldbu r2,32(r2) -811148c4: d8000015 stw zero,0(sp) -811148c8: d8000115 stw zero,4(sp) -811148cc: d8000215 stw zero,8(sp) -811148d0: d8000315 stw zero,12(sp) -811148d4: 00c00104 movi r3,4 -811148d8: 1880502e bgeu r3,r2,81114a1c -811148dc: 04204634 movhi r16,33048 -811148e0: 050d0d74 movhi r20,13365 -811148e4: 840c4f04 addi r16,r16,12604 -811148e8: a51f08c4 addi r20,r20,31779 -811148ec: 04400804 movi r17,32 -811148f0: 048008c4 movi r18,35 -811148f4: 04c00ec4 movi r19,59 -811148f8: d1207817 ldw r4,-32288(gp) -811148fc: d9800404 addi r6,sp,16 -81114900: 000b883a mov r5,zero -81114904: 11435640 call 81143564 -81114908: d8800403 ldbu r2,16(sp) -8111490c: 10003c1e bne r2,zero,81114a00 -81114910: d1208d17 ldw r4,-32204(gp) -81114914: d9800404 addi r6,sp,16 -81114918: 000b883a mov r5,zero -8111491c: 11424480 call 81142448 -81114920: d8800403 ldbu r2,16(sp) -81114924: 10003b1e bne r2,zero,81114a14 -81114928: 0007883a mov r3,zero -8111492c: 00000106 br 81114934 -81114930: 1c402e26 beq r3,r17,811149ec -81114934: 18c5883a add r2,r3,r3 -81114938: 1085883a add r2,r2,r2 -8111493c: 8085883a add r2,r16,r2 -81114940: 11000003 ldbu r4,0(r2) -81114944: 18c00044 addi r3,r3,1 -81114948: 21003fcc andi r4,r4,255 -8111494c: 2100201c xori r4,r4,128 -81114950: 213fe004 addi r4,r4,-128 -81114954: 203ff626 beq r4,zero,81114930 <__reset+0xfb0f4930> -81114958: 1140000b ldhu r5,0(r2) -8111495c: d1208d17 ldw r4,-32204(gp) -81114960: 10c0008b ldhu r3,2(r2) -81114964: 10000005 stb zero,0(r2) -81114968: d1606f0d sth r5,-32324(gp) -8111496c: d0e06f8d sth r3,-32322(gp) -81114970: 114274c0 call 8114274c -81114974: d0a06f07 ldb r2,-32324(gp) -81114978: 14802326 beq r2,r18,81114a08 -8111497c: d1e06f8b ldhu r7,-32322(gp) -81114980: d1a06f47 ldb r6,-32323(gp) -81114984: 01604574 movhi r5,33045 -81114988: 29673904 addi r5,r5,-25372 -8111498c: d809883a mov r4,sp -81114990: 112d6780 call 8112d678 -81114994: d809883a mov r4,sp -81114998: 112d86c0 call 8112d86c -8111499c: 100b883a mov r5,r2 -811149a0: d809883a mov r4,sp -811149a4: 11205400 call 81120540 -811149a8: 01604574 movhi r5,33045 -811149ac: 29673b04 addi r5,r5,-25364 -811149b0: 11c03fcc andi r7,r2,255 -811149b4: d80d883a mov r6,sp -811149b8: d809883a mov r4,sp -811149bc: 112d6780 call 8112d678 -811149c0: d1208f17 ldw r4,-32196(gp) -811149c4: d9800404 addi r6,sp,16 -811149c8: 01401904 movi r5,100 -811149cc: 11424480 call 81142448 -811149d0: d8800403 ldbu r2,16(sp) -811149d4: 1000081e bne r2,zero,811149f8 -811149d8: d809883a mov r4,sp -811149dc: 112cb400 call 8112cb40 -811149e0: d1208f17 ldw r4,-32196(gp) -811149e4: 114274c0 call 8114274c -811149e8: 003fc306 br 811148f8 <__reset+0xfb0f48f8> -811149ec: d1208d17 ldw r4,-32204(gp) -811149f0: 114274c0 call 8114274c -811149f4: 003fc006 br 811148f8 <__reset+0xfb0f48f8> -811149f8: 11241180 call 81124118 -811149fc: 003fbe06 br 811148f8 <__reset+0xfb0f48f8> -81114a00: 1123fb80 call 81123fb8 -81114a04: 003fbc06 br 811148f8 <__reset+0xfb0f48f8> -81114a08: dd000015 stw r20,0(sp) -81114a0c: dcc0010d sth r19,4(sp) -81114a10: 003feb06 br 811149c0 <__reset+0xfb0f49c0> -81114a14: 11240100 call 81124010 -81114a18: 003fb706 br 811148f8 <__reset+0xfb0f48f8> -81114a1c: d1e07917 ldw r7,-32284(gp) -81114a20: 01204574 movhi r4,33045 -81114a24: 21273004 addi r4,r4,-25408 -81114a28: 01800804 movi r6,32 -81114a2c: 01400044 movi r5,1 -81114a30: 112bc4c0 call 8112bc4c -81114a34: 003fa906 br 811148dc <__reset+0xfb0f48dc> - -81114a38 : -81114a38: defffc04 addi sp,sp,-16 -81114a3c: dc400215 stw r17,8(sp) -81114a40: 2023883a mov r17,r4 -81114a44: d1208317 ldw r4,-32244(gp) -81114a48: d80d883a mov r6,sp -81114a4c: 000b883a mov r5,zero -81114a50: dfc00315 stw ra,12(sp) -81114a54: dc000115 stw r16,4(sp) -81114a58: 11424480 call 81142448 -81114a5c: d8800003 ldbu r2,0(sp) -81114a60: 10001d1e bne r2,zero,81114ad8 -81114a64: 01204634 movhi r4,33048 -81114a68: 210c6f04 addi r4,r4,12732 -81114a6c: 01800804 movi r6,32 -81114a70: 00000106 br 81114a78 -81114a74: 11801626 beq r2,r6,81114ad0 -81114a78: 11401324 muli r5,r2,76 -81114a7c: 10800044 addi r2,r2,1 -81114a80: 214b883a add r5,r4,r5 -81114a84: 2c000104 addi r16,r5,4 -81114a88: 80c00003 ldbu r3,0(r16) -81114a8c: 18c03fcc andi r3,r3,255 -81114a90: 18c0201c xori r3,r3,128 -81114a94: 18ffe004 addi r3,r3,-128 -81114a98: 183ff626 beq r3,zero,81114a74 <__reset+0xfb0f4a74> -81114a9c: 8809883a mov r4,r17 -81114aa0: 01801304 movi r6,76 -81114aa4: 112c6480 call 8112c648 -81114aa8: 04400044 movi r17,1 -81114aac: 80000005 stb zero,0(r16) -81114ab0: d1208317 ldw r4,-32244(gp) -81114ab4: 114274c0 call 8114274c -81114ab8: 8805883a mov r2,r17 -81114abc: dfc00317 ldw ra,12(sp) -81114ac0: dc400217 ldw r17,8(sp) -81114ac4: dc000117 ldw r16,4(sp) -81114ac8: dec00404 addi sp,sp,16 -81114acc: f800283a ret -81114ad0: 0023883a mov r17,zero -81114ad4: 003ff606 br 81114ab0 <__reset+0xfb0f4ab0> -81114ad8: 11246540 call 81124654 -81114adc: 0023883a mov r17,zero -81114ae0: 003ff506 br 81114ab8 <__reset+0xfb0f4ab8> - -81114ae4 : -81114ae4: defffc04 addi sp,sp,-16 -81114ae8: dc400215 stw r17,8(sp) -81114aec: 2023883a mov r17,r4 -81114af0: d1208e17 ldw r4,-32200(gp) -81114af4: d80d883a mov r6,sp -81114af8: 01400284 movi r5,10 -81114afc: dfc00315 stw ra,12(sp) -81114b00: dc000115 stw r16,4(sp) -81114b04: 11424480 call 81142448 -81114b08: d8800003 ldbu r2,0(sp) -81114b0c: 1000131e bne r2,zero,81114b5c -81114b10: 01604574 movhi r5,33045 -81114b14: 29583704 addi r5,r5,24796 -81114b18: 01800404 movi r6,16 -81114b1c: 11004524 muli r4,r2,276 -81114b20: 10800044 addi r2,r2,1 -81114b24: 2909883a add r4,r5,r4 -81114b28: 24000104 addi r16,r4,4 -81114b2c: 80c00017 ldw r3,0(r16) -81114b30: 18000c26 beq r3,zero,81114b64 -81114b34: 11bff91e bne r2,r6,81114b1c <__reset+0xfb0f4b1c> -81114b38: 0023883a mov r17,zero -81114b3c: d1208e17 ldw r4,-32200(gp) -81114b40: 114274c0 call 8114274c -81114b44: 8805883a mov r2,r17 -81114b48: dfc00317 ldw ra,12(sp) -81114b4c: dc400217 ldw r17,8(sp) -81114b50: dc000117 ldw r16,4(sp) -81114b54: dec00404 addi sp,sp,16 -81114b58: f800283a ret -81114b5c: 0023883a mov r17,zero -81114b60: 003ff806 br 81114b44 <__reset+0xfb0f4b44> -81114b64: 880b883a mov r5,r17 -81114b68: 01804504 movi r6,276 -81114b6c: 112c6480 call 8112c648 -81114b70: d1208217 ldw r4,-32248(gp) -81114b74: 04400044 movi r17,1 -81114b78: 01404074 movhi r5,257 -81114b7c: 84400015 stw r17,0(r16) -81114b80: 1142f580 call 81142f58 -81114b84: d8800005 stb r2,0(sp) -81114b88: 10803fcc andi r2,r2,255 -81114b8c: 103feb26 beq r2,zero,81114b3c <__reset+0xfb0f4b3c> -81114b90: 11253fc0 call 811253fc -81114b94: 0023883a mov r17,zero -81114b98: 80000015 stw zero,0(r16) -81114b9c: 003fe706 br 81114b3c <__reset+0xfb0f4b3c> - -81114ba0 : -81114ba0: deff6e04 addi sp,sp,-584 -81114ba4: dc808a15 stw r18,552(sp) -81114ba8: 04a04634 movhi r18,33048 -81114bac: 948f0804 addi r18,r18,15392 -81114bb0: 90800803 ldbu r2,32(r18) -81114bb4: dfc09115 stw ra,580(sp) -81114bb8: df009015 stw fp,576(sp) -81114bbc: ddc08f15 stw r23,572(sp) -81114bc0: dd808e15 stw r22,568(sp) -81114bc4: dd408d15 stw r21,564(sp) -81114bc8: dd008c15 stw r20,560(sp) -81114bcc: dcc08b15 stw r19,556(sp) -81114bd0: dc408915 stw r17,548(sp) -81114bd4: dc008815 stw r16,544(sp) -81114bd8: d8008615 stw zero,536(sp) -81114bdc: 00c00104 movi r3,4 -81114be0: 1886ff2e bgeu r3,r2,811167e0 -81114be4: 04604574 movhi r17,33045 -81114be8: 04204574 movhi r16,33045 -81114bec: 8c482204 addi r17,r17,8328 -81114bf0: 04e04574 movhi r19,33045 -81114bf4: 05a045b4 movhi r22,33046 -81114bf8: 8407dd04 addi r16,r16,8052 -81114bfc: 9cc79804 addi r19,r19,7776 -81114c00: b5aedf04 addi r22,r22,-17540 -81114c04: 8829883a mov r20,r17 -81114c08: 05c00044 movi r23,1 -81114c0c: d1207b17 ldw r4,-32276(gp) -81114c10: d9808704 addi r6,sp,540 -81114c14: 000b883a mov r5,zero -81114c18: 11435640 call 81143564 -81114c1c: d8808703 ldbu r2,540(sp) -81114c20: 1002d31e bne r2,zero,81115770 -81114c24: 05604574 movhi r21,33045 -81114c28: ad482204 addi r21,r21,8328 -81114c2c: a809883a mov r4,r21 -81114c30: 1114a380 call 81114a38 -81114c34: 15c2ee1e bne r2,r23,811157f0 -81114c38: a8c00107 ldb r3,4(r21) -81114c3c: 00800844 movi r2,33 -81114c40: 1882b026 beq r3,r2,81115704 -81114c44: a8800147 ldb r2,5(r21) -81114c48: 00c010c4 movi r3,67 -81114c4c: 10c2df26 beq r2,r3,811157cc -81114c50: 00c01404 movi r3,80 -81114c54: 10ffec1e bne r2,r3,81114c08 <__reset+0xfb0f4c08> -81114c58: 90800803 ldbu r2,32(r18) -81114c5c: 00c00084 movi r3,2 -81114c60: 1883202e bgeu r3,r2,811158e4 -81114c64: 8880038b ldhu r2,14(r17) -81114c68: 8a40028b ldhu r9,10(r17) -81114c6c: 8a00030b ldhu r8,12(r17) -81114c70: 89c0040b ldhu r7,16(r17) -81114c74: 8a80048b ldhu r10,18(r17) -81114c78: 8080030d sth r2,12(r16) -81114c7c: 8240020d sth r9,8(r16) -81114c80: 8200028d sth r8,10(r16) -81114c84: 81c0038d sth r7,14(r16) -81114c88: 8280040d sth r10,16(r16) -81114c8c: 80000485 stb zero,18(r16) -81114c90: 10bfffcc andi r2,r2,65535 -81114c94: 00c03ec4 movi r3,251 -81114c98: 10c2fb26 beq r2,r3,81115888 -81114c9c: 1882b636 bltu r3,r2,81115778 -81114ca0: 00c00444 movi r3,17 -81114ca4: 10c2d426 beq r2,r3,811157f8 -81114ca8: 00c03e84 movi r3,250 -81114cac: 10ffd61e bne r2,r3,81114c08 <__reset+0xfb0f4c08> -81114cb0: 38bff8c4 addi r2,r7,-29 -81114cb4: 10bfffcc andi r2,r2,65535 -81114cb8: 00c00d44 movi r3,53 -81114cbc: 18828536 bltu r3,r2,811156d4 -81114cc0: 100490ba slli r2,r2,2 -81114cc4: 00e04474 movhi r3,33041 -81114cc8: 18d33604 addi r3,r3,19672 -81114ccc: 10c5883a add r2,r2,r3 -81114cd0: 10800017 ldw r2,0(r2) -81114cd4: 1000683a jmp r2 -81114cd8: 81115524 muli r4,r16,17748 -81114cdc: 811156d4 ori r4,r16,17755 -81114ce0: 811154cc andi r4,r16,17747 -81114ce4: 811156d4 ori r4,r16,17755 -81114ce8: 811156d4 ori r4,r16,17755 -81114cec: 811156d4 ori r4,r16,17755 -81114cf0: 811156d4 ori r4,r16,17755 -81114cf4: 81115644 addi r4,r16,17753 -81114cf8: 81115614 ori r4,r16,17752 -81114cfc: 811156d4 ori r4,r16,17755 -81114d00: 811156d4 ori r4,r16,17755 -81114d04: 811156d4 ori r4,r16,17755 -81114d08: 811156d4 ori r4,r16,17755 -81114d0c: 811156d4 ori r4,r16,17755 -81114d10: 811156d4 ori r4,r16,17755 -81114d14: 81115438 rdprs r4,r16,17744 -81114d18: 811156d4 ori r4,r16,17755 -81114d1c: 81115408 cmpgei r4,r16,17744 -81114d20: 811156a4 muli r4,r16,17754 -81114d24: 81115674 orhi r4,r16,17753 -81114d28: 8111549c xori r4,r16,17746 -81114d2c: 8111546c andhi r4,r16,17745 -81114d30: 811155dc xori r4,r16,17751 -81114d34: 8111557c xorhi r4,r16,17749 -81114d38: 811155ac andhi r4,r16,17750 -81114d3c: 81115398 cmpnei r4,r16,17742 -81114d40: 811156d4 ori r4,r16,17755 -81114d44: 811152fc xorhi r4,r16,17739 -81114d48: 811156d4 ori r4,r16,17755 -81114d4c: 811152c4 addi r4,r16,17739 -81114d50: 8111527c xorhi r4,r16,17737 -81114d54: 81115254 ori r4,r16,17737 -81114d58: 81115220 cmpeqi r4,r16,17736 -81114d5c: 811151f0 cmpltui r4,r16,17735 -81114d60: 811151c0 call 8811151c <__reset+0x20f151c> -81114d64: 811150c8 cmpgei r4,r16,17731 -81114d68: 811156d4 ori r4,r16,17755 -81114d6c: 81115080 call 88111508 <__reset+0x20f1508> -81114d70: 81115050 cmplti r4,r16,17729 -81114d74: 811156d4 ori r4,r16,17755 -81114d78: 811156d4 ori r4,r16,17755 -81114d7c: 81114fa0 cmpeqi r4,r16,17726 -81114d80: 811156d4 ori r4,r16,17755 -81114d84: 81114f70 cmpltui r4,r16,17725 -81114d88: 81115020 cmpeqi r4,r16,17728 -81114d8c: 81114ff0 cmpltui r4,r16,17727 -81114d90: 81114f40 call 881114f4 <__reset+0x20f14f4> -81114d94: 81114f10 cmplti r4,r16,17724 -81114d98: 81114ee0 cmpeqi r4,r16,17723 -81114d9c: 81114e70 cmpltui r4,r16,17721 -81114da0: 81114ea0 cmpeqi r4,r16,17722 -81114da4: 81114e30 cmpltui r4,r16,17720 -81114da8: 81114df0 cmpltui r4,r16,17719 -81114dac: 81114db0 cmpltui r4,r16,17718 -81114db0: 8940050b ldhu r5,20(r17) -81114db4: 00c00184 movi r3,6 -81114db8: 28bfffcc andi r2,r5,65535 -81114dbc: 18bf9236 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81114dc0: 80c00483 ldbu r3,18(r16) -81114dc4: 01204574 movhi r4,33045 -81114dc8: 2107dd04 addi r4,r4,8052 -81114dcc: 18803fcc andi r2,r3,255 -81114dd0: 10800284 addi r2,r2,10 -81114dd4: 1085883a add r2,r2,r2 -81114dd8: 8085883a add r2,r16,r2 -81114ddc: 18c00044 addi r3,r3,1 -81114de0: 1140000d sth r5,0(r2) -81114de4: 80c00485 stb r3,18(r16) -81114de8: 1114ae40 call 81114ae4 -81114dec: 003f8606 br 81114c08 <__reset+0xfb0f4c08> -81114df0: 8940050b ldhu r5,20(r17) -81114df4: 00c00184 movi r3,6 -81114df8: 28bfffcc andi r2,r5,65535 -81114dfc: 18bf8236 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81114e00: 80c00483 ldbu r3,18(r16) -81114e04: 01204574 movhi r4,33045 -81114e08: 2107dd04 addi r4,r4,8052 -81114e0c: 18803fcc andi r2,r3,255 -81114e10: 10800284 addi r2,r2,10 -81114e14: 1085883a add r2,r2,r2 -81114e18: 8085883a add r2,r16,r2 -81114e1c: 18c00044 addi r3,r3,1 -81114e20: 1140000d sth r5,0(r2) -81114e24: 80c00485 stb r3,18(r16) -81114e28: 1114ae40 call 81114ae4 -81114e2c: 003f7606 br 81114c08 <__reset+0xfb0f4c08> -81114e30: 8940050b ldhu r5,20(r17) -81114e34: 00c00184 movi r3,6 -81114e38: 28bfffcc andi r2,r5,65535 -81114e3c: 18bf7236 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81114e40: 80c00483 ldbu r3,18(r16) -81114e44: 01204574 movhi r4,33045 -81114e48: 2107dd04 addi r4,r4,8052 -81114e4c: 18803fcc andi r2,r3,255 -81114e50: 10800284 addi r2,r2,10 -81114e54: 1085883a add r2,r2,r2 -81114e58: 8085883a add r2,r16,r2 -81114e5c: 18c00044 addi r3,r3,1 -81114e60: 1140000d sth r5,0(r2) -81114e64: 80c00485 stb r3,18(r16) -81114e68: 1114ae40 call 81114ae4 -81114e6c: 003f6606 br 81114c08 <__reset+0xfb0f4c08> -81114e70: 8a80050b ldhu r10,20(r17) -81114e74: 00800184 movi r2,6 -81114e78: 51bfffcc andi r6,r10,65535 -81114e7c: 1185842e bgeu r2,r6,81116490 -81114e80: 90800803 ldbu r2,32(r18) -81114e84: 00c001c4 movi r3,7 -81114e88: 18bf5f36 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81114e8c: d1207917 ldw r4,-32284(gp) -81114e90: 01604574 movhi r5,33045 -81114e94: 2967bc04 addi r5,r5,-24848 -81114e98: 112b5e00 call 8112b5e0 -81114e9c: 003f5a06 br 81114c08 <__reset+0xfb0f4c08> -81114ea0: 8940050b ldhu r5,20(r17) -81114ea4: 00c00184 movi r3,6 -81114ea8: 28bfffcc andi r2,r5,65535 -81114eac: 18bf5636 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81114eb0: 80c00483 ldbu r3,18(r16) -81114eb4: 01204574 movhi r4,33045 -81114eb8: 2107dd04 addi r4,r4,8052 -81114ebc: 18803fcc andi r2,r3,255 -81114ec0: 10800284 addi r2,r2,10 -81114ec4: 1085883a add r2,r2,r2 -81114ec8: 8085883a add r2,r16,r2 -81114ecc: 18c00044 addi r3,r3,1 -81114ed0: 1140000d sth r5,0(r2) -81114ed4: 80c00485 stb r3,18(r16) -81114ed8: 1114ae40 call 81114ae4 -81114edc: 003f4a06 br 81114c08 <__reset+0xfb0f4c08> -81114ee0: 89c0050b ldhu r7,20(r17) -81114ee4: 00800184 movi r2,6 -81114ee8: 39bfffcc andi r6,r7,65535 -81114eec: 1185112e bgeu r2,r6,81116334 -81114ef0: 90800803 ldbu r2,32(r18) -81114ef4: 00c001c4 movi r3,7 -81114ef8: 18bf4336 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81114efc: d1207917 ldw r4,-32284(gp) -81114f00: 01604574 movhi r5,33045 -81114f04: 2967bc04 addi r5,r5,-24848 -81114f08: 112b5e00 call 8112b5e0 -81114f0c: 003f3e06 br 81114c08 <__reset+0xfb0f4c08> -81114f10: 89c0050b ldhu r7,20(r17) -81114f14: 00800184 movi r2,6 -81114f18: 39bfffcc andi r6,r7,65535 -81114f1c: 1185492e bgeu r2,r6,81116444 -81114f20: 90800803 ldbu r2,32(r18) -81114f24: 00c001c4 movi r3,7 -81114f28: 18bf3736 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81114f2c: d1207917 ldw r4,-32284(gp) -81114f30: 01604574 movhi r5,33045 -81114f34: 2967bc04 addi r5,r5,-24848 -81114f38: 112b5e00 call 8112b5e0 -81114f3c: 003f3206 br 81114c08 <__reset+0xfb0f4c08> -81114f40: 89c0050b ldhu r7,20(r17) -81114f44: 00800184 movi r2,6 -81114f48: 39bfffcc andi r6,r7,65535 -81114f4c: 11858d2e bgeu r2,r6,81116584 -81114f50: 90800803 ldbu r2,32(r18) -81114f54: 00c001c4 movi r3,7 -81114f58: 18bf2b36 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81114f5c: d1207917 ldw r4,-32284(gp) -81114f60: 01604574 movhi r5,33045 -81114f64: 2967bc04 addi r5,r5,-24848 -81114f68: 112b5e00 call 8112b5e0 -81114f6c: 003f2606 br 81114c08 <__reset+0xfb0f4c08> -81114f70: 8a80050b ldhu r10,20(r17) -81114f74: 00800184 movi r2,6 -81114f78: 51bfffcc andi r6,r10,65535 -81114f7c: 1184c52e bgeu r2,r6,81116294 -81114f80: 90800803 ldbu r2,32(r18) -81114f84: 00c001c4 movi r3,7 -81114f88: 18bf1f36 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81114f8c: d1207917 ldw r4,-32284(gp) -81114f90: 01604574 movhi r5,33045 -81114f94: 2967bc04 addi r5,r5,-24848 -81114f98: 112b5e00 call 8112b5e0 -81114f9c: 003f1a06 br 81114c08 <__reset+0xfb0f4c08> -81114fa0: 81400483 ldbu r5,18(r16) -81114fa4: 89c0050b ldhu r7,20(r17) -81114fa8: 8980058b ldhu r6,22(r17) -81114fac: 28c03fcc andi r3,r5,255 -81114fb0: 28800044 addi r2,r5,1 -81114fb4: 18c00284 addi r3,r3,10 -81114fb8: 10803fcc andi r2,r2,255 -81114fbc: 18c7883a add r3,r3,r3 -81114fc0: 10800284 addi r2,r2,10 -81114fc4: 80c7883a add r3,r16,r3 -81114fc8: 01204574 movhi r4,33045 -81114fcc: 1085883a add r2,r2,r2 -81114fd0: 19c0000d sth r7,0(r3) -81114fd4: 8085883a add r2,r16,r2 -81114fd8: 29400084 addi r5,r5,2 -81114fdc: 2107dd04 addi r4,r4,8052 -81114fe0: 1180000d sth r6,0(r2) -81114fe4: 81400485 stb r5,18(r16) -81114fe8: 1114ae40 call 81114ae4 -81114fec: 003f0606 br 81114c08 <__reset+0xfb0f4c08> -81114ff0: 89c0050b ldhu r7,20(r17) -81114ff4: 00800184 movi r2,6 -81114ff8: 39bfffcc andi r6,r7,65535 -81114ffc: 1184922e bgeu r2,r6,81116248 -81115000: 90800803 ldbu r2,32(r18) -81115004: 00c001c4 movi r3,7 -81115008: 18beff36 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -8111500c: d1207917 ldw r4,-32284(gp) -81115010: 01604574 movhi r5,33045 -81115014: 2967bc04 addi r5,r5,-24848 -81115018: 112b5e00 call 8112b5e0 -8111501c: 003efa06 br 81114c08 <__reset+0xfb0f4c08> -81115020: 8a40050b ldhu r9,20(r17) -81115024: 00800184 movi r2,6 -81115028: 49bfffcc andi r6,r9,65535 -8111502c: 1185682e bgeu r2,r6,811165d0 -81115030: 90800803 ldbu r2,32(r18) -81115034: 00c001c4 movi r3,7 -81115038: 18bef336 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -8111503c: d1207917 ldw r4,-32284(gp) -81115040: 01604574 movhi r5,33045 -81115044: 2967bc04 addi r5,r5,-24848 -81115048: 112b5e00 call 8112b5e0 -8111504c: 003eee06 br 81114c08 <__reset+0xfb0f4c08> -81115050: 8a80050b ldhu r10,20(r17) -81115054: 00800184 movi r2,6 -81115058: 51bfffcc andi r6,r10,65535 -8111505c: 1184522e bgeu r2,r6,811161a8 -81115060: 90800803 ldbu r2,32(r18) -81115064: 00c001c4 movi r3,7 -81115068: 18bee736 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -8111506c: d1207917 ldw r4,-32284(gp) -81115070: 01604574 movhi r5,33045 -81115074: 2967bc04 addi r5,r5,-24848 -81115078: 112b5e00 call 8112b5e0 -8111507c: 003ee206 br 81114c08 <__reset+0xfb0f4c08> -81115080: 90800803 ldbu r2,32(r18) -81115084: 00c00084 movi r3,2 -81115088: 18800636 bltu r3,r2,811150a4 -8111508c: d1e07917 ldw r7,-32284(gp) -81115090: 01204574 movhi r4,33045 -81115094: 2127e104 addi r4,r4,-24700 -81115098: 01800744 movi r6,29 -8111509c: 01400044 movi r5,1 -811150a0: 112bc4c0 call 8112bc4c +81113f04: 29403fcc andi r5,r5,255 +81113f08: 294b883a add r5,r5,r5 +81113f0c: 294b883a add r5,r5,r5 +81113f10: 2149883a add r4,r4,r5 +81113f14: 00b27714 movui r2,51676 +81113f18: 2085883a add r2,r4,r2 +81113f1c: 10800017 ldw r2,0(r2) +81113f20: 1000131e bne r2,zero,81113f70 +81113f24: 01727114 movui r5,51652 +81113f28: 2149883a add r4,r4,r5 +81113f2c: 00800044 movi r2,1 +81113f30: 20800015 stw r2,0(r4) +81113f34: f800283a ret +81113f38: defffe04 addi sp,sp,-8 +81113f3c: dfc00115 stw ra,4(sp) +81113f40: dc000015 stw r16,0(sp) +81113f44: 2021883a mov r16,r4 +81113f48: 11060000 call 81106000 +81113f4c: 1105ff00 call 81105ff0 +81113f50: 1105fdc0 call 81105fdc +81113f54: 01328514 movui r4,51732 +81113f58: 8109883a add r4,r16,r4 +81113f5c: 008000c4 movi r2,3 +81113f60: 20800015 stw r2,0(r4) +81113f64: dfc00117 ldw ra,4(sp) +81113f68: dc000017 ldw r16,0(sp) +81113f6c: dec00204 addi sp,sp,8 +81113f70: f800283a ret +81113f74: 00a04634 movhi r2,33048 +81113f78: 108f1904 addi r2,r2,15460 +81113f7c: 10800803 ldbu r2,32(r2) +81113f80: 00c001c4 movi r3,7 +81113f84: 18bffa36 bltu r3,r2,81113f70 <__reset+0xfb0f3f70> +81113f88: 1113c081 jmpi 81113c08 + +81113f8c : +81113f8c: defff404 addi sp,sp,-48 +81113f90: dd400715 stw r21,28(sp) +81113f94: 05604634 movhi r21,33048 +81113f98: ad4f1904 addi r21,r21,15460 +81113f9c: a8800803 ldbu r2,32(r21) +81113fa0: dc800415 stw r18,16(sp) +81113fa4: dfc00b15 stw ra,44(sp) +81113fa8: df000a15 stw fp,40(sp) +81113fac: ddc00915 stw r23,36(sp) +81113fb0: dd800815 stw r22,32(sp) +81113fb4: dd000615 stw r20,24(sp) +81113fb8: dcc00515 stw r19,20(sp) +81113fbc: dc400315 stw r17,12(sp) +81113fc0: dc000215 stw r16,8(sp) +81113fc4: 00c00104 movi r3,4 +81113fc8: 2025883a mov r18,r4 +81113fcc: 1880de2e bgeu r3,r2,81114348 +81113fd0: 05328514 movui r20,51732 +81113fd4: 9529883a add r20,r18,r20 +81113fd8: a0800017 ldw r2,0(r20) +81113fdc: 04e04474 movhi r19,33041 +81113fe0: 04328314 movui r16,51724 +81113fe4: 04728414 movui r17,51728 +81113fe8: 002d883a mov r22,zero +81113fec: 9cd00404 addi r19,r19,16400 +81113ff0: 9421883a add r16,r18,r16 +81113ff4: 9463883a add r17,r18,r17 +81113ff8: 100690ba slli r3,r2,2 +81113ffc: 01000184 movi r4,6 +81114000: 1cc7883a add r3,r3,r19 +81114004: 20806036 bltu r4,r2,81114188 +81114008: 19400017 ldw r5,0(r3) +8111400c: 2800683a jmp r5 +81114010: 811140f8 rdprs r4,r16,17667 +81114014: 81114004 addi r4,r16,17664 +81114018: 811140b4 orhi r4,r16,17666 +8111401c: 81114080 call 88111408 <__reset+0x20f1408> +81114020: 81114054 ori r4,r16,17665 +81114024: 8111402c andhi r4,r16,17664 +81114028: 8111413c xorhi r4,r16,17668 +8111402c: d1208917 ldw r4,-32220(gp) +81114030: d80d883a mov r6,sp +81114034: 000b883a mov r5,zero +81114038: 11424880 call 81142488 +8111403c: d8800003 ldbu r2,0(sp) +81114040: 1000581e bne r2,zero,811141a4 +81114044: 008000c4 movi r2,3 +81114048: a0800015 stw r2,0(r20) +8111404c: 002d883a mov r22,zero +81114050: 003fe906 br 81113ff8 <__reset+0xfb0f3ff8> +81114054: d1208617 ldw r4,-32232(gp) +81114058: d80d883a mov r6,sp +8111405c: 000b883a mov r5,zero +81114060: 1142d140 call 81142d14 +81114064: d8c00003 ldbu r3,0(sp) +81114068: 18006326 beq r3,zero,811141f8 +8111406c: a8800803 ldbu r2,32(r21) +81114070: 00c001c4 movi r3,7 +81114074: 1880652e bgeu r3,r2,8111420c +81114078: a0800017 ldw r2,0(r20) +8111407c: 003fde06 br 81113ff8 <__reset+0xfb0f3ff8> +81114080: b7003fcc andi fp,r22,255 +81114084: 00c00144 movi r3,5 +81114088: 1f004c36 bltu r3,fp,811141bc +8111408c: e707883a add r3,fp,fp +81114090: 18c7883a add r3,r3,r3 +81114094: 90ef883a add r23,r18,r3 +81114098: 00f27114 movui r3,51652 +8111409c: b8c7883a add r3,r23,r3 +811140a0: 18c00017 ldw r3,0(r3) +811140a4: 01000044 movi r4,1 +811140a8: 19006826 beq r3,r4,8111424c +811140ac: b5800044 addi r22,r22,1 +811140b0: 003fd106 br 81113ff8 <__reset+0xfb0f3ff8> +811140b4: d1208617 ldw r4,-32232(gp) +811140b8: d80d883a mov r6,sp +811140bc: 000b883a mov r5,zero +811140c0: 1142d140 call 81142d14 +811140c4: d8c00003 ldbu r3,0(sp) +811140c8: 18003f26 beq r3,zero,811141c8 +811140cc: a8800803 ldbu r2,32(r21) +811140d0: 00c001c4 movi r3,7 +811140d4: 18bfe836 bltu r3,r2,81114078 <__reset+0xfb0f4078> +811140d8: d1e07917 ldw r7,-32284(gp) +811140dc: 01204574 movhi r4,33045 +811140e0: 21268a04 addi r4,r4,-26072 +811140e4: 01800d84 movi r6,54 +811140e8: 01400044 movi r5,1 +811140ec: 112bc8c0 call 8112bc8c +811140f0: a0800017 ldw r2,0(r20) +811140f4: 003fc006 br 81113ff8 <__reset+0xfb0f3ff8> +811140f8: 05f27d14 movui r23,51700 +811140fc: 95ef883a add r23,r18,r23 +81114100: 0039883a mov fp,zero +81114104: b9400017 ldw r5,0(r23) +81114108: 81800017 ldw r6,0(r16) +8111410c: 89000003 ldbu r4,0(r17) +81114110: bdc00104 addi r23,r23,4 +81114114: 11059900 call 81105990 +81114118: b9bfff17 ldw r6,-4(r23) +8111411c: 89400003 ldbu r5,0(r17) +81114120: e009883a mov r4,fp +81114124: e7000044 addi fp,fp,1 +81114128: 1105a140 call 81105a14 +8111412c: bc3ff51e bne r23,r16,81114104 <__reset+0xfb0f4104> +81114130: 00800084 movi r2,2 +81114134: a0800015 stw r2,0(r20) +81114138: 003faf06 br 81113ff8 <__reset+0xfb0f3ff8> +8111413c: d1208917 ldw r4,-32220(gp) +81114140: 114278c0 call 8114278c +81114144: 0007883a mov r3,zero +81114148: 01f27114 movui r7,51652 +8111414c: 01b27714 movui r6,51676 +81114150: 01400184 movi r5,6 +81114154: 18c5883a add r2,r3,r3 +81114158: 1085883a add r2,r2,r2 +8111415c: 9085883a add r2,r18,r2 +81114160: 11c9883a add r4,r2,r7 +81114164: 20000015 stw zero,0(r4) +81114168: 1185883a add r2,r2,r6 +8111416c: 10000015 stw zero,0(r2) +81114170: 18c00044 addi r3,r3,1 +81114174: 197ff71e bne r3,r5,81114154 <__reset+0xfb0f4154> +81114178: 1105f480 call 81105f48 +8111417c: 00800084 movi r2,2 +81114180: a0800015 stw r2,0(r20) +81114184: 003f9c06 br 81113ff8 <__reset+0xfb0f3ff8> +81114188: a8800803 ldbu r2,32(r21) +8111418c: 00c001c4 movi r3,7 +81114190: 1880122e bgeu r3,r2,811141dc +81114194: 00800084 movi r2,2 +81114198: 90800115 stw r2,4(r18) +8111419c: a0800017 ldw r2,0(r20) +811141a0: 003f9506 br 81113ff8 <__reset+0xfb0f3ff8> +811141a4: a8800803 ldbu r2,32(r21) +811141a8: 00c001c4 movi r3,7 +811141ac: 18801f2e bgeu r3,r2,8111422c +811141b0: a0800017 ldw r2,0(r20) +811141b4: 002d883a mov r22,zero +811141b8: 003f8f06 br 81113ff8 <__reset+0xfb0f3ff8> +811141bc: 00800184 movi r2,6 +811141c0: a0800015 stw r2,0(r20) +811141c4: 003f8c06 br 81113ff8 <__reset+0xfb0f3ff8> +811141c8: 100b883a mov r5,r2 +811141cc: 9009883a mov r4,r18 +811141d0: 1113c200 call 81113c20 +811141d4: a0800017 ldw r2,0(r20) +811141d8: 003f8706 br 81113ff8 <__reset+0xfb0f3ff8> +811141dc: d1e07917 ldw r7,-32284(gp) +811141e0: 01204574 movhi r4,33045 +811141e4: 2126d604 addi r4,r4,-25768 +811141e8: 01800804 movi r6,32 +811141ec: 01400044 movi r5,1 +811141f0: 112bc8c0 call 8112bc8c +811141f4: 003fe706 br 81114194 <__reset+0xfb0f4194> +811141f8: 100b883a mov r5,r2 +811141fc: 9009883a mov r4,r18 +81114200: 1113dc80 call 81113dc8 +81114204: a0800017 ldw r2,0(r20) +81114208: 003f7b06 br 81113ff8 <__reset+0xfb0f3ff8> +8111420c: d1e07917 ldw r7,-32284(gp) +81114210: 01204574 movhi r4,33045 +81114214: 2126c504 addi r4,r4,-25836 +81114218: 01801004 movi r6,64 +8111421c: 01400044 movi r5,1 +81114220: 112bc8c0 call 8112bc8c +81114224: a0800017 ldw r2,0(r20) +81114228: 003f7306 br 81113ff8 <__reset+0xfb0f3ff8> +8111422c: d1207917 ldw r4,-32284(gp) +81114230: 01604574 movhi r5,33045 +81114234: 29669804 addi r5,r5,-26016 +81114238: 000d883a mov r6,zero +8111423c: 112b6200 call 8112b620 +81114240: 002d883a mov r22,zero +81114244: a0800017 ldw r2,0(r20) +81114248: 003f6b06 br 81113ff8 <__reset+0xfb0f3ff8> +8111424c: d8c00115 stw r3,4(sp) +81114250: 11060000 call 81106000 +81114254: 1105ff00 call 81105ff0 +81114258: 1105fdc0 call 81105fdc +8111425c: 1105f480 call 81105f48 +81114260: e009883a mov r4,fp +81114264: 11056140 call 81105614 +81114268: e0842f24 muli r2,fp,4284 +8111426c: 81c00017 ldw r7,0(r16) +81114270: e009883a mov r4,fp +81114274: 9085883a add r2,r18,r2 +81114278: 1219910b ldhu r8,26180(r2) +8111427c: 1199900b ldhu r6,26176(r2) +81114280: 1159908b ldhu r5,26178(r2) +81114284: 418d883a add r6,r8,r6 +81114288: 31bfffcc andi r6,r6,65535 +8111428c: 1105ec80 call 81105ec8 +81114290: d8c00117 ldw r3,4(sp) +81114294: 10c00a26 beq r2,r3,811142c0 +81114298: a8800803 ldbu r2,32(r21) +8111429c: 00c001c4 movi r3,7 +811142a0: 18bf7536 bltu r3,r2,81114078 <__reset+0xfb0f4078> +811142a4: d1207917 ldw r4,-32284(gp) +811142a8: 01604574 movhi r5,33045 +811142ac: 2966b604 addi r5,r5,-25896 +811142b0: e00d883a mov r6,fp +811142b4: 112b6200 call 8112b620 +811142b8: a0800017 ldw r2,0(r20) +811142bc: 003f4e06 br 81113ff8 <__reset+0xfb0f3ff8> +811142c0: 88c00003 ldbu r3,0(r17) +811142c4: 1800131e bne r3,zero,81114314 +811142c8: 00b27d14 movui r2,51700 +811142cc: b8af883a add r23,r23,r2 +811142d0: 81800017 ldw r6,0(r16) +811142d4: b9400017 ldw r5,0(r23) +811142d8: 000f883a mov r7,zero +811142dc: 0009883a mov r4,zero +811142e0: 110209c0 call 8110209c +811142e4: 00c00044 movi r3,1 +811142e8: 10c01326 beq r2,r3,81114338 +811142ec: a8800803 ldbu r2,32(r21) +811142f0: 00c001c4 movi r3,7 +811142f4: 18bf6036 bltu r3,r2,81114078 <__reset+0xfb0f4078> +811142f8: d1207917 ldw r4,-32284(gp) +811142fc: 01604574 movhi r5,33045 +81114300: 2966a904 addi r5,r5,-25948 +81114304: e00d883a mov r6,fp +81114308: 112b6200 call 8112b620 +8111430c: a0800017 ldw r2,0(r20) +81114310: 003f3906 br 81113ff8 <__reset+0xfb0f3ff8> +81114314: 00f27d14 movui r3,51700 +81114318: b8ef883a add r23,r23,r3 +8111431c: 81800017 ldw r6,0(r16) +81114320: b9400017 ldw r5,0(r23) +81114324: 000f883a mov r7,zero +81114328: 1009883a mov r4,r2 +8111432c: 110209c0 call 8110209c +81114330: 00c00044 movi r3,1 +81114334: 10ffed1e bne r2,r3,811142ec <__reset+0xfb0f42ec> +81114338: 00800104 movi r2,4 +8111433c: a0800015 stw r2,0(r20) +81114340: b0ed883a add r22,r22,r3 +81114344: 003f2c06 br 81113ff8 <__reset+0xfb0f3ff8> +81114348: d1e07917 ldw r7,-32284(gp) +8111434c: 01204574 movhi r4,33045 +81114350: 21268204 addi r4,r4,-26104 +81114354: 01800704 movi r6,28 +81114358: 01400044 movi r5,1 +8111435c: 112bc8c0 call 8112bc8c +81114360: 003f1b06 br 81113fd0 <__reset+0xfb0f3fd0> + +81114364 : +81114364: 2008d43a srli r4,r4,16 +81114368: 00802044 movi r2,129 +8111436c: 21003fcc andi r4,r4,255 +81114370: 11000936 bltu r2,r4,81114398 +81114374: 00802004 movi r2,128 +81114378: 2080122e bgeu r4,r2,811143c4 +8111437c: 00800044 movi r2,1 +81114380: 20800b26 beq r4,r2,811143b0 +81114384: 00800084 movi r2,2 +81114388: 20800f1e bne r4,r2,811143c8 +8111438c: 00800104 movi r2,4 +81114390: 28992815 stw r2,25760(r5) +81114394: f800283a ret +81114398: 00802884 movi r2,162 +8111439c: 20bffb26 beq r4,r2,8111438c <__reset+0xfb0f438c> +811143a0: 008028c4 movi r2,163 +811143a4: 20800726 beq r4,r2,811143c4 +811143a8: 00802844 movi r2,161 +811143ac: 2080061e bne r4,r2,811143c8 +811143b0: 00a04634 movhi r2,33048 +811143b4: 108f1904 addi r2,r2,15460 +811143b8: 10800803 ldbu r2,32(r2) +811143bc: 00c00084 movi r3,2 +811143c0: 18800c2e bgeu r3,r2,811143f4 +811143c4: f800283a ret +811143c8: 00a04634 movhi r2,33048 +811143cc: 108f1904 addi r2,r2,15460 +811143d0: 10800803 ldbu r2,32(r2) +811143d4: 00c001c4 movi r3,7 +811143d8: 18bffa36 bltu r3,r2,811143c4 <__reset+0xfb0f43c4> +811143dc: d1e07917 ldw r7,-32284(gp) +811143e0: 01204574 movhi r4,33045 +811143e4: 2126ed04 addi r4,r4,-25676 +811143e8: 018009c4 movi r6,39 +811143ec: 01400044 movi r5,1 +811143f0: 112bc8c1 jmpi 8112bc8c +811143f4: d1e07917 ldw r7,-32284(gp) +811143f8: 01204574 movhi r4,33045 +811143fc: 2126df04 addi r4,r4,-25732 +81114400: 01800d44 movi r6,53 +81114404: 01400044 movi r5,1 +81114408: 112bc8c1 jmpi 8112bc8c + +8111440c : +8111440c: defffe04 addi sp,sp,-8 +81114410: dc000015 stw r16,0(sp) +81114414: 20c00444 addi r3,r4,17 +81114418: 24003fcc andi r16,r4,255 +8111441c: 29403fcc andi r5,r5,255 +81114420: 280a943a slli r5,r5,16 +81114424: 1806963a slli r3,r3,24 +81114428: 31803fcc andi r6,r6,255 +8111442c: 8405883a add r2,r16,r16 +81114430: 01204634 movhi r4,33048 +81114434: 21241604 addi r4,r4,-28584 +81114438: 300c923a slli r6,r6,8 +8111443c: 1085883a add r2,r2,r2 +81114440: 2085883a add r2,r4,r2 +81114444: 1946b03a or r3,r3,r5 +81114448: 11000017 ldw r4,0(r2) +8111444c: 39403fcc andi r5,r7,255 +81114450: 198cb03a or r6,r3,r6 +81114454: 314ab03a or r5,r6,r5 +81114458: dfc00115 stw ra,4(sp) +8111445c: 1142f980 call 81142f98 +81114460: 10803fcc andi r2,r2,255 +81114464: 1000051e bne r2,zero,8111447c +81114468: 00800044 movi r2,1 +8111446c: dfc00117 ldw ra,4(sp) +81114470: dc000017 ldw r16,0(sp) +81114474: dec00204 addi sp,sp,8 +81114478: f800283a ret +8111447c: 8009883a mov r4,r16 +81114480: 11256540 call 81125654 +81114484: 0005883a mov r2,zero +81114488: dfc00117 ldw ra,4(sp) +8111448c: dc000017 ldw r16,0(sp) +81114490: dec00204 addi sp,sp,8 +81114494: f800283a ret + +81114498 : +81114498: defffe04 addi sp,sp,-8 +8111449c: dc000015 stw r16,0(sp) +811144a0: 20c00444 addi r3,r4,17 +811144a4: 24003fcc andi r16,r4,255 +811144a8: 29403fcc andi r5,r5,255 +811144ac: 280a943a slli r5,r5,16 +811144b0: 1806963a slli r3,r3,24 +811144b4: 31803fcc andi r6,r6,255 +811144b8: 8405883a add r2,r16,r16 +811144bc: 01204634 movhi r4,33048 +811144c0: 21241604 addi r4,r4,-28584 +811144c4: 300c923a slli r6,r6,8 +811144c8: 1085883a add r2,r2,r2 +811144cc: 2085883a add r2,r4,r2 +811144d0: 1946b03a or r3,r3,r5 +811144d4: 11000017 ldw r4,0(r2) +811144d8: 39403fcc andi r5,r7,255 +811144dc: 198cb03a or r6,r3,r6 +811144e0: 314ab03a or r5,r6,r5 +811144e4: dfc00115 stw ra,4(sp) +811144e8: 11430640 call 81143064 +811144ec: 10803fcc andi r2,r2,255 +811144f0: 1000051e bne r2,zero,81114508 +811144f4: 00800044 movi r2,1 +811144f8: dfc00117 ldw ra,4(sp) +811144fc: dc000017 ldw r16,0(sp) +81114500: dec00204 addi sp,sp,8 +81114504: f800283a ret +81114508: 8009883a mov r4,r16 +8111450c: 11256540 call 81125654 +81114510: 0005883a mov r2,zero +81114514: dfc00117 ldw ra,4(sp) +81114518: dc000017 ldw r16,0(sp) +8111451c: dec00204 addi sp,sp,8 +81114520: f800283a ret + +81114524 : +81114524: 2008d43a srli r4,r4,16 +81114528: defffb04 addi sp,sp,-20 +8111452c: dfc00415 stw ra,16(sp) +81114530: dcc00315 stw r19,12(sp) +81114534: dc800215 stw r18,8(sp) +81114538: dc400115 stw r17,4(sp) +8111453c: dc000015 stw r16,0(sp) +81114540: 21003fcc andi r4,r4,255 +81114544: 00802044 movi r2,129 +81114548: 11001136 bltu r2,r4,81114590 +8111454c: 00802004 movi r2,128 +81114550: 2080082e bgeu r4,r2,81114574 +81114554: 00800044 movi r2,1 +81114558: 20802526 beq r4,r2,811145f0 +8111455c: 00c00084 movi r3,2 +81114560: 20c0121e bne r4,r3,811145ac +81114564: 00e04634 movhi r3,33048 +81114568: 18cf1904 addi r3,r3,15460 +8111456c: 18c00803 ldbu r3,32(r3) +81114570: 20c0332e bgeu r4,r3,81114640 +81114574: dfc00417 ldw ra,16(sp) +81114578: dcc00317 ldw r19,12(sp) +8111457c: dc800217 ldw r18,8(sp) +81114580: dc400117 ldw r17,4(sp) +81114584: dc000017 ldw r16,0(sp) +81114588: dec00504 addi sp,sp,20 +8111458c: f800283a ret +81114590: 00802844 movi r2,161 +81114594: 20801626 beq r4,r2,811145f0 +81114598: 008028c4 movi r2,163 +8111459c: 2080031e bne r4,r2,811145ac +811145a0: 008000c4 movi r2,3 +811145a4: 28992815 stw r2,25760(r5) +811145a8: 003ff206 br 81114574 <__reset+0xfb0f4574> +811145ac: 00a04634 movhi r2,33048 +811145b0: 108f1904 addi r2,r2,15460 +811145b4: 10800803 ldbu r2,32(r2) +811145b8: 00c001c4 movi r3,7 +811145bc: 18bfed36 bltu r3,r2,81114574 <__reset+0xfb0f4574> +811145c0: d1e07917 ldw r7,-32284(gp) +811145c4: 01204574 movhi r4,33045 +811145c8: 2126ed04 addi r4,r4,-25676 +811145cc: 018009c4 movi r6,39 +811145d0: 01400044 movi r5,1 +811145d4: dfc00417 ldw ra,16(sp) +811145d8: dcc00317 ldw r19,12(sp) +811145dc: dc800217 ldw r18,8(sp) +811145e0: dc400117 ldw r17,4(sp) +811145e4: dc000017 ldw r16,0(sp) +811145e8: dec00504 addi sp,sp,20 +811145ec: 112bc8c1 jmpi 8112bc8c +811145f0: 008000c4 movi r2,3 +811145f4: 2c002a04 addi r16,r5,168 +811145f8: 28992815 stw r2,25760(r5) +811145fc: 80800017 ldw r2,0(r16) +81114600: 04c00044 movi r19,1 +81114604: 0023883a mov r17,zero +81114608: 04800184 movi r18,6 +8111460c: 84042f04 addi r16,r16,4284 +81114610: 14c00526 beq r2,r19,81114628 +81114614: 8c400044 addi r17,r17,1 +81114618: 8cbfd626 beq r17,r18,81114574 <__reset+0xfb0f4574> +8111461c: 80800017 ldw r2,0(r16) +81114620: 84042f04 addi r16,r16,4284 +81114624: 14fffb1e bne r2,r19,81114614 <__reset+0xfb0f4614> +81114628: 880f883a mov r7,r17 +8111462c: 8809883a mov r4,r17 +81114630: 000d883a mov r6,zero +81114634: 01402844 movi r5,161 +81114638: 11144980 call 81114498 +8111463c: 003ff506 br 81114614 <__reset+0xfb0f4614> +81114640: 01204574 movhi r4,33045 +81114644: d1e07917 ldw r7,-32284(gp) +81114648: 2126f704 addi r4,r4,-25636 +8111464c: 01800d84 movi r6,54 +81114650: 100b883a mov r5,r2 +81114654: 003fdf06 br 811145d4 <__reset+0xfb0f45d4> + +81114658 : +81114658: defff804 addi sp,sp,-32 +8111465c: dc400215 stw r17,8(sp) +81114660: 04604634 movhi r17,33048 +81114664: 8c4f1904 addi r17,r17,15460 +81114668: 88800803 ldbu r2,32(r17) +8111466c: dc000115 stw r16,4(sp) +81114670: dfc00715 stw ra,28(sp) +81114674: dd400615 stw r21,24(sp) +81114678: dd000515 stw r20,20(sp) +8111467c: dcc00415 stw r19,16(sp) +81114680: dc800315 stw r18,12(sp) +81114684: 00c00104 movi r3,4 +81114688: 2021883a mov r16,r4 +8111468c: 1880892e bgeu r3,r2,811148b4 +81114690: 80992817 ldw r2,25760(r16) +81114694: 04e04474 movhi r19,33041 +81114698: 9cd1af04 addi r19,r19,18108 +8111469c: 04800104 movi r18,4 +811146a0: 054001c4 movi r21,7 +811146a4: 050000c4 movi r20,3 +811146a8: 90800936 bltu r18,r2,811146d0 +811146ac: 100490ba slli r2,r2,2 +811146b0: 14c5883a add r2,r2,r19 +811146b4: 10800017 ldw r2,0(r2) +811146b8: 1000683a jmp r2 +811146bc: 811146f0 cmpltui r4,r16,17691 +811146c0: 81114730 cmpltui r4,r16,17692 +811146c4: 8111479c xori r4,r16,17694 +811146c8: 811146f4 orhi r4,r16,17691 +811146cc: 8111475c xori r4,r16,17693 +811146d0: 88800803 ldbu r2,32(r17) +811146d4: a8800636 bltu r21,r2,811146f0 +811146d8: d1e07917 ldw r7,-32284(gp) +811146dc: 01204574 movhi r4,33045 +811146e0: 21273004 addi r4,r4,-25408 +811146e4: 01800f44 movi r6,61 +811146e8: 01400044 movi r5,1 +811146ec: 112bc8c0 call 8112bc8c +811146f0: 85192815 stw r20,25760(r16) +811146f4: 88800803 ldbu r2,32(r17) +811146f8: 00c00084 movi r3,2 +811146fc: 1880422e bgeu r3,r2,81114808 +81114700: d1207417 ldw r4,-32304(gp) +81114704: 1142cc40 call 81142cc4 +81114708: d8800005 stb r2,0(sp) +8111470c: 10803fcc andi r2,r2,255 +81114710: 10003b1e bne r2,zero,81114800 +81114714: d1208b17 ldw r4,-32212(gp) +81114718: 1142cc40 call 81142cc4 +8111471c: d8800005 stb r2,0(sp) +81114720: 10803fcc andi r2,r2,255 +81114724: 1000341e bne r2,zero,811147f8 +81114728: 00800044 movi r2,1 +8111472c: 80992815 stw r2,25760(r16) +81114730: d1208b17 ldw r4,-32212(gp) +81114734: d80d883a mov r6,sp +81114738: 000b883a mov r5,zero +8111473c: 1142d140 call 81142d14 +81114740: d8c00003 ldbu r3,0(sp) +81114744: 1800371e bne r3,zero,81114824 +81114748: 1006d63a srli r3,r2,24 +8111474c: 01000404 movi r4,16 +81114750: 19004e26 beq r3,r4,8111488c +81114754: 80992817 ldw r2,25760(r16) +81114758: 003fd306 br 811146a8 <__reset+0xfb0f46a8> +8111475c: 1125a540 call 81125a54 +81114760: 88800803 ldbu r2,32(r17) +81114764: 00c00084 movi r3,2 +81114768: 1880332e bgeu r3,r2,81114838 +8111476c: d1207417 ldw r4,-32304(gp) +81114770: 1142cc40 call 81142cc4 +81114774: d8800005 stb r2,0(sp) +81114778: 10803fcc andi r2,r2,255 +8111477c: 10002c1e bne r2,zero,81114830 +81114780: d0206e15 stw zero,-32328(gp) +81114784: 00800044 movi r2,1 +81114788: d0a06c15 stw r2,-32336(gp) +8111478c: 00bfffc4 movi r2,-1 +81114790: d0a06d05 stb r2,-32332(gp) +81114794: 00800084 movi r2,2 +81114798: 80992815 stw r2,25760(r16) +8111479c: d1208b17 ldw r4,-32212(gp) +811147a0: d80d883a mov r6,sp +811147a4: 000b883a mov r5,zero +811147a8: 1142d140 call 81142d14 +811147ac: d8c00003 ldbu r3,0(sp) +811147b0: 183fe81e bne r3,zero,81114754 <__reset+0xfb0f4754> +811147b4: 1006d43a srli r3,r2,16 +811147b8: 01002004 movi r4,128 +811147bc: 18c03fcc andi r3,r3,255 +811147c0: 19002426 beq r3,r4,81114854 +811147c4: 1006d63a srli r3,r2,24 +811147c8: 01000404 movi r4,16 +811147cc: 19003426 beq r3,r4,811148a0 +811147d0: 88800803 ldbu r2,32(r17) +811147d4: a8bfdf36 bltu r21,r2,81114754 <__reset+0xfb0f4754> +811147d8: d1e07917 ldw r7,-32284(gp) +811147dc: 01204574 movhi r4,33045 +811147e0: 21271e04 addi r4,r4,-25480 +811147e4: 01801184 movi r6,70 +811147e8: 01400044 movi r5,1 +811147ec: 112bc8c0 call 8112bc8c +811147f0: 80992817 ldw r2,25760(r16) +811147f4: 003fac06 br 811146a8 <__reset+0xfb0f46a8> +811147f8: 11259600 call 81125960 +811147fc: 003fca06 br 81114728 <__reset+0xfb0f4728> +81114800: 11259600 call 81125960 +81114804: 003fc306 br 81114714 <__reset+0xfb0f4714> +81114808: d1e07917 ldw r7,-32284(gp) +8111480c: 01204574 movhi r4,33045 +81114810: 21270d04 addi r4,r4,-25548 +81114814: 01800884 movi r6,34 +81114818: 01400044 movi r5,1 +8111481c: 112bc8c0 call 8112bc8c +81114820: 003fb706 br 81114700 <__reset+0xfb0f4700> +81114824: 11255a40 call 811255a4 +81114828: 80992817 ldw r2,25760(r16) +8111482c: 003f9e06 br 811146a8 <__reset+0xfb0f46a8> +81114830: 11259600 call 81125960 +81114834: 003fd206 br 81114780 <__reset+0xfb0f4780> +81114838: d1e07917 ldw r7,-32284(gp) +8111483c: 01204574 movhi r4,33045 +81114840: 21271604 addi r4,r4,-25512 +81114844: 018007c4 movi r6,31 +81114848: 01400044 movi r5,1 +8111484c: 112bc8c0 call 8112bc8c +81114850: 003fc606 br 8111476c <__reset+0xfb0f476c> +81114854: 11c03fcc andi r7,r2,255 +81114858: 38c42f24 muli r3,r7,4284 +8111485c: 80c7883a add r3,r16,r3 +81114860: 19002617 ldw r4,152(r3) +81114864: 00c00044 movi r3,1 +81114868: 20ffba1e bne r4,r3,81114754 <__reset+0xfb0f4754> +8111486c: 100cd23a srli r6,r2,8 +81114870: 014023c4 movi r5,143 +81114874: 3809883a mov r4,r7 +81114878: 31803fcc andi r6,r6,255 +8111487c: 111440c0 call 8111440c +81114880: d0a06e15 stw r2,-32328(gp) +81114884: 80992817 ldw r2,25760(r16) +81114888: 003f8706 br 811146a8 <__reset+0xfb0f46a8> +8111488c: 1009883a mov r4,r2 +81114890: 800b883a mov r5,r16 +81114894: 11143640 call 81114364 +81114898: 80992817 ldw r2,25760(r16) +8111489c: 003f8206 br 811146a8 <__reset+0xfb0f46a8> +811148a0: 1009883a mov r4,r2 +811148a4: 800b883a mov r5,r16 +811148a8: 11145240 call 81114524 +811148ac: 80992817 ldw r2,25760(r16) +811148b0: 003f7d06 br 811146a8 <__reset+0xfb0f46a8> +811148b4: d1e07917 ldw r7,-32284(gp) +811148b8: 01204574 movhi r4,33045 +811148bc: 21270504 addi r4,r4,-25580 +811148c0: 018007c4 movi r6,31 +811148c4: 01400044 movi r5,1 +811148c8: 112bc8c0 call 8112bc8c +811148cc: 003f7006 br 81114690 <__reset+0xfb0f4690> + +811148d0 : +811148d0: defff504 addi sp,sp,-44 +811148d4: 00a04634 movhi r2,33048 +811148d8: dfc00a15 stw ra,40(sp) +811148dc: dd000915 stw r20,36(sp) +811148e0: dcc00815 stw r19,32(sp) +811148e4: dc800715 stw r18,28(sp) +811148e8: dc400615 stw r17,24(sp) +811148ec: dc000515 stw r16,20(sp) +811148f0: 108f1904 addi r2,r2,15460 +811148f4: 10800803 ldbu r2,32(r2) +811148f8: d8000015 stw zero,0(sp) +811148fc: d8000115 stw zero,4(sp) +81114900: d8000215 stw zero,8(sp) +81114904: d8000315 stw zero,12(sp) +81114908: 00c00104 movi r3,4 +8111490c: 1880502e bgeu r3,r2,81114a50 +81114910: 04204634 movhi r16,33048 +81114914: 050d0d74 movhi r20,13365 +81114918: 840c6004 addi r16,r16,12672 +8111491c: a51f08c4 addi r20,r20,31779 +81114920: 04400804 movi r17,32 +81114924: 048008c4 movi r18,35 +81114928: 04c00ec4 movi r19,59 +8111492c: d1207817 ldw r4,-32288(gp) +81114930: d9800404 addi r6,sp,16 +81114934: 000b883a mov r5,zero +81114938: 11435a40 call 811435a4 +8111493c: d8800403 ldbu r2,16(sp) +81114940: 10003c1e bne r2,zero,81114a34 +81114944: d1208d17 ldw r4,-32204(gp) +81114948: d9800404 addi r6,sp,16 +8111494c: 000b883a mov r5,zero +81114950: 11424880 call 81142488 +81114954: d8800403 ldbu r2,16(sp) +81114958: 10003b1e bne r2,zero,81114a48 +8111495c: 0007883a mov r3,zero +81114960: 00000106 br 81114968 +81114964: 1c402e26 beq r3,r17,81114a20 +81114968: 18c5883a add r2,r3,r3 +8111496c: 1085883a add r2,r2,r2 +81114970: 8085883a add r2,r16,r2 +81114974: 11000003 ldbu r4,0(r2) +81114978: 18c00044 addi r3,r3,1 +8111497c: 21003fcc andi r4,r4,255 +81114980: 2100201c xori r4,r4,128 +81114984: 213fe004 addi r4,r4,-128 +81114988: 203ff626 beq r4,zero,81114964 <__reset+0xfb0f4964> +8111498c: 1140000b ldhu r5,0(r2) +81114990: d1208d17 ldw r4,-32204(gp) +81114994: 10c0008b ldhu r3,2(r2) +81114998: 10000005 stb zero,0(r2) +8111499c: d1606f0d sth r5,-32324(gp) +811149a0: d0e06f8d sth r3,-32322(gp) +811149a4: 114278c0 call 8114278c +811149a8: d0a06f07 ldb r2,-32324(gp) +811149ac: 14802326 beq r2,r18,81114a3c +811149b0: d1e06f8b ldhu r7,-32322(gp) +811149b4: d1a06f47 ldb r6,-32323(gp) +811149b8: 01604574 movhi r5,33045 +811149bc: 29674904 addi r5,r5,-25308 +811149c0: d809883a mov r4,sp +811149c4: 112d6b80 call 8112d6b8 +811149c8: d809883a mov r4,sp +811149cc: 112d8ac0 call 8112d8ac +811149d0: 100b883a mov r5,r2 +811149d4: d809883a mov r4,sp +811149d8: 11205800 call 81120580 +811149dc: 01604574 movhi r5,33045 +811149e0: 29674b04 addi r5,r5,-25300 +811149e4: 11c03fcc andi r7,r2,255 +811149e8: d80d883a mov r6,sp +811149ec: d809883a mov r4,sp +811149f0: 112d6b80 call 8112d6b8 +811149f4: d1208f17 ldw r4,-32196(gp) +811149f8: d9800404 addi r6,sp,16 +811149fc: 01401904 movi r5,100 +81114a00: 11424880 call 81142488 +81114a04: d8800403 ldbu r2,16(sp) +81114a08: 1000081e bne r2,zero,81114a2c +81114a0c: d809883a mov r4,sp +81114a10: 112cb800 call 8112cb80 +81114a14: d1208f17 ldw r4,-32196(gp) +81114a18: 114278c0 call 8114278c +81114a1c: 003fc306 br 8111492c <__reset+0xfb0f492c> +81114a20: d1208d17 ldw r4,-32204(gp) +81114a24: 114278c0 call 8114278c +81114a28: 003fc006 br 8111492c <__reset+0xfb0f492c> +81114a2c: 11241580 call 81124158 +81114a30: 003fbe06 br 8111492c <__reset+0xfb0f492c> +81114a34: 1123ff80 call 81123ff8 +81114a38: 003fbc06 br 8111492c <__reset+0xfb0f492c> +81114a3c: dd000015 stw r20,0(sp) +81114a40: dcc0010d sth r19,4(sp) +81114a44: 003feb06 br 811149f4 <__reset+0xfb0f49f4> +81114a48: 11240500 call 81124050 +81114a4c: 003fb706 br 8111492c <__reset+0xfb0f492c> +81114a50: d1e07917 ldw r7,-32284(gp) +81114a54: 01204574 movhi r4,33045 +81114a58: 21274004 addi r4,r4,-25344 +81114a5c: 01800804 movi r6,32 +81114a60: 01400044 movi r5,1 +81114a64: 112bc8c0 call 8112bc8c +81114a68: 003fa906 br 81114910 <__reset+0xfb0f4910> + +81114a6c : +81114a6c: defffc04 addi sp,sp,-16 +81114a70: dc400215 stw r17,8(sp) +81114a74: 2023883a mov r17,r4 +81114a78: d1208317 ldw r4,-32244(gp) +81114a7c: d80d883a mov r6,sp +81114a80: 000b883a mov r5,zero +81114a84: dfc00315 stw ra,12(sp) +81114a88: dc000115 stw r16,4(sp) +81114a8c: 11424880 call 81142488 +81114a90: d8800003 ldbu r2,0(sp) +81114a94: 10001d1e bne r2,zero,81114b0c +81114a98: 01204634 movhi r4,33048 +81114a9c: 210c8004 addi r4,r4,12800 +81114aa0: 01800804 movi r6,32 +81114aa4: 00000106 br 81114aac +81114aa8: 11801626 beq r2,r6,81114b04 +81114aac: 11401324 muli r5,r2,76 +81114ab0: 10800044 addi r2,r2,1 +81114ab4: 214b883a add r5,r4,r5 +81114ab8: 2c000104 addi r16,r5,4 +81114abc: 80c00003 ldbu r3,0(r16) +81114ac0: 18c03fcc andi r3,r3,255 +81114ac4: 18c0201c xori r3,r3,128 +81114ac8: 18ffe004 addi r3,r3,-128 +81114acc: 183ff626 beq r3,zero,81114aa8 <__reset+0xfb0f4aa8> +81114ad0: 8809883a mov r4,r17 +81114ad4: 01801304 movi r6,76 +81114ad8: 112c6880 call 8112c688 +81114adc: 04400044 movi r17,1 +81114ae0: 80000005 stb zero,0(r16) +81114ae4: d1208317 ldw r4,-32244(gp) +81114ae8: 114278c0 call 8114278c +81114aec: 8805883a mov r2,r17 +81114af0: dfc00317 ldw ra,12(sp) +81114af4: dc400217 ldw r17,8(sp) +81114af8: dc000117 ldw r16,4(sp) +81114afc: dec00404 addi sp,sp,16 +81114b00: f800283a ret +81114b04: 0023883a mov r17,zero +81114b08: 003ff606 br 81114ae4 <__reset+0xfb0f4ae4> +81114b0c: 11246940 call 81124694 +81114b10: 0023883a mov r17,zero +81114b14: 003ff506 br 81114aec <__reset+0xfb0f4aec> + +81114b18 : +81114b18: defffc04 addi sp,sp,-16 +81114b1c: dc400215 stw r17,8(sp) +81114b20: 2023883a mov r17,r4 +81114b24: d1208e17 ldw r4,-32200(gp) +81114b28: d80d883a mov r6,sp +81114b2c: 01400284 movi r5,10 +81114b30: dfc00315 stw ra,12(sp) +81114b34: dc000115 stw r16,4(sp) +81114b38: 11424880 call 81142488 +81114b3c: d8800003 ldbu r2,0(sp) +81114b40: 1000131e bne r2,zero,81114b90 +81114b44: 01604574 movhi r5,33045 +81114b48: 29584804 addi r5,r5,24864 +81114b4c: 01800404 movi r6,16 +81114b50: 11004524 muli r4,r2,276 +81114b54: 10800044 addi r2,r2,1 +81114b58: 2909883a add r4,r5,r4 +81114b5c: 24000104 addi r16,r4,4 +81114b60: 80c00017 ldw r3,0(r16) +81114b64: 18000c26 beq r3,zero,81114b98 +81114b68: 11bff91e bne r2,r6,81114b50 <__reset+0xfb0f4b50> +81114b6c: 0023883a mov r17,zero +81114b70: d1208e17 ldw r4,-32200(gp) +81114b74: 114278c0 call 8114278c +81114b78: 8805883a mov r2,r17 +81114b7c: dfc00317 ldw ra,12(sp) +81114b80: dc400217 ldw r17,8(sp) +81114b84: dc000117 ldw r16,4(sp) +81114b88: dec00404 addi sp,sp,16 +81114b8c: f800283a ret +81114b90: 0023883a mov r17,zero +81114b94: 003ff806 br 81114b78 <__reset+0xfb0f4b78> +81114b98: 880b883a mov r5,r17 +81114b9c: 01804504 movi r6,276 +81114ba0: 112c6880 call 8112c688 +81114ba4: d1208217 ldw r4,-32248(gp) +81114ba8: 04400044 movi r17,1 +81114bac: 01404074 movhi r5,257 +81114bb0: 84400015 stw r17,0(r16) +81114bb4: 1142f980 call 81142f98 +81114bb8: d8800005 stb r2,0(sp) +81114bbc: 10803fcc andi r2,r2,255 +81114bc0: 103feb26 beq r2,zero,81114b70 <__reset+0xfb0f4b70> +81114bc4: 112543c0 call 8112543c +81114bc8: 0023883a mov r17,zero +81114bcc: 80000015 stw zero,0(r16) +81114bd0: 003fe706 br 81114b70 <__reset+0xfb0f4b70> + +81114bd4 : +81114bd4: deff6e04 addi sp,sp,-584 +81114bd8: dc808a15 stw r18,552(sp) +81114bdc: 04a04634 movhi r18,33048 +81114be0: 948f1904 addi r18,r18,15460 +81114be4: 90800803 ldbu r2,32(r18) +81114be8: dfc09115 stw ra,580(sp) +81114bec: df009015 stw fp,576(sp) +81114bf0: ddc08f15 stw r23,572(sp) +81114bf4: dd808e15 stw r22,568(sp) +81114bf8: dd408d15 stw r21,564(sp) +81114bfc: dd008c15 stw r20,560(sp) +81114c00: dcc08b15 stw r19,556(sp) +81114c04: dc408915 stw r17,548(sp) +81114c08: dc008815 stw r16,544(sp) +81114c0c: d8008615 stw zero,536(sp) +81114c10: 00c00104 movi r3,4 +81114c14: 1886ff2e bgeu r3,r2,81116814 +81114c18: 04604574 movhi r17,33045 +81114c1c: 04204574 movhi r16,33045 +81114c20: 8c483304 addi r17,r17,8396 +81114c24: 04e04574 movhi r19,33045 +81114c28: 05a045b4 movhi r22,33046 +81114c2c: 8407ee04 addi r16,r16,8120 +81114c30: 9cc7a904 addi r19,r19,7844 +81114c34: b5aef004 addi r22,r22,-17472 +81114c38: 8829883a mov r20,r17 +81114c3c: 05c00044 movi r23,1 +81114c40: d1207b17 ldw r4,-32276(gp) +81114c44: d9808704 addi r6,sp,540 +81114c48: 000b883a mov r5,zero +81114c4c: 11435a40 call 811435a4 +81114c50: d8808703 ldbu r2,540(sp) +81114c54: 1002d31e bne r2,zero,811157a4 +81114c58: 05604574 movhi r21,33045 +81114c5c: ad483304 addi r21,r21,8396 +81114c60: a809883a mov r4,r21 +81114c64: 1114a6c0 call 81114a6c +81114c68: 15c2ee1e bne r2,r23,81115824 +81114c6c: a8c00107 ldb r3,4(r21) +81114c70: 00800844 movi r2,33 +81114c74: 1882b026 beq r3,r2,81115738 +81114c78: a8800147 ldb r2,5(r21) +81114c7c: 00c010c4 movi r3,67 +81114c80: 10c2df26 beq r2,r3,81115800 +81114c84: 00c01404 movi r3,80 +81114c88: 10ffec1e bne r2,r3,81114c3c <__reset+0xfb0f4c3c> +81114c8c: 90800803 ldbu r2,32(r18) +81114c90: 00c00084 movi r3,2 +81114c94: 1883202e bgeu r3,r2,81115918 +81114c98: 8880038b ldhu r2,14(r17) +81114c9c: 8a40028b ldhu r9,10(r17) +81114ca0: 8a00030b ldhu r8,12(r17) +81114ca4: 89c0040b ldhu r7,16(r17) +81114ca8: 8a80048b ldhu r10,18(r17) +81114cac: 8080030d sth r2,12(r16) +81114cb0: 8240020d sth r9,8(r16) +81114cb4: 8200028d sth r8,10(r16) +81114cb8: 81c0038d sth r7,14(r16) +81114cbc: 8280040d sth r10,16(r16) +81114cc0: 80000485 stb zero,18(r16) +81114cc4: 10bfffcc andi r2,r2,65535 +81114cc8: 00c03ec4 movi r3,251 +81114ccc: 10c2fb26 beq r2,r3,811158bc +81114cd0: 1882b636 bltu r3,r2,811157ac +81114cd4: 00c00444 movi r3,17 +81114cd8: 10c2d426 beq r2,r3,8111582c +81114cdc: 00c03e84 movi r3,250 +81114ce0: 10ffd61e bne r2,r3,81114c3c <__reset+0xfb0f4c3c> +81114ce4: 38bff8c4 addi r2,r7,-29 +81114ce8: 10bfffcc andi r2,r2,65535 +81114cec: 00c00d44 movi r3,53 +81114cf0: 18828536 bltu r3,r2,81115708 +81114cf4: 100490ba slli r2,r2,2 +81114cf8: 00e04474 movhi r3,33041 +81114cfc: 18d34304 addi r3,r3,19724 +81114d00: 10c5883a add r2,r2,r3 +81114d04: 10800017 ldw r2,0(r2) +81114d08: 1000683a jmp r2 +81114d0c: 81115558 cmpnei r4,r16,17749 +81114d10: 81115708 cmpgei r4,r16,17756 +81114d14: 81115500 call 88111550 <__reset+0x20f1550> +81114d18: 81115708 cmpgei r4,r16,17756 +81114d1c: 81115708 cmpgei r4,r16,17756 +81114d20: 81115708 cmpgei r4,r16,17756 +81114d24: 81115708 cmpgei r4,r16,17756 +81114d28: 81115678 rdprs r4,r16,17753 +81114d2c: 81115648 cmpgei r4,r16,17753 +81114d30: 81115708 cmpgei r4,r16,17756 +81114d34: 81115708 cmpgei r4,r16,17756 +81114d38: 81115708 cmpgei r4,r16,17756 +81114d3c: 81115708 cmpgei r4,r16,17756 +81114d40: 81115708 cmpgei r4,r16,17756 +81114d44: 81115708 cmpgei r4,r16,17756 +81114d48: 8111546c andhi r4,r16,17745 +81114d4c: 81115708 cmpgei r4,r16,17756 +81114d50: 8111543c xorhi r4,r16,17744 +81114d54: 811156d8 cmpnei r4,r16,17755 +81114d58: 811156a8 cmpgeui r4,r16,17754 +81114d5c: 811154d0 cmplti r4,r16,17747 +81114d60: 811154a0 cmpeqi r4,r16,17746 +81114d64: 81115610 cmplti r4,r16,17752 +81114d68: 811155b0 cmpltui r4,r16,17750 +81114d6c: 811155e0 cmpeqi r4,r16,17751 +81114d70: 811153cc andi r4,r16,17743 +81114d74: 81115708 cmpgei r4,r16,17756 +81114d78: 81115330 cmpltui r4,r16,17740 +81114d7c: 81115708 cmpgei r4,r16,17756 +81114d80: 811152f8 rdprs r4,r16,17739 +81114d84: 811152b0 cmpltui r4,r16,17738 +81114d88: 81115288 cmpgei r4,r16,17738 +81114d8c: 81115254 ori r4,r16,17737 +81114d90: 81115224 muli r4,r16,17736 +81114d94: 811151f4 orhi r4,r16,17735 +81114d98: 811150fc xorhi r4,r16,17731 +81114d9c: 81115708 cmpgei r4,r16,17756 +81114da0: 811150b4 orhi r4,r16,17730 +81114da4: 81115084 addi r4,r16,17730 +81114da8: 81115708 cmpgei r4,r16,17756 +81114dac: 81115708 cmpgei r4,r16,17756 +81114db0: 81114fd4 ori r4,r16,17727 +81114db4: 81115708 cmpgei r4,r16,17756 +81114db8: 81114fa4 muli r4,r16,17726 +81114dbc: 81115054 ori r4,r16,17729 +81114dc0: 81115024 muli r4,r16,17728 +81114dc4: 81114f74 orhi r4,r16,17725 +81114dc8: 81114f44 addi r4,r16,17725 +81114dcc: 81114f14 ori r4,r16,17724 +81114dd0: 81114ea4 muli r4,r16,17722 +81114dd4: 81114ed4 ori r4,r16,17723 +81114dd8: 81114e64 muli r4,r16,17721 +81114ddc: 81114e24 muli r4,r16,17720 +81114de0: 81114de4 muli r4,r16,17719 +81114de4: 8940050b ldhu r5,20(r17) +81114de8: 00c00184 movi r3,6 +81114dec: 28bfffcc andi r2,r5,65535 +81114df0: 18bf9236 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81114df4: 80c00483 ldbu r3,18(r16) +81114df8: 01204574 movhi r4,33045 +81114dfc: 2107ee04 addi r4,r4,8120 +81114e00: 18803fcc andi r2,r3,255 +81114e04: 10800284 addi r2,r2,10 +81114e08: 1085883a add r2,r2,r2 +81114e0c: 8085883a add r2,r16,r2 +81114e10: 18c00044 addi r3,r3,1 +81114e14: 1140000d sth r5,0(r2) +81114e18: 80c00485 stb r3,18(r16) +81114e1c: 1114b180 call 81114b18 +81114e20: 003f8606 br 81114c3c <__reset+0xfb0f4c3c> +81114e24: 8940050b ldhu r5,20(r17) +81114e28: 00c00184 movi r3,6 +81114e2c: 28bfffcc andi r2,r5,65535 +81114e30: 18bf8236 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81114e34: 80c00483 ldbu r3,18(r16) +81114e38: 01204574 movhi r4,33045 +81114e3c: 2107ee04 addi r4,r4,8120 +81114e40: 18803fcc andi r2,r3,255 +81114e44: 10800284 addi r2,r2,10 +81114e48: 1085883a add r2,r2,r2 +81114e4c: 8085883a add r2,r16,r2 +81114e50: 18c00044 addi r3,r3,1 +81114e54: 1140000d sth r5,0(r2) +81114e58: 80c00485 stb r3,18(r16) +81114e5c: 1114b180 call 81114b18 +81114e60: 003f7606 br 81114c3c <__reset+0xfb0f4c3c> +81114e64: 8940050b ldhu r5,20(r17) +81114e68: 00c00184 movi r3,6 +81114e6c: 28bfffcc andi r2,r5,65535 +81114e70: 18bf7236 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81114e74: 80c00483 ldbu r3,18(r16) +81114e78: 01204574 movhi r4,33045 +81114e7c: 2107ee04 addi r4,r4,8120 +81114e80: 18803fcc andi r2,r3,255 +81114e84: 10800284 addi r2,r2,10 +81114e88: 1085883a add r2,r2,r2 +81114e8c: 8085883a add r2,r16,r2 +81114e90: 18c00044 addi r3,r3,1 +81114e94: 1140000d sth r5,0(r2) +81114e98: 80c00485 stb r3,18(r16) +81114e9c: 1114b180 call 81114b18 +81114ea0: 003f6606 br 81114c3c <__reset+0xfb0f4c3c> +81114ea4: 8a80050b ldhu r10,20(r17) +81114ea8: 00800184 movi r2,6 +81114eac: 51bfffcc andi r6,r10,65535 +81114eb0: 1185842e bgeu r2,r6,811164c4 +81114eb4: 90800803 ldbu r2,32(r18) +81114eb8: 00c001c4 movi r3,7 +81114ebc: 18bf5f36 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81114ec0: d1207917 ldw r4,-32284(gp) +81114ec4: 01604574 movhi r5,33045 +81114ec8: 2967cc04 addi r5,r5,-24784 +81114ecc: 112b6200 call 8112b620 +81114ed0: 003f5a06 br 81114c3c <__reset+0xfb0f4c3c> +81114ed4: 8940050b ldhu r5,20(r17) +81114ed8: 00c00184 movi r3,6 +81114edc: 28bfffcc andi r2,r5,65535 +81114ee0: 18bf5636 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81114ee4: 80c00483 ldbu r3,18(r16) +81114ee8: 01204574 movhi r4,33045 +81114eec: 2107ee04 addi r4,r4,8120 +81114ef0: 18803fcc andi r2,r3,255 +81114ef4: 10800284 addi r2,r2,10 +81114ef8: 1085883a add r2,r2,r2 +81114efc: 8085883a add r2,r16,r2 +81114f00: 18c00044 addi r3,r3,1 +81114f04: 1140000d sth r5,0(r2) +81114f08: 80c00485 stb r3,18(r16) +81114f0c: 1114b180 call 81114b18 +81114f10: 003f4a06 br 81114c3c <__reset+0xfb0f4c3c> +81114f14: 89c0050b ldhu r7,20(r17) +81114f18: 00800184 movi r2,6 +81114f1c: 39bfffcc andi r6,r7,65535 +81114f20: 1185112e bgeu r2,r6,81116368 +81114f24: 90800803 ldbu r2,32(r18) +81114f28: 00c001c4 movi r3,7 +81114f2c: 18bf4336 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81114f30: d1207917 ldw r4,-32284(gp) +81114f34: 01604574 movhi r5,33045 +81114f38: 2967cc04 addi r5,r5,-24784 +81114f3c: 112b6200 call 8112b620 +81114f40: 003f3e06 br 81114c3c <__reset+0xfb0f4c3c> +81114f44: 89c0050b ldhu r7,20(r17) +81114f48: 00800184 movi r2,6 +81114f4c: 39bfffcc andi r6,r7,65535 +81114f50: 1185492e bgeu r2,r6,81116478 +81114f54: 90800803 ldbu r2,32(r18) +81114f58: 00c001c4 movi r3,7 +81114f5c: 18bf3736 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81114f60: d1207917 ldw r4,-32284(gp) +81114f64: 01604574 movhi r5,33045 +81114f68: 2967cc04 addi r5,r5,-24784 +81114f6c: 112b6200 call 8112b620 +81114f70: 003f3206 br 81114c3c <__reset+0xfb0f4c3c> +81114f74: 89c0050b ldhu r7,20(r17) +81114f78: 00800184 movi r2,6 +81114f7c: 39bfffcc andi r6,r7,65535 +81114f80: 11858d2e bgeu r2,r6,811165b8 +81114f84: 90800803 ldbu r2,32(r18) +81114f88: 00c001c4 movi r3,7 +81114f8c: 18bf2b36 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81114f90: d1207917 ldw r4,-32284(gp) +81114f94: 01604574 movhi r5,33045 +81114f98: 2967cc04 addi r5,r5,-24784 +81114f9c: 112b6200 call 8112b620 +81114fa0: 003f2606 br 81114c3c <__reset+0xfb0f4c3c> +81114fa4: 8a80050b ldhu r10,20(r17) +81114fa8: 00800184 movi r2,6 +81114fac: 51bfffcc andi r6,r10,65535 +81114fb0: 1184c52e bgeu r2,r6,811162c8 +81114fb4: 90800803 ldbu r2,32(r18) +81114fb8: 00c001c4 movi r3,7 +81114fbc: 18bf1f36 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81114fc0: d1207917 ldw r4,-32284(gp) +81114fc4: 01604574 movhi r5,33045 +81114fc8: 2967cc04 addi r5,r5,-24784 +81114fcc: 112b6200 call 8112b620 +81114fd0: 003f1a06 br 81114c3c <__reset+0xfb0f4c3c> +81114fd4: 81400483 ldbu r5,18(r16) +81114fd8: 89c0050b ldhu r7,20(r17) +81114fdc: 8980058b ldhu r6,22(r17) +81114fe0: 28c03fcc andi r3,r5,255 +81114fe4: 28800044 addi r2,r5,1 +81114fe8: 18c00284 addi r3,r3,10 +81114fec: 10803fcc andi r2,r2,255 +81114ff0: 18c7883a add r3,r3,r3 +81114ff4: 10800284 addi r2,r2,10 +81114ff8: 80c7883a add r3,r16,r3 +81114ffc: 01204574 movhi r4,33045 +81115000: 1085883a add r2,r2,r2 +81115004: 19c0000d sth r7,0(r3) +81115008: 8085883a add r2,r16,r2 +8111500c: 29400084 addi r5,r5,2 +81115010: 2107ee04 addi r4,r4,8120 +81115014: 1180000d sth r6,0(r2) +81115018: 81400485 stb r5,18(r16) +8111501c: 1114b180 call 81114b18 +81115020: 003f0606 br 81114c3c <__reset+0xfb0f4c3c> +81115024: 89c0050b ldhu r7,20(r17) +81115028: 00800184 movi r2,6 +8111502c: 39bfffcc andi r6,r7,65535 +81115030: 1184922e bgeu r2,r6,8111627c +81115034: 90800803 ldbu r2,32(r18) +81115038: 00c001c4 movi r3,7 +8111503c: 18beff36 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81115040: d1207917 ldw r4,-32284(gp) +81115044: 01604574 movhi r5,33045 +81115048: 2967cc04 addi r5,r5,-24784 +8111504c: 112b6200 call 8112b620 +81115050: 003efa06 br 81114c3c <__reset+0xfb0f4c3c> +81115054: 8a40050b ldhu r9,20(r17) +81115058: 00800184 movi r2,6 +8111505c: 49bfffcc andi r6,r9,65535 +81115060: 1185682e bgeu r2,r6,81116604 +81115064: 90800803 ldbu r2,32(r18) +81115068: 00c001c4 movi r3,7 +8111506c: 18bef336 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81115070: d1207917 ldw r4,-32284(gp) +81115074: 01604574 movhi r5,33045 +81115078: 2967cc04 addi r5,r5,-24784 +8111507c: 112b6200 call 8112b620 +81115080: 003eee06 br 81114c3c <__reset+0xfb0f4c3c> +81115084: 8a80050b ldhu r10,20(r17) +81115088: 00800184 movi r2,6 +8111508c: 51bfffcc andi r6,r10,65535 +81115090: 1184522e bgeu r2,r6,811161dc +81115094: 90800803 ldbu r2,32(r18) +81115098: 00c001c4 movi r3,7 +8111509c: 18bee736 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +811150a0: d1207917 ldw r4,-32284(gp) 811150a4: 01604574 movhi r5,33045 -811150a8: 297329c4 addi r5,r5,-13145 -811150ac: 0009883a mov r4,zero -811150b0: 111e1a00 call 8111e1a0 -811150b4: 111dbc80 call 8111dbc8 -811150b8: 01204574 movhi r4,33045 -811150bc: 2107dd04 addi r4,r4,8052 -811150c0: 1114ae40 call 81114ae4 -811150c4: 003ed006 br 81114c08 <__reset+0xfb0f4c08> -811150c8: 80800483 ldbu r2,18(r16) -811150cc: 8a00050b ldhu r8,20(r17) -811150d0: 8a40058b ldhu r9,22(r17) -811150d4: 11003fcc andi r4,r2,255 -811150d8: 10c00044 addi r3,r2,1 -811150dc: 21000284 addi r4,r4,10 -811150e0: 11400084 addi r5,r2,2 -811150e4: 18c03fcc andi r3,r3,255 -811150e8: 210d883a add r6,r4,r4 -811150ec: 18c00284 addi r3,r3,10 -811150f0: 110000c4 addi r4,r2,3 -811150f4: 29403fcc andi r5,r5,255 -811150f8: 818d883a add r6,r16,r6 -811150fc: 8a80060b ldhu r10,24(r17) -81115100: 18cf883a add r7,r3,r3 -81115104: 29400284 addi r5,r5,10 -81115108: 10c00104 addi r3,r2,4 -8111510c: 21003fcc andi r4,r4,255 -81115110: 3200000d sth r8,0(r6) -81115114: 81cf883a add r7,r16,r7 -81115118: 8a00068b ldhu r8,26(r17) -8111511c: 294d883a add r6,r5,r5 -81115120: 21000284 addi r4,r4,10 -81115124: 11400144 addi r5,r2,5 -81115128: 18c03fcc andi r3,r3,255 -8111512c: 3a40000d sth r9,0(r7) -81115130: 818d883a add r6,r16,r6 -81115134: 210f883a add r7,r4,r4 -81115138: 18c00284 addi r3,r3,10 -8111513c: 8a40070b ldhu r9,28(r17) -81115140: 11000184 addi r4,r2,6 -81115144: 29403fcc andi r5,r5,255 -81115148: 3280000d sth r10,0(r6) -8111514c: 81cf883a add r7,r16,r7 -81115150: 18cd883a add r6,r3,r3 -81115154: 8a80078b ldhu r10,30(r17) -81115158: 10c001c4 addi r3,r2,7 -8111515c: 29400284 addi r5,r5,10 -81115160: 21003fcc andi r4,r4,255 -81115164: 3a00000d sth r8,0(r7) -81115168: 818d883a add r6,r16,r6 -8111516c: 294b883a add r5,r5,r5 -81115170: 21000284 addi r4,r4,10 -81115174: 8a00080b ldhu r8,32(r17) -81115178: 18c03fcc andi r3,r3,255 -8111517c: 3240000d sth r9,0(r6) -81115180: 89c0088b ldhu r7,34(r17) -81115184: 814d883a add r6,r16,r5 -81115188: 18c00284 addi r3,r3,10 -8111518c: 210b883a add r5,r4,r4 -81115190: 3280000d sth r10,0(r6) -81115194: 814b883a add r5,r16,r5 -81115198: 01204574 movhi r4,33045 -8111519c: 18c7883a add r3,r3,r3 -811151a0: 2a00000d sth r8,0(r5) -811151a4: 80c7883a add r3,r16,r3 -811151a8: 2107dd04 addi r4,r4,8052 -811151ac: 10800204 addi r2,r2,8 -811151b0: 19c0000d sth r7,0(r3) -811151b4: 80800485 stb r2,18(r16) -811151b8: 1114ae40 call 81114ae4 -811151bc: 003e9206 br 81114c08 <__reset+0xfb0f4c08> -811151c0: 8940050b ldhu r5,20(r17) -811151c4: 00800184 movi r2,6 -811151c8: 29bfffcc andi r6,r5,65535 -811151cc: 1185422e bgeu r2,r6,811166d8 -811151d0: 90800803 ldbu r2,32(r18) -811151d4: 00c001c4 movi r3,7 -811151d8: 18be8b36 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -811151dc: d1207917 ldw r4,-32284(gp) -811151e0: 01604574 movhi r5,33045 -811151e4: 2967bc04 addi r5,r5,-24848 -811151e8: 112b5e00 call 8112b5e0 -811151ec: 003e8606 br 81114c08 <__reset+0xfb0f4c08> -811151f0: 8940050b ldhu r5,20(r17) -811151f4: 00800184 movi r2,6 -811151f8: 29bfffcc andi r6,r5,65535 -811151fc: 11852a2e bgeu r2,r6,811166a8 -81115200: 90800803 ldbu r2,32(r18) -81115204: 00c001c4 movi r3,7 -81115208: 18be7f36 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -8111520c: d1207917 ldw r4,-32284(gp) -81115210: 01604574 movhi r5,33045 -81115214: 2967bc04 addi r5,r5,-24848 -81115218: 112b5e00 call 8112b5e0 -8111521c: 003e7a06 br 81114c08 <__reset+0xfb0f4c08> -81115220: 90800803 ldbu r2,32(r18) -81115224: 00c00084 movi r3,2 -81115228: 18800636 bltu r3,r2,81115244 -8111522c: d1e07917 ldw r7,-32284(gp) -81115230: 01204574 movhi r4,33045 -81115234: 2127da04 addi r4,r4,-24728 -81115238: 01800644 movi r6,25 -8111523c: 01400044 movi r5,1 -81115240: 112bc4c0 call 8112bc4c -81115244: 01204574 movhi r4,33045 -81115248: 2107dd04 addi r4,r4,8052 -8111524c: 1114ae40 call 81114ae4 -81115250: 003e6d06 br 81114c08 <__reset+0xfb0f4c08> +811150a8: 2967cc04 addi r5,r5,-24784 +811150ac: 112b6200 call 8112b620 +811150b0: 003ee206 br 81114c3c <__reset+0xfb0f4c3c> +811150b4: 90800803 ldbu r2,32(r18) +811150b8: 00c00084 movi r3,2 +811150bc: 18800636 bltu r3,r2,811150d8 +811150c0: d1e07917 ldw r7,-32284(gp) +811150c4: 01204574 movhi r4,33045 +811150c8: 2127f104 addi r4,r4,-24636 +811150cc: 01800744 movi r6,29 +811150d0: 01400044 movi r5,1 +811150d4: 112bc8c0 call 8112bc8c +811150d8: 01604574 movhi r5,33045 +811150dc: 29733ac4 addi r5,r5,-13077 +811150e0: 0009883a mov r4,zero +811150e4: 111e1e00 call 8111e1e0 +811150e8: 111dc080 call 8111dc08 +811150ec: 01204574 movhi r4,33045 +811150f0: 2107ee04 addi r4,r4,8120 +811150f4: 1114b180 call 81114b18 +811150f8: 003ed006 br 81114c3c <__reset+0xfb0f4c3c> +811150fc: 80800483 ldbu r2,18(r16) +81115100: 8a00050b ldhu r8,20(r17) +81115104: 8a40058b ldhu r9,22(r17) +81115108: 11003fcc andi r4,r2,255 +8111510c: 10c00044 addi r3,r2,1 +81115110: 21000284 addi r4,r4,10 +81115114: 11400084 addi r5,r2,2 +81115118: 18c03fcc andi r3,r3,255 +8111511c: 210d883a add r6,r4,r4 +81115120: 18c00284 addi r3,r3,10 +81115124: 110000c4 addi r4,r2,3 +81115128: 29403fcc andi r5,r5,255 +8111512c: 818d883a add r6,r16,r6 +81115130: 8a80060b ldhu r10,24(r17) +81115134: 18cf883a add r7,r3,r3 +81115138: 29400284 addi r5,r5,10 +8111513c: 10c00104 addi r3,r2,4 +81115140: 21003fcc andi r4,r4,255 +81115144: 3200000d sth r8,0(r6) +81115148: 81cf883a add r7,r16,r7 +8111514c: 8a00068b ldhu r8,26(r17) +81115150: 294d883a add r6,r5,r5 +81115154: 21000284 addi r4,r4,10 +81115158: 11400144 addi r5,r2,5 +8111515c: 18c03fcc andi r3,r3,255 +81115160: 3a40000d sth r9,0(r7) +81115164: 818d883a add r6,r16,r6 +81115168: 210f883a add r7,r4,r4 +8111516c: 18c00284 addi r3,r3,10 +81115170: 8a40070b ldhu r9,28(r17) +81115174: 11000184 addi r4,r2,6 +81115178: 29403fcc andi r5,r5,255 +8111517c: 3280000d sth r10,0(r6) +81115180: 81cf883a add r7,r16,r7 +81115184: 18cd883a add r6,r3,r3 +81115188: 8a80078b ldhu r10,30(r17) +8111518c: 10c001c4 addi r3,r2,7 +81115190: 29400284 addi r5,r5,10 +81115194: 21003fcc andi r4,r4,255 +81115198: 3a00000d sth r8,0(r7) +8111519c: 818d883a add r6,r16,r6 +811151a0: 294b883a add r5,r5,r5 +811151a4: 21000284 addi r4,r4,10 +811151a8: 8a00080b ldhu r8,32(r17) +811151ac: 18c03fcc andi r3,r3,255 +811151b0: 3240000d sth r9,0(r6) +811151b4: 89c0088b ldhu r7,34(r17) +811151b8: 814d883a add r6,r16,r5 +811151bc: 18c00284 addi r3,r3,10 +811151c0: 210b883a add r5,r4,r4 +811151c4: 3280000d sth r10,0(r6) +811151c8: 814b883a add r5,r16,r5 +811151cc: 01204574 movhi r4,33045 +811151d0: 18c7883a add r3,r3,r3 +811151d4: 2a00000d sth r8,0(r5) +811151d8: 80c7883a add r3,r16,r3 +811151dc: 2107ee04 addi r4,r4,8120 +811151e0: 10800204 addi r2,r2,8 +811151e4: 19c0000d sth r7,0(r3) +811151e8: 80800485 stb r2,18(r16) +811151ec: 1114b180 call 81114b18 +811151f0: 003e9206 br 81114c3c <__reset+0xfb0f4c3c> +811151f4: 8940050b ldhu r5,20(r17) +811151f8: 00800184 movi r2,6 +811151fc: 29bfffcc andi r6,r5,65535 +81115200: 1185422e bgeu r2,r6,8111670c +81115204: 90800803 ldbu r2,32(r18) +81115208: 00c001c4 movi r3,7 +8111520c: 18be8b36 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81115210: d1207917 ldw r4,-32284(gp) +81115214: 01604574 movhi r5,33045 +81115218: 2967cc04 addi r5,r5,-24784 +8111521c: 112b6200 call 8112b620 +81115220: 003e8606 br 81114c3c <__reset+0xfb0f4c3c> +81115224: 8940050b ldhu r5,20(r17) +81115228: 00800184 movi r2,6 +8111522c: 29bfffcc andi r6,r5,65535 +81115230: 11852a2e bgeu r2,r6,811166dc +81115234: 90800803 ldbu r2,32(r18) +81115238: 00c001c4 movi r3,7 +8111523c: 18be7f36 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81115240: d1207917 ldw r4,-32284(gp) +81115244: 01604574 movhi r5,33045 +81115248: 2967cc04 addi r5,r5,-24784 +8111524c: 112b6200 call 8112b620 +81115250: 003e7a06 br 81114c3c <__reset+0xfb0f4c3c> 81115254: 90800803 ldbu r2,32(r18) 81115258: 00c00084 movi r3,2 -8111525c: 18bff936 bltu r3,r2,81115244 <__reset+0xfb0f5244> +8111525c: 18800636 bltu r3,r2,81115278 81115260: d1e07917 ldw r7,-32284(gp) 81115264: 01204574 movhi r4,33045 -81115268: 2127d204 addi r4,r4,-24760 -8111526c: 01800704 movi r6,28 +81115268: 2127ea04 addi r4,r4,-24664 +8111526c: 01800644 movi r6,25 81115270: 01400044 movi r5,1 -81115274: 112bc4c0 call 8112bc4c -81115278: 003ff206 br 81115244 <__reset+0xfb0f5244> -8111527c: 90800803 ldbu r2,32(r18) -81115280: 00c00084 movi r3,2 -81115284: 18800636 bltu r3,r2,811152a0 -81115288: d1e07917 ldw r7,-32284(gp) -8111528c: 01204574 movhi r4,33045 -81115290: 2127cb04 addi r4,r4,-24788 -81115294: 018006c4 movi r6,27 -81115298: 01400044 movi r5,1 -8111529c: 112bc4c0 call 8112bc4c -811152a0: 01604574 movhi r5,33045 -811152a4: 297328c4 addi r5,r5,-13149 -811152a8: 0009883a mov r4,zero -811152ac: 111e1a00 call 8111e1a0 -811152b0: 111dd7c0 call 8111dd7c -811152b4: 01204574 movhi r4,33045 -811152b8: 2107dd04 addi r4,r4,8052 -811152bc: 1114ae40 call 81114ae4 -811152c0: 003e5106 br 81114c08 <__reset+0xfb0f4c08> -811152c4: 8a80050b ldhu r10,20(r17) -811152c8: 02604574 movhi r9,33045 -811152cc: 00800184 movi r2,6 -811152d0: 51bfffcc andi r6,r10,65535 -811152d4: 4a482204 addi r9,r9,8328 -811152d8: 11850b2e bgeu r2,r6,81116708 -811152dc: 90800803 ldbu r2,32(r18) -811152e0: 00c00104 movi r3,4 -811152e4: 18be4836 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -811152e8: d1207917 ldw r4,-32284(gp) -811152ec: 01604574 movhi r5,33045 -811152f0: 2967bc04 addi r5,r5,-24848 -811152f4: 112b5e00 call 8112b5e0 -811152f8: 003e4306 br 81114c08 <__reset+0xfb0f4c08> -811152fc: 8080028b ldhu r2,10(r16) -81115300: 81c0040b ldhu r7,16(r16) -81115304: 80c0020b ldhu r3,8(r16) -81115308: 8940058b ldhu r5,22(r17) -8111530c: 8900050b ldhu r4,20(r17) -81115310: 9880028d sth r2,10(r19) -81115314: 00803e84 movi r2,250 -81115318: 05604574 movhi r21,33045 -8111531c: 9880030d sth r2,12(r19) -81115320: d9808604 addi r6,sp,536 -81115324: 00800e44 movi r2,57 -81115328: ad479804 addi r21,r21,7776 -8111532c: 99c0040d sth r7,16(r19) -81115330: 98c0020d sth r3,8(r19) -81115334: 9880038d sth r2,14(r19) -81115338: d8008615 stw zero,536(sp) -8111533c: 1123aa80 call 81123aa8 -81115340: 10002926 beq r2,zero,811153e8 -81115344: d8808617 ldw r2,536(sp) -81115348: a140050b ldhu r5,20(r20) -8111534c: a100058b ldhu r4,22(r20) -81115350: 1006d43a srli r3,r2,16 -81115354: a880068d sth r2,26(r21) -81115358: 00800104 movi r2,4 -8111535c: a940050d sth r5,20(r21) -81115360: a900058d sth r4,22(r21) -81115364: a8c0060d sth r3,24(r21) -81115368: a8800485 stb r2,18(r21) -8111536c: 01604574 movhi r5,33045 -81115370: d809883a mov r4,sp -81115374: 29479c04 addi r5,r5,7792 -81115378: 01804104 movi r6,260 -8111537c: 112c6480 call 8112c648 -81115380: 99000017 ldw r4,0(r19) -81115384: 99400117 ldw r5,4(r19) -81115388: 99800217 ldw r6,8(r19) -8111538c: 99c00317 ldw r7,12(r19) -81115390: 111e4c00 call 8111e4c0 -81115394: 003e1c06 br 81114c08 <__reset+0xfb0f4c08> -81115398: 8080028b ldhu r2,10(r16) -8111539c: 8200040b ldhu r8,16(r16) -811153a0: 80c0020b ldhu r3,8(r16) -811153a4: 8940058b ldhu r5,22(r17) -811153a8: 8900050b ldhu r4,20(r17) -811153ac: 01e045b4 movhi r7,33046 -811153b0: 9880028d sth r2,10(r19) -811153b4: 00803e84 movi r2,250 -811153b8: 05604574 movhi r21,33045 -811153bc: 9880030d sth r2,12(r19) -811153c0: 39c82404 addi r7,r7,8336 -811153c4: 00800dc4 movi r2,55 -811153c8: d9808604 addi r6,sp,536 -811153cc: ad479804 addi r21,r21,7776 -811153d0: 9a00040d sth r8,16(r19) -811153d4: 98c0020d sth r3,8(r19) -811153d8: 9880038d sth r2,14(r19) -811153dc: d8008615 stw zero,536(sp) -811153e0: 1123b340 call 81123b34 -811153e4: 103fd71e bne r2,zero,81115344 <__reset+0xfb0f5344> -811153e8: 00803fc4 movi r2,255 -811153ec: 9880050d sth r2,20(r19) -811153f0: 00800104 movi r2,4 -811153f4: 9800058d sth zero,22(r19) -811153f8: 9800060d sth zero,24(r19) -811153fc: 9800068d sth zero,26(r19) -81115400: 98800485 stb r2,18(r19) -81115404: 003fd906 br 8111536c <__reset+0xfb0f536c> -81115408: 8a40050b ldhu r9,20(r17) -8111540c: 00800184 movi r2,6 -81115410: 49bfffcc andi r6,r9,65535 -81115414: 1183222e bgeu r2,r6,811160a0 -81115418: 90800803 ldbu r2,32(r18) -8111541c: 00c001c4 movi r3,7 -81115420: 18bdf936 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81115424: d1207917 ldw r4,-32284(gp) -81115428: 01604574 movhi r5,33045 -8111542c: 2967bc04 addi r5,r5,-24848 -81115430: 112b5e00 call 8112b5e0 -81115434: 003df406 br 81114c08 <__reset+0xfb0f4c08> -81115438: 80c00483 ldbu r3,18(r16) -8111543c: 8940050b ldhu r5,20(r17) -81115440: 01204574 movhi r4,33045 -81115444: 18803fcc andi r2,r3,255 -81115448: 10800284 addi r2,r2,10 -8111544c: 1085883a add r2,r2,r2 -81115450: 8085883a add r2,r16,r2 -81115454: 18c00044 addi r3,r3,1 -81115458: 2107dd04 addi r4,r4,8052 -8111545c: 1140000d sth r5,0(r2) -81115460: 80c00485 stb r3,18(r16) -81115464: 1114ae40 call 81114ae4 -81115468: 003de706 br 81114c08 <__reset+0xfb0f4c08> -8111546c: 89c0050b ldhu r7,20(r17) -81115470: 00800184 movi r2,6 -81115474: 39bfffcc andi r6,r7,65535 -81115478: 11842d2e bgeu r2,r6,81116530 -8111547c: 90800803 ldbu r2,32(r18) -81115480: 00c001c4 movi r3,7 -81115484: 18bde036 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81115488: d1207917 ldw r4,-32284(gp) -8111548c: 01604574 movhi r5,33045 -81115490: 2967bc04 addi r5,r5,-24848 -81115494: 112b5e00 call 8112b5e0 -81115498: 003ddb06 br 81114c08 <__reset+0xfb0f4c08> -8111549c: 8a40050b ldhu r9,20(r17) -811154a0: 00800184 movi r2,6 -811154a4: 49bfffcc andi r6,r9,65535 -811154a8: 1182b42e bgeu r2,r6,81115f7c -811154ac: 90800803 ldbu r2,32(r18) -811154b0: 00c001c4 movi r3,7 -811154b4: 18bdd436 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -811154b8: d1207917 ldw r4,-32284(gp) -811154bc: 01604574 movhi r5,33045 -811154c0: 2967bc04 addi r5,r5,-24848 -811154c4: 112b5e00 call 8112b5e0 -811154c8: 003dcf06 br 81114c08 <__reset+0xfb0f4c08> -811154cc: 90800803 ldbu r2,32(r18) -811154d0: 00c00084 movi r3,2 -811154d4: 18800636 bltu r3,r2,811154f0 -811154d8: d1e07917 ldw r7,-32284(gp) -811154dc: 01204574 movhi r4,33045 -811154e0: 2127b404 addi r4,r4,-24880 -811154e4: 01800704 movi r6,28 -811154e8: 01400044 movi r5,1 -811154ec: 112bc4c0 call 8112bc4c -811154f0: 80c00483 ldbu r3,18(r16) -811154f4: 8940050b ldhu r5,20(r17) -811154f8: 01204574 movhi r4,33045 -811154fc: 18803fcc andi r2,r3,255 -81115500: 10800284 addi r2,r2,10 -81115504: 1085883a add r2,r2,r2 -81115508: 8085883a add r2,r16,r2 -8111550c: 18c00044 addi r3,r3,1 -81115510: 2107dd04 addi r4,r4,8052 -81115514: 1140000d sth r5,0(r2) -81115518: 80c00485 stb r3,18(r16) -8111551c: 1114ae40 call 81114ae4 -81115520: 003db906 br 81114c08 <__reset+0xfb0f4c08> -81115524: 90800803 ldbu r2,32(r18) -81115528: 00c00084 movi r3,2 -8111552c: 18800636 bltu r3,r2,81115548 -81115530: d1e07917 ldw r7,-32284(gp) -81115534: 01204574 movhi r4,33045 -81115538: 2127ac04 addi r4,r4,-24912 -8111553c: 01800744 movi r6,29 -81115540: 01400044 movi r5,1 -81115544: 112bc4c0 call 8112bc4c -81115548: 80c00483 ldbu r3,18(r16) -8111554c: 8940050b ldhu r5,20(r17) -81115550: 01204574 movhi r4,33045 -81115554: 18803fcc andi r2,r3,255 -81115558: 10800284 addi r2,r2,10 -8111555c: 1085883a add r2,r2,r2 -81115560: 8085883a add r2,r16,r2 -81115564: 18c00044 addi r3,r3,1 -81115568: 2107dd04 addi r4,r4,8052 -8111556c: 1140000d sth r5,0(r2) -81115570: 80c00485 stb r3,18(r16) -81115574: 1114ae40 call 81114ae4 -81115578: 003da306 br 81114c08 <__reset+0xfb0f4c08> -8111557c: 89c0050b ldhu r7,20(r17) -81115580: 00800184 movi r2,6 -81115584: 39bfffcc andi r6,r7,65535 -81115588: 1184802e bgeu r2,r6,8111678c -8111558c: 90800803 ldbu r2,32(r18) -81115590: 00c001c4 movi r3,7 -81115594: 18bd9c36 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81115598: d1207917 ldw r4,-32284(gp) -8111559c: 01604574 movhi r5,33045 -811155a0: 2967bc04 addi r5,r5,-24848 -811155a4: 112b5e00 call 8112b5e0 -811155a8: 003d9706 br 81114c08 <__reset+0xfb0f4c08> -811155ac: 8940050b ldhu r5,20(r17) -811155b0: 00800184 movi r2,6 -811155b4: 29bfffcc andi r6,r5,65535 -811155b8: 1182582e bgeu r2,r6,81115f1c -811155bc: 90800803 ldbu r2,32(r18) -811155c0: 00c001c4 movi r3,7 -811155c4: 18bd9036 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -811155c8: d1207917 ldw r4,-32284(gp) -811155cc: 01604574 movhi r5,33045 -811155d0: 2967bc04 addi r5,r5,-24848 -811155d4: 112b5e00 call 8112b5e0 -811155d8: 003d8b06 br 81114c08 <__reset+0xfb0f4c08> -811155dc: 8a80050b ldhu r10,20(r17) -811155e0: 02604574 movhi r9,33045 +81115274: 112bc8c0 call 8112bc8c +81115278: 01204574 movhi r4,33045 +8111527c: 2107ee04 addi r4,r4,8120 +81115280: 1114b180 call 81114b18 +81115284: 003e6d06 br 81114c3c <__reset+0xfb0f4c3c> +81115288: 90800803 ldbu r2,32(r18) +8111528c: 00c00084 movi r3,2 +81115290: 18bff936 bltu r3,r2,81115278 <__reset+0xfb0f5278> +81115294: d1e07917 ldw r7,-32284(gp) +81115298: 01204574 movhi r4,33045 +8111529c: 2127e204 addi r4,r4,-24696 +811152a0: 01800704 movi r6,28 +811152a4: 01400044 movi r5,1 +811152a8: 112bc8c0 call 8112bc8c +811152ac: 003ff206 br 81115278 <__reset+0xfb0f5278> +811152b0: 90800803 ldbu r2,32(r18) +811152b4: 00c00084 movi r3,2 +811152b8: 18800636 bltu r3,r2,811152d4 +811152bc: d1e07917 ldw r7,-32284(gp) +811152c0: 01204574 movhi r4,33045 +811152c4: 2127db04 addi r4,r4,-24724 +811152c8: 018006c4 movi r6,27 +811152cc: 01400044 movi r5,1 +811152d0: 112bc8c0 call 8112bc8c +811152d4: 01604574 movhi r5,33045 +811152d8: 297339c4 addi r5,r5,-13081 +811152dc: 0009883a mov r4,zero +811152e0: 111e1e00 call 8111e1e0 +811152e4: 111ddbc0 call 8111ddbc +811152e8: 01204574 movhi r4,33045 +811152ec: 2107ee04 addi r4,r4,8120 +811152f0: 1114b180 call 81114b18 +811152f4: 003e5106 br 81114c3c <__reset+0xfb0f4c3c> +811152f8: 8a80050b ldhu r10,20(r17) +811152fc: 02604574 movhi r9,33045 +81115300: 00800184 movi r2,6 +81115304: 51bfffcc andi r6,r10,65535 +81115308: 4a483304 addi r9,r9,8396 +8111530c: 11850b2e bgeu r2,r6,8111673c +81115310: 90800803 ldbu r2,32(r18) +81115314: 00c00104 movi r3,4 +81115318: 18be4836 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +8111531c: d1207917 ldw r4,-32284(gp) +81115320: 01604574 movhi r5,33045 +81115324: 2967cc04 addi r5,r5,-24784 +81115328: 112b6200 call 8112b620 +8111532c: 003e4306 br 81114c3c <__reset+0xfb0f4c3c> +81115330: 8080028b ldhu r2,10(r16) +81115334: 81c0040b ldhu r7,16(r16) +81115338: 80c0020b ldhu r3,8(r16) +8111533c: 8940058b ldhu r5,22(r17) +81115340: 8900050b ldhu r4,20(r17) +81115344: 9880028d sth r2,10(r19) +81115348: 00803e84 movi r2,250 +8111534c: 05604574 movhi r21,33045 +81115350: 9880030d sth r2,12(r19) +81115354: d9808604 addi r6,sp,536 +81115358: 00800e44 movi r2,57 +8111535c: ad47a904 addi r21,r21,7844 +81115360: 99c0040d sth r7,16(r19) +81115364: 98c0020d sth r3,8(r19) +81115368: 9880038d sth r2,14(r19) +8111536c: d8008615 stw zero,536(sp) +81115370: 1123ae80 call 81123ae8 +81115374: 10002926 beq r2,zero,8111541c +81115378: d8808617 ldw r2,536(sp) +8111537c: a140050b ldhu r5,20(r20) +81115380: a100058b ldhu r4,22(r20) +81115384: 1006d43a srli r3,r2,16 +81115388: a880068d sth r2,26(r21) +8111538c: 00800104 movi r2,4 +81115390: a940050d sth r5,20(r21) +81115394: a900058d sth r4,22(r21) +81115398: a8c0060d sth r3,24(r21) +8111539c: a8800485 stb r2,18(r21) +811153a0: 01604574 movhi r5,33045 +811153a4: d809883a mov r4,sp +811153a8: 2947ad04 addi r5,r5,7860 +811153ac: 01804104 movi r6,260 +811153b0: 112c6880 call 8112c688 +811153b4: 99000017 ldw r4,0(r19) +811153b8: 99400117 ldw r5,4(r19) +811153bc: 99800217 ldw r6,8(r19) +811153c0: 99c00317 ldw r7,12(r19) +811153c4: 111e5000 call 8111e500 +811153c8: 003e1c06 br 81114c3c <__reset+0xfb0f4c3c> +811153cc: 8080028b ldhu r2,10(r16) +811153d0: 8200040b ldhu r8,16(r16) +811153d4: 80c0020b ldhu r3,8(r16) +811153d8: 8940058b ldhu r5,22(r17) +811153dc: 8900050b ldhu r4,20(r17) +811153e0: 01e045b4 movhi r7,33046 +811153e4: 9880028d sth r2,10(r19) +811153e8: 00803e84 movi r2,250 +811153ec: 05604574 movhi r21,33045 +811153f0: 9880030d sth r2,12(r19) +811153f4: 39c83504 addi r7,r7,8404 +811153f8: 00800dc4 movi r2,55 +811153fc: d9808604 addi r6,sp,536 +81115400: ad47a904 addi r21,r21,7844 +81115404: 9a00040d sth r8,16(r19) +81115408: 98c0020d sth r3,8(r19) +8111540c: 9880038d sth r2,14(r19) +81115410: d8008615 stw zero,536(sp) +81115414: 1123b740 call 81123b74 +81115418: 103fd71e bne r2,zero,81115378 <__reset+0xfb0f5378> +8111541c: 00803fc4 movi r2,255 +81115420: 9880050d sth r2,20(r19) +81115424: 00800104 movi r2,4 +81115428: 9800058d sth zero,22(r19) +8111542c: 9800060d sth zero,24(r19) +81115430: 9800068d sth zero,26(r19) +81115434: 98800485 stb r2,18(r19) +81115438: 003fd906 br 811153a0 <__reset+0xfb0f53a0> +8111543c: 8a40050b ldhu r9,20(r17) +81115440: 00800184 movi r2,6 +81115444: 49bfffcc andi r6,r9,65535 +81115448: 1183222e bgeu r2,r6,811160d4 +8111544c: 90800803 ldbu r2,32(r18) +81115450: 00c001c4 movi r3,7 +81115454: 18bdf936 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81115458: d1207917 ldw r4,-32284(gp) +8111545c: 01604574 movhi r5,33045 +81115460: 2967cc04 addi r5,r5,-24784 +81115464: 112b6200 call 8112b620 +81115468: 003df406 br 81114c3c <__reset+0xfb0f4c3c> +8111546c: 80c00483 ldbu r3,18(r16) +81115470: 8940050b ldhu r5,20(r17) +81115474: 01204574 movhi r4,33045 +81115478: 18803fcc andi r2,r3,255 +8111547c: 10800284 addi r2,r2,10 +81115480: 1085883a add r2,r2,r2 +81115484: 8085883a add r2,r16,r2 +81115488: 18c00044 addi r3,r3,1 +8111548c: 2107ee04 addi r4,r4,8120 +81115490: 1140000d sth r5,0(r2) +81115494: 80c00485 stb r3,18(r16) +81115498: 1114b180 call 81114b18 +8111549c: 003de706 br 81114c3c <__reset+0xfb0f4c3c> +811154a0: 89c0050b ldhu r7,20(r17) +811154a4: 00800184 movi r2,6 +811154a8: 39bfffcc andi r6,r7,65535 +811154ac: 11842d2e bgeu r2,r6,81116564 +811154b0: 90800803 ldbu r2,32(r18) +811154b4: 00c001c4 movi r3,7 +811154b8: 18bde036 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +811154bc: d1207917 ldw r4,-32284(gp) +811154c0: 01604574 movhi r5,33045 +811154c4: 2967cc04 addi r5,r5,-24784 +811154c8: 112b6200 call 8112b620 +811154cc: 003ddb06 br 81114c3c <__reset+0xfb0f4c3c> +811154d0: 8a40050b ldhu r9,20(r17) +811154d4: 00800184 movi r2,6 +811154d8: 49bfffcc andi r6,r9,65535 +811154dc: 1182b42e bgeu r2,r6,81115fb0 +811154e0: 90800803 ldbu r2,32(r18) +811154e4: 00c001c4 movi r3,7 +811154e8: 18bdd436 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +811154ec: d1207917 ldw r4,-32284(gp) +811154f0: 01604574 movhi r5,33045 +811154f4: 2967cc04 addi r5,r5,-24784 +811154f8: 112b6200 call 8112b620 +811154fc: 003dcf06 br 81114c3c <__reset+0xfb0f4c3c> +81115500: 90800803 ldbu r2,32(r18) +81115504: 00c00084 movi r3,2 +81115508: 18800636 bltu r3,r2,81115524 +8111550c: d1e07917 ldw r7,-32284(gp) +81115510: 01204574 movhi r4,33045 +81115514: 2127c404 addi r4,r4,-24816 +81115518: 01800704 movi r6,28 +8111551c: 01400044 movi r5,1 +81115520: 112bc8c0 call 8112bc8c +81115524: 80c00483 ldbu r3,18(r16) +81115528: 8940050b ldhu r5,20(r17) +8111552c: 01204574 movhi r4,33045 +81115530: 18803fcc andi r2,r3,255 +81115534: 10800284 addi r2,r2,10 +81115538: 1085883a add r2,r2,r2 +8111553c: 8085883a add r2,r16,r2 +81115540: 18c00044 addi r3,r3,1 +81115544: 2107ee04 addi r4,r4,8120 +81115548: 1140000d sth r5,0(r2) +8111554c: 80c00485 stb r3,18(r16) +81115550: 1114b180 call 81114b18 +81115554: 003db906 br 81114c3c <__reset+0xfb0f4c3c> +81115558: 90800803 ldbu r2,32(r18) +8111555c: 00c00084 movi r3,2 +81115560: 18800636 bltu r3,r2,8111557c +81115564: d1e07917 ldw r7,-32284(gp) +81115568: 01204574 movhi r4,33045 +8111556c: 2127bc04 addi r4,r4,-24848 +81115570: 01800744 movi r6,29 +81115574: 01400044 movi r5,1 +81115578: 112bc8c0 call 8112bc8c +8111557c: 80c00483 ldbu r3,18(r16) +81115580: 8940050b ldhu r5,20(r17) +81115584: 01204574 movhi r4,33045 +81115588: 18803fcc andi r2,r3,255 +8111558c: 10800284 addi r2,r2,10 +81115590: 1085883a add r2,r2,r2 +81115594: 8085883a add r2,r16,r2 +81115598: 18c00044 addi r3,r3,1 +8111559c: 2107ee04 addi r4,r4,8120 +811155a0: 1140000d sth r5,0(r2) +811155a4: 80c00485 stb r3,18(r16) +811155a8: 1114b180 call 81114b18 +811155ac: 003da306 br 81114c3c <__reset+0xfb0f4c3c> +811155b0: 89c0050b ldhu r7,20(r17) +811155b4: 00800184 movi r2,6 +811155b8: 39bfffcc andi r6,r7,65535 +811155bc: 1184802e bgeu r2,r6,811167c0 +811155c0: 90800803 ldbu r2,32(r18) +811155c4: 00c001c4 movi r3,7 +811155c8: 18bd9c36 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +811155cc: d1207917 ldw r4,-32284(gp) +811155d0: 01604574 movhi r5,33045 +811155d4: 2967cc04 addi r5,r5,-24784 +811155d8: 112b6200 call 8112b620 +811155dc: 003d9706 br 81114c3c <__reset+0xfb0f4c3c> +811155e0: 8940050b ldhu r5,20(r17) 811155e4: 00800184 movi r2,6 -811155e8: 51bfffcc andi r6,r10,65535 -811155ec: 4a482204 addi r9,r9,8328 -811155f0: 1182cc2e bgeu r2,r6,81116124 -811155f4: 90800803 ldbu r2,32(r18) -811155f8: 00c001c4 movi r3,7 -811155fc: 18bd8236 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81115600: d1207917 ldw r4,-32284(gp) -81115604: 01604574 movhi r5,33045 -81115608: 2967bc04 addi r5,r5,-24848 -8111560c: 112b5e00 call 8112b5e0 -81115610: 003d7d06 br 81114c08 <__reset+0xfb0f4c08> -81115614: 8940050b ldhu r5,20(r17) +811155e8: 29bfffcc andi r6,r5,65535 +811155ec: 1182582e bgeu r2,r6,81115f50 +811155f0: 90800803 ldbu r2,32(r18) +811155f4: 00c001c4 movi r3,7 +811155f8: 18bd9036 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +811155fc: d1207917 ldw r4,-32284(gp) +81115600: 01604574 movhi r5,33045 +81115604: 2967cc04 addi r5,r5,-24784 +81115608: 112b6200 call 8112b620 +8111560c: 003d8b06 br 81114c3c <__reset+0xfb0f4c3c> +81115610: 8a80050b ldhu r10,20(r17) +81115614: 02604574 movhi r9,33045 81115618: 00800184 movi r2,6 -8111561c: 29bfffcc andi r6,r5,65535 -81115620: 11824a2e bgeu r2,r6,81115f4c -81115624: 90800803 ldbu r2,32(r18) -81115628: 00c001c4 movi r3,7 -8111562c: 18bd7636 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81115630: d1207917 ldw r4,-32284(gp) -81115634: 01604574 movhi r5,33045 -81115638: 2967bc04 addi r5,r5,-24848 -8111563c: 112b5e00 call 8112b5e0 -81115640: 003d7106 br 81114c08 <__reset+0xfb0f4c08> -81115644: 89c0050b ldhu r7,20(r17) -81115648: 00800184 movi r2,6 -8111564c: 39bfffcc andi r6,r7,65535 -81115650: 11821d2e bgeu r2,r6,81115ec8 -81115654: 90800803 ldbu r2,32(r18) -81115658: 00c001c4 movi r3,7 -8111565c: 18bd6a36 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81115660: d1207917 ldw r4,-32284(gp) -81115664: 01604574 movhi r5,33045 -81115668: 2967bc04 addi r5,r5,-24848 -8111566c: 112b5e00 call 8112b5e0 -81115670: 003d6506 br 81114c08 <__reset+0xfb0f4c08> -81115674: 8a80050b ldhu r10,20(r17) -81115678: 00800184 movi r2,6 -8111567c: 51bfffcc andi r6,r10,65535 -81115680: 1183412e bgeu r2,r6,81116388 -81115684: 90800803 ldbu r2,32(r18) -81115688: 00c001c4 movi r3,7 -8111568c: 18bd5e36 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81115690: d1207917 ldw r4,-32284(gp) -81115694: 01604574 movhi r5,33045 -81115698: 2967bc04 addi r5,r5,-24848 -8111569c: 112b5e00 call 8112b5e0 -811156a0: 003d5906 br 81114c08 <__reset+0xfb0f4c08> -811156a4: 8a80050b ldhu r10,20(r17) -811156a8: 00800184 movi r2,6 -811156ac: 51bfffcc andi r6,r10,65535 -811156b0: 1182532e bgeu r2,r6,81116000 -811156b4: 90800803 ldbu r2,32(r18) -811156b8: 00c001c4 movi r3,7 -811156bc: 18bd5236 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -811156c0: d1207917 ldw r4,-32284(gp) -811156c4: 01604574 movhi r5,33045 -811156c8: 2967bc04 addi r5,r5,-24848 -811156cc: 112b5e00 call 8112b5e0 -811156d0: 003d4d06 br 81114c08 <__reset+0xfb0f4c08> -811156d4: 90800803 ldbu r2,32(r18) -811156d8: 00c00084 movi r3,2 -811156dc: 18bd4a36 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -811156e0: 8080040b ldhu r2,16(r16) -811156e4: d1207917 ldw r4,-32284(gp) -811156e8: 01604574 movhi r5,33045 -811156ec: 29679904 addi r5,r5,-24988 -811156f0: 39ffffcc andi r7,r7,65535 -811156f4: d8800015 stw r2,0(sp) -811156f8: 01803e84 movi r6,250 -811156fc: 112b5e00 call 8112b5e0 -81115700: 003d4106 br 81114c08 <__reset+0xfb0f4c08> -81115704: a8c0010b ldhu r3,4(r21) -81115708: 00960844 movi r2,22561 -8111570c: 18bd3e1e bne r3,r2,81114c08 <__reset+0xfb0f4c08> -81115710: af00028b ldhu fp,10(r21) -81115714: 00803fc4 movi r2,255 -81115718: e0811726 beq fp,r2,81115b78 -8111571c: a8c0038b ldhu r3,14(r21) -81115720: a880040b ldhu r2,16(r21) -81115724: adc0030b ldhu r23,12(r21) -81115728: 182a943a slli r21,r3,16 -8111572c: e009883a mov r4,fp -81115730: b80b883a mov r5,r23 -81115734: a8aab03a or r21,r21,r2 -81115738: a80d883a mov r6,r21 -8111573c: 11227240 call 81122724 -81115740: 10005d26 beq r2,zero,811158b8 -81115744: 90800803 ldbu r2,32(r18) -81115748: 00c00104 movi r3,4 -8111574c: 18bd2e36 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81115750: d1207917 ldw r4,-32284(gp) -81115754: 01604574 movhi r5,33045 -81115758: 29676e04 addi r5,r5,-25160 -8111575c: dd400015 stw r21,0(sp) -81115760: b80f883a mov r7,r23 -81115764: e00d883a mov r6,fp -81115768: 112b5e00 call 8112b5e0 -8111576c: 003d2606 br 81114c08 <__reset+0xfb0f4c08> -81115770: 11245fc0 call 811245fc -81115774: 003d2506 br 81114c0c <__reset+0xfb0f4c0c> -81115778: 00c03f04 movi r3,252 -8111577c: 10c02926 beq r2,r3,81115824 -81115780: 00c03f84 movi r3,254 -81115784: 10fd201e bne r2,r3,81114c08 <__reset+0xfb0f4c08> -81115788: 39ffffcc andi r7,r7,65535 -8111578c: 054000c4 movi r21,3 -81115790: 3d410c26 beq r7,r21,81115bc4 -81115794: 00c00204 movi r3,8 -81115798: 38c0fd1e bne r7,r3,81115b90 -8111579c: a0c0050b ldhu r3,20(r20) -811157a0: 01000184 movi r4,6 -811157a4: 19bfffcc andi r6,r3,65535 -811157a8: 2181572e bgeu r4,r6,81115d08 -811157ac: 90800803 ldbu r2,32(r18) -811157b0: 00c001c4 movi r3,7 -811157b4: 18bd1436 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -811157b8: d1207917 ldw r4,-32284(gp) -811157bc: 01604574 movhi r5,33045 -811157c0: 2967bc04 addi r5,r5,-24848 -811157c4: 112b5e00 call 8112b5e0 -811157c8: 003d0f06 br 81114c08 <__reset+0xfb0f4c08> -811157cc: 111da3c0 call 8111da3c -811157d0: 90800803 ldbu r2,32(r18) -811157d4: 00c00104 movi r3,4 -811157d8: 18804f2e bgeu r3,r2,81115918 -811157dc: 01604574 movhi r5,33045 -811157e0: 29732ac4 addi r5,r5,-13141 -811157e4: 0009883a mov r4,zero -811157e8: 111e1a00 call 8111e1a0 -811157ec: 003d0606 br 81114c08 <__reset+0xfb0f4c08> -811157f0: 11247040 call 81124704 -811157f4: 003d0506 br 81114c0c <__reset+0xfb0f4c0c> -811157f8: 38ffffcc andi r3,r7,65535 -811157fc: 01000044 movi r4,1 -81115800: 1900cf1e bne r3,r4,81115b40 +8111561c: 51bfffcc andi r6,r10,65535 +81115620: 4a483304 addi r9,r9,8396 +81115624: 1182cc2e bgeu r2,r6,81116158 +81115628: 90800803 ldbu r2,32(r18) +8111562c: 00c001c4 movi r3,7 +81115630: 18bd8236 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81115634: d1207917 ldw r4,-32284(gp) +81115638: 01604574 movhi r5,33045 +8111563c: 2967cc04 addi r5,r5,-24784 +81115640: 112b6200 call 8112b620 +81115644: 003d7d06 br 81114c3c <__reset+0xfb0f4c3c> +81115648: 8940050b ldhu r5,20(r17) +8111564c: 00800184 movi r2,6 +81115650: 29bfffcc andi r6,r5,65535 +81115654: 11824a2e bgeu r2,r6,81115f80 +81115658: 90800803 ldbu r2,32(r18) +8111565c: 00c001c4 movi r3,7 +81115660: 18bd7636 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81115664: d1207917 ldw r4,-32284(gp) +81115668: 01604574 movhi r5,33045 +8111566c: 2967cc04 addi r5,r5,-24784 +81115670: 112b6200 call 8112b620 +81115674: 003d7106 br 81114c3c <__reset+0xfb0f4c3c> +81115678: 89c0050b ldhu r7,20(r17) +8111567c: 00800184 movi r2,6 +81115680: 39bfffcc andi r6,r7,65535 +81115684: 11821d2e bgeu r2,r6,81115efc +81115688: 90800803 ldbu r2,32(r18) +8111568c: 00c001c4 movi r3,7 +81115690: 18bd6a36 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81115694: d1207917 ldw r4,-32284(gp) +81115698: 01604574 movhi r5,33045 +8111569c: 2967cc04 addi r5,r5,-24784 +811156a0: 112b6200 call 8112b620 +811156a4: 003d6506 br 81114c3c <__reset+0xfb0f4c3c> +811156a8: 8a80050b ldhu r10,20(r17) +811156ac: 00800184 movi r2,6 +811156b0: 51bfffcc andi r6,r10,65535 +811156b4: 1183412e bgeu r2,r6,811163bc +811156b8: 90800803 ldbu r2,32(r18) +811156bc: 00c001c4 movi r3,7 +811156c0: 18bd5e36 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +811156c4: d1207917 ldw r4,-32284(gp) +811156c8: 01604574 movhi r5,33045 +811156cc: 2967cc04 addi r5,r5,-24784 +811156d0: 112b6200 call 8112b620 +811156d4: 003d5906 br 81114c3c <__reset+0xfb0f4c3c> +811156d8: 8a80050b ldhu r10,20(r17) +811156dc: 00800184 movi r2,6 +811156e0: 51bfffcc andi r6,r10,65535 +811156e4: 1182532e bgeu r2,r6,81116034 +811156e8: 90800803 ldbu r2,32(r18) +811156ec: 00c001c4 movi r3,7 +811156f0: 18bd5236 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +811156f4: d1207917 ldw r4,-32284(gp) +811156f8: 01604574 movhi r5,33045 +811156fc: 2967cc04 addi r5,r5,-24784 +81115700: 112b6200 call 8112b620 +81115704: 003d4d06 br 81114c3c <__reset+0xfb0f4c3c> +81115708: 90800803 ldbu r2,32(r18) +8111570c: 00c00084 movi r3,2 +81115710: 18bd4a36 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81115714: 8080040b ldhu r2,16(r16) +81115718: d1207917 ldw r4,-32284(gp) +8111571c: 01604574 movhi r5,33045 +81115720: 2967a904 addi r5,r5,-24924 +81115724: 39ffffcc andi r7,r7,65535 +81115728: d8800015 stw r2,0(sp) +8111572c: 01803e84 movi r6,250 +81115730: 112b6200 call 8112b620 +81115734: 003d4106 br 81114c3c <__reset+0xfb0f4c3c> +81115738: a8c0010b ldhu r3,4(r21) +8111573c: 00960844 movi r2,22561 +81115740: 18bd3e1e bne r3,r2,81114c3c <__reset+0xfb0f4c3c> +81115744: af00028b ldhu fp,10(r21) +81115748: 00803fc4 movi r2,255 +8111574c: e0811726 beq fp,r2,81115bac +81115750: a8c0038b ldhu r3,14(r21) +81115754: a880040b ldhu r2,16(r21) +81115758: adc0030b ldhu r23,12(r21) +8111575c: 182a943a slli r21,r3,16 +81115760: e009883a mov r4,fp +81115764: b80b883a mov r5,r23 +81115768: a8aab03a or r21,r21,r2 +8111576c: a80d883a mov r6,r21 +81115770: 11227640 call 81122764 +81115774: 10005d26 beq r2,zero,811158ec +81115778: 90800803 ldbu r2,32(r18) +8111577c: 00c00104 movi r3,4 +81115780: 18bd2e36 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81115784: d1207917 ldw r4,-32284(gp) +81115788: 01604574 movhi r5,33045 +8111578c: 29677e04 addi r5,r5,-25096 +81115790: dd400015 stw r21,0(sp) +81115794: b80f883a mov r7,r23 +81115798: e00d883a mov r6,fp +8111579c: 112b6200 call 8112b620 +811157a0: 003d2606 br 81114c3c <__reset+0xfb0f4c3c> +811157a4: 112463c0 call 8112463c +811157a8: 003d2506 br 81114c40 <__reset+0xfb0f4c40> +811157ac: 00c03f04 movi r3,252 +811157b0: 10c02926 beq r2,r3,81115858 +811157b4: 00c03f84 movi r3,254 +811157b8: 10fd201e bne r2,r3,81114c3c <__reset+0xfb0f4c3c> +811157bc: 39ffffcc andi r7,r7,65535 +811157c0: 054000c4 movi r21,3 +811157c4: 3d410c26 beq r7,r21,81115bf8 +811157c8: 00c00204 movi r3,8 +811157cc: 38c0fd1e bne r7,r3,81115bc4 +811157d0: a0c0050b ldhu r3,20(r20) +811157d4: 01000184 movi r4,6 +811157d8: 19bfffcc andi r6,r3,65535 +811157dc: 2181572e bgeu r4,r6,81115d3c +811157e0: 90800803 ldbu r2,32(r18) +811157e4: 00c001c4 movi r3,7 +811157e8: 18bd1436 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +811157ec: d1207917 ldw r4,-32284(gp) +811157f0: 01604574 movhi r5,33045 +811157f4: 2967cc04 addi r5,r5,-24784 +811157f8: 112b6200 call 8112b620 +811157fc: 003d0f06 br 81114c3c <__reset+0xfb0f4c3c> +81115800: 111da7c0 call 8111da7c 81115804: 90800803 ldbu r2,32(r18) -81115808: 01000104 movi r4,4 -8111580c: 2081372e bgeu r4,r2,81115cec -81115810: 8180028b ldhu r6,10(r16) -81115814: 8140020b ldhu r5,8(r16) -81115818: 8100040b ldhu r4,16(r16) -8111581c: 111e7840 call 8111e784 -81115820: 003cf906 br 81114c08 <__reset+0xfb0f4c08> -81115824: a180050b ldhu r6,20(r20) -81115828: 01204574 movhi r4,33045 -8111582c: 01604574 movhi r5,33045 -81115830: 00800044 movi r2,1 -81115834: 2107dd04 addi r4,r4,8052 -81115838: 2947e204 addi r5,r5,8072 -8111583c: 20800485 stb r2,18(r4) -81115840: 2980000d sth r6,0(r5) -81115844: 39ffffcc andi r7,r7,65535 -81115848: 00c000c4 movi r3,3 -8111584c: 38c10a26 beq r7,r3,81115c78 -81115850: 19c0f52e bgeu r3,r7,81115c28 -81115854: 00800104 movi r2,4 -81115858: 38811b26 beq r7,r2,81115cc8 -8111585c: 00800144 movi r2,5 -81115860: 38810e1e bne r7,r2,81115c9c -81115864: 90800803 ldbu r2,32(r18) -81115868: 00c00084 movi r3,2 -8111586c: 18be7536 bltu r3,r2,81115244 <__reset+0xfb0f5244> -81115870: d1207917 ldw r4,-32284(gp) -81115874: 01604574 movhi r5,33045 -81115878: 2968bf04 addi r5,r5,-23812 -8111587c: 31bfffcc andi r6,r6,65535 -81115880: 112b5e00 call 8112b5e0 -81115884: 003e6f06 br 81115244 <__reset+0xfb0f5244> -81115888: a080050b ldhu r2,20(r20) -8111588c: 00c00184 movi r3,6 -81115890: 11bfffcc andi r6,r2,65535 -81115894: 1980272e bgeu r3,r6,81115934 +81115808: 00c00104 movi r3,4 +8111580c: 18804f2e bgeu r3,r2,8111594c +81115810: 01604574 movhi r5,33045 +81115814: 29733bc4 addi r5,r5,-13073 +81115818: 0009883a mov r4,zero +8111581c: 111e1e00 call 8111e1e0 +81115820: 003d0606 br 81114c3c <__reset+0xfb0f4c3c> +81115824: 11247440 call 81124744 +81115828: 003d0506 br 81114c40 <__reset+0xfb0f4c40> +8111582c: 38ffffcc andi r3,r7,65535 +81115830: 01000044 movi r4,1 +81115834: 1900cf1e bne r3,r4,81115b74 +81115838: 90800803 ldbu r2,32(r18) +8111583c: 01000104 movi r4,4 +81115840: 2081372e bgeu r4,r2,81115d20 +81115844: 8180028b ldhu r6,10(r16) +81115848: 8140020b ldhu r5,8(r16) +8111584c: 8100040b ldhu r4,16(r16) +81115850: 111e7c40 call 8111e7c4 +81115854: 003cf906 br 81114c3c <__reset+0xfb0f4c3c> +81115858: a180050b ldhu r6,20(r20) +8111585c: 01204574 movhi r4,33045 +81115860: 01604574 movhi r5,33045 +81115864: 00800044 movi r2,1 +81115868: 2107ee04 addi r4,r4,8120 +8111586c: 2947f304 addi r5,r5,8140 +81115870: 20800485 stb r2,18(r4) +81115874: 2980000d sth r6,0(r5) +81115878: 39ffffcc andi r7,r7,65535 +8111587c: 00c000c4 movi r3,3 +81115880: 38c10a26 beq r7,r3,81115cac +81115884: 19c0f52e bgeu r3,r7,81115c5c +81115888: 00800104 movi r2,4 +8111588c: 38811b26 beq r7,r2,81115cfc +81115890: 00800144 movi r2,5 +81115894: 38810e1e bne r7,r2,81115cd0 81115898: 90800803 ldbu r2,32(r18) -8111589c: 00c00104 movi r3,4 -811158a0: 18bcd936 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> +8111589c: 00c00084 movi r3,2 +811158a0: 18be7536 bltu r3,r2,81115278 <__reset+0xfb0f5278> 811158a4: d1207917 ldw r4,-32284(gp) 811158a8: 01604574 movhi r5,33045 -811158ac: 2967bc04 addi r5,r5,-24848 -811158b0: 112b5e00 call 8112b5e0 -811158b4: 003cd406 br 81114c08 <__reset+0xfb0f4c08> -811158b8: 90800803 ldbu r2,32(r18) -811158bc: 00c00104 movi r3,4 -811158c0: 18bcd136 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -811158c4: d1207917 ldw r4,-32284(gp) -811158c8: 01604574 movhi r5,33045 -811158cc: 29677e04 addi r5,r5,-25096 -811158d0: dd400015 stw r21,0(sp) -811158d4: b80f883a mov r7,r23 -811158d8: e00d883a mov r6,fp -811158dc: 112b5e00 call 8112b5e0 -811158e0: 003cc906 br 81114c08 <__reset+0xfb0f4c08> -811158e4: a900048b ldhu r4,18(r21) -811158e8: a8c0040b ldhu r3,16(r21) -811158ec: a880038b ldhu r2,14(r21) -811158f0: a9c0030b ldhu r7,12(r21) -811158f4: a980028b ldhu r6,10(r21) -811158f8: d9000215 stw r4,8(sp) -811158fc: d1207917 ldw r4,-32284(gp) -81115900: 01604574 movhi r5,33045 -81115904: 29675804 addi r5,r5,-25248 -81115908: d8c00115 stw r3,4(sp) -8111590c: d8800015 stw r2,0(sp) -81115910: 112b5e00 call 8112b5e0 -81115914: 003cd306 br 81114c64 <__reset+0xfb0f4c64> -81115918: d1e07917 ldw r7,-32284(gp) -8111591c: 01204574 movhi r4,33045 -81115920: 21274604 addi r4,r4,-25320 -81115924: 01801104 movi r6,68 -81115928: b80b883a mov r5,r23 -8111592c: 112bc4c0 call 8112bc4c -81115930: 003faa06 br 811157dc <__reset+0xfb0f57dc> -81115934: 01204574 movhi r4,33045 -81115938: 2107e204 addi r4,r4,8072 -8111593c: 01604574 movhi r5,33045 -81115940: 2080000d sth r2,0(r4) -81115944: 2947dd04 addi r5,r5,8052 -81115948: 00800044 movi r2,1 -8111594c: 28800485 stb r2,18(r5) -81115950: 39ffffcc andi r7,r7,65535 -81115954: 008003c4 movi r2,15 -81115958: 11c06e36 bltu r2,r7,81115b14 -8111595c: 380490ba slli r2,r7,2 -81115960: 00e04474 movhi r3,33041 -81115964: 18d65d04 addi r3,r3,22900 -81115968: 10c5883a add r2,r2,r3 -8111596c: 10800017 ldw r2,0(r2) -81115970: 1000683a jmp r2 -81115974: 81115b14 ori r4,r16,17772 -81115978: 81115a94 ori r4,r16,17770 -8111597c: 81115ad4 ori r4,r16,17771 -81115980: 81115a54 ori r4,r16,17769 -81115984: 81115af4 orhi r4,r16,17771 -81115988: 81115a74 orhi r4,r16,17769 -8111598c: 81115ab4 orhi r4,r16,17770 -81115990: 81115b14 ori r4,r16,17772 -81115994: 81115b14 ori r4,r16,17772 -81115998: 81115b14 ori r4,r16,17772 -8111599c: 81115b14 ori r4,r16,17772 -811159a0: 81115a34 orhi r4,r16,17768 -811159a4: 81115a14 ori r4,r16,17768 -811159a8: 811159f4 orhi r4,r16,17767 -811159ac: 811159d4 ori r4,r16,17767 -811159b0: 811159b4 orhi r4,r16,17766 -811159b4: 90800803 ldbu r2,32(r18) -811159b8: 00c00084 movi r3,2 -811159bc: 18be2136 bltu r3,r2,81115244 <__reset+0xfb0f5244> -811159c0: d1207917 ldw r4,-32284(gp) -811159c4: 01604574 movhi r5,33045 -811159c8: 29688d04 addi r5,r5,-24012 -811159cc: 112b5e00 call 8112b5e0 -811159d0: 003e1c06 br 81115244 <__reset+0xfb0f5244> -811159d4: 90800803 ldbu r2,32(r18) -811159d8: 00c00084 movi r3,2 -811159dc: 18be1936 bltu r3,r2,81115244 <__reset+0xfb0f5244> -811159e0: d1207917 ldw r4,-32284(gp) -811159e4: 01604574 movhi r5,33045 -811159e8: 29687b04 addi r5,r5,-24084 -811159ec: 112b5e00 call 8112b5e0 -811159f0: 003e1406 br 81115244 <__reset+0xfb0f5244> -811159f4: 90800803 ldbu r2,32(r18) -811159f8: 00c00084 movi r3,2 -811159fc: 18be1136 bltu r3,r2,81115244 <__reset+0xfb0f5244> -81115a00: d1207917 ldw r4,-32284(gp) -81115a04: 01604574 movhi r5,33045 -81115a08: 29686904 addi r5,r5,-24156 -81115a0c: 112b5e00 call 8112b5e0 -81115a10: 003e0c06 br 81115244 <__reset+0xfb0f5244> -81115a14: 90800803 ldbu r2,32(r18) -81115a18: 00c00084 movi r3,2 -81115a1c: 18be0936 bltu r3,r2,81115244 <__reset+0xfb0f5244> -81115a20: d1207917 ldw r4,-32284(gp) -81115a24: 01604574 movhi r5,33045 -81115a28: 29685704 addi r5,r5,-24228 -81115a2c: 112b5e00 call 8112b5e0 -81115a30: 003e0406 br 81115244 <__reset+0xfb0f5244> -81115a34: 90800803 ldbu r2,32(r18) -81115a38: 00c00084 movi r3,2 -81115a3c: 18be0136 bltu r3,r2,81115244 <__reset+0xfb0f5244> -81115a40: d1207917 ldw r4,-32284(gp) -81115a44: 01604574 movhi r5,33045 -81115a48: 29684904 addi r5,r5,-24284 -81115a4c: 112b5e00 call 8112b5e0 -81115a50: 003dfc06 br 81115244 <__reset+0xfb0f5244> -81115a54: 90800803 ldbu r2,32(r18) -81115a58: 00c00084 movi r3,2 -81115a5c: 18bdf936 bltu r3,r2,81115244 <__reset+0xfb0f5244> -81115a60: d1207917 ldw r4,-32284(gp) -81115a64: 01604574 movhi r5,33045 -81115a68: 29680904 addi r5,r5,-24540 -81115a6c: 112b5e00 call 8112b5e0 -81115a70: 003df406 br 81115244 <__reset+0xfb0f5244> -81115a74: 90800803 ldbu r2,32(r18) -81115a78: 00c00084 movi r3,2 -81115a7c: 18bdf136 bltu r3,r2,81115244 <__reset+0xfb0f5244> -81115a80: d1207917 ldw r4,-32284(gp) -81115a84: 01604574 movhi r5,33045 -81115a88: 29682704 addi r5,r5,-24420 -81115a8c: 112b5e00 call 8112b5e0 -81115a90: 003dec06 br 81115244 <__reset+0xfb0f5244> -81115a94: 90800803 ldbu r2,32(r18) -81115a98: 00c00084 movi r3,2 -81115a9c: 18bde936 bltu r3,r2,81115244 <__reset+0xfb0f5244> -81115aa0: d1207917 ldw r4,-32284(gp) -81115aa4: 01604574 movhi r5,33045 -81115aa8: 2967e904 addi r5,r5,-24668 -81115aac: 112b5e00 call 8112b5e0 -81115ab0: 003de406 br 81115244 <__reset+0xfb0f5244> -81115ab4: 90800803 ldbu r2,32(r18) -81115ab8: 00c00084 movi r3,2 -81115abc: 18bde136 bltu r3,r2,81115244 <__reset+0xfb0f5244> -81115ac0: d1207917 ldw r4,-32284(gp) -81115ac4: 01604574 movhi r5,33045 -81115ac8: 29683804 addi r5,r5,-24352 -81115acc: 112b5e00 call 8112b5e0 -81115ad0: 003ddc06 br 81115244 <__reset+0xfb0f5244> -81115ad4: 90800803 ldbu r2,32(r18) -81115ad8: 00c00084 movi r3,2 -81115adc: 18bdd936 bltu r3,r2,81115244 <__reset+0xfb0f5244> -81115ae0: d1207917 ldw r4,-32284(gp) -81115ae4: 01604574 movhi r5,33045 -81115ae8: 2967f904 addi r5,r5,-24604 -81115aec: 112b5e00 call 8112b5e0 -81115af0: 003dd406 br 81115244 <__reset+0xfb0f5244> -81115af4: 90800803 ldbu r2,32(r18) -81115af8: 00c00084 movi r3,2 -81115afc: 18bdd136 bltu r3,r2,81115244 <__reset+0xfb0f5244> -81115b00: d1207917 ldw r4,-32284(gp) -81115b04: 01604574 movhi r5,33045 -81115b08: 29681804 addi r5,r5,-24480 -81115b0c: 112b5e00 call 8112b5e0 -81115b10: 003dcc06 br 81115244 <__reset+0xfb0f5244> -81115b14: 90800803 ldbu r2,32(r18) -81115b18: 00c00084 movi r3,2 -81115b1c: 18bc3a36 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81115b20: 8080040b ldhu r2,16(r16) -81115b24: d1207917 ldw r4,-32284(gp) -81115b28: 01604574 movhi r5,33045 -81115b2c: 29679904 addi r5,r5,-24988 -81115b30: d8800015 stw r2,0(sp) -81115b34: 01803ec4 movi r6,251 -81115b38: 112b5e00 call 8112b5e0 -81115b3c: 003c3206 br 81114c08 <__reset+0xfb0f4c08> -81115b40: 91000803 ldbu r4,32(r18) -81115b44: 01400084 movi r5,2 -81115b48: 293c2f36 bltu r5,r4,81114c08 <__reset+0xfb0f4c08> -81115b4c: 01604574 movhi r5,33045 -81115b50: 2947dd04 addi r5,r5,8052 -81115b54: 2900040b ldhu r4,16(r5) -81115b58: 01604574 movhi r5,33045 -81115b5c: 29679904 addi r5,r5,-24988 -81115b60: d9000015 stw r4,0(sp) -81115b64: d1207917 ldw r4,-32284(gp) -81115b68: 180f883a mov r7,r3 -81115b6c: 100d883a mov r6,r2 -81115b70: 112b5e00 call 8112b5e0 -81115b74: 003c2406 br 81114c08 <__reset+0xfb0f4c08> -81115b78: 01604574 movhi r5,33045 -81115b7c: 29732ac4 addi r5,r5,-13141 -81115b80: 0009883a mov r4,zero -81115b84: d5e09215 stw r23,-32184(gp) -81115b88: 111e1a00 call 8111e1a0 -81115b8c: 003c1e06 br 81114c08 <__reset+0xfb0f4c08> -81115b90: 90c00803 ldbu r3,32(r18) -81115b94: 01000084 movi r4,2 -81115b98: 20fc1b36 bltu r4,r3,81114c08 <__reset+0xfb0f4c08> -81115b9c: 01204574 movhi r4,33045 -81115ba0: 2107dd04 addi r4,r4,8052 -81115ba4: 20c0040b ldhu r3,16(r4) -81115ba8: d1207917 ldw r4,-32284(gp) +811158ac: 2968cf04 addi r5,r5,-23748 +811158b0: 31bfffcc andi r6,r6,65535 +811158b4: 112b6200 call 8112b620 +811158b8: 003e6f06 br 81115278 <__reset+0xfb0f5278> +811158bc: a080050b ldhu r2,20(r20) +811158c0: 00c00184 movi r3,6 +811158c4: 11bfffcc andi r6,r2,65535 +811158c8: 1980272e bgeu r3,r6,81115968 +811158cc: 90800803 ldbu r2,32(r18) +811158d0: 00c00104 movi r3,4 +811158d4: 18bcd936 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +811158d8: d1207917 ldw r4,-32284(gp) +811158dc: 01604574 movhi r5,33045 +811158e0: 2967cc04 addi r5,r5,-24784 +811158e4: 112b6200 call 8112b620 +811158e8: 003cd406 br 81114c3c <__reset+0xfb0f4c3c> +811158ec: 90800803 ldbu r2,32(r18) +811158f0: 00c00104 movi r3,4 +811158f4: 18bcd136 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +811158f8: d1207917 ldw r4,-32284(gp) +811158fc: 01604574 movhi r5,33045 +81115900: 29678e04 addi r5,r5,-25032 +81115904: dd400015 stw r21,0(sp) +81115908: b80f883a mov r7,r23 +8111590c: e00d883a mov r6,fp +81115910: 112b6200 call 8112b620 +81115914: 003cc906 br 81114c3c <__reset+0xfb0f4c3c> +81115918: a900048b ldhu r4,18(r21) +8111591c: a8c0040b ldhu r3,16(r21) +81115920: a880038b ldhu r2,14(r21) +81115924: a9c0030b ldhu r7,12(r21) +81115928: a980028b ldhu r6,10(r21) +8111592c: d9000215 stw r4,8(sp) +81115930: d1207917 ldw r4,-32284(gp) +81115934: 01604574 movhi r5,33045 +81115938: 29676804 addi r5,r5,-25184 +8111593c: d8c00115 stw r3,4(sp) +81115940: d8800015 stw r2,0(sp) +81115944: 112b6200 call 8112b620 +81115948: 003cd306 br 81114c98 <__reset+0xfb0f4c98> +8111594c: d1e07917 ldw r7,-32284(gp) +81115950: 01204574 movhi r4,33045 +81115954: 21275604 addi r4,r4,-25256 +81115958: 01801104 movi r6,68 +8111595c: b80b883a mov r5,r23 +81115960: 112bc8c0 call 8112bc8c +81115964: 003faa06 br 81115810 <__reset+0xfb0f5810> +81115968: 01204574 movhi r4,33045 +8111596c: 2107f304 addi r4,r4,8140 +81115970: 01604574 movhi r5,33045 +81115974: 2080000d sth r2,0(r4) +81115978: 2947ee04 addi r5,r5,8120 +8111597c: 00800044 movi r2,1 +81115980: 28800485 stb r2,18(r5) +81115984: 39ffffcc andi r7,r7,65535 +81115988: 008003c4 movi r2,15 +8111598c: 11c06e36 bltu r2,r7,81115b48 +81115990: 380490ba slli r2,r7,2 +81115994: 00e04474 movhi r3,33041 +81115998: 18d66a04 addi r3,r3,22952 +8111599c: 10c5883a add r2,r2,r3 +811159a0: 10800017 ldw r2,0(r2) +811159a4: 1000683a jmp r2 +811159a8: 81115b48 cmpgei r4,r16,17773 +811159ac: 81115ac8 cmpgei r4,r16,17771 +811159b0: 81115b08 cmpgei r4,r16,17772 +811159b4: 81115a88 cmpgei r4,r16,17770 +811159b8: 81115b28 cmpgeui r4,r16,17772 +811159bc: 81115aa8 cmpgeui r4,r16,17770 +811159c0: 81115ae8 cmpgeui r4,r16,17771 +811159c4: 81115b48 cmpgei r4,r16,17773 +811159c8: 81115b48 cmpgei r4,r16,17773 +811159cc: 81115b48 cmpgei r4,r16,17773 +811159d0: 81115b48 cmpgei r4,r16,17773 +811159d4: 81115a68 cmpgeui r4,r16,17769 +811159d8: 81115a48 cmpgei r4,r16,17769 +811159dc: 81115a28 cmpgeui r4,r16,17768 +811159e0: 81115a08 cmpgei r4,r16,17768 +811159e4: 811159e8 cmpgeui r4,r16,17767 +811159e8: 90800803 ldbu r2,32(r18) +811159ec: 00c00084 movi r3,2 +811159f0: 18be2136 bltu r3,r2,81115278 <__reset+0xfb0f5278> +811159f4: d1207917 ldw r4,-32284(gp) +811159f8: 01604574 movhi r5,33045 +811159fc: 29689d04 addi r5,r5,-23948 +81115a00: 112b6200 call 8112b620 +81115a04: 003e1c06 br 81115278 <__reset+0xfb0f5278> +81115a08: 90800803 ldbu r2,32(r18) +81115a0c: 00c00084 movi r3,2 +81115a10: 18be1936 bltu r3,r2,81115278 <__reset+0xfb0f5278> +81115a14: d1207917 ldw r4,-32284(gp) +81115a18: 01604574 movhi r5,33045 +81115a1c: 29688b04 addi r5,r5,-24020 +81115a20: 112b6200 call 8112b620 +81115a24: 003e1406 br 81115278 <__reset+0xfb0f5278> +81115a28: 90800803 ldbu r2,32(r18) +81115a2c: 00c00084 movi r3,2 +81115a30: 18be1136 bltu r3,r2,81115278 <__reset+0xfb0f5278> +81115a34: d1207917 ldw r4,-32284(gp) +81115a38: 01604574 movhi r5,33045 +81115a3c: 29687904 addi r5,r5,-24092 +81115a40: 112b6200 call 8112b620 +81115a44: 003e0c06 br 81115278 <__reset+0xfb0f5278> +81115a48: 90800803 ldbu r2,32(r18) +81115a4c: 00c00084 movi r3,2 +81115a50: 18be0936 bltu r3,r2,81115278 <__reset+0xfb0f5278> +81115a54: d1207917 ldw r4,-32284(gp) +81115a58: 01604574 movhi r5,33045 +81115a5c: 29686704 addi r5,r5,-24164 +81115a60: 112b6200 call 8112b620 +81115a64: 003e0406 br 81115278 <__reset+0xfb0f5278> +81115a68: 90800803 ldbu r2,32(r18) +81115a6c: 00c00084 movi r3,2 +81115a70: 18be0136 bltu r3,r2,81115278 <__reset+0xfb0f5278> +81115a74: d1207917 ldw r4,-32284(gp) +81115a78: 01604574 movhi r5,33045 +81115a7c: 29685904 addi r5,r5,-24220 +81115a80: 112b6200 call 8112b620 +81115a84: 003dfc06 br 81115278 <__reset+0xfb0f5278> +81115a88: 90800803 ldbu r2,32(r18) +81115a8c: 00c00084 movi r3,2 +81115a90: 18bdf936 bltu r3,r2,81115278 <__reset+0xfb0f5278> +81115a94: d1207917 ldw r4,-32284(gp) +81115a98: 01604574 movhi r5,33045 +81115a9c: 29681904 addi r5,r5,-24476 +81115aa0: 112b6200 call 8112b620 +81115aa4: 003df406 br 81115278 <__reset+0xfb0f5278> +81115aa8: 90800803 ldbu r2,32(r18) +81115aac: 00c00084 movi r3,2 +81115ab0: 18bdf136 bltu r3,r2,81115278 <__reset+0xfb0f5278> +81115ab4: d1207917 ldw r4,-32284(gp) +81115ab8: 01604574 movhi r5,33045 +81115abc: 29683704 addi r5,r5,-24356 +81115ac0: 112b6200 call 8112b620 +81115ac4: 003dec06 br 81115278 <__reset+0xfb0f5278> +81115ac8: 90800803 ldbu r2,32(r18) +81115acc: 00c00084 movi r3,2 +81115ad0: 18bde936 bltu r3,r2,81115278 <__reset+0xfb0f5278> +81115ad4: d1207917 ldw r4,-32284(gp) +81115ad8: 01604574 movhi r5,33045 +81115adc: 2967f904 addi r5,r5,-24604 +81115ae0: 112b6200 call 8112b620 +81115ae4: 003de406 br 81115278 <__reset+0xfb0f5278> +81115ae8: 90800803 ldbu r2,32(r18) +81115aec: 00c00084 movi r3,2 +81115af0: 18bde136 bltu r3,r2,81115278 <__reset+0xfb0f5278> +81115af4: d1207917 ldw r4,-32284(gp) +81115af8: 01604574 movhi r5,33045 +81115afc: 29684804 addi r5,r5,-24288 +81115b00: 112b6200 call 8112b620 +81115b04: 003ddc06 br 81115278 <__reset+0xfb0f5278> +81115b08: 90800803 ldbu r2,32(r18) +81115b0c: 00c00084 movi r3,2 +81115b10: 18bdd936 bltu r3,r2,81115278 <__reset+0xfb0f5278> +81115b14: d1207917 ldw r4,-32284(gp) +81115b18: 01604574 movhi r5,33045 +81115b1c: 29680904 addi r5,r5,-24540 +81115b20: 112b6200 call 8112b620 +81115b24: 003dd406 br 81115278 <__reset+0xfb0f5278> +81115b28: 90800803 ldbu r2,32(r18) +81115b2c: 00c00084 movi r3,2 +81115b30: 18bdd136 bltu r3,r2,81115278 <__reset+0xfb0f5278> +81115b34: d1207917 ldw r4,-32284(gp) +81115b38: 01604574 movhi r5,33045 +81115b3c: 29682804 addi r5,r5,-24416 +81115b40: 112b6200 call 8112b620 +81115b44: 003dcc06 br 81115278 <__reset+0xfb0f5278> +81115b48: 90800803 ldbu r2,32(r18) +81115b4c: 00c00084 movi r3,2 +81115b50: 18bc3a36 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81115b54: 8080040b ldhu r2,16(r16) +81115b58: d1207917 ldw r4,-32284(gp) +81115b5c: 01604574 movhi r5,33045 +81115b60: 2967a904 addi r5,r5,-24924 +81115b64: d8800015 stw r2,0(sp) +81115b68: 01803ec4 movi r6,251 +81115b6c: 112b6200 call 8112b620 +81115b70: 003c3206 br 81114c3c <__reset+0xfb0f4c3c> +81115b74: 91000803 ldbu r4,32(r18) +81115b78: 01400084 movi r5,2 +81115b7c: 293c2f36 bltu r5,r4,81114c3c <__reset+0xfb0f4c3c> +81115b80: 01604574 movhi r5,33045 +81115b84: 2947ee04 addi r5,r5,8120 +81115b88: 2900040b ldhu r4,16(r5) +81115b8c: 01604574 movhi r5,33045 +81115b90: 2967a904 addi r5,r5,-24924 +81115b94: d9000015 stw r4,0(sp) +81115b98: d1207917 ldw r4,-32284(gp) +81115b9c: 180f883a mov r7,r3 +81115ba0: 100d883a mov r6,r2 +81115ba4: 112b6200 call 8112b620 +81115ba8: 003c2406 br 81114c3c <__reset+0xfb0f4c3c> 81115bac: 01604574 movhi r5,33045 -81115bb0: 29679904 addi r5,r5,-24988 -81115bb4: d8c00015 stw r3,0(sp) -81115bb8: 100d883a mov r6,r2 -81115bbc: 112b5e00 call 8112b5e0 -81115bc0: 003c1106 br 81114c08 <__reset+0xfb0f4c08> -81115bc4: b1c00717 ldw r7,28(r22) -81115bc8: b3000217 ldw r12,8(r22) -81115bcc: b2c0038b ldhu r11,14(r22) -81115bd0: 01604574 movhi r5,33045 -81115bd4: 00c00104 movi r3,4 -81115bd8: d809883a mov r4,sp -81115bdc: 29479c04 addi r5,r5,7792 -81115be0: 01804104 movi r6,260 -81115be4: 99c0068d sth r7,26(r19) -81115be8: 9a80040d sth r10,16(r19) -81115bec: 9a40020d sth r9,8(r19) -81115bf0: 9a00028d sth r8,10(r19) -81115bf4: 9880030d sth r2,12(r19) -81115bf8: 98c0038d sth r3,14(r19) -81115bfc: 9b00050d sth r12,20(r19) -81115c00: 9800058d sth zero,22(r19) -81115c04: 9ac0060d sth r11,24(r19) -81115c08: 98c00485 stb r3,18(r19) -81115c0c: 112c6480 call 8112c648 -81115c10: 99000017 ldw r4,0(r19) -81115c14: 99400117 ldw r5,4(r19) -81115c18: 99800217 ldw r6,8(r19) -81115c1c: 99c00317 ldw r7,12(r19) -81115c20: 111e4c00 call 8111e4c0 -81115c24: 003bf806 br 81114c08 <__reset+0xfb0f4c08> -81115c28: 00c00084 movi r3,2 -81115c2c: 38c01b1e bne r7,r3,81115c9c -81115c30: 01204574 movhi r4,33045 -81115c34: 21082784 addi r4,r4,8350 -81115c38: 018001c4 movi r6,7 -81115c3c: 10c03fcc andi r3,r2,255 -81115c40: 2140000b ldhu r5,0(r4) -81115c44: 18c00284 addi r3,r3,10 -81115c48: 18c7883a add r3,r3,r3 -81115c4c: 80c7883a add r3,r16,r3 -81115c50: 10800044 addi r2,r2,1 -81115c54: 1940000d sth r5,0(r3) -81115c58: 10c03fcc andi r3,r2,255 -81115c5c: 21000084 addi r4,r4,2 -81115c60: 19bff61e bne r3,r6,81115c3c <__reset+0xfb0f5c3c> -81115c64: 00a04574 movhi r2,33045 -81115c68: 00c001c4 movi r3,7 -81115c6c: 1087dd04 addi r2,r2,8052 -81115c70: 10c00485 stb r3,18(r2) -81115c74: 003d7306 br 81115244 <__reset+0xfb0f5244> -81115c78: 90800803 ldbu r2,32(r18) -81115c7c: 00c00084 movi r3,2 -81115c80: 18bd7036 bltu r3,r2,81115244 <__reset+0xfb0f5244> -81115c84: d1207917 ldw r4,-32284(gp) -81115c88: 01604574 movhi r5,33045 -81115c8c: 29689f04 addi r5,r5,-23940 -81115c90: 31bfffcc andi r6,r6,65535 -81115c94: 112b5e00 call 8112b5e0 -81115c98: 003d6a06 br 81115244 <__reset+0xfb0f5244> -81115c9c: 90800803 ldbu r2,32(r18) -81115ca0: 00c00084 movi r3,2 -81115ca4: 18bbd836 bltu r3,r2,81114c08 <__reset+0xfb0f4c08> -81115ca8: 8080040b ldhu r2,16(r16) -81115cac: d1207917 ldw r4,-32284(gp) -81115cb0: 01604574 movhi r5,33045 -81115cb4: 29679904 addi r5,r5,-24988 -81115cb8: d8800015 stw r2,0(sp) -81115cbc: 01803f04 movi r6,252 -81115cc0: 112b5e00 call 8112b5e0 -81115cc4: 003bd006 br 81114c08 <__reset+0xfb0f4c08> -81115cc8: 90800803 ldbu r2,32(r18) -81115ccc: 00c00084 movi r3,2 -81115cd0: 18bd5c36 bltu r3,r2,81115244 <__reset+0xfb0f5244> -81115cd4: d1207917 ldw r4,-32284(gp) -81115cd8: 01604574 movhi r5,33045 -81115cdc: 2968af04 addi r5,r5,-23876 -81115ce0: 31bfffcc andi r6,r6,65535 -81115ce4: 112b5e00 call 8112b5e0 -81115ce8: 003d5606 br 81115244 <__reset+0xfb0f5244> -81115cec: d1e07917 ldw r7,-32284(gp) -81115cf0: 01204574 movhi r4,33045 -81115cf4: 21278f04 addi r4,r4,-25028 -81115cf8: 01800944 movi r6,37 -81115cfc: 180b883a mov r5,r3 -81115d00: 112bc4c0 call 8112bc4c -81115d04: 003ec206 br 81115810 <__reset+0xfb0f5810> -81115d08: 35c42f24 muli r23,r6,4284 -81115d0c: d880440d sth r2,272(sp) -81115d10: 00800244 movi r2,9 -81115d14: b5f9883a add fp,r22,r23 -81115d18: e1597b17 ldw r5,26092(fp) -81115d1c: b9199404 addi r4,r23,26192 -81115d20: b109883a add r4,r22,r4 -81115d24: d8c0460d sth r3,280(sp) -81115d28: da80450d sth r10,276(sp) -81115d2c: da40430d sth r9,268(sp) -81115d30: da00438d sth r8,270(sp) -81115d34: d880448d sth r2,274(sp) -81115d38: d940468d sth r5,282(sp) -81115d3c: 1104fe00 call 81104fe0 -81115d40: e0d99c17 ldw r3,26224(fp) -81115d44: 00800044 movi r2,1 -81115d48: 18800626 beq r3,r2,81115d64 -81115d4c: e0d99b17 ldw r3,26220(fp) -81115d50: 18805926 beq r3,r2,81115eb8 -81115d54: e0d99a17 ldw r3,26216(fp) -81115d58: 18805926 beq r3,r2,81115ec0 -81115d5c: e5599817 ldw r21,26208(fp) -81115d60: ad400060 cmpeqi r21,r21,1 -81115d64: bf19f804 addi fp,r23,26592 -81115d68: e5b9883a add fp,fp,r22 -81115d6c: e009883a mov r4,fp -81115d70: dd40470d sth r21,284(sp) -81115d74: 11024800 call 81102480 -81115d78: e009883a mov r4,fp -81115d7c: 11023980 call 81102398 -81115d80: b5c9883a add r4,r22,r23 -81115d84: 2159fd17 ldw r5,26612(r4) -81115d88: 2099fe17 ldw r2,26616(r4) -81115d8c: 11404736 bltu r2,r5,81115eac -81115d90: 2219908b ldhu r8,26178(r4) -81115d94: 91c00717 ldw r7,28(r18) -81115d98: 91800617 ldw r6,24(r18) -81115d9c: 2259910b ldhu r9,26180(r4) -81115da0: 41d1383a mul r8,r8,r7 -81115da4: 21d9900b ldhu r7,26176(r4) -81115da8: 10c00044 addi r3,r2,1 -81115dac: 91000417 ldw r4,16(r18) -81115db0: 1947c83a sub r3,r3,r5 -81115db4: 90800517 ldw r2,20(r18) -81115db8: 418b883a add r5,r8,r6 -81115dbc: 49cd883a add r6,r9,r7 -81115dc0: 01c003f4 movhi r7,15 -81115dc4: 39d09004 addi r7,r7,16960 -81115dc8: 28cb383a mul r5,r5,r3 -81115dcc: 21c9383a mul r4,r4,r7 -81115dd0: 30c7c83a sub r3,r6,r3 -81115dd4: 1885383a mul r2,r3,r2 -81115dd8: 2907883a add r3,r5,r4 -81115ddc: 1885883a add r2,r3,r2 -81115de0: 00c418b4 movhi r3,4194 -81115de4: 18d374c4 addi r3,r3,19923 -81115de8: 10c4383a mulxuu r2,r2,r3 -81115dec: 1006d5ba srli r3,r2,22 -81115df0: 1004d1ba srli r2,r2,6 -81115df4: b919a904 addi r4,r23,26276 -81115df8: b109883a add r4,r22,r4 -81115dfc: d8c0478d sth r3,286(sp) -81115e00: d880480d sth r2,288(sp) -81115e04: 1103ca00 call 81103ca0 -81115e08: b5c5883a add r2,r22,r23 -81115e0c: 12d9b417 ldw r11,26320(r2) -81115e10: 1299b617 ldw r10,26328(r2) -81115e14: 1259bc17 ldw r9,26352(r2) -81115e18: 1219b917 ldw r8,26340(r2) -81115e1c: 11d9bb17 ldw r7,26348(r2) -81115e20: 10d9b817 ldw r3,26336(r2) -81115e24: 1099ba17 ldw r2,26344(r2) -81115e28: 381cd43a srli r14,r7,16 -81115e2c: 5838d43a srli fp,r11,16 -81115e30: 1018d43a srli r12,r2,16 -81115e34: 502ed43a srli r23,r10,16 -81115e38: 482ad43a srli r21,r9,16 -81115e3c: 401ed43a srli r15,r8,16 -81115e40: 181ad43a srli r13,r3,16 -81115e44: d9404504 addi r5,sp,276 -81115e48: d809883a mov r4,sp -81115e4c: d8804f0d sth r2,316(sp) -81115e50: 01804104 movi r6,260 -81115e54: 008004c4 movi r2,19 -81115e58: d9c04d0d sth r7,308(sp) -81115e5c: df00488d sth fp,290(sp) -81115e60: dac0490d sth r11,292(sp) -81115e64: ddc0498d sth r23,294(sp) -81115e68: da804a0d sth r10,296(sp) -81115e6c: dd404a8d sth r21,298(sp) -81115e70: da404b0d sth r9,300(sp) -81115e74: dbc04b8d sth r15,302(sp) -81115e78: da004c0d sth r8,304(sp) -81115e7c: db804c8d sth r14,306(sp) -81115e80: db404d8d sth r13,310(sp) -81115e84: d8c04e0d sth r3,312(sp) -81115e88: db004e8d sth r12,314(sp) -81115e8c: d8804585 stb r2,278(sp) -81115e90: 112c6480 call 8112c648 -81115e94: d9004117 ldw r4,260(sp) -81115e98: d9404217 ldw r5,264(sp) -81115e9c: d9804317 ldw r6,268(sp) -81115ea0: d9c04417 ldw r7,272(sp) -81115ea4: 111e4c00 call 8111e4c0 -81115ea8: 003b5706 br 81114c08 <__reset+0xfb0f4c08> -81115eac: 0005883a mov r2,zero -81115eb0: 0007883a mov r3,zero -81115eb4: 003fcf06 br 81115df4 <__reset+0xfb0f5df4> -81115eb8: 05400084 movi r21,2 -81115ebc: 003fa906 br 81115d64 <__reset+0xfb0f5d64> -81115ec0: 05400104 movi r21,4 -81115ec4: 003fa706 br 81115d64 <__reset+0xfb0f5d64> -81115ec8: 81400483 ldbu r5,18(r16) -81115ecc: 00a04574 movhi r2,33045 -81115ed0: 10882204 addi r2,r2,8328 -81115ed4: 1180058b ldhu r6,22(r2) -81115ed8: 28c03fcc andi r3,r5,255 -81115edc: 28800044 addi r2,r5,1 -81115ee0: 18c00284 addi r3,r3,10 -81115ee4: 10803fcc andi r2,r2,255 -81115ee8: 18c7883a add r3,r3,r3 -81115eec: 10800284 addi r2,r2,10 -81115ef0: 80c7883a add r3,r16,r3 -81115ef4: 01204574 movhi r4,33045 -81115ef8: 1085883a add r2,r2,r2 -81115efc: 19c0000d sth r7,0(r3) -81115f00: 8085883a add r2,r16,r2 -81115f04: 29400084 addi r5,r5,2 -81115f08: 2107dd04 addi r4,r4,8052 -81115f0c: 1180000d sth r6,0(r2) -81115f10: 81400485 stb r5,18(r16) -81115f14: 1114ae40 call 81114ae4 -81115f18: 003b3b06 br 81114c08 <__reset+0xfb0f4c08> -81115f1c: 80c00483 ldbu r3,18(r16) -81115f20: 01204574 movhi r4,33045 -81115f24: 2107dd04 addi r4,r4,8052 -81115f28: 18803fcc andi r2,r3,255 -81115f2c: 10800284 addi r2,r2,10 -81115f30: 1085883a add r2,r2,r2 +81115bb0: 29733bc4 addi r5,r5,-13073 +81115bb4: 0009883a mov r4,zero +81115bb8: d5e09215 stw r23,-32184(gp) +81115bbc: 111e1e00 call 8111e1e0 +81115bc0: 003c1e06 br 81114c3c <__reset+0xfb0f4c3c> +81115bc4: 90c00803 ldbu r3,32(r18) +81115bc8: 01000084 movi r4,2 +81115bcc: 20fc1b36 bltu r4,r3,81114c3c <__reset+0xfb0f4c3c> +81115bd0: 01204574 movhi r4,33045 +81115bd4: 2107ee04 addi r4,r4,8120 +81115bd8: 20c0040b ldhu r3,16(r4) +81115bdc: d1207917 ldw r4,-32284(gp) +81115be0: 01604574 movhi r5,33045 +81115be4: 2967a904 addi r5,r5,-24924 +81115be8: d8c00015 stw r3,0(sp) +81115bec: 100d883a mov r6,r2 +81115bf0: 112b6200 call 8112b620 +81115bf4: 003c1106 br 81114c3c <__reset+0xfb0f4c3c> +81115bf8: b1c00717 ldw r7,28(r22) +81115bfc: b3000217 ldw r12,8(r22) +81115c00: b2c0038b ldhu r11,14(r22) +81115c04: 01604574 movhi r5,33045 +81115c08: 00c00104 movi r3,4 +81115c0c: d809883a mov r4,sp +81115c10: 2947ad04 addi r5,r5,7860 +81115c14: 01804104 movi r6,260 +81115c18: 99c0068d sth r7,26(r19) +81115c1c: 9a80040d sth r10,16(r19) +81115c20: 9a40020d sth r9,8(r19) +81115c24: 9a00028d sth r8,10(r19) +81115c28: 9880030d sth r2,12(r19) +81115c2c: 98c0038d sth r3,14(r19) +81115c30: 9b00050d sth r12,20(r19) +81115c34: 9800058d sth zero,22(r19) +81115c38: 9ac0060d sth r11,24(r19) +81115c3c: 98c00485 stb r3,18(r19) +81115c40: 112c6880 call 8112c688 +81115c44: 99000017 ldw r4,0(r19) +81115c48: 99400117 ldw r5,4(r19) +81115c4c: 99800217 ldw r6,8(r19) +81115c50: 99c00317 ldw r7,12(r19) +81115c54: 111e5000 call 8111e500 +81115c58: 003bf806 br 81114c3c <__reset+0xfb0f4c3c> +81115c5c: 00c00084 movi r3,2 +81115c60: 38c01b1e bne r7,r3,81115cd0 +81115c64: 01204574 movhi r4,33045 +81115c68: 21083884 addi r4,r4,8418 +81115c6c: 018001c4 movi r6,7 +81115c70: 10c03fcc andi r3,r2,255 +81115c74: 2140000b ldhu r5,0(r4) +81115c78: 18c00284 addi r3,r3,10 +81115c7c: 18c7883a add r3,r3,r3 +81115c80: 80c7883a add r3,r16,r3 +81115c84: 10800044 addi r2,r2,1 +81115c88: 1940000d sth r5,0(r3) +81115c8c: 10c03fcc andi r3,r2,255 +81115c90: 21000084 addi r4,r4,2 +81115c94: 19bff61e bne r3,r6,81115c70 <__reset+0xfb0f5c70> +81115c98: 00a04574 movhi r2,33045 +81115c9c: 00c001c4 movi r3,7 +81115ca0: 1087ee04 addi r2,r2,8120 +81115ca4: 10c00485 stb r3,18(r2) +81115ca8: 003d7306 br 81115278 <__reset+0xfb0f5278> +81115cac: 90800803 ldbu r2,32(r18) +81115cb0: 00c00084 movi r3,2 +81115cb4: 18bd7036 bltu r3,r2,81115278 <__reset+0xfb0f5278> +81115cb8: d1207917 ldw r4,-32284(gp) +81115cbc: 01604574 movhi r5,33045 +81115cc0: 2968af04 addi r5,r5,-23876 +81115cc4: 31bfffcc andi r6,r6,65535 +81115cc8: 112b6200 call 8112b620 +81115ccc: 003d6a06 br 81115278 <__reset+0xfb0f5278> +81115cd0: 90800803 ldbu r2,32(r18) +81115cd4: 00c00084 movi r3,2 +81115cd8: 18bbd836 bltu r3,r2,81114c3c <__reset+0xfb0f4c3c> +81115cdc: 8080040b ldhu r2,16(r16) +81115ce0: d1207917 ldw r4,-32284(gp) +81115ce4: 01604574 movhi r5,33045 +81115ce8: 2967a904 addi r5,r5,-24924 +81115cec: d8800015 stw r2,0(sp) +81115cf0: 01803f04 movi r6,252 +81115cf4: 112b6200 call 8112b620 +81115cf8: 003bd006 br 81114c3c <__reset+0xfb0f4c3c> +81115cfc: 90800803 ldbu r2,32(r18) +81115d00: 00c00084 movi r3,2 +81115d04: 18bd5c36 bltu r3,r2,81115278 <__reset+0xfb0f5278> +81115d08: d1207917 ldw r4,-32284(gp) +81115d0c: 01604574 movhi r5,33045 +81115d10: 2968bf04 addi r5,r5,-23812 +81115d14: 31bfffcc andi r6,r6,65535 +81115d18: 112b6200 call 8112b620 +81115d1c: 003d5606 br 81115278 <__reset+0xfb0f5278> +81115d20: d1e07917 ldw r7,-32284(gp) +81115d24: 01204574 movhi r4,33045 +81115d28: 21279f04 addi r4,r4,-24964 +81115d2c: 01800944 movi r6,37 +81115d30: 180b883a mov r5,r3 +81115d34: 112bc8c0 call 8112bc8c +81115d38: 003ec206 br 81115844 <__reset+0xfb0f5844> +81115d3c: 35c42f24 muli r23,r6,4284 +81115d40: d880440d sth r2,272(sp) +81115d44: 00800244 movi r2,9 +81115d48: b5f9883a add fp,r22,r23 +81115d4c: e1597b17 ldw r5,26092(fp) +81115d50: b9199404 addi r4,r23,26192 +81115d54: b109883a add r4,r22,r4 +81115d58: d8c0460d sth r3,280(sp) +81115d5c: da80450d sth r10,276(sp) +81115d60: da40430d sth r9,268(sp) +81115d64: da00438d sth r8,270(sp) +81115d68: d880448d sth r2,274(sp) +81115d6c: d940468d sth r5,282(sp) +81115d70: 11050140 call 81105014 +81115d74: e0d99c17 ldw r3,26224(fp) +81115d78: 00800044 movi r2,1 +81115d7c: 18800626 beq r3,r2,81115d98 +81115d80: e0d99b17 ldw r3,26220(fp) +81115d84: 18805926 beq r3,r2,81115eec +81115d88: e0d99a17 ldw r3,26216(fp) +81115d8c: 18805926 beq r3,r2,81115ef4 +81115d90: e5599817 ldw r21,26208(fp) +81115d94: ad400060 cmpeqi r21,r21,1 +81115d98: bf19f804 addi fp,r23,26592 +81115d9c: e5b9883a add fp,fp,r22 +81115da0: e009883a mov r4,fp +81115da4: dd40470d sth r21,284(sp) +81115da8: 11024800 call 81102480 +81115dac: e009883a mov r4,fp +81115db0: 11023980 call 81102398 +81115db4: b5c9883a add r4,r22,r23 +81115db8: 2159fd17 ldw r5,26612(r4) +81115dbc: 2099fe17 ldw r2,26616(r4) +81115dc0: 11404736 bltu r2,r5,81115ee0 +81115dc4: 2219908b ldhu r8,26178(r4) +81115dc8: 91c00717 ldw r7,28(r18) +81115dcc: 91800617 ldw r6,24(r18) +81115dd0: 2259910b ldhu r9,26180(r4) +81115dd4: 41d1383a mul r8,r8,r7 +81115dd8: 21d9900b ldhu r7,26176(r4) +81115ddc: 10c00044 addi r3,r2,1 +81115de0: 91000417 ldw r4,16(r18) +81115de4: 1947c83a sub r3,r3,r5 +81115de8: 90800517 ldw r2,20(r18) +81115dec: 418b883a add r5,r8,r6 +81115df0: 49cd883a add r6,r9,r7 +81115df4: 01c003f4 movhi r7,15 +81115df8: 39d09004 addi r7,r7,16960 +81115dfc: 28cb383a mul r5,r5,r3 +81115e00: 21c9383a mul r4,r4,r7 +81115e04: 30c7c83a sub r3,r6,r3 +81115e08: 1885383a mul r2,r3,r2 +81115e0c: 2907883a add r3,r5,r4 +81115e10: 1885883a add r2,r3,r2 +81115e14: 00c418b4 movhi r3,4194 +81115e18: 18d374c4 addi r3,r3,19923 +81115e1c: 10c4383a mulxuu r2,r2,r3 +81115e20: 1006d5ba srli r3,r2,22 +81115e24: 1004d1ba srli r2,r2,6 +81115e28: b919a904 addi r4,r23,26276 +81115e2c: b109883a add r4,r22,r4 +81115e30: d8c0478d sth r3,286(sp) +81115e34: d880480d sth r2,288(sp) +81115e38: 1103ca00 call 81103ca0 +81115e3c: b5c5883a add r2,r22,r23 +81115e40: 12d9b417 ldw r11,26320(r2) +81115e44: 1299b617 ldw r10,26328(r2) +81115e48: 1259bc17 ldw r9,26352(r2) +81115e4c: 1219b917 ldw r8,26340(r2) +81115e50: 11d9bb17 ldw r7,26348(r2) +81115e54: 10d9b817 ldw r3,26336(r2) +81115e58: 1099ba17 ldw r2,26344(r2) +81115e5c: 381cd43a srli r14,r7,16 +81115e60: 5838d43a srli fp,r11,16 +81115e64: 1018d43a srli r12,r2,16 +81115e68: 502ed43a srli r23,r10,16 +81115e6c: 482ad43a srli r21,r9,16 +81115e70: 401ed43a srli r15,r8,16 +81115e74: 181ad43a srli r13,r3,16 +81115e78: d9404504 addi r5,sp,276 +81115e7c: d809883a mov r4,sp +81115e80: d8804f0d sth r2,316(sp) +81115e84: 01804104 movi r6,260 +81115e88: 008004c4 movi r2,19 +81115e8c: d9c04d0d sth r7,308(sp) +81115e90: df00488d sth fp,290(sp) +81115e94: dac0490d sth r11,292(sp) +81115e98: ddc0498d sth r23,294(sp) +81115e9c: da804a0d sth r10,296(sp) +81115ea0: dd404a8d sth r21,298(sp) +81115ea4: da404b0d sth r9,300(sp) +81115ea8: dbc04b8d sth r15,302(sp) +81115eac: da004c0d sth r8,304(sp) +81115eb0: db804c8d sth r14,306(sp) +81115eb4: db404d8d sth r13,310(sp) +81115eb8: d8c04e0d sth r3,312(sp) +81115ebc: db004e8d sth r12,314(sp) +81115ec0: d8804585 stb r2,278(sp) +81115ec4: 112c6880 call 8112c688 +81115ec8: d9004117 ldw r4,260(sp) +81115ecc: d9404217 ldw r5,264(sp) +81115ed0: d9804317 ldw r6,268(sp) +81115ed4: d9c04417 ldw r7,272(sp) +81115ed8: 111e5000 call 8111e500 +81115edc: 003b5706 br 81114c3c <__reset+0xfb0f4c3c> +81115ee0: 0005883a mov r2,zero +81115ee4: 0007883a mov r3,zero +81115ee8: 003fcf06 br 81115e28 <__reset+0xfb0f5e28> +81115eec: 05400084 movi r21,2 +81115ef0: 003fa906 br 81115d98 <__reset+0xfb0f5d98> +81115ef4: 05400104 movi r21,4 +81115ef8: 003fa706 br 81115d98 <__reset+0xfb0f5d98> +81115efc: 81400483 ldbu r5,18(r16) +81115f00: 00a04574 movhi r2,33045 +81115f04: 10883304 addi r2,r2,8396 +81115f08: 1180058b ldhu r6,22(r2) +81115f0c: 28c03fcc andi r3,r5,255 +81115f10: 28800044 addi r2,r5,1 +81115f14: 18c00284 addi r3,r3,10 +81115f18: 10803fcc andi r2,r2,255 +81115f1c: 18c7883a add r3,r3,r3 +81115f20: 10800284 addi r2,r2,10 +81115f24: 80c7883a add r3,r16,r3 +81115f28: 01204574 movhi r4,33045 +81115f2c: 1085883a add r2,r2,r2 +81115f30: 19c0000d sth r7,0(r3) 81115f34: 8085883a add r2,r16,r2 -81115f38: 18c00044 addi r3,r3,1 -81115f3c: 1140000d sth r5,0(r2) -81115f40: 80c00485 stb r3,18(r16) -81115f44: 1114ae40 call 81114ae4 -81115f48: 003b2f06 br 81114c08 <__reset+0xfb0f4c08> -81115f4c: 80c00483 ldbu r3,18(r16) -81115f50: 01204574 movhi r4,33045 -81115f54: 2107dd04 addi r4,r4,8052 -81115f58: 18803fcc andi r2,r3,255 -81115f5c: 10800284 addi r2,r2,10 -81115f60: 1085883a add r2,r2,r2 -81115f64: 8085883a add r2,r16,r2 -81115f68: 18c00044 addi r3,r3,1 -81115f6c: 1140000d sth r5,0(r2) -81115f70: 80c00485 stb r3,18(r16) -81115f74: 1114ae40 call 81114ae4 -81115f78: 003b2306 br 81114c08 <__reset+0xfb0f4c08> -81115f7c: 81800483 ldbu r6,18(r16) -81115f80: a200058b ldhu r8,22(r20) -81115f84: a1c0060b ldhu r7,24(r20) -81115f88: 30803fcc andi r2,r6,255 -81115f8c: 31000044 addi r4,r6,1 +81115f38: 29400084 addi r5,r5,2 +81115f3c: 2107ee04 addi r4,r4,8120 +81115f40: 1180000d sth r6,0(r2) +81115f44: 81400485 stb r5,18(r16) +81115f48: 1114b180 call 81114b18 +81115f4c: 003b3b06 br 81114c3c <__reset+0xfb0f4c3c> +81115f50: 80c00483 ldbu r3,18(r16) +81115f54: 01204574 movhi r4,33045 +81115f58: 2107ee04 addi r4,r4,8120 +81115f5c: 18803fcc andi r2,r3,255 +81115f60: 10800284 addi r2,r2,10 +81115f64: 1085883a add r2,r2,r2 +81115f68: 8085883a add r2,r16,r2 +81115f6c: 18c00044 addi r3,r3,1 +81115f70: 1140000d sth r5,0(r2) +81115f74: 80c00485 stb r3,18(r16) +81115f78: 1114b180 call 81114b18 +81115f7c: 003b2f06 br 81114c3c <__reset+0xfb0f4c3c> +81115f80: 80c00483 ldbu r3,18(r16) +81115f84: 01204574 movhi r4,33045 +81115f88: 2107ee04 addi r4,r4,8120 +81115f8c: 18803fcc andi r2,r3,255 81115f90: 10800284 addi r2,r2,10 -81115f94: 30c00084 addi r3,r6,2 -81115f98: 21003fcc andi r4,r4,255 -81115f9c: 108b883a add r5,r2,r2 -81115fa0: 21000284 addi r4,r4,10 -81115fa4: 308000c4 addi r2,r6,3 -81115fa8: 18c03fcc andi r3,r3,255 -81115fac: 814b883a add r5,r16,r5 -81115fb0: 2109883a add r4,r4,r4 -81115fb4: 18c00284 addi r3,r3,10 -81115fb8: 10803fcc andi r2,r2,255 -81115fbc: 2a40000d sth r9,0(r5) -81115fc0: 18c7883a add r3,r3,r3 -81115fc4: 810b883a add r5,r16,r4 -81115fc8: a240068b ldhu r9,26(r20) -81115fcc: 10800284 addi r2,r2,10 -81115fd0: 2a00000d sth r8,0(r5) -81115fd4: 80c7883a add r3,r16,r3 -81115fd8: 01204574 movhi r4,33045 -81115fdc: 1085883a add r2,r2,r2 -81115fe0: 19c0000d sth r7,0(r3) -81115fe4: 8085883a add r2,r16,r2 -81115fe8: 31800104 addi r6,r6,4 -81115fec: 2107dd04 addi r4,r4,8052 -81115ff0: 1240000d sth r9,0(r2) -81115ff4: 81800485 stb r6,18(r16) -81115ff8: 1114ae40 call 81114ae4 -81115ffc: 003b0206 br 81114c08 <__reset+0xfb0f4c08> -81116000: 81800483 ldbu r6,18(r16) -81116004: a200058b ldhu r8,22(r20) -81116008: a1c0060b ldhu r7,24(r20) -8111600c: 30c03fcc andi r3,r6,255 -81116010: 30800044 addi r2,r6,1 -81116014: 18c00284 addi r3,r3,10 -81116018: 31000084 addi r4,r6,2 -8111601c: 10803fcc andi r2,r2,255 -81116020: 18d3883a add r9,r3,r3 -81116024: 10800284 addi r2,r2,10 -81116028: 30c000c4 addi r3,r6,3 -8111602c: 21003fcc andi r4,r4,255 -81116030: 8253883a add r9,r16,r9 -81116034: 108b883a add r5,r2,r2 -81116038: 21000284 addi r4,r4,10 -8111603c: 30800104 addi r2,r6,4 -81116040: 18c03fcc andi r3,r3,255 -81116044: 4a80000d sth r10,0(r9) -81116048: 814b883a add r5,r16,r5 -8111604c: 2109883a add r4,r4,r4 -81116050: a240068b ldhu r9,26(r20) -81116054: 18c00284 addi r3,r3,10 -81116058: 10803fcc andi r2,r2,255 -8111605c: 2a00000d sth r8,0(r5) -81116060: 18c7883a add r3,r3,r3 -81116064: 810b883a add r5,r16,r4 -81116068: a200070b ldhu r8,28(r20) -8111606c: 10800284 addi r2,r2,10 -81116070: 29c0000d sth r7,0(r5) -81116074: 80c7883a add r3,r16,r3 -81116078: 01204574 movhi r4,33045 -8111607c: 1085883a add r2,r2,r2 -81116080: 1a40000d sth r9,0(r3) -81116084: 8085883a add r2,r16,r2 -81116088: 31800144 addi r6,r6,5 -8111608c: 2107dd04 addi r4,r4,8052 -81116090: 1200000d sth r8,0(r2) -81116094: 81800485 stb r6,18(r16) -81116098: 1114ae40 call 81114ae4 -8111609c: 003ada06 br 81114c08 <__reset+0xfb0f4c08> -811160a0: 81800483 ldbu r6,18(r16) -811160a4: a200058b ldhu r8,22(r20) -811160a8: a1c0060b ldhu r7,24(r20) -811160ac: 30803fcc andi r2,r6,255 -811160b0: 31000044 addi r4,r6,1 -811160b4: 10800284 addi r2,r2,10 -811160b8: 30c00084 addi r3,r6,2 -811160bc: 21003fcc andi r4,r4,255 -811160c0: 108b883a add r5,r2,r2 -811160c4: 21000284 addi r4,r4,10 -811160c8: 308000c4 addi r2,r6,3 -811160cc: 18c03fcc andi r3,r3,255 -811160d0: 814b883a add r5,r16,r5 -811160d4: 2109883a add r4,r4,r4 -811160d8: 18c00284 addi r3,r3,10 -811160dc: 10803fcc andi r2,r2,255 -811160e0: 2a40000d sth r9,0(r5) -811160e4: 18c7883a add r3,r3,r3 -811160e8: 810b883a add r5,r16,r4 -811160ec: a240068b ldhu r9,26(r20) -811160f0: 10800284 addi r2,r2,10 -811160f4: 2a00000d sth r8,0(r5) -811160f8: 80c7883a add r3,r16,r3 -811160fc: 01204574 movhi r4,33045 -81116100: 1085883a add r2,r2,r2 -81116104: 19c0000d sth r7,0(r3) -81116108: 8085883a add r2,r16,r2 -8111610c: 31800104 addi r6,r6,4 -81116110: 2107dd04 addi r4,r4,8052 -81116114: 1240000d sth r9,0(r2) -81116118: 81800485 stb r6,18(r16) -8111611c: 1114ae40 call 81114ae4 -81116120: 003ab906 br 81114c08 <__reset+0xfb0f4c08> -81116124: 81800483 ldbu r6,18(r16) -81116128: 4a00058b ldhu r8,22(r9) -8111612c: 49c0060b ldhu r7,24(r9) -81116130: 30803fcc andi r2,r6,255 -81116134: 31000044 addi r4,r6,1 -81116138: 10800284 addi r2,r2,10 -8111613c: 30c00084 addi r3,r6,2 -81116140: 21003fcc andi r4,r4,255 -81116144: 108b883a add r5,r2,r2 -81116148: 21000284 addi r4,r4,10 -8111614c: 308000c4 addi r2,r6,3 -81116150: 18c03fcc andi r3,r3,255 -81116154: 814b883a add r5,r16,r5 -81116158: 2109883a add r4,r4,r4 -8111615c: 18c00284 addi r3,r3,10 -81116160: 10803fcc andi r2,r2,255 -81116164: 2a80000d sth r10,0(r5) -81116168: 4a40068b ldhu r9,26(r9) -8111616c: 810b883a add r5,r16,r4 -81116170: 18c7883a add r3,r3,r3 -81116174: 10800284 addi r2,r2,10 -81116178: 2a00000d sth r8,0(r5) -8111617c: 80c7883a add r3,r16,r3 -81116180: 01204574 movhi r4,33045 -81116184: 1085883a add r2,r2,r2 -81116188: 19c0000d sth r7,0(r3) -8111618c: 8085883a add r2,r16,r2 -81116190: 31800104 addi r6,r6,4 -81116194: 2107dd04 addi r4,r4,8052 -81116198: 1240000d sth r9,0(r2) -8111619c: 81800485 stb r6,18(r16) -811161a0: 1114ae40 call 81114ae4 -811161a4: 003a9806 br 81114c08 <__reset+0xfb0f4c08> -811161a8: 81800483 ldbu r6,18(r16) -811161ac: a200058b ldhu r8,22(r20) -811161b0: a1c0060b ldhu r7,24(r20) -811161b4: 30c03fcc andi r3,r6,255 -811161b8: 30800044 addi r2,r6,1 -811161bc: 18c00284 addi r3,r3,10 -811161c0: 31000084 addi r4,r6,2 -811161c4: 10803fcc andi r2,r2,255 -811161c8: 18d3883a add r9,r3,r3 -811161cc: 10800284 addi r2,r2,10 -811161d0: 30c000c4 addi r3,r6,3 -811161d4: 21003fcc andi r4,r4,255 -811161d8: 8253883a add r9,r16,r9 -811161dc: 108b883a add r5,r2,r2 -811161e0: 21000284 addi r4,r4,10 -811161e4: 30800104 addi r2,r6,4 -811161e8: 18c03fcc andi r3,r3,255 -811161ec: 4a80000d sth r10,0(r9) -811161f0: 814b883a add r5,r16,r5 -811161f4: 2109883a add r4,r4,r4 -811161f8: a240068b ldhu r9,26(r20) -811161fc: 18c00284 addi r3,r3,10 -81116200: 10803fcc andi r2,r2,255 -81116204: 2a00000d sth r8,0(r5) -81116208: 18c7883a add r3,r3,r3 -8111620c: 810b883a add r5,r16,r4 -81116210: a200070b ldhu r8,28(r20) -81116214: 10800284 addi r2,r2,10 -81116218: 29c0000d sth r7,0(r5) -8111621c: 80c7883a add r3,r16,r3 -81116220: 01204574 movhi r4,33045 -81116224: 1085883a add r2,r2,r2 -81116228: 1a40000d sth r9,0(r3) -8111622c: 8085883a add r2,r16,r2 -81116230: 31800144 addi r6,r6,5 -81116234: 2107dd04 addi r4,r4,8052 -81116238: 1200000d sth r8,0(r2) -8111623c: 81800485 stb r6,18(r16) -81116240: 1114ae40 call 81114ae4 -81116244: 003a7006 br 81114c08 <__reset+0xfb0f4c08> -81116248: 81400483 ldbu r5,18(r16) -8111624c: a180058b ldhu r6,22(r20) -81116250: 01204574 movhi r4,33045 -81116254: 28c03fcc andi r3,r5,255 -81116258: 28800044 addi r2,r5,1 -8111625c: 18c00284 addi r3,r3,10 -81116260: 10803fcc andi r2,r2,255 -81116264: 18c7883a add r3,r3,r3 -81116268: 10800284 addi r2,r2,10 -8111626c: 80c7883a add r3,r16,r3 -81116270: 1085883a add r2,r2,r2 -81116274: 19c0000d sth r7,0(r3) -81116278: 8085883a add r2,r16,r2 -8111627c: 29400084 addi r5,r5,2 -81116280: 2107dd04 addi r4,r4,8052 -81116284: 1180000d sth r6,0(r2) -81116288: 81400485 stb r5,18(r16) -8111628c: 1114ae40 call 81114ae4 -81116290: 003a5d06 br 81114c08 <__reset+0xfb0f4c08> -81116294: 81800483 ldbu r6,18(r16) -81116298: a200058b ldhu r8,22(r20) -8111629c: a1c0060b ldhu r7,24(r20) -811162a0: 30c03fcc andi r3,r6,255 -811162a4: 30800044 addi r2,r6,1 -811162a8: 18c00284 addi r3,r3,10 -811162ac: 31000084 addi r4,r6,2 -811162b0: 10803fcc andi r2,r2,255 -811162b4: 18d3883a add r9,r3,r3 -811162b8: 10800284 addi r2,r2,10 -811162bc: 30c000c4 addi r3,r6,3 -811162c0: 21003fcc andi r4,r4,255 -811162c4: 8253883a add r9,r16,r9 -811162c8: 108b883a add r5,r2,r2 -811162cc: 21000284 addi r4,r4,10 -811162d0: 30800104 addi r2,r6,4 -811162d4: 18c03fcc andi r3,r3,255 -811162d8: 4a80000d sth r10,0(r9) -811162dc: 814b883a add r5,r16,r5 -811162e0: 2109883a add r4,r4,r4 -811162e4: a240068b ldhu r9,26(r20) -811162e8: 18c00284 addi r3,r3,10 -811162ec: 10803fcc andi r2,r2,255 -811162f0: 2a00000d sth r8,0(r5) -811162f4: 18c7883a add r3,r3,r3 -811162f8: 810b883a add r5,r16,r4 -811162fc: a200070b ldhu r8,28(r20) -81116300: 10800284 addi r2,r2,10 -81116304: 29c0000d sth r7,0(r5) -81116308: 80c7883a add r3,r16,r3 -8111630c: 01204574 movhi r4,33045 -81116310: 1085883a add r2,r2,r2 -81116314: 1a40000d sth r9,0(r3) -81116318: 8085883a add r2,r16,r2 -8111631c: 31800144 addi r6,r6,5 -81116320: 2107dd04 addi r4,r4,8052 -81116324: 1200000d sth r8,0(r2) -81116328: 81800485 stb r6,18(r16) -8111632c: 1114ae40 call 81114ae4 -81116330: 003a3506 br 81114c08 <__reset+0xfb0f4c08> -81116334: 81400483 ldbu r5,18(r16) -81116338: 00a04574 movhi r2,33045 -8111633c: 10882204 addi r2,r2,8328 -81116340: 1180058b ldhu r6,22(r2) -81116344: 28c03fcc andi r3,r5,255 -81116348: 28800044 addi r2,r5,1 -8111634c: 18c00284 addi r3,r3,10 -81116350: 10803fcc andi r2,r2,255 -81116354: 18c7883a add r3,r3,r3 -81116358: 10800284 addi r2,r2,10 -8111635c: 80c7883a add r3,r16,r3 -81116360: 01204574 movhi r4,33045 -81116364: 1085883a add r2,r2,r2 -81116368: 19c0000d sth r7,0(r3) -8111636c: 8085883a add r2,r16,r2 -81116370: 29400084 addi r5,r5,2 -81116374: 2107dd04 addi r4,r4,8052 -81116378: 1180000d sth r6,0(r2) -8111637c: 81400485 stb r5,18(r16) -81116380: 1114ae40 call 81114ae4 -81116384: 003a2006 br 81114c08 <__reset+0xfb0f4c08> -81116388: 81800483 ldbu r6,18(r16) -8111638c: a240058b ldhu r9,22(r20) -81116390: a200060b ldhu r8,24(r20) -81116394: 31003fcc andi r4,r6,255 -81116398: 30c00044 addi r3,r6,1 -8111639c: 21000284 addi r4,r4,10 -811163a0: 30800084 addi r2,r6,2 -811163a4: 18c03fcc andi r3,r3,255 -811163a8: 210b883a add r5,r4,r4 -811163ac: 18c00284 addi r3,r3,10 -811163b0: 310000c4 addi r4,r6,3 -811163b4: 10803fcc andi r2,r2,255 -811163b8: 814b883a add r5,r16,r5 -811163bc: 18cf883a add r7,r3,r3 -811163c0: 10800284 addi r2,r2,10 -811163c4: 30c00104 addi r3,r6,4 -811163c8: 21003fcc andi r4,r4,255 -811163cc: 2a80000d sth r10,0(r5) -811163d0: 81cf883a add r7,r16,r7 -811163d4: 108b883a add r5,r2,r2 -811163d8: a280068b ldhu r10,26(r20) -811163dc: 30800144 addi r2,r6,5 -811163e0: 21000284 addi r4,r4,10 -811163e4: 18c03fcc andi r3,r3,255 -811163e8: 3a40000d sth r9,0(r7) +81115f94: 1085883a add r2,r2,r2 +81115f98: 8085883a add r2,r16,r2 +81115f9c: 18c00044 addi r3,r3,1 +81115fa0: 1140000d sth r5,0(r2) +81115fa4: 80c00485 stb r3,18(r16) +81115fa8: 1114b180 call 81114b18 +81115fac: 003b2306 br 81114c3c <__reset+0xfb0f4c3c> +81115fb0: 81800483 ldbu r6,18(r16) +81115fb4: a200058b ldhu r8,22(r20) +81115fb8: a1c0060b ldhu r7,24(r20) +81115fbc: 30803fcc andi r2,r6,255 +81115fc0: 31000044 addi r4,r6,1 +81115fc4: 10800284 addi r2,r2,10 +81115fc8: 30c00084 addi r3,r6,2 +81115fcc: 21003fcc andi r4,r4,255 +81115fd0: 108b883a add r5,r2,r2 +81115fd4: 21000284 addi r4,r4,10 +81115fd8: 308000c4 addi r2,r6,3 +81115fdc: 18c03fcc andi r3,r3,255 +81115fe0: 814b883a add r5,r16,r5 +81115fe4: 2109883a add r4,r4,r4 +81115fe8: 18c00284 addi r3,r3,10 +81115fec: 10803fcc andi r2,r2,255 +81115ff0: 2a40000d sth r9,0(r5) +81115ff4: 18c7883a add r3,r3,r3 +81115ff8: 810b883a add r5,r16,r4 +81115ffc: a240068b ldhu r9,26(r20) +81116000: 10800284 addi r2,r2,10 +81116004: 2a00000d sth r8,0(r5) +81116008: 80c7883a add r3,r16,r3 +8111600c: 01204574 movhi r4,33045 +81116010: 1085883a add r2,r2,r2 +81116014: 19c0000d sth r7,0(r3) +81116018: 8085883a add r2,r16,r2 +8111601c: 31800104 addi r6,r6,4 +81116020: 2107ee04 addi r4,r4,8120 +81116024: 1240000d sth r9,0(r2) +81116028: 81800485 stb r6,18(r16) +8111602c: 1114b180 call 81114b18 +81116030: 003b0206 br 81114c3c <__reset+0xfb0f4c3c> +81116034: 81800483 ldbu r6,18(r16) +81116038: a200058b ldhu r8,22(r20) +8111603c: a1c0060b ldhu r7,24(r20) +81116040: 30c03fcc andi r3,r6,255 +81116044: 30800044 addi r2,r6,1 +81116048: 18c00284 addi r3,r3,10 +8111604c: 31000084 addi r4,r6,2 +81116050: 10803fcc andi r2,r2,255 +81116054: 18d3883a add r9,r3,r3 +81116058: 10800284 addi r2,r2,10 +8111605c: 30c000c4 addi r3,r6,3 +81116060: 21003fcc andi r4,r4,255 +81116064: 8253883a add r9,r16,r9 +81116068: 108b883a add r5,r2,r2 +8111606c: 21000284 addi r4,r4,10 +81116070: 30800104 addi r2,r6,4 +81116074: 18c03fcc andi r3,r3,255 +81116078: 4a80000d sth r10,0(r9) +8111607c: 814b883a add r5,r16,r5 +81116080: 2109883a add r4,r4,r4 +81116084: a240068b ldhu r9,26(r20) +81116088: 18c00284 addi r3,r3,10 +8111608c: 10803fcc andi r2,r2,255 +81116090: 2a00000d sth r8,0(r5) +81116094: 18c7883a add r3,r3,r3 +81116098: 810b883a add r5,r16,r4 +8111609c: a200070b ldhu r8,28(r20) +811160a0: 10800284 addi r2,r2,10 +811160a4: 29c0000d sth r7,0(r5) +811160a8: 80c7883a add r3,r16,r3 +811160ac: 01204574 movhi r4,33045 +811160b0: 1085883a add r2,r2,r2 +811160b4: 1a40000d sth r9,0(r3) +811160b8: 8085883a add r2,r16,r2 +811160bc: 31800144 addi r6,r6,5 +811160c0: 2107ee04 addi r4,r4,8120 +811160c4: 1200000d sth r8,0(r2) +811160c8: 81800485 stb r6,18(r16) +811160cc: 1114b180 call 81114b18 +811160d0: 003ada06 br 81114c3c <__reset+0xfb0f4c3c> +811160d4: 81800483 ldbu r6,18(r16) +811160d8: a200058b ldhu r8,22(r20) +811160dc: a1c0060b ldhu r7,24(r20) +811160e0: 30803fcc andi r2,r6,255 +811160e4: 31000044 addi r4,r6,1 +811160e8: 10800284 addi r2,r2,10 +811160ec: 30c00084 addi r3,r6,2 +811160f0: 21003fcc andi r4,r4,255 +811160f4: 108b883a add r5,r2,r2 +811160f8: 21000284 addi r4,r4,10 +811160fc: 308000c4 addi r2,r6,3 +81116100: 18c03fcc andi r3,r3,255 +81116104: 814b883a add r5,r16,r5 +81116108: 2109883a add r4,r4,r4 +8111610c: 18c00284 addi r3,r3,10 +81116110: 10803fcc andi r2,r2,255 +81116114: 2a40000d sth r9,0(r5) +81116118: 18c7883a add r3,r3,r3 +8111611c: 810b883a add r5,r16,r4 +81116120: a240068b ldhu r9,26(r20) +81116124: 10800284 addi r2,r2,10 +81116128: 2a00000d sth r8,0(r5) +8111612c: 80c7883a add r3,r16,r3 +81116130: 01204574 movhi r4,33045 +81116134: 1085883a add r2,r2,r2 +81116138: 19c0000d sth r7,0(r3) +8111613c: 8085883a add r2,r16,r2 +81116140: 31800104 addi r6,r6,4 +81116144: 2107ee04 addi r4,r4,8120 +81116148: 1240000d sth r9,0(r2) +8111614c: 81800485 stb r6,18(r16) +81116150: 1114b180 call 81114b18 +81116154: 003ab906 br 81114c3c <__reset+0xfb0f4c3c> +81116158: 81800483 ldbu r6,18(r16) +8111615c: 4a00058b ldhu r8,22(r9) +81116160: 49c0060b ldhu r7,24(r9) +81116164: 30803fcc andi r2,r6,255 +81116168: 31000044 addi r4,r6,1 +8111616c: 10800284 addi r2,r2,10 +81116170: 30c00084 addi r3,r6,2 +81116174: 21003fcc andi r4,r4,255 +81116178: 108b883a add r5,r2,r2 +8111617c: 21000284 addi r4,r4,10 +81116180: 308000c4 addi r2,r6,3 +81116184: 18c03fcc andi r3,r3,255 +81116188: 814b883a add r5,r16,r5 +8111618c: 2109883a add r4,r4,r4 +81116190: 18c00284 addi r3,r3,10 +81116194: 10803fcc andi r2,r2,255 +81116198: 2a80000d sth r10,0(r5) +8111619c: 4a40068b ldhu r9,26(r9) +811161a0: 810b883a add r5,r16,r4 +811161a4: 18c7883a add r3,r3,r3 +811161a8: 10800284 addi r2,r2,10 +811161ac: 2a00000d sth r8,0(r5) +811161b0: 80c7883a add r3,r16,r3 +811161b4: 01204574 movhi r4,33045 +811161b8: 1085883a add r2,r2,r2 +811161bc: 19c0000d sth r7,0(r3) +811161c0: 8085883a add r2,r16,r2 +811161c4: 31800104 addi r6,r6,4 +811161c8: 2107ee04 addi r4,r4,8120 +811161cc: 1240000d sth r9,0(r2) +811161d0: 81800485 stb r6,18(r16) +811161d4: 1114b180 call 81114b18 +811161d8: 003a9806 br 81114c3c <__reset+0xfb0f4c3c> +811161dc: 81800483 ldbu r6,18(r16) +811161e0: a200058b ldhu r8,22(r20) +811161e4: a1c0060b ldhu r7,24(r20) +811161e8: 30c03fcc andi r3,r6,255 +811161ec: 30800044 addi r2,r6,1 +811161f0: 18c00284 addi r3,r3,10 +811161f4: 31000084 addi r4,r6,2 +811161f8: 10803fcc andi r2,r2,255 +811161fc: 18d3883a add r9,r3,r3 +81116200: 10800284 addi r2,r2,10 +81116204: 30c000c4 addi r3,r6,3 +81116208: 21003fcc andi r4,r4,255 +8111620c: 8253883a add r9,r16,r9 +81116210: 108b883a add r5,r2,r2 +81116214: 21000284 addi r4,r4,10 +81116218: 30800104 addi r2,r6,4 +8111621c: 18c03fcc andi r3,r3,255 +81116220: 4a80000d sth r10,0(r9) +81116224: 814b883a add r5,r16,r5 +81116228: 2109883a add r4,r4,r4 +8111622c: a240068b ldhu r9,26(r20) +81116230: 18c00284 addi r3,r3,10 +81116234: 10803fcc andi r2,r2,255 +81116238: 2a00000d sth r8,0(r5) +8111623c: 18c7883a add r3,r3,r3 +81116240: 810b883a add r5,r16,r4 +81116244: a200070b ldhu r8,28(r20) +81116248: 10800284 addi r2,r2,10 +8111624c: 29c0000d sth r7,0(r5) +81116250: 80c7883a add r3,r16,r3 +81116254: 01204574 movhi r4,33045 +81116258: 1085883a add r2,r2,r2 +8111625c: 1a40000d sth r9,0(r3) +81116260: 8085883a add r2,r16,r2 +81116264: 31800144 addi r6,r6,5 +81116268: 2107ee04 addi r4,r4,8120 +8111626c: 1200000d sth r8,0(r2) +81116270: 81800485 stb r6,18(r16) +81116274: 1114b180 call 81114b18 +81116278: 003a7006 br 81114c3c <__reset+0xfb0f4c3c> +8111627c: 81400483 ldbu r5,18(r16) +81116280: a180058b ldhu r6,22(r20) +81116284: 01204574 movhi r4,33045 +81116288: 28c03fcc andi r3,r5,255 +8111628c: 28800044 addi r2,r5,1 +81116290: 18c00284 addi r3,r3,10 +81116294: 10803fcc andi r2,r2,255 +81116298: 18c7883a add r3,r3,r3 +8111629c: 10800284 addi r2,r2,10 +811162a0: 80c7883a add r3,r16,r3 +811162a4: 1085883a add r2,r2,r2 +811162a8: 19c0000d sth r7,0(r3) +811162ac: 8085883a add r2,r16,r2 +811162b0: 29400084 addi r5,r5,2 +811162b4: 2107ee04 addi r4,r4,8120 +811162b8: 1180000d sth r6,0(r2) +811162bc: 81400485 stb r5,18(r16) +811162c0: 1114b180 call 81114b18 +811162c4: 003a5d06 br 81114c3c <__reset+0xfb0f4c3c> +811162c8: 81800483 ldbu r6,18(r16) +811162cc: a200058b ldhu r8,22(r20) +811162d0: a1c0060b ldhu r7,24(r20) +811162d4: 30c03fcc andi r3,r6,255 +811162d8: 30800044 addi r2,r6,1 +811162dc: 18c00284 addi r3,r3,10 +811162e0: 31000084 addi r4,r6,2 +811162e4: 10803fcc andi r2,r2,255 +811162e8: 18d3883a add r9,r3,r3 +811162ec: 10800284 addi r2,r2,10 +811162f0: 30c000c4 addi r3,r6,3 +811162f4: 21003fcc andi r4,r4,255 +811162f8: 8253883a add r9,r16,r9 +811162fc: 108b883a add r5,r2,r2 +81116300: 21000284 addi r4,r4,10 +81116304: 30800104 addi r2,r6,4 +81116308: 18c03fcc andi r3,r3,255 +8111630c: 4a80000d sth r10,0(r9) +81116310: 814b883a add r5,r16,r5 +81116314: 2109883a add r4,r4,r4 +81116318: a240068b ldhu r9,26(r20) +8111631c: 18c00284 addi r3,r3,10 +81116320: 10803fcc andi r2,r2,255 +81116324: 2a00000d sth r8,0(r5) +81116328: 18c7883a add r3,r3,r3 +8111632c: 810b883a add r5,r16,r4 +81116330: a200070b ldhu r8,28(r20) +81116334: 10800284 addi r2,r2,10 +81116338: 29c0000d sth r7,0(r5) +8111633c: 80c7883a add r3,r16,r3 +81116340: 01204574 movhi r4,33045 +81116344: 1085883a add r2,r2,r2 +81116348: 1a40000d sth r9,0(r3) +8111634c: 8085883a add r2,r16,r2 +81116350: 31800144 addi r6,r6,5 +81116354: 2107ee04 addi r4,r4,8120 +81116358: 1200000d sth r8,0(r2) +8111635c: 81800485 stb r6,18(r16) +81116360: 1114b180 call 81114b18 +81116364: 003a3506 br 81114c3c <__reset+0xfb0f4c3c> +81116368: 81400483 ldbu r5,18(r16) +8111636c: 00a04574 movhi r2,33045 +81116370: 10883304 addi r2,r2,8396 +81116374: 1180058b ldhu r6,22(r2) +81116378: 28c03fcc andi r3,r5,255 +8111637c: 28800044 addi r2,r5,1 +81116380: 18c00284 addi r3,r3,10 +81116384: 10803fcc andi r2,r2,255 +81116388: 18c7883a add r3,r3,r3 +8111638c: 10800284 addi r2,r2,10 +81116390: 80c7883a add r3,r16,r3 +81116394: 01204574 movhi r4,33045 +81116398: 1085883a add r2,r2,r2 +8111639c: 19c0000d sth r7,0(r3) +811163a0: 8085883a add r2,r16,r2 +811163a4: 29400084 addi r5,r5,2 +811163a8: 2107ee04 addi r4,r4,8120 +811163ac: 1180000d sth r6,0(r2) +811163b0: 81400485 stb r5,18(r16) +811163b4: 1114b180 call 81114b18 +811163b8: 003a2006 br 81114c3c <__reset+0xfb0f4c3c> +811163bc: 81800483 ldbu r6,18(r16) +811163c0: a240058b ldhu r9,22(r20) +811163c4: a200060b ldhu r8,24(r20) +811163c8: 31003fcc andi r4,r6,255 +811163cc: 30c00044 addi r3,r6,1 +811163d0: 21000284 addi r4,r4,10 +811163d4: 30800084 addi r2,r6,2 +811163d8: 18c03fcc andi r3,r3,255 +811163dc: 210b883a add r5,r4,r4 +811163e0: 18c00284 addi r3,r3,10 +811163e4: 310000c4 addi r4,r6,3 +811163e8: 10803fcc andi r2,r2,255 811163ec: 814b883a add r5,r16,r5 -811163f0: 2109883a add r4,r4,r4 -811163f4: a240070b ldhu r9,28(r20) -811163f8: 18c00284 addi r3,r3,10 -811163fc: 10803fcc andi r2,r2,255 -81116400: 2a00000d sth r8,0(r5) -81116404: a1c0078b ldhu r7,30(r20) -81116408: 810b883a add r5,r16,r4 -8111640c: 18c7883a add r3,r3,r3 -81116410: 10800284 addi r2,r2,10 -81116414: 2a80000d sth r10,0(r5) -81116418: 80c7883a add r3,r16,r3 -8111641c: 01204574 movhi r4,33045 -81116420: 1085883a add r2,r2,r2 -81116424: 1a40000d sth r9,0(r3) -81116428: 8085883a add r2,r16,r2 -8111642c: 31800184 addi r6,r6,6 -81116430: 2107dd04 addi r4,r4,8052 -81116434: 11c0000d sth r7,0(r2) -81116438: 81800485 stb r6,18(r16) -8111643c: 1114ae40 call 81114ae4 -81116440: 0039f106 br 81114c08 <__reset+0xfb0f4c08> -81116444: 81400483 ldbu r5,18(r16) -81116448: a180058b ldhu r6,22(r20) -8111644c: 01204574 movhi r4,33045 -81116450: 28c03fcc andi r3,r5,255 -81116454: 28800044 addi r2,r5,1 -81116458: 18c00284 addi r3,r3,10 -8111645c: 10803fcc andi r2,r2,255 -81116460: 18c7883a add r3,r3,r3 -81116464: 10800284 addi r2,r2,10 -81116468: 80c7883a add r3,r16,r3 -8111646c: 1085883a add r2,r2,r2 -81116470: 19c0000d sth r7,0(r3) -81116474: 8085883a add r2,r16,r2 -81116478: 29400084 addi r5,r5,2 -8111647c: 2107dd04 addi r4,r4,8052 -81116480: 1180000d sth r6,0(r2) -81116484: 81400485 stb r5,18(r16) -81116488: 1114ae40 call 81114ae4 -8111648c: 0039de06 br 81114c08 <__reset+0xfb0f4c08> -81116490: 81800483 ldbu r6,18(r16) -81116494: a200058b ldhu r8,22(r20) -81116498: a1c0060b ldhu r7,24(r20) -8111649c: 30c03fcc andi r3,r6,255 -811164a0: 30800044 addi r2,r6,1 -811164a4: 18c00284 addi r3,r3,10 -811164a8: 31000084 addi r4,r6,2 -811164ac: 10803fcc andi r2,r2,255 -811164b0: 18d3883a add r9,r3,r3 -811164b4: 10800284 addi r2,r2,10 -811164b8: 30c000c4 addi r3,r6,3 -811164bc: 21003fcc andi r4,r4,255 -811164c0: 8253883a add r9,r16,r9 -811164c4: 108b883a add r5,r2,r2 -811164c8: 21000284 addi r4,r4,10 -811164cc: 30800104 addi r2,r6,4 -811164d0: 18c03fcc andi r3,r3,255 -811164d4: 4a80000d sth r10,0(r9) -811164d8: 814b883a add r5,r16,r5 -811164dc: 2109883a add r4,r4,r4 -811164e0: a240068b ldhu r9,26(r20) -811164e4: 18c00284 addi r3,r3,10 -811164e8: 10803fcc andi r2,r2,255 -811164ec: 2a00000d sth r8,0(r5) -811164f0: 18c7883a add r3,r3,r3 -811164f4: 810b883a add r5,r16,r4 -811164f8: a200070b ldhu r8,28(r20) -811164fc: 10800284 addi r2,r2,10 -81116500: 29c0000d sth r7,0(r5) -81116504: 80c7883a add r3,r16,r3 -81116508: 01204574 movhi r4,33045 -8111650c: 1085883a add r2,r2,r2 -81116510: 1a40000d sth r9,0(r3) -81116514: 8085883a add r2,r16,r2 -81116518: 31800144 addi r6,r6,5 -8111651c: 2107dd04 addi r4,r4,8052 -81116520: 1200000d sth r8,0(r2) -81116524: 81800485 stb r6,18(r16) -81116528: 1114ae40 call 81114ae4 -8111652c: 0039b606 br 81114c08 <__reset+0xfb0f4c08> -81116530: 81400483 ldbu r5,18(r16) -81116534: 00a04574 movhi r2,33045 -81116538: 10882204 addi r2,r2,8328 -8111653c: 1180058b ldhu r6,22(r2) -81116540: 28c03fcc andi r3,r5,255 -81116544: 28800044 addi r2,r5,1 -81116548: 18c00284 addi r3,r3,10 -8111654c: 10803fcc andi r2,r2,255 -81116550: 18c7883a add r3,r3,r3 -81116554: 10800284 addi r2,r2,10 -81116558: 80c7883a add r3,r16,r3 -8111655c: 01204574 movhi r4,33045 -81116560: 1085883a add r2,r2,r2 -81116564: 19c0000d sth r7,0(r3) -81116568: 8085883a add r2,r16,r2 -8111656c: 29400084 addi r5,r5,2 -81116570: 2107dd04 addi r4,r4,8052 -81116574: 1180000d sth r6,0(r2) -81116578: 81400485 stb r5,18(r16) -8111657c: 1114ae40 call 81114ae4 -81116580: 0039a106 br 81114c08 <__reset+0xfb0f4c08> -81116584: 81400483 ldbu r5,18(r16) -81116588: a180058b ldhu r6,22(r20) -8111658c: 01204574 movhi r4,33045 -81116590: 28c03fcc andi r3,r5,255 -81116594: 28800044 addi r2,r5,1 -81116598: 18c00284 addi r3,r3,10 -8111659c: 10803fcc andi r2,r2,255 -811165a0: 18c7883a add r3,r3,r3 -811165a4: 10800284 addi r2,r2,10 -811165a8: 80c7883a add r3,r16,r3 -811165ac: 1085883a add r2,r2,r2 -811165b0: 19c0000d sth r7,0(r3) -811165b4: 8085883a add r2,r16,r2 -811165b8: 29400084 addi r5,r5,2 -811165bc: 2107dd04 addi r4,r4,8052 -811165c0: 1180000d sth r6,0(r2) -811165c4: 81400485 stb r5,18(r16) -811165c8: 1114ae40 call 81114ae4 -811165cc: 00398e06 br 81114c08 <__reset+0xfb0f4c08> -811165d0: 80800483 ldbu r2,18(r16) -811165d4: a280058b ldhu r10,22(r20) -811165d8: a200060b ldhu r8,24(r20) -811165dc: 10c03fcc andi r3,r2,255 -811165e0: 11400044 addi r5,r2,1 -811165e4: 18c00284 addi r3,r3,10 -811165e8: 11000084 addi r4,r2,2 -811165ec: 29403fcc andi r5,r5,255 -811165f0: 18cf883a add r7,r3,r3 -811165f4: 29400284 addi r5,r5,10 -811165f8: 10c000c4 addi r3,r2,3 -811165fc: 21003fcc andi r4,r4,255 -81116600: 81cf883a add r7,r16,r7 -81116604: 294d883a add r6,r5,r5 -81116608: 21000284 addi r4,r4,10 -8111660c: 11400104 addi r5,r2,4 -81116610: 18c03fcc andi r3,r3,255 -81116614: 3a40000d sth r9,0(r7) -81116618: 818d883a add r6,r16,r6 -8111661c: 210f883a add r7,r4,r4 -81116620: 18c00284 addi r3,r3,10 -81116624: a240068b ldhu r9,26(r20) -81116628: 11000144 addi r4,r2,5 -8111662c: 29403fcc andi r5,r5,255 -81116630: 3280000d sth r10,0(r6) +811163f0: 18cf883a add r7,r3,r3 +811163f4: 10800284 addi r2,r2,10 +811163f8: 30c00104 addi r3,r6,4 +811163fc: 21003fcc andi r4,r4,255 +81116400: 2a80000d sth r10,0(r5) +81116404: 81cf883a add r7,r16,r7 +81116408: 108b883a add r5,r2,r2 +8111640c: a280068b ldhu r10,26(r20) +81116410: 30800144 addi r2,r6,5 +81116414: 21000284 addi r4,r4,10 +81116418: 18c03fcc andi r3,r3,255 +8111641c: 3a40000d sth r9,0(r7) +81116420: 814b883a add r5,r16,r5 +81116424: 2109883a add r4,r4,r4 +81116428: a240070b ldhu r9,28(r20) +8111642c: 18c00284 addi r3,r3,10 +81116430: 10803fcc andi r2,r2,255 +81116434: 2a00000d sth r8,0(r5) +81116438: a1c0078b ldhu r7,30(r20) +8111643c: 810b883a add r5,r16,r4 +81116440: 18c7883a add r3,r3,r3 +81116444: 10800284 addi r2,r2,10 +81116448: 2a80000d sth r10,0(r5) +8111644c: 80c7883a add r3,r16,r3 +81116450: 01204574 movhi r4,33045 +81116454: 1085883a add r2,r2,r2 +81116458: 1a40000d sth r9,0(r3) +8111645c: 8085883a add r2,r16,r2 +81116460: 31800184 addi r6,r6,6 +81116464: 2107ee04 addi r4,r4,8120 +81116468: 11c0000d sth r7,0(r2) +8111646c: 81800485 stb r6,18(r16) +81116470: 1114b180 call 81114b18 +81116474: 0039f106 br 81114c3c <__reset+0xfb0f4c3c> +81116478: 81400483 ldbu r5,18(r16) +8111647c: a180058b ldhu r6,22(r20) +81116480: 01204574 movhi r4,33045 +81116484: 28c03fcc andi r3,r5,255 +81116488: 28800044 addi r2,r5,1 +8111648c: 18c00284 addi r3,r3,10 +81116490: 10803fcc andi r2,r2,255 +81116494: 18c7883a add r3,r3,r3 +81116498: 10800284 addi r2,r2,10 +8111649c: 80c7883a add r3,r16,r3 +811164a0: 1085883a add r2,r2,r2 +811164a4: 19c0000d sth r7,0(r3) +811164a8: 8085883a add r2,r16,r2 +811164ac: 29400084 addi r5,r5,2 +811164b0: 2107ee04 addi r4,r4,8120 +811164b4: 1180000d sth r6,0(r2) +811164b8: 81400485 stb r5,18(r16) +811164bc: 1114b180 call 81114b18 +811164c0: 0039de06 br 81114c3c <__reset+0xfb0f4c3c> +811164c4: 81800483 ldbu r6,18(r16) +811164c8: a200058b ldhu r8,22(r20) +811164cc: a1c0060b ldhu r7,24(r20) +811164d0: 30c03fcc andi r3,r6,255 +811164d4: 30800044 addi r2,r6,1 +811164d8: 18c00284 addi r3,r3,10 +811164dc: 31000084 addi r4,r6,2 +811164e0: 10803fcc andi r2,r2,255 +811164e4: 18d3883a add r9,r3,r3 +811164e8: 10800284 addi r2,r2,10 +811164ec: 30c000c4 addi r3,r6,3 +811164f0: 21003fcc andi r4,r4,255 +811164f4: 8253883a add r9,r16,r9 +811164f8: 108b883a add r5,r2,r2 +811164fc: 21000284 addi r4,r4,10 +81116500: 30800104 addi r2,r6,4 +81116504: 18c03fcc andi r3,r3,255 +81116508: 4a80000d sth r10,0(r9) +8111650c: 814b883a add r5,r16,r5 +81116510: 2109883a add r4,r4,r4 +81116514: a240068b ldhu r9,26(r20) +81116518: 18c00284 addi r3,r3,10 +8111651c: 10803fcc andi r2,r2,255 +81116520: 2a00000d sth r8,0(r5) +81116524: 18c7883a add r3,r3,r3 +81116528: 810b883a add r5,r16,r4 +8111652c: a200070b ldhu r8,28(r20) +81116530: 10800284 addi r2,r2,10 +81116534: 29c0000d sth r7,0(r5) +81116538: 80c7883a add r3,r16,r3 +8111653c: 01204574 movhi r4,33045 +81116540: 1085883a add r2,r2,r2 +81116544: 1a40000d sth r9,0(r3) +81116548: 8085883a add r2,r16,r2 +8111654c: 31800144 addi r6,r6,5 +81116550: 2107ee04 addi r4,r4,8120 +81116554: 1200000d sth r8,0(r2) +81116558: 81800485 stb r6,18(r16) +8111655c: 1114b180 call 81114b18 +81116560: 0039b606 br 81114c3c <__reset+0xfb0f4c3c> +81116564: 81400483 ldbu r5,18(r16) +81116568: 00a04574 movhi r2,33045 +8111656c: 10883304 addi r2,r2,8396 +81116570: 1180058b ldhu r6,22(r2) +81116574: 28c03fcc andi r3,r5,255 +81116578: 28800044 addi r2,r5,1 +8111657c: 18c00284 addi r3,r3,10 +81116580: 10803fcc andi r2,r2,255 +81116584: 18c7883a add r3,r3,r3 +81116588: 10800284 addi r2,r2,10 +8111658c: 80c7883a add r3,r16,r3 +81116590: 01204574 movhi r4,33045 +81116594: 1085883a add r2,r2,r2 +81116598: 19c0000d sth r7,0(r3) +8111659c: 8085883a add r2,r16,r2 +811165a0: 29400084 addi r5,r5,2 +811165a4: 2107ee04 addi r4,r4,8120 +811165a8: 1180000d sth r6,0(r2) +811165ac: 81400485 stb r5,18(r16) +811165b0: 1114b180 call 81114b18 +811165b4: 0039a106 br 81114c3c <__reset+0xfb0f4c3c> +811165b8: 81400483 ldbu r5,18(r16) +811165bc: a180058b ldhu r6,22(r20) +811165c0: 01204574 movhi r4,33045 +811165c4: 28c03fcc andi r3,r5,255 +811165c8: 28800044 addi r2,r5,1 +811165cc: 18c00284 addi r3,r3,10 +811165d0: 10803fcc andi r2,r2,255 +811165d4: 18c7883a add r3,r3,r3 +811165d8: 10800284 addi r2,r2,10 +811165dc: 80c7883a add r3,r16,r3 +811165e0: 1085883a add r2,r2,r2 +811165e4: 19c0000d sth r7,0(r3) +811165e8: 8085883a add r2,r16,r2 +811165ec: 29400084 addi r5,r5,2 +811165f0: 2107ee04 addi r4,r4,8120 +811165f4: 1180000d sth r6,0(r2) +811165f8: 81400485 stb r5,18(r16) +811165fc: 1114b180 call 81114b18 +81116600: 00398e06 br 81114c3c <__reset+0xfb0f4c3c> +81116604: 80800483 ldbu r2,18(r16) +81116608: a280058b ldhu r10,22(r20) +8111660c: a200060b ldhu r8,24(r20) +81116610: 10c03fcc andi r3,r2,255 +81116614: 11400044 addi r5,r2,1 +81116618: 18c00284 addi r3,r3,10 +8111661c: 11000084 addi r4,r2,2 +81116620: 29403fcc andi r5,r5,255 +81116624: 18cf883a add r7,r3,r3 +81116628: 29400284 addi r5,r5,10 +8111662c: 10c000c4 addi r3,r2,3 +81116630: 21003fcc andi r4,r4,255 81116634: 81cf883a add r7,r16,r7 -81116638: 18cd883a add r6,r3,r3 -8111663c: a280070b ldhu r10,28(r20) -81116640: 10c00184 addi r3,r2,6 -81116644: 29400284 addi r5,r5,10 -81116648: 21003fcc andi r4,r4,255 -8111664c: 3a00000d sth r8,0(r7) -81116650: 818d883a add r6,r16,r6 -81116654: 294b883a add r5,r5,r5 -81116658: 21000284 addi r4,r4,10 -8111665c: a200078b ldhu r8,30(r20) -81116660: 18c03fcc andi r3,r3,255 -81116664: 3240000d sth r9,0(r6) -81116668: a1c0080b ldhu r7,32(r20) -8111666c: 814d883a add r6,r16,r5 -81116670: 18c00284 addi r3,r3,10 -81116674: 210b883a add r5,r4,r4 -81116678: 3280000d sth r10,0(r6) -8111667c: 814b883a add r5,r16,r5 -81116680: 01204574 movhi r4,33045 -81116684: 18c7883a add r3,r3,r3 -81116688: 2a00000d sth r8,0(r5) -8111668c: 80c7883a add r3,r16,r3 -81116690: 2107dd04 addi r4,r4,8052 -81116694: 108001c4 addi r2,r2,7 -81116698: 19c0000d sth r7,0(r3) -8111669c: 80800485 stb r2,18(r16) -811166a0: 1114ae40 call 81114ae4 -811166a4: 00395806 br 81114c08 <__reset+0xfb0f4c08> -811166a8: 80c00483 ldbu r3,18(r16) -811166ac: 01204574 movhi r4,33045 -811166b0: 2107dd04 addi r4,r4,8052 -811166b4: 18803fcc andi r2,r3,255 -811166b8: 10800284 addi r2,r2,10 -811166bc: 1085883a add r2,r2,r2 -811166c0: 8085883a add r2,r16,r2 -811166c4: 18c00044 addi r3,r3,1 -811166c8: 1140000d sth r5,0(r2) -811166cc: 80c00485 stb r3,18(r16) -811166d0: 1114ae40 call 81114ae4 -811166d4: 00394c06 br 81114c08 <__reset+0xfb0f4c08> -811166d8: 80c00483 ldbu r3,18(r16) -811166dc: 01204574 movhi r4,33045 -811166e0: 2107dd04 addi r4,r4,8052 -811166e4: 18803fcc andi r2,r3,255 -811166e8: 10800284 addi r2,r2,10 -811166ec: 1085883a add r2,r2,r2 -811166f0: 8085883a add r2,r16,r2 -811166f4: 18c00044 addi r3,r3,1 -811166f8: 1140000d sth r5,0(r2) -811166fc: 80c00485 stb r3,18(r16) -81116700: 1114ae40 call 81114ae4 -81116704: 00394006 br 81114c08 <__reset+0xfb0f4c08> -81116708: 81800483 ldbu r6,18(r16) -8111670c: 4a00058b ldhu r8,22(r9) -81116710: 49c0060b ldhu r7,24(r9) -81116714: 30803fcc andi r2,r6,255 -81116718: 31000044 addi r4,r6,1 +81116638: 294d883a add r6,r5,r5 +8111663c: 21000284 addi r4,r4,10 +81116640: 11400104 addi r5,r2,4 +81116644: 18c03fcc andi r3,r3,255 +81116648: 3a40000d sth r9,0(r7) +8111664c: 818d883a add r6,r16,r6 +81116650: 210f883a add r7,r4,r4 +81116654: 18c00284 addi r3,r3,10 +81116658: a240068b ldhu r9,26(r20) +8111665c: 11000144 addi r4,r2,5 +81116660: 29403fcc andi r5,r5,255 +81116664: 3280000d sth r10,0(r6) +81116668: 81cf883a add r7,r16,r7 +8111666c: 18cd883a add r6,r3,r3 +81116670: a280070b ldhu r10,28(r20) +81116674: 10c00184 addi r3,r2,6 +81116678: 29400284 addi r5,r5,10 +8111667c: 21003fcc andi r4,r4,255 +81116680: 3a00000d sth r8,0(r7) +81116684: 818d883a add r6,r16,r6 +81116688: 294b883a add r5,r5,r5 +8111668c: 21000284 addi r4,r4,10 +81116690: a200078b ldhu r8,30(r20) +81116694: 18c03fcc andi r3,r3,255 +81116698: 3240000d sth r9,0(r6) +8111669c: a1c0080b ldhu r7,32(r20) +811166a0: 814d883a add r6,r16,r5 +811166a4: 18c00284 addi r3,r3,10 +811166a8: 210b883a add r5,r4,r4 +811166ac: 3280000d sth r10,0(r6) +811166b0: 814b883a add r5,r16,r5 +811166b4: 01204574 movhi r4,33045 +811166b8: 18c7883a add r3,r3,r3 +811166bc: 2a00000d sth r8,0(r5) +811166c0: 80c7883a add r3,r16,r3 +811166c4: 2107ee04 addi r4,r4,8120 +811166c8: 108001c4 addi r2,r2,7 +811166cc: 19c0000d sth r7,0(r3) +811166d0: 80800485 stb r2,18(r16) +811166d4: 1114b180 call 81114b18 +811166d8: 00395806 br 81114c3c <__reset+0xfb0f4c3c> +811166dc: 80c00483 ldbu r3,18(r16) +811166e0: 01204574 movhi r4,33045 +811166e4: 2107ee04 addi r4,r4,8120 +811166e8: 18803fcc andi r2,r3,255 +811166ec: 10800284 addi r2,r2,10 +811166f0: 1085883a add r2,r2,r2 +811166f4: 8085883a add r2,r16,r2 +811166f8: 18c00044 addi r3,r3,1 +811166fc: 1140000d sth r5,0(r2) +81116700: 80c00485 stb r3,18(r16) +81116704: 1114b180 call 81114b18 +81116708: 00394c06 br 81114c3c <__reset+0xfb0f4c3c> +8111670c: 80c00483 ldbu r3,18(r16) +81116710: 01204574 movhi r4,33045 +81116714: 2107ee04 addi r4,r4,8120 +81116718: 18803fcc andi r2,r3,255 8111671c: 10800284 addi r2,r2,10 -81116720: 30c00084 addi r3,r6,2 -81116724: 21003fcc andi r4,r4,255 -81116728: 108b883a add r5,r2,r2 -8111672c: 21000284 addi r4,r4,10 -81116730: 308000c4 addi r2,r6,3 -81116734: 18c03fcc andi r3,r3,255 -81116738: 814b883a add r5,r16,r5 -8111673c: 2109883a add r4,r4,r4 -81116740: 18c00284 addi r3,r3,10 -81116744: 10803fcc andi r2,r2,255 -81116748: 2a80000d sth r10,0(r5) -8111674c: 4a40068b ldhu r9,26(r9) -81116750: 810b883a add r5,r16,r4 -81116754: 18c7883a add r3,r3,r3 -81116758: 10800284 addi r2,r2,10 -8111675c: 2a00000d sth r8,0(r5) -81116760: 80c7883a add r3,r16,r3 -81116764: 01204574 movhi r4,33045 -81116768: 1085883a add r2,r2,r2 -8111676c: 19c0000d sth r7,0(r3) -81116770: 8085883a add r2,r16,r2 -81116774: 31800104 addi r6,r6,4 -81116778: 2107dd04 addi r4,r4,8052 -8111677c: 1240000d sth r9,0(r2) -81116780: 81800485 stb r6,18(r16) -81116784: 1114ae40 call 81114ae4 -81116788: 00391f06 br 81114c08 <__reset+0xfb0f4c08> -8111678c: 81400483 ldbu r5,18(r16) -81116790: 00a04574 movhi r2,33045 -81116794: 10882204 addi r2,r2,8328 -81116798: 1180058b ldhu r6,22(r2) -8111679c: 28c03fcc andi r3,r5,255 -811167a0: 28800044 addi r2,r5,1 -811167a4: 18c00284 addi r3,r3,10 -811167a8: 10803fcc andi r2,r2,255 -811167ac: 18c7883a add r3,r3,r3 -811167b0: 10800284 addi r2,r2,10 -811167b4: 80c7883a add r3,r16,r3 -811167b8: 01204574 movhi r4,33045 -811167bc: 1085883a add r2,r2,r2 -811167c0: 19c0000d sth r7,0(r3) -811167c4: 8085883a add r2,r16,r2 -811167c8: 29400084 addi r5,r5,2 -811167cc: 2107dd04 addi r4,r4,8052 -811167d0: 1180000d sth r6,0(r2) -811167d4: 81400485 stb r5,18(r16) -811167d8: 1114ae40 call 81114ae4 -811167dc: 00390a06 br 81114c08 <__reset+0xfb0f4c08> -811167e0: d1e07917 ldw r7,-32284(gp) -811167e4: 01204574 movhi r4,33045 -811167e8: 21273e04 addi r4,r4,-25352 -811167ec: 01800704 movi r6,28 -811167f0: 01400044 movi r5,1 -811167f4: 112bc4c0 call 8112bc4c -811167f8: 0038fa06 br 81114be4 <__reset+0xfb0f4be4> - -811167fc : -811167fc: defffc04 addi sp,sp,-16 -81116800: dc400215 stw r17,8(sp) -81116804: 2023883a mov r17,r4 -81116808: d1208317 ldw r4,-32244(gp) -8111680c: d80d883a mov r6,sp -81116810: 01400284 movi r5,10 -81116814: dfc00315 stw ra,12(sp) -81116818: dc000115 stw r16,4(sp) -8111681c: 11424480 call 81142448 -81116820: d8800003 ldbu r2,0(sp) -81116824: 10001f1e bne r2,zero,811168a4 -81116828: 01604634 movhi r5,33048 -8111682c: 294c6f04 addi r5,r5,12732 -81116830: 01800804 movi r6,32 -81116834: 00000106 br 8111683c -81116838: 11801c26 beq r2,r6,811168ac -8111683c: 11001324 muli r4,r2,76 -81116840: 10800044 addi r2,r2,1 -81116844: 2909883a add r4,r5,r4 -81116848: 24000104 addi r16,r4,4 -8111684c: 80c00003 ldbu r3,0(r16) -81116850: 18c03fcc andi r3,r3,255 -81116854: 18c0201c xori r3,r3,128 -81116858: 18ffe004 addi r3,r3,-128 -8111685c: 183ff61e bne r3,zero,81116838 <__reset+0xfb0f6838> -81116860: 01801304 movi r6,76 -81116864: 880b883a mov r5,r17 -81116868: 112c6480 call 8112c648 -8111686c: d1207b17 ldw r4,-32276(gp) -81116870: 114379c0 call 8114379c -81116874: d8800005 stb r2,0(sp) -81116878: 10803fcc andi r2,r2,255 -8111687c: 10000d1e bne r2,zero,811168b4 -81116880: 04400044 movi r17,1 -81116884: d1208317 ldw r4,-32244(gp) -81116888: 114274c0 call 8114274c -8111688c: 8805883a mov r2,r17 -81116890: dfc00317 ldw ra,12(sp) -81116894: dc400217 ldw r17,8(sp) -81116898: dc000117 ldw r16,4(sp) -8111689c: dec00404 addi sp,sp,16 -811168a0: f800283a ret -811168a4: 0023883a mov r17,zero -811168a8: 003ff806 br 8111688c <__reset+0xfb0f688c> -811168ac: 0023883a mov r17,zero -811168b0: 003ff406 br 81116884 <__reset+0xfb0f6884> -811168b4: 1123f280 call 81123f28 -811168b8: 0023883a mov r17,zero -811168bc: 80000005 stb zero,0(r16) -811168c0: 003ff006 br 81116884 <__reset+0xfb0f6884> - -811168c4 : -811168c4: defffc04 addi sp,sp,-16 -811168c8: dc400215 stw r17,8(sp) -811168cc: 2023883a mov r17,r4 -811168d0: d1208d17 ldw r4,-32204(gp) -811168d4: d80d883a mov r6,sp -811168d8: 01400284 movi r5,10 -811168dc: dfc00315 stw ra,12(sp) -811168e0: dc000115 stw r16,4(sp) -811168e4: 11424480 call 81142448 -811168e8: d8800003 ldbu r2,0(sp) -811168ec: 1000251e bne r2,zero,81116984 -811168f0: 01204634 movhi r4,33048 -811168f4: 210c4f04 addi r4,r4,12604 -811168f8: 0007883a mov r3,zero -811168fc: 01400804 movi r5,32 -81116900: 00000106 br 81116908 -81116904: 19402126 beq r3,r5,8111698c -81116908: 18c5883a add r2,r3,r3 -8111690c: 1085883a add r2,r2,r2 -81116910: 20a1883a add r16,r4,r2 -81116914: 80800003 ldbu r2,0(r16) -81116918: 18c00044 addi r3,r3,1 -8111691c: 10803fcc andi r2,r2,255 -81116920: 1080201c xori r2,r2,128 -81116924: 10bfe004 addi r2,r2,-128 -81116928: 103ff61e bne r2,zero,81116904 <__reset+0xfb0f6904> -8111692c: 88800103 ldbu r2,4(r17) -81116930: 80c00044 addi r3,r16,1 -81116934: d1207817 ldw r4,-32288(gp) -81116938: 80800005 stb r2,0(r16) -8111693c: 89400143 ldbu r5,5(r17) -81116940: 80800084 addi r2,r16,2 -81116944: 19400005 stb r5,0(r3) -81116948: 88c0020b ldhu r3,8(r17) -8111694c: 10c0000d sth r3,0(r2) -81116950: 114379c0 call 8114379c -81116954: d8800005 stb r2,0(sp) -81116958: 10803fcc andi r2,r2,255 -8111695c: 10000d1e bne r2,zero,81116994 -81116960: 04400044 movi r17,1 -81116964: d1208d17 ldw r4,-32204(gp) -81116968: 114274c0 call 8114274c -8111696c: 8805883a mov r2,r17 -81116970: dfc00317 ldw ra,12(sp) -81116974: dc400217 ldw r17,8(sp) -81116978: dc000117 ldw r16,4(sp) -8111697c: dec00404 addi sp,sp,16 -81116980: f800283a ret -81116984: 0023883a mov r17,zero -81116988: 003ff806 br 8111696c <__reset+0xfb0f696c> -8111698c: 0023883a mov r17,zero -81116990: 003ff406 br 81116964 <__reset+0xfb0f6964> -81116994: 1123f880 call 81123f88 -81116998: 0023883a mov r17,zero -8111699c: 80000005 stb zero,0(r16) -811169a0: 003ff006 br 81116964 <__reset+0xfb0f6964> - -811169a4 : -811169a4: defffc04 addi sp,sp,-16 -811169a8: dc400215 stw r17,8(sp) -811169ac: 2023883a mov r17,r4 -811169b0: d1207c17 ldw r4,-32272(gp) -811169b4: d80d883a mov r6,sp -811169b8: 01400504 movi r5,20 -811169bc: dfc00315 stw ra,12(sp) -811169c0: dc000115 stw r16,4(sp) -811169c4: 11424480 call 81142448 -811169c8: d8800003 ldbu r2,0(sp) -811169cc: 10001e1e bne r2,zero,81116a48 -811169d0: 01204634 movhi r4,33048 -811169d4: 212a1b04 addi r4,r4,-22420 -811169d8: 0007883a mov r3,zero -811169dc: 01400804 movi r5,32 -811169e0: 00000106 br 811169e8 -811169e4: 19402426 beq r3,r5,81116a78 -811169e8: 18c5883a add r2,r3,r3 -811169ec: 1085883a add r2,r2,r2 -811169f0: 20a1883a add r16,r4,r2 -811169f4: 80800003 ldbu r2,0(r16) -811169f8: 18c00044 addi r3,r3,1 -811169fc: 10803fcc andi r2,r2,255 -81116a00: 1080201c xori r2,r2,128 -81116a04: 10bfe004 addi r2,r2,-128 -81116a08: 103ff61e bne r2,zero,811169e4 <__reset+0xfb0f69e4> -81116a0c: 88800103 ldbu r2,4(r17) -81116a10: 80c00044 addi r3,r16,1 -81116a14: d1207d17 ldw r4,-32268(gp) -81116a18: 80800005 stb r2,0(r16) -81116a1c: 89400143 ldbu r5,5(r17) -81116a20: 80800084 addi r2,r16,2 -81116a24: 19400005 stb r5,0(r3) -81116a28: 88c0020b ldhu r3,8(r17) -81116a2c: 10c0000d sth r3,0(r2) -81116a30: 114379c0 call 8114379c -81116a34: d8800005 stb r2,0(sp) -81116a38: 10803fcc andi r2,r2,255 -81116a3c: 10001a1e bne r2,zero,81116aa8 -81116a40: 04400044 movi r17,1 -81116a44: 00000d06 br 81116a7c -81116a48: 00a04634 movhi r2,33048 -81116a4c: 108f0804 addi r2,r2,15392 -81116a50: 10800803 ldbu r2,32(r2) -81116a54: 00c001c4 movi r3,7 -81116a58: 18800b2e bgeu r3,r2,81116a88 -81116a5c: 0023883a mov r17,zero -81116a60: 8805883a mov r2,r17 -81116a64: dfc00317 ldw ra,12(sp) -81116a68: dc400217 ldw r17,8(sp) -81116a6c: dc000117 ldw r16,4(sp) -81116a70: dec00404 addi sp,sp,16 -81116a74: f800283a ret -81116a78: 0023883a mov r17,zero -81116a7c: d1207c17 ldw r4,-32272(gp) -81116a80: 114274c0 call 8114274c -81116a84: 003ff606 br 81116a60 <__reset+0xfb0f6a60> -81116a88: d1e07917 ldw r7,-32284(gp) -81116a8c: 01204574 movhi r4,33045 -81116a90: 2128ce04 addi r4,r4,-23752 -81116a94: 01801404 movi r6,80 -81116a98: 01400044 movi r5,1 -81116a9c: 112bc4c0 call 8112bc4c -81116aa0: 0023883a mov r17,zero -81116aa4: 003fee06 br 81116a60 <__reset+0xfb0f6a60> -81116aa8: 1123f580 call 81123f58 +81116720: 1085883a add r2,r2,r2 +81116724: 8085883a add r2,r16,r2 +81116728: 18c00044 addi r3,r3,1 +8111672c: 1140000d sth r5,0(r2) +81116730: 80c00485 stb r3,18(r16) +81116734: 1114b180 call 81114b18 +81116738: 00394006 br 81114c3c <__reset+0xfb0f4c3c> +8111673c: 81800483 ldbu r6,18(r16) +81116740: 4a00058b ldhu r8,22(r9) +81116744: 49c0060b ldhu r7,24(r9) +81116748: 30803fcc andi r2,r6,255 +8111674c: 31000044 addi r4,r6,1 +81116750: 10800284 addi r2,r2,10 +81116754: 30c00084 addi r3,r6,2 +81116758: 21003fcc andi r4,r4,255 +8111675c: 108b883a add r5,r2,r2 +81116760: 21000284 addi r4,r4,10 +81116764: 308000c4 addi r2,r6,3 +81116768: 18c03fcc andi r3,r3,255 +8111676c: 814b883a add r5,r16,r5 +81116770: 2109883a add r4,r4,r4 +81116774: 18c00284 addi r3,r3,10 +81116778: 10803fcc andi r2,r2,255 +8111677c: 2a80000d sth r10,0(r5) +81116780: 4a40068b ldhu r9,26(r9) +81116784: 810b883a add r5,r16,r4 +81116788: 18c7883a add r3,r3,r3 +8111678c: 10800284 addi r2,r2,10 +81116790: 2a00000d sth r8,0(r5) +81116794: 80c7883a add r3,r16,r3 +81116798: 01204574 movhi r4,33045 +8111679c: 1085883a add r2,r2,r2 +811167a0: 19c0000d sth r7,0(r3) +811167a4: 8085883a add r2,r16,r2 +811167a8: 31800104 addi r6,r6,4 +811167ac: 2107ee04 addi r4,r4,8120 +811167b0: 1240000d sth r9,0(r2) +811167b4: 81800485 stb r6,18(r16) +811167b8: 1114b180 call 81114b18 +811167bc: 00391f06 br 81114c3c <__reset+0xfb0f4c3c> +811167c0: 81400483 ldbu r5,18(r16) +811167c4: 00a04574 movhi r2,33045 +811167c8: 10883304 addi r2,r2,8396 +811167cc: 1180058b ldhu r6,22(r2) +811167d0: 28c03fcc andi r3,r5,255 +811167d4: 28800044 addi r2,r5,1 +811167d8: 18c00284 addi r3,r3,10 +811167dc: 10803fcc andi r2,r2,255 +811167e0: 18c7883a add r3,r3,r3 +811167e4: 10800284 addi r2,r2,10 +811167e8: 80c7883a add r3,r16,r3 +811167ec: 01204574 movhi r4,33045 +811167f0: 1085883a add r2,r2,r2 +811167f4: 19c0000d sth r7,0(r3) +811167f8: 8085883a add r2,r16,r2 +811167fc: 29400084 addi r5,r5,2 +81116800: 2107ee04 addi r4,r4,8120 +81116804: 1180000d sth r6,0(r2) +81116808: 81400485 stb r5,18(r16) +8111680c: 1114b180 call 81114b18 +81116810: 00390a06 br 81114c3c <__reset+0xfb0f4c3c> +81116814: d1e07917 ldw r7,-32284(gp) +81116818: 01204574 movhi r4,33045 +8111681c: 21274e04 addi r4,r4,-25288 +81116820: 01800704 movi r6,28 +81116824: 01400044 movi r5,1 +81116828: 112bc8c0 call 8112bc8c +8111682c: 0038fa06 br 81114c18 <__reset+0xfb0f4c18> + +81116830 : +81116830: defffc04 addi sp,sp,-16 +81116834: dc400215 stw r17,8(sp) +81116838: 2023883a mov r17,r4 +8111683c: d1208317 ldw r4,-32244(gp) +81116840: d80d883a mov r6,sp +81116844: 01400284 movi r5,10 +81116848: dfc00315 stw ra,12(sp) +8111684c: dc000115 stw r16,4(sp) +81116850: 11424880 call 81142488 +81116854: d8800003 ldbu r2,0(sp) +81116858: 10001f1e bne r2,zero,811168d8 +8111685c: 01604634 movhi r5,33048 +81116860: 294c8004 addi r5,r5,12800 +81116864: 01800804 movi r6,32 +81116868: 00000106 br 81116870 +8111686c: 11801c26 beq r2,r6,811168e0 +81116870: 11001324 muli r4,r2,76 +81116874: 10800044 addi r2,r2,1 +81116878: 2909883a add r4,r5,r4 +8111687c: 24000104 addi r16,r4,4 +81116880: 80c00003 ldbu r3,0(r16) +81116884: 18c03fcc andi r3,r3,255 +81116888: 18c0201c xori r3,r3,128 +8111688c: 18ffe004 addi r3,r3,-128 +81116890: 183ff61e bne r3,zero,8111686c <__reset+0xfb0f686c> +81116894: 01801304 movi r6,76 +81116898: 880b883a mov r5,r17 +8111689c: 112c6880 call 8112c688 +811168a0: d1207b17 ldw r4,-32276(gp) +811168a4: 11437dc0 call 811437dc +811168a8: d8800005 stb r2,0(sp) +811168ac: 10803fcc andi r2,r2,255 +811168b0: 10000d1e bne r2,zero,811168e8 +811168b4: 04400044 movi r17,1 +811168b8: d1208317 ldw r4,-32244(gp) +811168bc: 114278c0 call 8114278c +811168c0: 8805883a mov r2,r17 +811168c4: dfc00317 ldw ra,12(sp) +811168c8: dc400217 ldw r17,8(sp) +811168cc: dc000117 ldw r16,4(sp) +811168d0: dec00404 addi sp,sp,16 +811168d4: f800283a ret +811168d8: 0023883a mov r17,zero +811168dc: 003ff806 br 811168c0 <__reset+0xfb0f68c0> +811168e0: 0023883a mov r17,zero +811168e4: 003ff406 br 811168b8 <__reset+0xfb0f68b8> +811168e8: 1123f680 call 81123f68 +811168ec: 0023883a mov r17,zero +811168f0: 80000005 stb zero,0(r16) +811168f4: 003ff006 br 811168b8 <__reset+0xfb0f68b8> + +811168f8 : +811168f8: defffc04 addi sp,sp,-16 +811168fc: dc400215 stw r17,8(sp) +81116900: 2023883a mov r17,r4 +81116904: d1208d17 ldw r4,-32204(gp) +81116908: d80d883a mov r6,sp +8111690c: 01400284 movi r5,10 +81116910: dfc00315 stw ra,12(sp) +81116914: dc000115 stw r16,4(sp) +81116918: 11424880 call 81142488 +8111691c: d8800003 ldbu r2,0(sp) +81116920: 1000251e bne r2,zero,811169b8 +81116924: 01204634 movhi r4,33048 +81116928: 210c6004 addi r4,r4,12672 +8111692c: 0007883a mov r3,zero +81116930: 01400804 movi r5,32 +81116934: 00000106 br 8111693c +81116938: 19402126 beq r3,r5,811169c0 +8111693c: 18c5883a add r2,r3,r3 +81116940: 1085883a add r2,r2,r2 +81116944: 20a1883a add r16,r4,r2 +81116948: 80800003 ldbu r2,0(r16) +8111694c: 18c00044 addi r3,r3,1 +81116950: 10803fcc andi r2,r2,255 +81116954: 1080201c xori r2,r2,128 +81116958: 10bfe004 addi r2,r2,-128 +8111695c: 103ff61e bne r2,zero,81116938 <__reset+0xfb0f6938> +81116960: 88800103 ldbu r2,4(r17) +81116964: 80c00044 addi r3,r16,1 +81116968: d1207817 ldw r4,-32288(gp) +8111696c: 80800005 stb r2,0(r16) +81116970: 89400143 ldbu r5,5(r17) +81116974: 80800084 addi r2,r16,2 +81116978: 19400005 stb r5,0(r3) +8111697c: 88c0020b ldhu r3,8(r17) +81116980: 10c0000d sth r3,0(r2) +81116984: 11437dc0 call 811437dc +81116988: d8800005 stb r2,0(sp) +8111698c: 10803fcc andi r2,r2,255 +81116990: 10000d1e bne r2,zero,811169c8 +81116994: 04400044 movi r17,1 +81116998: d1208d17 ldw r4,-32204(gp) +8111699c: 114278c0 call 8114278c +811169a0: 8805883a mov r2,r17 +811169a4: dfc00317 ldw ra,12(sp) +811169a8: dc400217 ldw r17,8(sp) +811169ac: dc000117 ldw r16,4(sp) +811169b0: dec00404 addi sp,sp,16 +811169b4: f800283a ret +811169b8: 0023883a mov r17,zero +811169bc: 003ff806 br 811169a0 <__reset+0xfb0f69a0> +811169c0: 0023883a mov r17,zero +811169c4: 003ff406 br 81116998 <__reset+0xfb0f6998> +811169c8: 1123fc80 call 81123fc8 +811169cc: 0023883a mov r17,zero +811169d0: 80000005 stb zero,0(r16) +811169d4: 003ff006 br 81116998 <__reset+0xfb0f6998> + +811169d8 : +811169d8: defffc04 addi sp,sp,-16 +811169dc: dc400215 stw r17,8(sp) +811169e0: 2023883a mov r17,r4 +811169e4: d1207c17 ldw r4,-32272(gp) +811169e8: d80d883a mov r6,sp +811169ec: 01400504 movi r5,20 +811169f0: dfc00315 stw ra,12(sp) +811169f4: dc000115 stw r16,4(sp) +811169f8: 11424880 call 81142488 +811169fc: d8800003 ldbu r2,0(sp) +81116a00: 10001e1e bne r2,zero,81116a7c +81116a04: 01204634 movhi r4,33048 +81116a08: 212a2c04 addi r4,r4,-22352 +81116a0c: 0007883a mov r3,zero +81116a10: 01400804 movi r5,32 +81116a14: 00000106 br 81116a1c +81116a18: 19402426 beq r3,r5,81116aac +81116a1c: 18c5883a add r2,r3,r3 +81116a20: 1085883a add r2,r2,r2 +81116a24: 20a1883a add r16,r4,r2 +81116a28: 80800003 ldbu r2,0(r16) +81116a2c: 18c00044 addi r3,r3,1 +81116a30: 10803fcc andi r2,r2,255 +81116a34: 1080201c xori r2,r2,128 +81116a38: 10bfe004 addi r2,r2,-128 +81116a3c: 103ff61e bne r2,zero,81116a18 <__reset+0xfb0f6a18> +81116a40: 88800103 ldbu r2,4(r17) +81116a44: 80c00044 addi r3,r16,1 +81116a48: d1207d17 ldw r4,-32268(gp) +81116a4c: 80800005 stb r2,0(r16) +81116a50: 89400143 ldbu r5,5(r17) +81116a54: 80800084 addi r2,r16,2 +81116a58: 19400005 stb r5,0(r3) +81116a5c: 88c0020b ldhu r3,8(r17) +81116a60: 10c0000d sth r3,0(r2) +81116a64: 11437dc0 call 811437dc +81116a68: d8800005 stb r2,0(sp) +81116a6c: 10803fcc andi r2,r2,255 +81116a70: 10001a1e bne r2,zero,81116adc +81116a74: 04400044 movi r17,1 +81116a78: 00000d06 br 81116ab0 +81116a7c: 00a04634 movhi r2,33048 +81116a80: 108f1904 addi r2,r2,15460 +81116a84: 10800803 ldbu r2,32(r2) +81116a88: 00c001c4 movi r3,7 +81116a8c: 18800b2e bgeu r3,r2,81116abc +81116a90: 0023883a mov r17,zero +81116a94: 8805883a mov r2,r17 +81116a98: dfc00317 ldw ra,12(sp) +81116a9c: dc400217 ldw r17,8(sp) +81116aa0: dc000117 ldw r16,4(sp) +81116aa4: dec00404 addi sp,sp,16 +81116aa8: f800283a ret 81116aac: 0023883a mov r17,zero -81116ab0: 80000005 stb zero,0(r16) -81116ab4: 003ff106 br 81116a7c <__reset+0xfb0f6a7c> - -81116ab8 : -81116ab8: defff304 addi sp,sp,-52 -81116abc: dc400415 stw r17,16(sp) -81116ac0: 2823883a mov r17,r5 -81116ac4: 01402004 movi r5,128 -81116ac8: dfc00c15 stw ra,48(sp) -81116acc: ddc00a15 stw r23,40(sp) -81116ad0: dd800915 stw r22,36(sp) -81116ad4: dc000315 stw r16,12(sp) -81116ad8: 202f883a mov r23,r4 -81116adc: df000b15 stw fp,44(sp) -81116ae0: dd400815 stw r21,32(sp) -81116ae4: dd000715 stw r20,28(sp) -81116ae8: dcc00615 stw r19,24(sp) -81116aec: dc800515 stw r18,20(sp) -81116af0: 112d9040 call 8112d904 -81116af4: 102d883a mov r22,r2 -81116af8: 01400ec4 movi r5,59 -81116afc: b809883a mov r4,r23 -81116b00: 111e30c0 call 8111e30c -81116b04: b5bfffcc andi r22,r22,65535 -81116b08: 143fffcc andi r16,r2,65535 -81116b0c: b5a0001c xori r22,r22,32768 -81116b10: b5a00004 addi r22,r22,-32768 -81116b14: 8420001c xori r16,r16,32768 -81116b18: 84200004 addi r16,r16,-32768 -81116b1c: b0bfffc4 addi r2,r22,-1 -81116b20: 80800d26 beq r16,r2,81116b58 -81116b24: 0005883a mov r2,zero -81116b28: dfc00c17 ldw ra,48(sp) -81116b2c: df000b17 ldw fp,44(sp) -81116b30: ddc00a17 ldw r23,40(sp) -81116b34: dd800917 ldw r22,36(sp) -81116b38: dd400817 ldw r21,32(sp) -81116b3c: dd000717 ldw r20,28(sp) -81116b40: dcc00617 ldw r19,24(sp) -81116b44: dc800517 ldw r18,20(sp) -81116b48: dc400417 ldw r17,16(sp) -81116b4c: dc000317 ldw r16,12(sp) -81116b50: dec00d04 addi sp,sp,52 -81116b54: f800283a ret -81116b58: 01401f04 movi r5,124 -81116b5c: b809883a mov r4,r23 -81116b60: 111e30c0 call 8111e30c -81116b64: 14bfffcc andi r18,r2,65535 -81116b68: 94a0001c xori r18,r18,32768 -81116b6c: 94a00004 addi r18,r18,-32768 -81116b70: 84bfec16 blt r16,r18,81116b24 <__reset+0xfb0f6b24> -81116b74: 01604574 movhi r5,33045 -81116b78: 2968e304 addi r5,r5,-23668 -81116b7c: b809883a mov r4,r23 -81116b80: 112d8200 call 8112d820 -81116b84: 117fffcc andi r5,r2,65535 -81116b88: 2960001c xori r5,r5,32768 -81116b8c: 29600004 addi r5,r5,-32768 -81116b90: 1021883a mov r16,r2 -81116b94: 917fe316 blt r18,r5,81116b24 <__reset+0xfb0f6b24> -81116b98: b967883a add r19,r23,r5 -81116b9c: 98800003 ldbu r2,0(r19) -81116ba0: 00c008c4 movi r3,35 -81116ba4: 88800105 stb r2,4(r17) -81116ba8: 10803fcc andi r2,r2,255 -81116bac: 1080201c xori r2,r2,128 -81116bb0: 10bfe004 addi r2,r2,-128 -81116bb4: 10c03726 beq r2,r3,81116c94 -81116bb8: 914bc83a sub r5,r18,r5 -81116bbc: 9809883a mov r4,r19 -81116bc0: 11205400 call 81120540 -81116bc4: 88801205 stb r2,72(r17) -81116bc8: 98800043 ldbu r2,1(r19) -81116bcc: 01801004 movi r6,64 -81116bd0: 88000185 stb zero,6(r17) -81116bd4: 88800145 stb r2,5(r17) -81116bd8: 000b883a mov r5,zero -81116bdc: 89000204 addi r4,r17,8 -81116be0: 112c7900 call 8112c790 -81116be4: 840000c4 addi r16,r16,3 -81116be8: 04c00044 movi r19,1 -81116bec: 05001f04 movi r20,124 -81116bf0: 04800284 movi r18,10 -81116bf4: d2600d17 ldw r9,-32716(gp) -81116bf8: d8000015 stw zero,0(sp) -81116bfc: d8000115 stw zero,4(sp) -81116c00: d800020d sth zero,8(sp) -81116c04: d805883a mov r2,sp -81116c08: 80c03fcc andi r3,r16,255 -81116c0c: b8c7883a add r3,r23,r3 -81116c10: 19400003 ldbu r5,0(r3) -81116c14: 84000044 addi r16,r16,1 -81116c18: 85403fcc andi r21,r16,255 -81116c1c: 29803fcc andi r6,r5,255 -81116c20: 3180201c xori r6,r6,128 -81116c24: 31bfe004 addi r6,r6,-128 -81116c28: 4987883a add r3,r9,r6 -81116c2c: 18c00043 ldbu r3,1(r3) -81116c30: 293ff184 addi r4,r5,-58 -81116c34: 21003fcc andi r4,r4,255 -81116c38: 18c0010c andi r3,r3,4 -81116c3c: 18000226 beq r3,zero,81116c48 -81116c40: 11400005 stb r5,0(r2) -81116c44: 10800044 addi r2,r2,1 -81116c48: ad80140e bge r21,r22,81116c9c -81116c4c: 99002a2e bgeu r19,r4,81116cf8 -81116c50: 353fed1e bne r6,r20,81116c08 <__reset+0xfb0f6c08> -81116c54: 14800005 stb r18,0(r2) -81116c58: 8f000183 ldbu fp,6(r17) -81116c5c: 008007c4 movi r2,31 -81116c60: 1700012e bgeu r2,fp,81116c68 -81116c64: 07000804 movi fp,32 -81116c68: e7000104 addi fp,fp,4 -81116c6c: d809883a mov r4,sp -81116c70: e739883a add fp,fp,fp -81116c74: 112b4080 call 8112b408 -81116c78: 8f39883a add fp,r17,fp -81116c7c: e080000d sth r2,0(fp) -81116c80: 88800183 ldbu r2,6(r17) -81116c84: 10800044 addi r2,r2,1 -81116c88: 88800185 stb r2,6(r17) -81116c8c: adbfd916 blt r21,r22,81116bf4 <__reset+0xfb0f6bf4> -81116c90: 003fa406 br 81116b24 <__reset+0xfb0f6b24> -81116c94: 00800044 movi r2,1 -81116c98: 003fa306 br 81116b28 <__reset+0xfb0f6b28> -81116c9c: 14800005 stb r18,0(r2) -81116ca0: 00800e84 movi r2,58 -81116ca4: 30bfec26 beq r6,r2,81116c58 <__reset+0xfb0f6c58> -81116ca8: 353feb26 beq r6,r20,81116c58 <__reset+0xfb0f6c58> -81116cac: 00800ec4 movi r2,59 -81116cb0: 30bf9c1e bne r6,r2,81116b24 <__reset+0xfb0f6b24> -81116cb4: d809883a mov r4,sp -81116cb8: 112b4080 call 8112b408 -81116cbc: 89801203 ldbu r6,72(r17) -81116cc0: 88801245 stb r2,73(r17) -81116cc4: 10c03fcc andi r3,r2,255 -81116cc8: 19bff226 beq r3,r6,81116c94 <__reset+0xfb0f6c94> -81116ccc: 00e04634 movhi r3,33048 -81116cd0: 18cf0804 addi r3,r3,15392 -81116cd4: 18c00803 ldbu r3,32(r3) -81116cd8: 010001c4 movi r4,7 -81116cdc: 20ff9136 bltu r4,r3,81116b24 <__reset+0xfb0f6b24> -81116ce0: d1207917 ldw r4,-32284(gp) -81116ce4: 01604574 movhi r5,33045 -81116ce8: 2968e504 addi r5,r5,-23660 -81116cec: 11c03fcc andi r7,r2,255 -81116cf0: 112b5e00 call 8112b5e0 -81116cf4: 003f8b06 br 81116b24 <__reset+0xfb0f6b24> -81116cf8: 14800005 stb r18,0(r2) -81116cfc: 00800e84 movi r2,58 -81116d00: 30bfec1e bne r6,r2,81116cb4 <__reset+0xfb0f6cb4> -81116d04: 003fd406 br 81116c58 <__reset+0xfb0f6c58> - -81116d08 : -81116d08: deffa904 addi sp,sp,-348 -81116d0c: dd405515 stw r21,340(sp) -81116d10: 05604634 movhi r21,33048 -81116d14: ad4f0804 addi r21,r21,15392 -81116d18: a8800803 ldbu r2,32(r21) -81116d1c: dfc05615 stw ra,344(sp) -81116d20: dd005415 stw r20,336(sp) -81116d24: dcc05315 stw r19,332(sp) -81116d28: dc805215 stw r18,328(sp) -81116d2c: dc405115 stw r17,324(sp) -81116d30: dc005015 stw r16,320(sp) -81116d34: 00c00104 movi r3,4 -81116d38: 1880422e bgeu r3,r2,81116e44 -81116d3c: 04604574 movhi r17,33045 -81116d40: 8c483504 addi r17,r17,8404 -81116d44: 04000044 movi r16,1 -81116d48: 04c008c4 movi r19,35 -81116d4c: 04800b84 movi r18,46 -81116d50: 05000fc4 movi r20,63 -81116d54: 01802004 movi r6,128 -81116d58: d9003004 addi r4,sp,192 -81116d5c: 000b883a mov r5,zero -81116d60: 112c7900 call 8112c790 -81116d64: 01204574 movhi r4,33045 -81116d68: d80b883a mov r5,sp -81116d6c: 2128f904 addi r4,r4,-23580 -81116d70: 112d5b40 call 8112d5b4 -81116d74: d80b883a mov r5,sp -81116d78: d9003004 addi r4,sp,192 -81116d7c: 01801fc4 movi r6,127 -81116d80: 112c6480 call 8112c648 -81116d84: 01604574 movhi r5,33045 -81116d88: 29483504 addi r5,r5,8404 +81116ab0: d1207c17 ldw r4,-32272(gp) +81116ab4: 114278c0 call 8114278c +81116ab8: 003ff606 br 81116a94 <__reset+0xfb0f6a94> +81116abc: d1e07917 ldw r7,-32284(gp) +81116ac0: 01204574 movhi r4,33045 +81116ac4: 2128de04 addi r4,r4,-23688 +81116ac8: 01801404 movi r6,80 +81116acc: 01400044 movi r5,1 +81116ad0: 112bc8c0 call 8112bc8c +81116ad4: 0023883a mov r17,zero +81116ad8: 003fee06 br 81116a94 <__reset+0xfb0f6a94> +81116adc: 1123f980 call 81123f98 +81116ae0: 0023883a mov r17,zero +81116ae4: 80000005 stb zero,0(r16) +81116ae8: 003ff106 br 81116ab0 <__reset+0xfb0f6ab0> + +81116aec : +81116aec: defff304 addi sp,sp,-52 +81116af0: dc400415 stw r17,16(sp) +81116af4: 2823883a mov r17,r5 +81116af8: 01402004 movi r5,128 +81116afc: dfc00c15 stw ra,48(sp) +81116b00: ddc00a15 stw r23,40(sp) +81116b04: dd800915 stw r22,36(sp) +81116b08: dc000315 stw r16,12(sp) +81116b0c: 202f883a mov r23,r4 +81116b10: df000b15 stw fp,44(sp) +81116b14: dd400815 stw r21,32(sp) +81116b18: dd000715 stw r20,28(sp) +81116b1c: dcc00615 stw r19,24(sp) +81116b20: dc800515 stw r18,20(sp) +81116b24: 112d9440 call 8112d944 +81116b28: 102d883a mov r22,r2 +81116b2c: 01400ec4 movi r5,59 +81116b30: b809883a mov r4,r23 +81116b34: 111e34c0 call 8111e34c +81116b38: b5bfffcc andi r22,r22,65535 +81116b3c: 143fffcc andi r16,r2,65535 +81116b40: b5a0001c xori r22,r22,32768 +81116b44: b5a00004 addi r22,r22,-32768 +81116b48: 8420001c xori r16,r16,32768 +81116b4c: 84200004 addi r16,r16,-32768 +81116b50: b0bfffc4 addi r2,r22,-1 +81116b54: 80800d26 beq r16,r2,81116b8c +81116b58: 0005883a mov r2,zero +81116b5c: dfc00c17 ldw ra,48(sp) +81116b60: df000b17 ldw fp,44(sp) +81116b64: ddc00a17 ldw r23,40(sp) +81116b68: dd800917 ldw r22,36(sp) +81116b6c: dd400817 ldw r21,32(sp) +81116b70: dd000717 ldw r20,28(sp) +81116b74: dcc00617 ldw r19,24(sp) +81116b78: dc800517 ldw r18,20(sp) +81116b7c: dc400417 ldw r17,16(sp) +81116b80: dc000317 ldw r16,12(sp) +81116b84: dec00d04 addi sp,sp,52 +81116b88: f800283a ret +81116b8c: 01401f04 movi r5,124 +81116b90: b809883a mov r4,r23 +81116b94: 111e34c0 call 8111e34c +81116b98: 14bfffcc andi r18,r2,65535 +81116b9c: 94a0001c xori r18,r18,32768 +81116ba0: 94a00004 addi r18,r18,-32768 +81116ba4: 84bfec16 blt r16,r18,81116b58 <__reset+0xfb0f6b58> +81116ba8: 01604574 movhi r5,33045 +81116bac: 2968f304 addi r5,r5,-23604 +81116bb0: b809883a mov r4,r23 +81116bb4: 112d8600 call 8112d860 +81116bb8: 117fffcc andi r5,r2,65535 +81116bbc: 2960001c xori r5,r5,32768 +81116bc0: 29600004 addi r5,r5,-32768 +81116bc4: 1021883a mov r16,r2 +81116bc8: 917fe316 blt r18,r5,81116b58 <__reset+0xfb0f6b58> +81116bcc: b967883a add r19,r23,r5 +81116bd0: 98800003 ldbu r2,0(r19) +81116bd4: 00c008c4 movi r3,35 +81116bd8: 88800105 stb r2,4(r17) +81116bdc: 10803fcc andi r2,r2,255 +81116be0: 1080201c xori r2,r2,128 +81116be4: 10bfe004 addi r2,r2,-128 +81116be8: 10c03726 beq r2,r3,81116cc8 +81116bec: 914bc83a sub r5,r18,r5 +81116bf0: 9809883a mov r4,r19 +81116bf4: 11205800 call 81120580 +81116bf8: 88801205 stb r2,72(r17) +81116bfc: 98800043 ldbu r2,1(r19) +81116c00: 01801004 movi r6,64 +81116c04: 88000185 stb zero,6(r17) +81116c08: 88800145 stb r2,5(r17) +81116c0c: 000b883a mov r5,zero +81116c10: 89000204 addi r4,r17,8 +81116c14: 112c7d00 call 8112c7d0 +81116c18: 840000c4 addi r16,r16,3 +81116c1c: 04c00044 movi r19,1 +81116c20: 05001f04 movi r20,124 +81116c24: 04800284 movi r18,10 +81116c28: d2600d17 ldw r9,-32716(gp) +81116c2c: d8000015 stw zero,0(sp) +81116c30: d8000115 stw zero,4(sp) +81116c34: d800020d sth zero,8(sp) +81116c38: d805883a mov r2,sp +81116c3c: 80c03fcc andi r3,r16,255 +81116c40: b8c7883a add r3,r23,r3 +81116c44: 19400003 ldbu r5,0(r3) +81116c48: 84000044 addi r16,r16,1 +81116c4c: 85403fcc andi r21,r16,255 +81116c50: 29803fcc andi r6,r5,255 +81116c54: 3180201c xori r6,r6,128 +81116c58: 31bfe004 addi r6,r6,-128 +81116c5c: 4987883a add r3,r9,r6 +81116c60: 18c00043 ldbu r3,1(r3) +81116c64: 293ff184 addi r4,r5,-58 +81116c68: 21003fcc andi r4,r4,255 +81116c6c: 18c0010c andi r3,r3,4 +81116c70: 18000226 beq r3,zero,81116c7c +81116c74: 11400005 stb r5,0(r2) +81116c78: 10800044 addi r2,r2,1 +81116c7c: ad80140e bge r21,r22,81116cd0 +81116c80: 99002a2e bgeu r19,r4,81116d2c +81116c84: 353fed1e bne r6,r20,81116c3c <__reset+0xfb0f6c3c> +81116c88: 14800005 stb r18,0(r2) +81116c8c: 8f000183 ldbu fp,6(r17) +81116c90: 008007c4 movi r2,31 +81116c94: 1700012e bgeu r2,fp,81116c9c +81116c98: 07000804 movi fp,32 +81116c9c: e7000104 addi fp,fp,4 +81116ca0: d809883a mov r4,sp +81116ca4: e739883a add fp,fp,fp +81116ca8: 112b4480 call 8112b448 +81116cac: 8f39883a add fp,r17,fp +81116cb0: e080000d sth r2,0(fp) +81116cb4: 88800183 ldbu r2,6(r17) +81116cb8: 10800044 addi r2,r2,1 +81116cbc: 88800185 stb r2,6(r17) +81116cc0: adbfd916 blt r21,r22,81116c28 <__reset+0xfb0f6c28> +81116cc4: 003fa406 br 81116b58 <__reset+0xfb0f6b58> +81116cc8: 00800044 movi r2,1 +81116ccc: 003fa306 br 81116b5c <__reset+0xfb0f6b5c> +81116cd0: 14800005 stb r18,0(r2) +81116cd4: 00800e84 movi r2,58 +81116cd8: 30bfec26 beq r6,r2,81116c8c <__reset+0xfb0f6c8c> +81116cdc: 353feb26 beq r6,r20,81116c8c <__reset+0xfb0f6c8c> +81116ce0: 00800ec4 movi r2,59 +81116ce4: 30bf9c1e bne r6,r2,81116b58 <__reset+0xfb0f6b58> +81116ce8: d809883a mov r4,sp +81116cec: 112b4480 call 8112b448 +81116cf0: 89801203 ldbu r6,72(r17) +81116cf4: 88801245 stb r2,73(r17) +81116cf8: 10c03fcc andi r3,r2,255 +81116cfc: 19bff226 beq r3,r6,81116cc8 <__reset+0xfb0f6cc8> +81116d00: 00e04634 movhi r3,33048 +81116d04: 18cf1904 addi r3,r3,15460 +81116d08: 18c00803 ldbu r3,32(r3) +81116d0c: 010001c4 movi r4,7 +81116d10: 20ff9136 bltu r4,r3,81116b58 <__reset+0xfb0f6b58> +81116d14: d1207917 ldw r4,-32284(gp) +81116d18: 01604574 movhi r5,33045 +81116d1c: 2968f504 addi r5,r5,-23596 +81116d20: 11c03fcc andi r7,r2,255 +81116d24: 112b6200 call 8112b620 +81116d28: 003f8b06 br 81116b58 <__reset+0xfb0f6b58> +81116d2c: 14800005 stb r18,0(r2) +81116d30: 00800e84 movi r2,58 +81116d34: 30bfec1e bne r6,r2,81116ce8 <__reset+0xfb0f6ce8> +81116d38: 003fd406 br 81116c8c <__reset+0xfb0f6c8c> + +81116d3c : +81116d3c: deffa904 addi sp,sp,-348 +81116d40: dd405515 stw r21,340(sp) +81116d44: 05604634 movhi r21,33048 +81116d48: ad4f1904 addi r21,r21,15460 +81116d4c: a8800803 ldbu r2,32(r21) +81116d50: dfc05615 stw ra,344(sp) +81116d54: dd005415 stw r20,336(sp) +81116d58: dcc05315 stw r19,332(sp) +81116d5c: dc805215 stw r18,328(sp) +81116d60: dc405115 stw r17,324(sp) +81116d64: dc005015 stw r16,320(sp) +81116d68: 00c00104 movi r3,4 +81116d6c: 1880422e bgeu r3,r2,81116e78 +81116d70: 04604574 movhi r17,33045 +81116d74: 8c484604 addi r17,r17,8472 +81116d78: 04000044 movi r16,1 +81116d7c: 04c008c4 movi r19,35 +81116d80: 04800b84 movi r18,46 +81116d84: 05000fc4 movi r20,63 +81116d88: 01802004 movi r6,128 81116d8c: d9003004 addi r4,sp,192 -81116d90: 1116ab80 call 81116ab8 -81116d94: 1400121e bne r2,r16,81116de0 -81116d98: 88800107 ldb r2,4(r17) -81116d9c: 15000a26 beq r2,r20,81116dc8 -81116da0: 00c00844 movi r3,33 -81116da4: 10c00826 beq r2,r3,81116dc8 -81116da8: 00c008c4 movi r3,35 -81116dac: 10c01b26 beq r2,r3,81116e1c -81116db0: 01204574 movhi r4,33045 -81116db4: 21083504 addi r4,r4,8404 -81116db8: 11169a40 call 811169a4 -81116dbc: 103fe51e bne r2,zero,81116d54 <__reset+0xfb0f6d54> -81116dc0: 11249140 call 81124914 -81116dc4: 003fe306 br 81116d54 <__reset+0xfb0f6d54> -81116dc8: 01204574 movhi r4,33045 -81116dcc: 21083504 addi r4,r4,8404 -81116dd0: 11168c40 call 811168c4 -81116dd4: 14000b26 beq r2,r16,81116e04 -81116dd8: 11248640 call 81124864 -81116ddc: 003fdd06 br 81116d54 <__reset+0xfb0f6d54> -81116de0: 01204574 movhi r4,33045 -81116de4: 21083504 addi r4,r4,8404 -81116de8: 8cc00105 stb r19,4(r17) -81116dec: 8c800145 stb r18,5(r17) -81116df0: 8c00020d sth r16,8(r17) -81116df4: 11168c40 call 811168c4 -81116df8: 103fd61e bne r2,zero,81116d54 <__reset+0xfb0f6d54> -81116dfc: 112480c0 call 8112480c -81116e00: 003fd406 br 81116d54 <__reset+0xfb0f6d54> -81116e04: 01204574 movhi r4,33045 -81116e08: 21083504 addi r4,r4,8404 -81116e0c: 11167fc0 call 811167fc -81116e10: 103fd01e bne r2,zero,81116d54 <__reset+0xfb0f6d54> -81116e14: 11248bc0 call 811248bc -81116e18: 003fce06 br 81116d54 <__reset+0xfb0f6d54> -81116e1c: a8800803 ldbu r2,32(r21) -81116e20: 00c00084 movi r3,2 -81116e24: 18bfcb36 bltu r3,r2,81116d54 <__reset+0xfb0f6d54> -81116e28: d1e07917 ldw r7,-32284(gp) -81116e2c: 01204574 movhi r4,33045 -81116e30: 2128fa04 addi r4,r4,-23576 -81116e34: 018006c4 movi r6,27 -81116e38: 800b883a mov r5,r16 -81116e3c: 112bc4c0 call 8112bc4c -81116e40: 003fc406 br 81116d54 <__reset+0xfb0f6d54> -81116e44: d1e07917 ldw r7,-32284(gp) -81116e48: 01204574 movhi r4,33045 -81116e4c: 2128f104 addi r4,r4,-23612 -81116e50: 01800784 movi r6,30 -81116e54: 01400044 movi r5,1 -81116e58: 112bc4c0 call 8112bc4c -81116e5c: 003fb706 br 81116d3c <__reset+0xfb0f6d3c> - -81116e60 : -81116e60: defffc04 addi sp,sp,-16 -81116e64: dc000115 stw r16,4(sp) -81116e68: 2021883a mov r16,r4 -81116e6c: d1204017 ldw r4,-32512(gp) -81116e70: d80d883a mov r6,sp -81116e74: 000b883a mov r5,zero -81116e78: dfc00315 stw ra,12(sp) -81116e7c: dc400215 stw r17,8(sp) -81116e80: 11424480 call 81142448 -81116e84: d8800003 ldbu r2,0(sp) -81116e88: 10001f1e bne r2,zero,81116f08 -81116e8c: 012045f4 movhi r4,33047 -81116e90: 21276604 addi r4,r4,-25192 -81116e94: 0007883a mov r3,zero -81116e98: 04400044 movi r17,1 -81116e9c: 00800604 movi r2,24 -81116ea0: 00000206 br 81116eac -81116ea4: 18c00044 addi r3,r3,1 -81116ea8: 18801526 beq r3,r2,81116f00 -81116eac: 21400017 ldw r5,0(r4) -81116eb0: 21006504 addi r4,r4,404 -81116eb4: 2c7ffb1e bne r5,r17,81116ea4 <__reset+0xfb0f6ea4> -81116eb8: 18c06524 muli r3,r3,404 -81116ebc: 8009883a mov r4,r16 -81116ec0: 042045f4 movhi r16,33047 -81116ec4: 84276504 addi r16,r16,-25196 -81116ec8: 80e1883a add r16,r16,r3 -81116ecc: 01806504 movi r6,404 -81116ed0: 800b883a mov r5,r16 -81116ed4: 112c6480 call 8112c648 -81116ed8: 80000115 stw zero,4(r16) -81116edc: 80000485 stb zero,18(r16) -81116ee0: d1204017 ldw r4,-32512(gp) -81116ee4: 114274c0 call 8114274c -81116ee8: 8805883a mov r2,r17 -81116eec: dfc00317 ldw ra,12(sp) -81116ef0: dc400217 ldw r17,8(sp) -81116ef4: dc000117 ldw r16,4(sp) -81116ef8: dec00404 addi sp,sp,16 -81116efc: f800283a ret -81116f00: 0023883a mov r17,zero -81116f04: 003ff606 br 81116ee0 <__reset+0xfb0f6ee0> -81116f08: 11246ac0 call 811246ac -81116f0c: 0023883a mov r17,zero -81116f10: 003ff506 br 81116ee8 <__reset+0xfb0f6ee8> - -81116f14 : -81116f14: defffc04 addi sp,sp,-16 -81116f18: dc000015 stw r16,0(sp) -81116f1c: 04204634 movhi r16,33048 -81116f20: 840f0804 addi r16,r16,15392 -81116f24: 80800803 ldbu r2,32(r16) -81116f28: dfc00315 stw ra,12(sp) -81116f2c: dc800215 stw r18,8(sp) -81116f30: dc400115 stw r17,4(sp) -81116f34: 00c00104 movi r3,4 -81116f38: 18803a2e bgeu r3,r2,81117024 -81116f3c: 80800803 ldbu r2,32(r16) -81116f40: 04400084 movi r17,2 -81116f44: 04800044 movi r18,1 -81116f48: 88800e2e bgeu r17,r2,81116f84 -81116f4c: 01204574 movhi r4,33045 -81116f50: 21291104 addi r4,r4,-23484 -81116f54: 01400044 movi r5,1 -81116f58: 111d82c0 call 8111d82c -81116f5c: 14801026 beq r2,r18,81116fa0 -81116f60: 80800803 ldbu r2,32(r16) -81116f64: 8880212e bgeu r17,r2,81116fec -81116f68: 000f883a mov r7,zero -81116f6c: 01800144 movi r6,5 -81116f70: 000b883a mov r5,zero -81116f74: 0009883a mov r4,zero -81116f78: 114478c0 call 8114478c -81116f7c: 80800803 ldbu r2,32(r16) -81116f80: 88bff236 bltu r17,r2,81116f4c <__reset+0xfb0f6f4c> -81116f84: d1e07917 ldw r7,-32284(gp) -81116f88: 01204574 movhi r4,33045 -81116f8c: 21290904 addi r4,r4,-23516 -81116f90: 01800784 movi r6,30 -81116f94: 01400044 movi r5,1 -81116f98: 112bc4c0 call 8112bc4c -81116f9c: 003feb06 br 81116f4c <__reset+0xfb0f6f4c> -81116fa0: 80800803 ldbu r2,32(r16) -81116fa4: 00c00084 movi r3,2 -81116fa8: 1880172e bgeu r3,r2,81117008 -81116fac: 04400084 movi r17,2 -81116fb0: 00000506 br 81116fc8 -81116fb4: 000f883a mov r7,zero -81116fb8: 01800644 movi r6,25 -81116fbc: 000b883a mov r5,zero -81116fc0: 0009883a mov r4,zero -81116fc4: 114478c0 call 8114478c -81116fc8: 80800803 ldbu r2,32(r16) -81116fcc: 88bff936 bltu r17,r2,81116fb4 <__reset+0xfb0f6fb4> -81116fd0: d1e07917 ldw r7,-32284(gp) -81116fd4: 01204574 movhi r4,33045 -81116fd8: 21292a04 addi r4,r4,-23384 -81116fdc: 018002c4 movi r6,11 -81116fe0: 01400044 movi r5,1 -81116fe4: 112bc4c0 call 8112bc4c -81116fe8: 003ff206 br 81116fb4 <__reset+0xfb0f6fb4> -81116fec: d1e07917 ldw r7,-32284(gp) -81116ff0: 01204574 movhi r4,33045 -81116ff4: 21292204 addi r4,r4,-23416 -81116ff8: 01800784 movi r6,30 -81116ffc: 01400044 movi r5,1 -81117000: 112bc4c0 call 8112bc4c -81117004: 003fd806 br 81116f68 <__reset+0xfb0f6f68> -81117008: d1e07917 ldw r7,-32284(gp) -8111700c: 01204574 movhi r4,33045 -81117010: 21291404 addi r4,r4,-23472 -81117014: 01800d44 movi r6,53 -81117018: 900b883a mov r5,r18 -8111701c: 112bc4c0 call 8112bc4c -81117020: 003fe206 br 81116fac <__reset+0xfb0f6fac> -81117024: d1e07917 ldw r7,-32284(gp) -81117028: 01204574 movhi r4,33045 -8111702c: 21290104 addi r4,r4,-23548 -81117030: 01800704 movi r6,28 -81117034: 01400044 movi r5,1 -81117038: 112bc4c0 call 8112bc4c -8111703c: 003fbf06 br 81116f3c <__reset+0xfb0f6f3c> - -81117040 : -81117040: 20c00017 ldw r3,0(r4) -81117044: 28800017 ldw r2,0(r5) -81117048: 1885c83a sub r2,r3,r2 -8111704c: f800283a ret - -81117050 : -81117050: 20c0008b ldhu r3,2(r4) -81117054: 2880008b ldhu r2,2(r5) -81117058: 10c00736 bltu r2,r3,81117078 -8111705c: 18800836 bltu r3,r2,81117080 -81117060: 2080000b ldhu r2,0(r4) -81117064: 28c0000b ldhu r3,0(r5) -81117068: 18800336 bltu r3,r2,81117078 -8111706c: 10c5803a cmpltu r2,r2,r3 -81117070: 0085c83a sub r2,zero,r2 -81117074: f800283a ret -81117078: 00800044 movi r2,1 -8111707c: f800283a ret -81117080: 00bfffc4 movi r2,-1 -81117084: f800283a ret - -81117088 : -81117088: 20c0000b ldhu r3,0(r4) -8111708c: 2880000b ldhu r2,0(r5) -81117090: 10c00736 bltu r2,r3,811170b0 -81117094: 18800836 bltu r3,r2,811170b8 -81117098: 2080008b ldhu r2,2(r4) -8111709c: 28c0008b ldhu r3,2(r5) -811170a0: 18800336 bltu r3,r2,811170b0 -811170a4: 10c5803a cmpltu r2,r2,r3 -811170a8: 0085c83a sub r2,zero,r2 -811170ac: f800283a ret -811170b0: 00800044 movi r2,1 -811170b4: f800283a ret -811170b8: 00bfffc4 movi r2,-1 -811170bc: f800283a ret - -811170c0 : -811170c0: defffe04 addi sp,sp,-8 -811170c4: dc000015 stw r16,0(sp) -811170c8: 2021883a mov r16,r4 -811170cc: 21199404 addi r4,r4,26192 -811170d0: dfc00115 stw ra,4(sp) -811170d4: 11050d80 call 811050d8 -811170d8: 81d9a717 ldw r7,26268(r16) -811170dc: d1207917 ldw r4,-32284(gp) -811170e0: 01604574 movhi r5,33045 -811170e4: 39803fcc andi r6,r7,255 -811170e8: 29692d04 addi r5,r5,-23372 -811170ec: 39c000cc andi r7,r7,3 -811170f0: 112b5e00 call 8112b5e0 -811170f4: 8119d604 addi r4,r16,26456 -811170f8: 1104d100 call 81104d10 -811170fc: 8099f017 ldw r2,26560(r16) -81117100: d1207917 ldw r4,-32284(gp) -81117104: 01604574 movhi r5,33045 -81117108: 1180a203 ldbu r6,648(r2) -8111710c: 29693204 addi r5,r5,-23352 -81117110: dfc00117 ldw ra,4(sp) -81117114: dc000017 ldw r16,0(sp) -81117118: dec00204 addi sp,sp,8 -8111711c: 112b5e01 jmpi 8112b5e0 - -81117120 : -81117120: d1e07917 ldw r7,-32284(gp) -81117124: 01204574 movhi r4,33045 -81117128: 21293b04 addi r4,r4,-23316 -8111712c: 01801184 movi r6,70 -81117130: 01400044 movi r5,1 -81117134: 112bc4c1 jmpi 8112bc4c - -81117138 : -81117138: 00a04634 movhi r2,33048 -8111713c: 108f0804 addi r2,r2,15392 -81117140: 10800803 ldbu r2,32(r2) -81117144: 00c00084 movi r3,2 -81117148: 1880012e bgeu r3,r2,81117150 -8111714c: f800283a ret -81117150: 11170c01 jmpi 811170c0 - -81117154 : -81117154: 00a04634 movhi r2,33048 -81117158: 108f0804 addi r2,r2,15392 -8111715c: 10800803 ldbu r2,32(r2) -81117160: 00c00104 movi r3,4 -81117164: 1880012e bgeu r3,r2,8111716c -81117168: f800283a ret -8111716c: 11171201 jmpi 81117120 - -81117170 : -81117170: 2880038b ldhu r2,14(r5) -81117174: 00c00144 movi r3,5 -81117178: 18808a36 bltu r3,r2,811173a4 -8111717c: 00c000c4 movi r3,3 -81117180: 10c07d2e bgeu r2,r3,81117378 -81117184: 00c00084 movi r3,2 -81117188: 10c08f1e bne r2,r3,811173c8 -8111718c: 29800503 ldbu r6,20(r5) -81117190: 2880058b ldhu r2,22(r5) -81117194: deffea04 addi sp,sp,-88 -81117198: 00e04634 movhi r3,33048 -8111719c: dfc01515 stw ra,84(sp) -811171a0: df001415 stw fp,80(sp) -811171a4: ddc01315 stw r23,76(sp) -811171a8: dd801215 stw r22,72(sp) -811171ac: dd401115 stw r21,68(sp) -811171b0: dd001015 stw r20,64(sp) -811171b4: dcc00f15 stw r19,60(sp) -811171b8: dc800e15 stw r18,56(sp) -811171bc: dc400d15 stw r17,52(sp) -811171c0: dc000c15 stw r16,48(sp) -811171c4: 31803fcc andi r6,r6,255 -811171c8: 18ceea04 addi r3,r3,15272 -811171cc: 10008926 beq r2,zero,811173f4 -811171d0: 30800524 muli r2,r6,20 -811171d4: 02000044 movi r8,1 -811171d8: 188f883a add r7,r3,r2 -811171dc: 3a000015 stw r8,0(r7) -811171e0: 3a000115 stw r8,4(r7) -811171e4: 2023883a mov r17,r4 -811171e8: 2900060b ldhu r4,24(r5) -811171ec: 18a5883a add r18,r3,r2 -811171f0: 90c00204 addi r3,r18,8 -811171f4: 19000005 stb r4,0(r3) -811171f8: 2880068b ldhu r2,26(r5) -811171fc: d8c00615 stw r3,24(sp) -81117200: 90c00404 addi r3,r18,16 -81117204: 18800005 stb r2,0(r3) -81117208: 2880070b ldhu r2,28(r5) -8111720c: 34042f24 muli r16,r6,4284 -81117210: d8c00715 stw r3,28(sp) -81117214: 90c004c4 addi r3,r18,19 -81117218: 18800005 stb r2,0(r3) -8111721c: 29c0078b ldhu r7,30(r5) -81117220: 2880080b ldhu r2,32(r5) -81117224: 82199404 addi r8,r16,26192 -81117228: 8a29883a add r20,r17,r8 -8111722c: 95400304 addi r21,r18,12 -81117230: 95800444 addi r22,r18,17 -81117234: a9c00015 stw r7,0(r21) -81117238: a009883a mov r4,r20 -8111723c: b0800005 stb r2,0(r22) -81117240: d9800b15 stw r6,44(sp) -81117244: d8c00815 stw r3,32(sp) -81117248: 1104fa00 call 81104fa0 -8111724c: d8c00617 ldw r3,24(sp) -81117250: 97000104 addi fp,r18,4 -81117254: 91400017 ldw r5,0(r18) -81117258: e0800017 ldw r2,0(fp) -8111725c: 19000007 ldb r4,0(r3) -81117260: 8c27883a add r19,r17,r16 -81117264: 02400044 movi r9,1 -81117268: 9a599615 stw r9,26200(r19) -8111726c: 99599715 stw r5,26204(r19) -81117270: 98999815 stw r2,26208(r19) -81117274: da400a15 stw r9,40(sp) -81117278: 11052a40 call 811052a4 -8111727c: 10803fcc andi r2,r2,255 -81117280: a009883a mov r4,r20 -81117284: 85d9f804 addi r23,r16,26592 -81117288: 98999915 stw r2,26212(r19) -8111728c: 1104f600 call 81104f60 -81117290: 8def883a add r23,r17,r23 -81117294: b809883a mov r4,r23 -81117298: 11023980 call 81102398 -8111729c: d8c00817 ldw r3,32(sp) -811172a0: b809883a mov r4,r23 -811172a4: 8419d604 addi r16,r16,26456 -811172a8: 18800003 ldbu r2,0(r3) -811172ac: 8c23883a add r17,r17,r16 -811172b0: 9dd9f104 addi r23,r19,26564 -811172b4: 989a0615 stw r2,26648(r19) -811172b8: 11023600 call 81102360 -811172bc: a9400017 ldw r5,0(r21) -811172c0: 98d9f204 addi r3,r19,26568 -811172c4: a009883a mov r4,r20 -811172c8: d8c00915 stw r3,36(sp) -811172cc: 11051200 call 81105120 -811172d0: 8809883a mov r4,r17 -811172d4: 1104ae00 call 81104ae0 -811172d8: d8c00917 ldw r3,36(sp) -811172dc: b8000015 stw zero,0(r23) -811172e0: 8809883a mov r4,r17 -811172e4: 18000015 stw zero,0(r3) -811172e8: 1104ab80 call 81104ab8 -811172ec: 8809883a mov r4,r17 -811172f0: 1104bb00 call 81104bb0 -811172f4: d8c00717 ldw r3,28(sp) -811172f8: b1400003 ldbu r5,0(r22) -811172fc: 8809883a mov r4,r17 -81117300: 18800003 ldbu r2,0(r3) -81117304: 9959db15 stw r5,26476(r19) -81117308: 9899da15 stw r2,26472(r19) -8111730c: 1104b800 call 81104b80 -81117310: 8809883a mov r4,r17 -81117314: 1104ae00 call 81104ae0 -81117318: da400a17 ldw r9,40(sp) -8111731c: d8c00917 ldw r3,36(sp) +81116d90: 000b883a mov r5,zero +81116d94: 112c7d00 call 8112c7d0 +81116d98: 01204574 movhi r4,33045 +81116d9c: d80b883a mov r5,sp +81116da0: 21290904 addi r4,r4,-23516 +81116da4: 112d5f40 call 8112d5f4 +81116da8: d80b883a mov r5,sp +81116dac: d9003004 addi r4,sp,192 +81116db0: 01801fc4 movi r6,127 +81116db4: 112c6880 call 8112c688 +81116db8: 01604574 movhi r5,33045 +81116dbc: 29484604 addi r5,r5,8472 +81116dc0: d9003004 addi r4,sp,192 +81116dc4: 1116aec0 call 81116aec +81116dc8: 1400121e bne r2,r16,81116e14 +81116dcc: 88800107 ldb r2,4(r17) +81116dd0: 15000a26 beq r2,r20,81116dfc +81116dd4: 00c00844 movi r3,33 +81116dd8: 10c00826 beq r2,r3,81116dfc +81116ddc: 00c008c4 movi r3,35 +81116de0: 10c01b26 beq r2,r3,81116e50 +81116de4: 01204574 movhi r4,33045 +81116de8: 21084604 addi r4,r4,8472 +81116dec: 11169d80 call 811169d8 +81116df0: 103fe51e bne r2,zero,81116d88 <__reset+0xfb0f6d88> +81116df4: 11249540 call 81124954 +81116df8: 003fe306 br 81116d88 <__reset+0xfb0f6d88> +81116dfc: 01204574 movhi r4,33045 +81116e00: 21084604 addi r4,r4,8472 +81116e04: 11168f80 call 811168f8 +81116e08: 14000b26 beq r2,r16,81116e38 +81116e0c: 11248a40 call 811248a4 +81116e10: 003fdd06 br 81116d88 <__reset+0xfb0f6d88> +81116e14: 01204574 movhi r4,33045 +81116e18: 21084604 addi r4,r4,8472 +81116e1c: 8cc00105 stb r19,4(r17) +81116e20: 8c800145 stb r18,5(r17) +81116e24: 8c00020d sth r16,8(r17) +81116e28: 11168f80 call 811168f8 +81116e2c: 103fd61e bne r2,zero,81116d88 <__reset+0xfb0f6d88> +81116e30: 112484c0 call 8112484c +81116e34: 003fd406 br 81116d88 <__reset+0xfb0f6d88> +81116e38: 01204574 movhi r4,33045 +81116e3c: 21084604 addi r4,r4,8472 +81116e40: 11168300 call 81116830 +81116e44: 103fd01e bne r2,zero,81116d88 <__reset+0xfb0f6d88> +81116e48: 11248fc0 call 811248fc +81116e4c: 003fce06 br 81116d88 <__reset+0xfb0f6d88> +81116e50: a8800803 ldbu r2,32(r21) +81116e54: 00c00084 movi r3,2 +81116e58: 18bfcb36 bltu r3,r2,81116d88 <__reset+0xfb0f6d88> +81116e5c: d1e07917 ldw r7,-32284(gp) +81116e60: 01204574 movhi r4,33045 +81116e64: 21290a04 addi r4,r4,-23512 +81116e68: 018006c4 movi r6,27 +81116e6c: 800b883a mov r5,r16 +81116e70: 112bc8c0 call 8112bc8c +81116e74: 003fc406 br 81116d88 <__reset+0xfb0f6d88> +81116e78: d1e07917 ldw r7,-32284(gp) +81116e7c: 01204574 movhi r4,33045 +81116e80: 21290104 addi r4,r4,-23548 +81116e84: 01800784 movi r6,30 +81116e88: 01400044 movi r5,1 +81116e8c: 112bc8c0 call 8112bc8c +81116e90: 003fb706 br 81116d70 <__reset+0xfb0f6d70> + +81116e94 : +81116e94: defffc04 addi sp,sp,-16 +81116e98: dc000115 stw r16,4(sp) +81116e9c: 2021883a mov r16,r4 +81116ea0: d1204017 ldw r4,-32512(gp) +81116ea4: d80d883a mov r6,sp +81116ea8: 000b883a mov r5,zero +81116eac: dfc00315 stw ra,12(sp) +81116eb0: dc400215 stw r17,8(sp) +81116eb4: 11424880 call 81142488 +81116eb8: d8800003 ldbu r2,0(sp) +81116ebc: 10001f1e bne r2,zero,81116f3c +81116ec0: 012045f4 movhi r4,33047 +81116ec4: 21277704 addi r4,r4,-25124 +81116ec8: 0007883a mov r3,zero +81116ecc: 04400044 movi r17,1 +81116ed0: 00800604 movi r2,24 +81116ed4: 00000206 br 81116ee0 +81116ed8: 18c00044 addi r3,r3,1 +81116edc: 18801526 beq r3,r2,81116f34 +81116ee0: 21400017 ldw r5,0(r4) +81116ee4: 21006504 addi r4,r4,404 +81116ee8: 2c7ffb1e bne r5,r17,81116ed8 <__reset+0xfb0f6ed8> +81116eec: 18c06524 muli r3,r3,404 +81116ef0: 8009883a mov r4,r16 +81116ef4: 042045f4 movhi r16,33047 +81116ef8: 84277604 addi r16,r16,-25128 +81116efc: 80e1883a add r16,r16,r3 +81116f00: 01806504 movi r6,404 +81116f04: 800b883a mov r5,r16 +81116f08: 112c6880 call 8112c688 +81116f0c: 80000115 stw zero,4(r16) +81116f10: 80000485 stb zero,18(r16) +81116f14: d1204017 ldw r4,-32512(gp) +81116f18: 114278c0 call 8114278c +81116f1c: 8805883a mov r2,r17 +81116f20: dfc00317 ldw ra,12(sp) +81116f24: dc400217 ldw r17,8(sp) +81116f28: dc000117 ldw r16,4(sp) +81116f2c: dec00404 addi sp,sp,16 +81116f30: f800283a ret +81116f34: 0023883a mov r17,zero +81116f38: 003ff606 br 81116f14 <__reset+0xfb0f6f14> +81116f3c: 11246ec0 call 811246ec +81116f40: 0023883a mov r17,zero +81116f44: 003ff506 br 81116f1c <__reset+0xfb0f6f1c> + +81116f48 : +81116f48: defffc04 addi sp,sp,-16 +81116f4c: dc000015 stw r16,0(sp) +81116f50: 04204634 movhi r16,33048 +81116f54: 840f1904 addi r16,r16,15460 +81116f58: 80800803 ldbu r2,32(r16) +81116f5c: dfc00315 stw ra,12(sp) +81116f60: dc800215 stw r18,8(sp) +81116f64: dc400115 stw r17,4(sp) +81116f68: 00c00104 movi r3,4 +81116f6c: 18803a2e bgeu r3,r2,81117058 +81116f70: 80800803 ldbu r2,32(r16) +81116f74: 04400084 movi r17,2 +81116f78: 04800044 movi r18,1 +81116f7c: 88800e2e bgeu r17,r2,81116fb8 +81116f80: 01204574 movhi r4,33045 +81116f84: 21292104 addi r4,r4,-23420 +81116f88: 01400044 movi r5,1 +81116f8c: 111d86c0 call 8111d86c +81116f90: 14801026 beq r2,r18,81116fd4 +81116f94: 80800803 ldbu r2,32(r16) +81116f98: 8880212e bgeu r17,r2,81117020 +81116f9c: 000f883a mov r7,zero +81116fa0: 01800144 movi r6,5 +81116fa4: 000b883a mov r5,zero +81116fa8: 0009883a mov r4,zero +81116fac: 11447cc0 call 811447cc +81116fb0: 80800803 ldbu r2,32(r16) +81116fb4: 88bff236 bltu r17,r2,81116f80 <__reset+0xfb0f6f80> +81116fb8: d1e07917 ldw r7,-32284(gp) +81116fbc: 01204574 movhi r4,33045 +81116fc0: 21291904 addi r4,r4,-23452 +81116fc4: 01800784 movi r6,30 +81116fc8: 01400044 movi r5,1 +81116fcc: 112bc8c0 call 8112bc8c +81116fd0: 003feb06 br 81116f80 <__reset+0xfb0f6f80> +81116fd4: 80800803 ldbu r2,32(r16) +81116fd8: 00c00084 movi r3,2 +81116fdc: 1880172e bgeu r3,r2,8111703c +81116fe0: 04400084 movi r17,2 +81116fe4: 00000506 br 81116ffc +81116fe8: 000f883a mov r7,zero +81116fec: 01800644 movi r6,25 +81116ff0: 000b883a mov r5,zero +81116ff4: 0009883a mov r4,zero +81116ff8: 11447cc0 call 811447cc +81116ffc: 80800803 ldbu r2,32(r16) +81117000: 88bff936 bltu r17,r2,81116fe8 <__reset+0xfb0f6fe8> +81117004: d1e07917 ldw r7,-32284(gp) +81117008: 01204574 movhi r4,33045 +8111700c: 21293a04 addi r4,r4,-23320 +81117010: 018002c4 movi r6,11 +81117014: 01400044 movi r5,1 +81117018: 112bc8c0 call 8112bc8c +8111701c: 003ff206 br 81116fe8 <__reset+0xfb0f6fe8> +81117020: d1e07917 ldw r7,-32284(gp) +81117024: 01204574 movhi r4,33045 +81117028: 21293204 addi r4,r4,-23352 +8111702c: 01800784 movi r6,30 +81117030: 01400044 movi r5,1 +81117034: 112bc8c0 call 8112bc8c +81117038: 003fd806 br 81116f9c <__reset+0xfb0f6f9c> +8111703c: d1e07917 ldw r7,-32284(gp) +81117040: 01204574 movhi r4,33045 +81117044: 21292404 addi r4,r4,-23408 +81117048: 01800d44 movi r6,53 +8111704c: 900b883a mov r5,r18 +81117050: 112bc8c0 call 8112bc8c +81117054: 003fe206 br 81116fe0 <__reset+0xfb0f6fe0> +81117058: d1e07917 ldw r7,-32284(gp) +8111705c: 01204574 movhi r4,33045 +81117060: 21291104 addi r4,r4,-23484 +81117064: 01800704 movi r6,28 +81117068: 01400044 movi r5,1 +8111706c: 112bc8c0 call 8112bc8c +81117070: 003fbf06 br 81116f70 <__reset+0xfb0f6f70> + +81117074 : +81117074: 20c00017 ldw r3,0(r4) +81117078: 28800017 ldw r2,0(r5) +8111707c: 1885c83a sub r2,r3,r2 +81117080: f800283a ret + +81117084 : +81117084: 20c0008b ldhu r3,2(r4) +81117088: 2880008b ldhu r2,2(r5) +8111708c: 10c00736 bltu r2,r3,811170ac +81117090: 18800836 bltu r3,r2,811170b4 +81117094: 2080000b ldhu r2,0(r4) +81117098: 28c0000b ldhu r3,0(r5) +8111709c: 18800336 bltu r3,r2,811170ac +811170a0: 10c5803a cmpltu r2,r2,r3 +811170a4: 0085c83a sub r2,zero,r2 +811170a8: f800283a ret +811170ac: 00800044 movi r2,1 +811170b0: f800283a ret +811170b4: 00bfffc4 movi r2,-1 +811170b8: f800283a ret + +811170bc : +811170bc: 20c0000b ldhu r3,0(r4) +811170c0: 2880000b ldhu r2,0(r5) +811170c4: 10c00736 bltu r2,r3,811170e4 +811170c8: 18800836 bltu r3,r2,811170ec +811170cc: 2080008b ldhu r2,2(r4) +811170d0: 28c0008b ldhu r3,2(r5) +811170d4: 18800336 bltu r3,r2,811170e4 +811170d8: 10c5803a cmpltu r2,r2,r3 +811170dc: 0085c83a sub r2,zero,r2 +811170e0: f800283a ret +811170e4: 00800044 movi r2,1 +811170e8: f800283a ret +811170ec: 00bfffc4 movi r2,-1 +811170f0: f800283a ret + +811170f4 : +811170f4: defffe04 addi sp,sp,-8 +811170f8: dc000015 stw r16,0(sp) +811170fc: 2021883a mov r16,r4 +81117100: 21199404 addi r4,r4,26192 +81117104: dfc00115 stw ra,4(sp) +81117108: 110510c0 call 8110510c +8111710c: 81d9a717 ldw r7,26268(r16) +81117110: d1207917 ldw r4,-32284(gp) +81117114: 01604574 movhi r5,33045 +81117118: 39803fcc andi r6,r7,255 +8111711c: 29693d04 addi r5,r5,-23308 +81117120: 39c000cc andi r7,r7,3 +81117124: 112b6200 call 8112b620 +81117128: 8119d604 addi r4,r16,26456 +8111712c: 1104d100 call 81104d10 +81117130: 8099f017 ldw r2,26560(r16) +81117134: d1207917 ldw r4,-32284(gp) +81117138: 01604574 movhi r5,33045 +8111713c: 1180a203 ldbu r6,648(r2) +81117140: 29694204 addi r5,r5,-23288 +81117144: dfc00117 ldw ra,4(sp) +81117148: dc000017 ldw r16,0(sp) +8111714c: dec00204 addi sp,sp,8 +81117150: 112b6201 jmpi 8112b620 + +81117154 : +81117154: d1e07917 ldw r7,-32284(gp) +81117158: 01204574 movhi r4,33045 +8111715c: 21294b04 addi r4,r4,-23252 +81117160: 01801184 movi r6,70 +81117164: 01400044 movi r5,1 +81117168: 112bc8c1 jmpi 8112bc8c + +8111716c : +8111716c: 00a04634 movhi r2,33048 +81117170: 108f1904 addi r2,r2,15460 +81117174: 10800803 ldbu r2,32(r2) +81117178: 00c00084 movi r3,2 +8111717c: 1880012e bgeu r3,r2,81117184 +81117180: f800283a ret +81117184: 11170f41 jmpi 811170f4 + +81117188 : +81117188: 00a04634 movhi r2,33048 +8111718c: 108f1904 addi r2,r2,15460 +81117190: 10800803 ldbu r2,32(r2) +81117194: 00c00104 movi r3,4 +81117198: 1880012e bgeu r3,r2,811171a0 +8111719c: f800283a ret +811171a0: 11171541 jmpi 81117154 + +811171a4 : +811171a4: 2880038b ldhu r2,14(r5) +811171a8: 00c00144 movi r3,5 +811171ac: 18808a36 bltu r3,r2,811173d8 +811171b0: 00c000c4 movi r3,3 +811171b4: 10c07d2e bgeu r2,r3,811173ac +811171b8: 00c00084 movi r3,2 +811171bc: 10c08f1e bne r2,r3,811173fc +811171c0: 29800503 ldbu r6,20(r5) +811171c4: 2880058b ldhu r2,22(r5) +811171c8: deffea04 addi sp,sp,-88 +811171cc: 00e04634 movhi r3,33048 +811171d0: dfc01515 stw ra,84(sp) +811171d4: df001415 stw fp,80(sp) +811171d8: ddc01315 stw r23,76(sp) +811171dc: dd801215 stw r22,72(sp) +811171e0: dd401115 stw r21,68(sp) +811171e4: dd001015 stw r20,64(sp) +811171e8: dcc00f15 stw r19,60(sp) +811171ec: dc800e15 stw r18,56(sp) +811171f0: dc400d15 stw r17,52(sp) +811171f4: dc000c15 stw r16,48(sp) +811171f8: 31803fcc andi r6,r6,255 +811171fc: 18cefb04 addi r3,r3,15340 +81117200: 10008926 beq r2,zero,81117428 +81117204: 30800524 muli r2,r6,20 +81117208: 02000044 movi r8,1 +8111720c: 188f883a add r7,r3,r2 +81117210: 3a000015 stw r8,0(r7) +81117214: 3a000115 stw r8,4(r7) +81117218: 2023883a mov r17,r4 +8111721c: 2900060b ldhu r4,24(r5) +81117220: 18a5883a add r18,r3,r2 +81117224: 90c00204 addi r3,r18,8 +81117228: 19000005 stb r4,0(r3) +8111722c: 2880068b ldhu r2,26(r5) +81117230: d8c00615 stw r3,24(sp) +81117234: 90c00404 addi r3,r18,16 +81117238: 18800005 stb r2,0(r3) +8111723c: 2880070b ldhu r2,28(r5) +81117240: 34042f24 muli r16,r6,4284 +81117244: d8c00715 stw r3,28(sp) +81117248: 90c004c4 addi r3,r18,19 +8111724c: 18800005 stb r2,0(r3) +81117250: 29c0078b ldhu r7,30(r5) +81117254: 2880080b ldhu r2,32(r5) +81117258: 82199404 addi r8,r16,26192 +8111725c: 8a29883a add r20,r17,r8 +81117260: 95400304 addi r21,r18,12 +81117264: 95800444 addi r22,r18,17 +81117268: a9c00015 stw r7,0(r21) +8111726c: a009883a mov r4,r20 +81117270: b0800005 stb r2,0(r22) +81117274: d9800b15 stw r6,44(sp) +81117278: d8c00815 stw r3,32(sp) +8111727c: 1104fd40 call 81104fd4 +81117280: d8c00617 ldw r3,24(sp) +81117284: 97000104 addi fp,r18,4 +81117288: 91400017 ldw r5,0(r18) +8111728c: e0800017 ldw r2,0(fp) +81117290: 19000007 ldb r4,0(r3) +81117294: 8c27883a add r19,r17,r16 +81117298: 02400044 movi r9,1 +8111729c: 9a599615 stw r9,26200(r19) +811172a0: 99599715 stw r5,26204(r19) +811172a4: 98999815 stw r2,26208(r19) +811172a8: da400a15 stw r9,40(sp) +811172ac: 11052d80 call 811052d8 +811172b0: 10803fcc andi r2,r2,255 +811172b4: a009883a mov r4,r20 +811172b8: 85d9f804 addi r23,r16,26592 +811172bc: 98999915 stw r2,26212(r19) +811172c0: 1104f940 call 81104f94 +811172c4: 8def883a add r23,r17,r23 +811172c8: b809883a mov r4,r23 +811172cc: 11023980 call 81102398 +811172d0: d8c00817 ldw r3,32(sp) +811172d4: b809883a mov r4,r23 +811172d8: 8419d604 addi r16,r16,26456 +811172dc: 18800003 ldbu r2,0(r3) +811172e0: 8c23883a add r17,r17,r16 +811172e4: 9dd9f104 addi r23,r19,26564 +811172e8: 989a0615 stw r2,26648(r19) +811172ec: 11023600 call 81102360 +811172f0: a9400017 ldw r5,0(r21) +811172f4: 98d9f204 addi r3,r19,26568 +811172f8: a009883a mov r4,r20 +811172fc: d8c00915 stw r3,36(sp) +81117300: 11051540 call 81105154 +81117304: 8809883a mov r4,r17 +81117308: 1104ae00 call 81104ae0 +8111730c: d8c00917 ldw r3,36(sp) +81117310: b8000015 stw zero,0(r23) +81117314: 8809883a mov r4,r17 +81117318: 18000015 stw zero,0(r3) +8111731c: 1104ab80 call 81104ab8 81117320: 8809883a mov r4,r17 -81117324: ba400015 stw r9,0(r23) -81117328: 1a400015 stw r9,0(r3) -8111732c: 1104ab80 call 81104ab8 -81117330: 00a04634 movhi r2,33048 -81117334: 108f0804 addi r2,r2,15392 -81117338: 10800803 ldbu r2,32(r2) -8111733c: 01000084 movi r4,2 -81117340: d9800b17 ldw r6,44(sp) -81117344: 2080312e bgeu r4,r2,8111740c -81117348: dfc01517 ldw ra,84(sp) -8111734c: df001417 ldw fp,80(sp) -81117350: ddc01317 ldw r23,76(sp) -81117354: dd801217 ldw r22,72(sp) -81117358: dd401117 ldw r21,68(sp) -8111735c: dd001017 ldw r20,64(sp) -81117360: dcc00f17 ldw r19,60(sp) -81117364: dc800e17 ldw r18,56(sp) -81117368: dc400d17 ldw r17,52(sp) -8111736c: dc000c17 ldw r16,48(sp) -81117370: dec01604 addi sp,sp,88 -81117374: f800283a ret -81117378: 00a04634 movhi r2,33048 -8111737c: 108f0804 addi r2,r2,15392 -81117380: 10800803 ldbu r2,32(r2) -81117384: 00c00104 movi r3,4 -81117388: 18bffa36 bltu r3,r2,81117374 <__reset+0xfb0f7374> -8111738c: d1e07917 ldw r7,-32284(gp) -81117390: 01204574 movhi r4,33045 -81117394: 21294d04 addi r4,r4,-23244 -81117398: 01801384 movi r6,78 -8111739c: 01400044 movi r5,1 -811173a0: 112bc4c1 jmpi 8112bc4c -811173a4: 01001384 movi r4,78 -811173a8: 1100071e bne r2,r4,811173c8 -811173ac: 01e04474 movhi r7,33041 -811173b0: 01204574 movhi r4,33045 -811173b4: 39dc1004 addi r7,r7,28736 -811173b8: 213fec04 addi r4,r4,-80 -811173bc: 01800104 movi r6,4 -811173c0: 180b883a mov r5,r3 -811173c4: 112cb541 jmpi 8112cb54 -811173c8: 00a04634 movhi r2,33048 -811173cc: 108f0804 addi r2,r2,15392 -811173d0: 10800803 ldbu r2,32(r2) -811173d4: 00c001c4 movi r3,7 -811173d8: 18bfe636 bltu r3,r2,81117374 <__reset+0xfb0f7374> -811173dc: d1e07917 ldw r7,-32284(gp) -811173e0: 01204574 movhi r4,33045 -811173e4: 21299304 addi r4,r4,-22964 -811173e8: 01800b04 movi r6,44 -811173ec: 01400044 movi r5,1 -811173f0: 112bc4c1 jmpi 8112bc4c -811173f4: 30800524 muli r2,r6,20 -811173f8: 02000044 movi r8,1 -811173fc: 188f883a add r7,r3,r2 -81117400: 38000015 stw zero,0(r7) -81117404: 3a000115 stw r8,4(r7) -81117408: 003f7606 br 811171e4 <__reset+0xfb0f71e4> -8111740c: d8c00817 ldw r3,32(sp) -81117410: b2c00003 ldbu r11,0(r22) -81117414: aa800017 ldw r10,0(r21) -81117418: 1a400003 ldbu r9,0(r3) -8111741c: d8c00717 ldw r3,28(sp) -81117420: e0800017 ldw r2,0(fp) -81117424: 91c00017 ldw r7,0(r18) -81117428: 1a000003 ldbu r8,0(r3) -8111742c: d8c00617 ldw r3,24(sp) -81117430: 01604574 movhi r5,33045 -81117434: 29696104 addi r5,r5,-23164 -81117438: 19000003 ldbu r4,0(r3) -8111743c: dac00515 stw r11,20(sp) -81117440: da800415 stw r10,16(sp) -81117444: d9000115 stw r4,4(sp) -81117448: d1207917 ldw r4,-32284(gp) -8111744c: da400315 stw r9,12(sp) -81117450: da000215 stw r8,8(sp) -81117454: d8800015 stw r2,0(sp) -81117458: 112b5e00 call 8112b5e0 -8111745c: 003fba06 br 81117348 <__reset+0xfb0f7348> - -81117460 : -81117460: 2980038b ldhu r6,14(r5) -81117464: 008003c4 movi r2,15 -81117468: 29c00503 ldbu r7,20(r5) -8111746c: 11804d36 bltu r2,r6,811175a4 -81117470: 300690ba slli r3,r6,2 -81117474: 00a04474 movhi r2,33041 -81117478: 109d2204 addi r2,r2,29832 -8111747c: 1885883a add r2,r3,r2 -81117480: 10800017 ldw r2,0(r2) -81117484: 1000683a jmp r2 -81117488: 811175a4 muli r4,r16,17878 -8111748c: 811174dc xori r4,r16,17875 -81117490: 811174f0 cmpltui r4,r16,17875 -81117494: 81117504 addi r4,r16,17876 -81117498: 81117518 cmpnei r4,r16,17876 -8111749c: 8111752c andhi r4,r16,17876 -811174a0: 81117540 call 88111754 <__reset+0x20f1754> -811174a4: 811175a4 muli r4,r16,17878 -811174a8: 811175a4 muli r4,r16,17878 -811174ac: 811175a4 muli r4,r16,17878 -811174b0: 811175a4 muli r4,r16,17878 -811174b4: 81117554 ori r4,r16,17877 -811174b8: 81117568 cmpgeui r4,r16,17877 -811174bc: 8111757c xorhi r4,r16,17877 -811174c0: 81117590 cmplti r4,r16,17878 -811174c4: 811174c8 cmpgei r4,r16,17875 -811174c8: 39c03fcc andi r7,r7,255 -811174cc: 000d883a mov r6,zero -811174d0: 01400304 movi r5,12 -811174d4: 3809883a mov r4,r7 -811174d8: 11263d01 jmpi 811263d0 -811174dc: 39c03fcc andi r7,r7,255 -811174e0: 000d883a mov r6,zero -811174e4: 01400044 movi r5,1 -811174e8: 3809883a mov r4,r7 -811174ec: 11263d01 jmpi 811263d0 -811174f0: 39c03fcc andi r7,r7,255 -811174f4: 000d883a mov r6,zero -811174f8: 01400104 movi r5,4 -811174fc: 3809883a mov r4,r7 -81117500: 11263d01 jmpi 811263d0 -81117504: 39c03fcc andi r7,r7,255 -81117508: 000d883a mov r6,zero -8111750c: 014001c4 movi r5,7 -81117510: 3809883a mov r4,r7 -81117514: 11263d01 jmpi 811263d0 -81117518: 39c03fcc andi r7,r7,255 -8111751c: 000d883a mov r6,zero -81117520: 01400204 movi r5,8 -81117524: 3809883a mov r4,r7 -81117528: 11263d01 jmpi 811263d0 -8111752c: 39c03fcc andi r7,r7,255 -81117530: 000d883a mov r6,zero -81117534: 01400144 movi r5,5 -81117538: 3809883a mov r4,r7 -8111753c: 11263d01 jmpi 811263d0 -81117540: 39c03fcc andi r7,r7,255 -81117544: 000d883a mov r6,zero -81117548: 01400184 movi r5,6 -8111754c: 3809883a mov r4,r7 -81117550: 11263d01 jmpi 811263d0 -81117554: 39c03fcc andi r7,r7,255 -81117558: 000d883a mov r6,zero -8111755c: 014000c4 movi r5,3 -81117560: 3809883a mov r4,r7 -81117564: 11263d01 jmpi 811263d0 -81117568: 39c03fcc andi r7,r7,255 -8111756c: 000d883a mov r6,zero -81117570: 01400244 movi r5,9 -81117574: 3809883a mov r4,r7 -81117578: 11263d01 jmpi 811263d0 -8111757c: 39c03fcc andi r7,r7,255 -81117580: 000d883a mov r6,zero -81117584: 01400284 movi r5,10 -81117588: 3809883a mov r4,r7 -8111758c: 11263d01 jmpi 811263d0 -81117590: 39c03fcc andi r7,r7,255 -81117594: 000d883a mov r6,zero -81117598: 014002c4 movi r5,11 -8111759c: 3809883a mov r4,r7 -811175a0: 11263d01 jmpi 811263d0 -811175a4: 00a04634 movhi r2,33048 -811175a8: 108f0804 addi r2,r2,15392 -811175ac: 10800803 ldbu r2,32(r2) -811175b0: 00c001c4 movi r3,7 -811175b4: 1880012e bgeu r3,r2,811175bc -811175b8: f800283a ret -811175bc: d1207917 ldw r4,-32284(gp) -811175c0: 01604574 movhi r5,33045 -811175c4: 29699f04 addi r5,r5,-22916 -811175c8: 112b5e01 jmpi 8112b5e0 - -811175cc : -811175cc: deffeb04 addi sp,sp,-84 -811175d0: dc000b15 stw r16,44(sp) -811175d4: 2c00038b ldhu r16,14(r5) -811175d8: dcc00e15 stw r19,56(sp) -811175dc: dc800d15 stw r18,52(sp) -811175e0: dfc01415 stw ra,80(sp) -811175e4: df001315 stw fp,76(sp) -811175e8: ddc01215 stw r23,72(sp) -811175ec: dd801115 stw r22,68(sp) -811175f0: dd401015 stw r21,64(sp) -811175f4: dd000f15 stw r20,60(sp) -811175f8: dc400c15 stw r17,48(sp) -811175fc: 008000c4 movi r2,3 -81117600: 2027883a mov r19,r4 -81117604: 2c800503 ldbu r18,20(r5) -81117608: 80807626 beq r16,r2,811177e4 -8111760c: 14003a2e bgeu r2,r16,811176f8 -81117610: 00800104 movi r2,4 -81117614: 80800e26 beq r16,r2,81117650 -81117618: 00800144 movi r2,5 -8111761c: 80805b1e bne r16,r2,8111778c -81117620: dfc01417 ldw ra,80(sp) -81117624: df001317 ldw fp,76(sp) -81117628: ddc01217 ldw r23,72(sp) -8111762c: dd801117 ldw r22,68(sp) -81117630: dd401017 ldw r21,64(sp) -81117634: dd000f17 ldw r20,60(sp) -81117638: dcc00e17 ldw r19,56(sp) -8111763c: dc800d17 ldw r18,52(sp) -81117640: dc400c17 ldw r17,48(sp) -81117644: dc000b17 ldw r16,44(sp) -81117648: dec01504 addi sp,sp,84 -8111764c: f800283a ret -81117650: 95003fcc andi r20,r18,255 -81117654: a4042f24 muli r16,r20,4284 -81117658: 84599404 addi r17,r16,26192 -8111765c: 2463883a add r17,r4,r17 -81117660: 8809883a mov r4,r17 -81117664: 1104fa00 call 81104fa0 -81117668: 9c05883a add r2,r19,r16 -8111766c: 00c00044 movi r3,1 -81117670: 10d99615 stw r3,26200(r2) -81117674: 10199715 stw zero,26204(r2) -81117678: 10199815 stw zero,26208(r2) -8111767c: 8809883a mov r4,r17 -81117680: 1104f600 call 81104f60 -81117684: 10007c1e bne r2,zero,81117878 -81117688: 01604574 movhi r5,33045 -8111768c: 91000044 addi r4,r18,1 -81117690: 297322c4 addi r5,r5,-13173 -81117694: 21003fcc andi r4,r4,255 -81117698: 111e1a00 call 8111e1a0 -8111769c: 00a04634 movhi r2,33048 -811176a0: 108f0804 addi r2,r2,15392 -811176a4: 10800803 ldbu r2,32(r2) -811176a8: 9c27883a add r19,r19,r16 -811176ac: 98196e15 stw zero,26040(r19) -811176b0: 00c00084 movi r3,2 -811176b4: 18bfda36 bltu r3,r2,81117620 <__reset+0xfb0f7620> -811176b8: d1207917 ldw r4,-32284(gp) +81117324: 1104bb00 call 81104bb0 +81117328: d8c00717 ldw r3,28(sp) +8111732c: b1400003 ldbu r5,0(r22) +81117330: 8809883a mov r4,r17 +81117334: 18800003 ldbu r2,0(r3) +81117338: 9959db15 stw r5,26476(r19) +8111733c: 9899da15 stw r2,26472(r19) +81117340: 1104b800 call 81104b80 +81117344: 8809883a mov r4,r17 +81117348: 1104ae00 call 81104ae0 +8111734c: da400a17 ldw r9,40(sp) +81117350: d8c00917 ldw r3,36(sp) +81117354: 8809883a mov r4,r17 +81117358: ba400015 stw r9,0(r23) +8111735c: 1a400015 stw r9,0(r3) +81117360: 1104ab80 call 81104ab8 +81117364: 00a04634 movhi r2,33048 +81117368: 108f1904 addi r2,r2,15460 +8111736c: 10800803 ldbu r2,32(r2) +81117370: 01000084 movi r4,2 +81117374: d9800b17 ldw r6,44(sp) +81117378: 2080312e bgeu r4,r2,81117440 +8111737c: dfc01517 ldw ra,84(sp) +81117380: df001417 ldw fp,80(sp) +81117384: ddc01317 ldw r23,76(sp) +81117388: dd801217 ldw r22,72(sp) +8111738c: dd401117 ldw r21,68(sp) +81117390: dd001017 ldw r20,64(sp) +81117394: dcc00f17 ldw r19,60(sp) +81117398: dc800e17 ldw r18,56(sp) +8111739c: dc400d17 ldw r17,52(sp) +811173a0: dc000c17 ldw r16,48(sp) +811173a4: dec01604 addi sp,sp,88 +811173a8: f800283a ret +811173ac: 00a04634 movhi r2,33048 +811173b0: 108f1904 addi r2,r2,15460 +811173b4: 10800803 ldbu r2,32(r2) +811173b8: 00c00104 movi r3,4 +811173bc: 18bffa36 bltu r3,r2,811173a8 <__reset+0xfb0f73a8> +811173c0: d1e07917 ldw r7,-32284(gp) +811173c4: 01204574 movhi r4,33045 +811173c8: 21295d04 addi r4,r4,-23180 +811173cc: 01801384 movi r6,78 +811173d0: 01400044 movi r5,1 +811173d4: 112bc8c1 jmpi 8112bc8c +811173d8: 01001384 movi r4,78 +811173dc: 1100071e bne r2,r4,811173fc +811173e0: 01e04474 movhi r7,33041 +811173e4: 01204574 movhi r4,33045 +811173e8: 39dc1d04 addi r7,r7,28788 +811173ec: 213ffd04 addi r4,r4,-12 +811173f0: 01800104 movi r6,4 +811173f4: 180b883a mov r5,r3 +811173f8: 112cb941 jmpi 8112cb94 +811173fc: 00a04634 movhi r2,33048 +81117400: 108f1904 addi r2,r2,15460 +81117404: 10800803 ldbu r2,32(r2) +81117408: 00c001c4 movi r3,7 +8111740c: 18bfe636 bltu r3,r2,811173a8 <__reset+0xfb0f73a8> +81117410: d1e07917 ldw r7,-32284(gp) +81117414: 01204574 movhi r4,33045 +81117418: 2129a304 addi r4,r4,-22900 +8111741c: 01800b04 movi r6,44 +81117420: 01400044 movi r5,1 +81117424: 112bc8c1 jmpi 8112bc8c +81117428: 30800524 muli r2,r6,20 +8111742c: 02000044 movi r8,1 +81117430: 188f883a add r7,r3,r2 +81117434: 38000015 stw zero,0(r7) +81117438: 3a000115 stw r8,4(r7) +8111743c: 003f7606 br 81117218 <__reset+0xfb0f7218> +81117440: d8c00817 ldw r3,32(sp) +81117444: b2c00003 ldbu r11,0(r22) +81117448: aa800017 ldw r10,0(r21) +8111744c: 1a400003 ldbu r9,0(r3) +81117450: d8c00717 ldw r3,28(sp) +81117454: e0800017 ldw r2,0(fp) +81117458: 91c00017 ldw r7,0(r18) +8111745c: 1a000003 ldbu r8,0(r3) +81117460: d8c00617 ldw r3,24(sp) +81117464: 01604574 movhi r5,33045 +81117468: 29697104 addi r5,r5,-23100 +8111746c: 19000003 ldbu r4,0(r3) +81117470: dac00515 stw r11,20(sp) +81117474: da800415 stw r10,16(sp) +81117478: d9000115 stw r4,4(sp) +8111747c: d1207917 ldw r4,-32284(gp) +81117480: da400315 stw r9,12(sp) +81117484: da000215 stw r8,8(sp) +81117488: d8800015 stw r2,0(sp) +8111748c: 112b6200 call 8112b620 +81117490: 003fba06 br 8111737c <__reset+0xfb0f737c> + +81117494 : +81117494: 2980038b ldhu r6,14(r5) +81117498: 008003c4 movi r2,15 +8111749c: 29c00503 ldbu r7,20(r5) +811174a0: 11804d36 bltu r2,r6,811175d8 +811174a4: 300690ba slli r3,r6,2 +811174a8: 00a04474 movhi r2,33041 +811174ac: 109d2f04 addi r2,r2,29884 +811174b0: 1885883a add r2,r3,r2 +811174b4: 10800017 ldw r2,0(r2) +811174b8: 1000683a jmp r2 +811174bc: 811175d8 cmpnei r4,r16,17879 +811174c0: 81117510 cmplti r4,r16,17876 +811174c4: 81117524 muli r4,r16,17876 +811174c8: 81117538 rdprs r4,r16,17876 +811174cc: 8111754c andi r4,r16,17877 +811174d0: 81117560 cmpeqi r4,r16,17877 +811174d4: 81117574 orhi r4,r16,17877 +811174d8: 811175d8 cmpnei r4,r16,17879 +811174dc: 811175d8 cmpnei r4,r16,17879 +811174e0: 811175d8 cmpnei r4,r16,17879 +811174e4: 811175d8 cmpnei r4,r16,17879 +811174e8: 81117588 cmpgei r4,r16,17878 +811174ec: 8111759c xori r4,r16,17878 +811174f0: 811175b0 cmpltui r4,r16,17878 +811174f4: 811175c4 addi r4,r16,17879 +811174f8: 811174fc xorhi r4,r16,17875 +811174fc: 39c03fcc andi r7,r7,255 +81117500: 000d883a mov r6,zero +81117504: 01400304 movi r5,12 +81117508: 3809883a mov r4,r7 +8111750c: 11264101 jmpi 81126410 +81117510: 39c03fcc andi r7,r7,255 +81117514: 000d883a mov r6,zero +81117518: 01400044 movi r5,1 +8111751c: 3809883a mov r4,r7 +81117520: 11264101 jmpi 81126410 +81117524: 39c03fcc andi r7,r7,255 +81117528: 000d883a mov r6,zero +8111752c: 01400104 movi r5,4 +81117530: 3809883a mov r4,r7 +81117534: 11264101 jmpi 81126410 +81117538: 39c03fcc andi r7,r7,255 +8111753c: 000d883a mov r6,zero +81117540: 014001c4 movi r5,7 +81117544: 3809883a mov r4,r7 +81117548: 11264101 jmpi 81126410 +8111754c: 39c03fcc andi r7,r7,255 +81117550: 000d883a mov r6,zero +81117554: 01400204 movi r5,8 +81117558: 3809883a mov r4,r7 +8111755c: 11264101 jmpi 81126410 +81117560: 39c03fcc andi r7,r7,255 +81117564: 000d883a mov r6,zero +81117568: 01400144 movi r5,5 +8111756c: 3809883a mov r4,r7 +81117570: 11264101 jmpi 81126410 +81117574: 39c03fcc andi r7,r7,255 +81117578: 000d883a mov r6,zero +8111757c: 01400184 movi r5,6 +81117580: 3809883a mov r4,r7 +81117584: 11264101 jmpi 81126410 +81117588: 39c03fcc andi r7,r7,255 +8111758c: 000d883a mov r6,zero +81117590: 014000c4 movi r5,3 +81117594: 3809883a mov r4,r7 +81117598: 11264101 jmpi 81126410 +8111759c: 39c03fcc andi r7,r7,255 +811175a0: 000d883a mov r6,zero +811175a4: 01400244 movi r5,9 +811175a8: 3809883a mov r4,r7 +811175ac: 11264101 jmpi 81126410 +811175b0: 39c03fcc andi r7,r7,255 +811175b4: 000d883a mov r6,zero +811175b8: 01400284 movi r5,10 +811175bc: 3809883a mov r4,r7 +811175c0: 11264101 jmpi 81126410 +811175c4: 39c03fcc andi r7,r7,255 +811175c8: 000d883a mov r6,zero +811175cc: 014002c4 movi r5,11 +811175d0: 3809883a mov r4,r7 +811175d4: 11264101 jmpi 81126410 +811175d8: 00a04634 movhi r2,33048 +811175dc: 108f1904 addi r2,r2,15460 +811175e0: 10800803 ldbu r2,32(r2) +811175e4: 00c001c4 movi r3,7 +811175e8: 1880012e bgeu r3,r2,811175f0 +811175ec: f800283a ret +811175f0: d1207917 ldw r4,-32284(gp) +811175f4: 01604574 movhi r5,33045 +811175f8: 2969af04 addi r5,r5,-22852 +811175fc: 112b6201 jmpi 8112b620 + +81117600 : +81117600: deffeb04 addi sp,sp,-84 +81117604: dc000b15 stw r16,44(sp) +81117608: 2c00038b ldhu r16,14(r5) +8111760c: dcc00e15 stw r19,56(sp) +81117610: dc800d15 stw r18,52(sp) +81117614: dfc01415 stw ra,80(sp) +81117618: df001315 stw fp,76(sp) +8111761c: ddc01215 stw r23,72(sp) +81117620: dd801115 stw r22,68(sp) +81117624: dd401015 stw r21,64(sp) +81117628: dd000f15 stw r20,60(sp) +8111762c: dc400c15 stw r17,48(sp) +81117630: 008000c4 movi r2,3 +81117634: 2027883a mov r19,r4 +81117638: 2c800503 ldbu r18,20(r5) +8111763c: 80807626 beq r16,r2,81117818 +81117640: 14003a2e bgeu r2,r16,8111772c +81117644: 00800104 movi r2,4 +81117648: 80800e26 beq r16,r2,81117684 +8111764c: 00800144 movi r2,5 +81117650: 80805b1e bne r16,r2,811177c0 +81117654: dfc01417 ldw ra,80(sp) +81117658: df001317 ldw fp,76(sp) +8111765c: ddc01217 ldw r23,72(sp) +81117660: dd801117 ldw r22,68(sp) +81117664: dd401017 ldw r21,64(sp) +81117668: dd000f17 ldw r20,60(sp) +8111766c: dcc00e17 ldw r19,56(sp) +81117670: dc800d17 ldw r18,52(sp) +81117674: dc400c17 ldw r17,48(sp) +81117678: dc000b17 ldw r16,44(sp) +8111767c: dec01504 addi sp,sp,84 +81117680: f800283a ret +81117684: 95003fcc andi r20,r18,255 +81117688: a4042f24 muli r16,r20,4284 +8111768c: 84599404 addi r17,r16,26192 +81117690: 2463883a add r17,r4,r17 +81117694: 8809883a mov r4,r17 +81117698: 1104fd40 call 81104fd4 +8111769c: 9c05883a add r2,r19,r16 +811176a0: 00c00044 movi r3,1 +811176a4: 10d99615 stw r3,26200(r2) +811176a8: 10199715 stw zero,26204(r2) +811176ac: 10199815 stw zero,26208(r2) +811176b0: 8809883a mov r4,r17 +811176b4: 1104f940 call 81104f94 +811176b8: 10007c1e bne r2,zero,811178ac 811176bc: 01604574 movhi r5,33045 -811176c0: 2969b604 addi r5,r5,-22824 -811176c4: a00d883a mov r6,r20 -811176c8: dfc01417 ldw ra,80(sp) -811176cc: df001317 ldw fp,76(sp) -811176d0: ddc01217 ldw r23,72(sp) -811176d4: dd801117 ldw r22,68(sp) -811176d8: dd401017 ldw r21,64(sp) -811176dc: dd000f17 ldw r20,60(sp) -811176e0: dcc00e17 ldw r19,56(sp) -811176e4: dc800d17 ldw r18,52(sp) -811176e8: dc400c17 ldw r17,48(sp) -811176ec: dc000b17 ldw r16,44(sp) -811176f0: dec01504 addi sp,sp,84 -811176f4: 112b5e01 jmpi 8112b5e0 -811176f8: 00800084 movi r2,2 -811176fc: 8080231e bne r16,r2,8111778c -81117700: 91803fcc andi r6,r18,255 -81117704: 35442f24 muli r21,r6,4284 -81117708: 2823883a mov r17,r5 -8111770c: 2545883a add r2,r4,r21 -81117710: 10997917 ldw r2,26084(r2) -81117714: 01000044 movi r4,1 -81117718: 11006326 beq r2,r4,811178a8 -8111771c: 04a04634 movhi r18,33048 -81117720: 948f0804 addi r18,r18,15392 -81117724: 90800803 ldbu r2,32(r18) -81117728: 00c00104 movi r3,4 -8111772c: 18bfbc36 bltu r3,r2,81117620 <__reset+0xfb0f7620> -81117730: d1207917 ldw r4,-32284(gp) -81117734: 01604574 movhi r5,33045 -81117738: 2969bf04 addi r5,r5,-22788 -8111773c: 112b5e00 call 8112b5e0 -81117740: 90800803 ldbu r2,32(r18) -81117744: 80bfb636 bltu r16,r2,81117620 <__reset+0xfb0f7620> -81117748: 89c0098b ldhu r7,38(r17) -8111774c: 89800b0b ldhu r6,44(r17) -81117750: d1207917 ldw r4,-32284(gp) -81117754: 01604574 movhi r5,33045 -81117758: 2969d204 addi r5,r5,-22712 -8111775c: dfc01417 ldw ra,80(sp) -81117760: df001317 ldw fp,76(sp) -81117764: ddc01217 ldw r23,72(sp) -81117768: dd801117 ldw r22,68(sp) -8111776c: dd401017 ldw r21,64(sp) -81117770: dd000f17 ldw r20,60(sp) -81117774: dcc00e17 ldw r19,56(sp) -81117778: dc800d17 ldw r18,52(sp) -8111777c: dc400c17 ldw r17,48(sp) -81117780: dc000b17 ldw r16,44(sp) -81117784: dec01504 addi sp,sp,84 -81117788: 112b5e01 jmpi 8112b5e0 -8111778c: 00a04634 movhi r2,33048 -81117790: 108f0804 addi r2,r2,15392 -81117794: 10800803 ldbu r2,32(r2) -81117798: 00c00104 movi r3,4 -8111779c: 18bfa036 bltu r3,r2,81117620 <__reset+0xfb0f7620> -811177a0: d1e07917 ldw r7,-32284(gp) -811177a4: 01204574 movhi r4,33045 -811177a8: 2129e204 addi r4,r4,-22648 -811177ac: 01800c84 movi r6,50 -811177b0: 01400044 movi r5,1 -811177b4: dfc01417 ldw ra,80(sp) -811177b8: df001317 ldw fp,76(sp) -811177bc: ddc01217 ldw r23,72(sp) -811177c0: dd801117 ldw r22,68(sp) -811177c4: dd401017 ldw r21,64(sp) -811177c8: dd000f17 ldw r20,60(sp) -811177cc: dcc00e17 ldw r19,56(sp) -811177d0: dc800d17 ldw r18,52(sp) -811177d4: dc400c17 ldw r17,48(sp) -811177d8: dc000b17 ldw r16,44(sp) -811177dc: dec01504 addi sp,sp,84 -811177e0: 112bc4c1 jmpi 8112bc4c -811177e4: 94403fcc andi r17,r18,255 -811177e8: 8d042f24 muli r20,r17,4284 -811177ec: a4199404 addi r16,r20,26192 -811177f0: 2421883a add r16,r4,r16 -811177f4: 8009883a mov r4,r16 -811177f8: 1104fa00 call 81104fa0 -811177fc: 88800524 muli r2,r17,20 -81117800: 01204634 movhi r4,33048 -81117804: 210eea04 addi r4,r4,15272 -81117808: 2085883a add r2,r4,r2 -8111780c: 11400017 ldw r5,0(r2) -81117810: 10800117 ldw r2,4(r2) -81117814: 9d07883a add r3,r19,r20 -81117818: 18199615 stw zero,26200(r3) -8111781c: 8009883a mov r4,r16 -81117820: 19599715 stw r5,26204(r3) -81117824: 18999815 stw r2,26208(r3) -81117828: 1104f600 call 81104f60 -8111782c: 1000181e bne r2,zero,81117890 -81117830: 01604574 movhi r5,33045 -81117834: 91000044 addi r4,r18,1 -81117838: 297320c4 addi r5,r5,-13181 -8111783c: 21003fcc andi r4,r4,255 -81117840: 111e1a00 call 8111e1a0 -81117844: 00a04634 movhi r2,33048 -81117848: 108f0804 addi r2,r2,15392 -8111784c: 10800803 ldbu r2,32(r2) -81117850: 00c00044 movi r3,1 -81117854: 9d27883a add r19,r19,r20 -81117858: 98d96e15 stw r3,26040(r19) -8111785c: 00c00084 movi r3,2 -81117860: 18bf6f36 bltu r3,r2,81117620 <__reset+0xfb0f7620> +811176c0: 91000044 addi r4,r18,1 +811176c4: 297333c4 addi r5,r5,-13105 +811176c8: 21003fcc andi r4,r4,255 +811176cc: 111e1e00 call 8111e1e0 +811176d0: 00a04634 movhi r2,33048 +811176d4: 108f1904 addi r2,r2,15460 +811176d8: 10800803 ldbu r2,32(r2) +811176dc: 9c27883a add r19,r19,r16 +811176e0: 98196e15 stw zero,26040(r19) +811176e4: 00c00084 movi r3,2 +811176e8: 18bfda36 bltu r3,r2,81117654 <__reset+0xfb0f7654> +811176ec: d1207917 ldw r4,-32284(gp) +811176f0: 01604574 movhi r5,33045 +811176f4: 2969c604 addi r5,r5,-22760 +811176f8: a00d883a mov r6,r20 +811176fc: dfc01417 ldw ra,80(sp) +81117700: df001317 ldw fp,76(sp) +81117704: ddc01217 ldw r23,72(sp) +81117708: dd801117 ldw r22,68(sp) +8111770c: dd401017 ldw r21,64(sp) +81117710: dd000f17 ldw r20,60(sp) +81117714: dcc00e17 ldw r19,56(sp) +81117718: dc800d17 ldw r18,52(sp) +8111771c: dc400c17 ldw r17,48(sp) +81117720: dc000b17 ldw r16,44(sp) +81117724: dec01504 addi sp,sp,84 +81117728: 112b6201 jmpi 8112b620 +8111772c: 00800084 movi r2,2 +81117730: 8080231e bne r16,r2,811177c0 +81117734: 91803fcc andi r6,r18,255 +81117738: 35442f24 muli r21,r6,4284 +8111773c: 2823883a mov r17,r5 +81117740: 2545883a add r2,r4,r21 +81117744: 10997917 ldw r2,26084(r2) +81117748: 01000044 movi r4,1 +8111774c: 11006326 beq r2,r4,811178dc +81117750: 04a04634 movhi r18,33048 +81117754: 948f1904 addi r18,r18,15460 +81117758: 90800803 ldbu r2,32(r18) +8111775c: 00c00104 movi r3,4 +81117760: 18bfbc36 bltu r3,r2,81117654 <__reset+0xfb0f7654> +81117764: d1207917 ldw r4,-32284(gp) +81117768: 01604574 movhi r5,33045 +8111776c: 2969cf04 addi r5,r5,-22724 +81117770: 112b6200 call 8112b620 +81117774: 90800803 ldbu r2,32(r18) +81117778: 80bfb636 bltu r16,r2,81117654 <__reset+0xfb0f7654> +8111777c: 89c0098b ldhu r7,38(r17) +81117780: 89800b0b ldhu r6,44(r17) +81117784: d1207917 ldw r4,-32284(gp) +81117788: 01604574 movhi r5,33045 +8111778c: 2969e204 addi r5,r5,-22648 +81117790: dfc01417 ldw ra,80(sp) +81117794: df001317 ldw fp,76(sp) +81117798: ddc01217 ldw r23,72(sp) +8111779c: dd801117 ldw r22,68(sp) +811177a0: dd401017 ldw r21,64(sp) +811177a4: dd000f17 ldw r20,60(sp) +811177a8: dcc00e17 ldw r19,56(sp) +811177ac: dc800d17 ldw r18,52(sp) +811177b0: dc400c17 ldw r17,48(sp) +811177b4: dc000b17 ldw r16,44(sp) +811177b8: dec01504 addi sp,sp,84 +811177bc: 112b6201 jmpi 8112b620 +811177c0: 00a04634 movhi r2,33048 +811177c4: 108f1904 addi r2,r2,15460 +811177c8: 10800803 ldbu r2,32(r2) +811177cc: 00c00104 movi r3,4 +811177d0: 18bfa036 bltu r3,r2,81117654 <__reset+0xfb0f7654> +811177d4: d1e07917 ldw r7,-32284(gp) +811177d8: 01204574 movhi r4,33045 +811177dc: 2129f204 addi r4,r4,-22584 +811177e0: 01800c84 movi r6,50 +811177e4: 01400044 movi r5,1 +811177e8: dfc01417 ldw ra,80(sp) +811177ec: df001317 ldw fp,76(sp) +811177f0: ddc01217 ldw r23,72(sp) +811177f4: dd801117 ldw r22,68(sp) +811177f8: dd401017 ldw r21,64(sp) +811177fc: dd000f17 ldw r20,60(sp) +81117800: dcc00e17 ldw r19,56(sp) +81117804: dc800d17 ldw r18,52(sp) +81117808: dc400c17 ldw r17,48(sp) +8111780c: dc000b17 ldw r16,44(sp) +81117810: dec01504 addi sp,sp,84 +81117814: 112bc8c1 jmpi 8112bc8c +81117818: 94403fcc andi r17,r18,255 +8111781c: 8d042f24 muli r20,r17,4284 +81117820: a4199404 addi r16,r20,26192 +81117824: 2421883a add r16,r4,r16 +81117828: 8009883a mov r4,r16 +8111782c: 1104fd40 call 81104fd4 +81117830: 88800524 muli r2,r17,20 +81117834: 01204634 movhi r4,33048 +81117838: 210efb04 addi r4,r4,15340 +8111783c: 2085883a add r2,r4,r2 +81117840: 11400017 ldw r5,0(r2) +81117844: 10800117 ldw r2,4(r2) +81117848: 9d07883a add r3,r19,r20 +8111784c: 18199615 stw zero,26200(r3) +81117850: 8009883a mov r4,r16 +81117854: 19599715 stw r5,26204(r3) +81117858: 18999815 stw r2,26208(r3) +8111785c: 1104f940 call 81104f94 +81117860: 1000181e bne r2,zero,811178c4 81117864: 01604574 movhi r5,33045 -81117868: 2969ad04 addi r5,r5,-22860 -8111786c: d1207917 ldw r4,-32284(gp) -81117870: 880d883a mov r6,r17 -81117874: 003f9406 br 811176c8 <__reset+0xfb0f76c8> -81117878: 91000044 addi r4,r18,1 -8111787c: 01604574 movhi r5,33045 -81117880: 297321c4 addi r5,r5,-13177 -81117884: 21003fcc andi r4,r4,255 -81117888: 111e1a00 call 8111e1a0 -8111788c: 003f8306 br 8111769c <__reset+0xfb0f769c> -81117890: 91000044 addi r4,r18,1 -81117894: 01604574 movhi r5,33045 -81117898: 29731fc4 addi r5,r5,-13185 -8111789c: 21003fcc andi r4,r4,255 -811178a0: 111e1a00 call 8111e1a0 -811178a4: 003fe706 br 81117844 <__reset+0xfb0f7844> -811178a8: 2900058b ldhu r4,22(r5) -811178ac: 01e04634 movhi r7,33048 -811178b0: 39ceea04 addi r7,r7,15272 -811178b4: 20006f26 beq r4,zero,81117a74 -811178b8: 31000524 muli r4,r6,20 -811178bc: 390b883a add r5,r7,r4 -811178c0: 28800015 stw r2,0(r5) -811178c4: 28800115 stw r2,4(r5) -811178c8: 8880060b ldhu r2,24(r17) -811178cc: 3921883a add r16,r7,r4 -811178d0: 80c00204 addi r3,r16,8 -811178d4: 18800005 stb r2,0(r3) -811178d8: d8c00615 stw r3,24(sp) -811178dc: 80c00404 addi r3,r16,16 -811178e0: d8c00715 stw r3,28(sp) -811178e4: 80c004c4 addi r3,r16,19 -811178e8: 8880068b ldhu r2,26(r17) -811178ec: d8c00815 stw r3,32(sp) -811178f0: d8c00717 ldw r3,28(sp) -811178f4: add99404 addi r23,r21,26192 -811178f8: 9def883a add r23,r19,r23 -811178fc: 18800005 stb r2,0(r3) -81117900: 8880070b ldhu r2,28(r17) -81117904: d8c00817 ldw r3,32(sp) -81117908: 85800444 addi r22,r16,17 -8111790c: b809883a mov r4,r23 -81117910: 18800005 stb r2,0(r3) -81117914: 8940078b ldhu r5,30(r17) -81117918: 8880080b ldhu r2,32(r17) -8111791c: 84400304 addi r17,r16,12 -81117920: 84800104 addi r18,r16,4 -81117924: 89400015 stw r5,0(r17) -81117928: b0800005 stb r2,0(r22) -8111792c: d9800a15 stw r6,40(sp) -81117930: 1104fa00 call 81104fa0 -81117934: d8c00617 ldw r3,24(sp) -81117938: 81400017 ldw r5,0(r16) -8111793c: 90800017 ldw r2,0(r18) -81117940: 19000007 ldb r4,0(r3) -81117944: 9d69883a add r20,r19,r21 -81117948: 02400044 movi r9,1 -8111794c: a2599615 stw r9,26200(r20) -81117950: a1599715 stw r5,26204(r20) -81117954: a0999815 stw r2,26208(r20) -81117958: da400915 stw r9,36(sp) -8111795c: 11052a40 call 811052a4 -81117960: 10803fcc andi r2,r2,255 -81117964: b809883a mov r4,r23 -81117968: a0999915 stw r2,26212(r20) -8111796c: af19f804 addi fp,r21,26592 -81117970: 1104f600 call 81104f60 -81117974: 9f39883a add fp,r19,fp -81117978: e009883a mov r4,fp -8111797c: 11023980 call 81102398 -81117980: d8c00817 ldw r3,32(sp) -81117984: e009883a mov r4,fp -81117988: a719f104 addi fp,r20,26564 -8111798c: 18800003 ldbu r2,0(r3) -81117990: a09a0615 stw r2,26648(r20) -81117994: 11023600 call 81102360 -81117998: 89400017 ldw r5,0(r17) -8111799c: b809883a mov r4,r23 -811179a0: a5d9f204 addi r23,r20,26568 -811179a4: 11051200 call 81105120 -811179a8: a8d9d604 addi r3,r21,26456 -811179ac: 98e7883a add r19,r19,r3 -811179b0: 9809883a mov r4,r19 -811179b4: 1104ae00 call 81104ae0 -811179b8: e0000015 stw zero,0(fp) -811179bc: 9809883a mov r4,r19 -811179c0: b8000015 stw zero,0(r23) -811179c4: 1104ab80 call 81104ab8 -811179c8: 9809883a mov r4,r19 -811179cc: 1104bb00 call 81104bb0 -811179d0: d9000717 ldw r4,28(sp) -811179d4: b0c00003 ldbu r3,0(r22) -811179d8: 20800003 ldbu r2,0(r4) -811179dc: a0d9db15 stw r3,26476(r20) -811179e0: 9809883a mov r4,r19 -811179e4: a099da15 stw r2,26472(r20) -811179e8: 1104b800 call 81104b80 -811179ec: 9809883a mov r4,r19 -811179f0: 1104ae00 call 81104ae0 -811179f4: da400917 ldw r9,36(sp) -811179f8: 9809883a mov r4,r19 -811179fc: e2400015 stw r9,0(fp) -81117a00: ba400015 stw r9,0(r23) -81117a04: 1104ab80 call 81104ab8 -81117a08: 00a04634 movhi r2,33048 -81117a0c: 108f0804 addi r2,r2,15392 -81117a10: 10800803 ldbu r2,32(r2) -81117a14: 00c00084 movi r3,2 -81117a18: d9800a17 ldw r6,40(sp) -81117a1c: 18bf0036 bltu r3,r2,81117620 <__reset+0xfb0f7620> -81117a20: d8c00817 ldw r3,32(sp) -81117a24: d8800617 ldw r2,24(sp) -81117a28: b2800003 ldbu r10,0(r22) -81117a2c: 1a000003 ldbu r8,0(r3) -81117a30: d8c00717 ldw r3,28(sp) -81117a34: 8a400017 ldw r9,0(r17) -81117a38: 81c00017 ldw r7,0(r16) -81117a3c: 19000003 ldbu r4,0(r3) -81117a40: 10c00003 ldbu r3,0(r2) -81117a44: 90800017 ldw r2,0(r18) -81117a48: d9000215 stw r4,8(sp) -81117a4c: d1207917 ldw r4,-32284(gp) -81117a50: 01604574 movhi r5,33045 -81117a54: 29696104 addi r5,r5,-23164 -81117a58: da800515 stw r10,20(sp) -81117a5c: da400415 stw r9,16(sp) -81117a60: da000315 stw r8,12(sp) -81117a64: d8c00115 stw r3,4(sp) -81117a68: d8800015 stw r2,0(sp) -81117a6c: 112b5e00 call 8112b5e0 -81117a70: 003eeb06 br 81117620 <__reset+0xfb0f7620> -81117a74: 31000524 muli r4,r6,20 -81117a78: 390b883a add r5,r7,r4 -81117a7c: 28000015 stw zero,0(r5) -81117a80: 28800115 stw r2,4(r5) -81117a84: 003f9006 br 811178c8 <__reset+0xfb0f78c8> - -81117a88 : -81117a88: 2005883a mov r2,r4 -81117a8c: deffff04 addi sp,sp,-4 -81117a90: d1208217 ldw r4,-32248(gp) -81117a94: dfc00015 stw ra,0(sp) -81117a98: 00c00044 movi r3,1 -81117a9c: 10c00305 stb r3,12(r2) -81117aa0: 10000345 stb zero,13(r2) -81117aa4: 1142c840 call 81142c84 -81117aa8: 10803fcc andi r2,r2,255 -81117aac: 1000031e bne r2,zero,81117abc -81117ab0: dfc00017 ldw ra,0(sp) -81117ab4: dec00104 addi sp,sp,4 -81117ab8: f800283a ret -81117abc: dfc00017 ldw ra,0(sp) -81117ac0: dec00104 addi sp,sp,4 -81117ac4: 11259801 jmpi 81125980 - -81117ac8 : -81117ac8: 20c00303 ldbu r3,12(r4) -81117acc: 20800343 ldbu r2,13(r4) -81117ad0: 18c00044 addi r3,r3,1 -81117ad4: 10800044 addi r2,r2,1 -81117ad8: 18c0004c andi r3,r3,1 -81117adc: 1080004c andi r2,r2,1 -81117ae0: 20c00305 stb r3,12(r4) -81117ae4: 20800345 stb r2,13(r4) -81117ae8: f800283a ret - -81117aec : -81117aec: 00894eb4 movhi r2,9530 -81117af0: deffda04 addi sp,sp,-152 -81117af4: 10934844 addi r2,r2,19745 -81117af8: d8802015 stw r2,128(sp) -81117afc: 008e9d74 movhi r2,14965 -81117b00: dc002315 stw r16,140(sp) -81117b04: 01801f04 movi r6,124 -81117b08: 2021883a mov r16,r4 -81117b0c: 000b883a mov r5,zero -81117b10: d9000104 addi r4,sp,4 -81117b14: 109a1a04 addi r2,r2,26728 -81117b18: dfc02515 stw ra,148(sp) -81117b1c: dc402415 stw r17,144(sp) -81117b20: d8802115 stw r2,132(sp) -81117b24: d8000015 stw zero,0(sp) -81117b28: 112c7900 call 8112c790 -81117b2c: 01604574 movhi r5,33045 -81117b30: 2969ef04 addi r5,r5,-22596 -81117b34: 81ffffcc andi r7,r16,65535 -81117b38: d9802004 addi r6,sp,128 -81117b3c: d809883a mov r4,sp -81117b40: 112d6780 call 8112d678 -81117b44: d1204017 ldw r4,-32512(gp) -81117b48: d9802204 addi r6,sp,136 -81117b4c: 000b883a mov r5,zero -81117b50: 11424480 call 81142448 -81117b54: d8802203 ldbu r2,136(sp) -81117b58: 10001d1e bne r2,zero,81117bd0 -81117b5c: 00e045f4 movhi r3,33047 -81117b60: 18e76604 addi r3,r3,-25192 -81117b64: 01400604 movi r5,24 -81117b68: 00000206 br 81117b74 -81117b6c: 10800044 addi r2,r2,1 -81117b70: 11401026 beq r2,r5,81117bb4 -81117b74: 19000017 ldw r4,0(r3) -81117b78: 18c06504 addi r3,r3,404 -81117b7c: 203ffb1e bne r4,zero,81117b6c <__reset+0xfb0f7b6c> -81117b80: 14006524 muli r16,r2,404 -81117b84: 046045f4 movhi r17,33047 -81117b88: 8c6765c4 addi r17,r17,-25193 -81117b8c: 81000404 addi r4,r16,16 -81117b90: 01802004 movi r6,128 -81117b94: d80b883a mov r5,sp -81117b98: 8909883a add r4,r17,r4 -81117b9c: 112c6480 call 8112c648 -81117ba0: 88bfff44 addi r2,r17,-3 -81117ba4: 1405883a add r2,r2,r16 -81117ba8: 00c00044 movi r3,1 -81117bac: 10c00115 stw r3,4(r2) -81117bb0: 10000015 stw zero,0(r2) -81117bb4: d1204017 ldw r4,-32512(gp) -81117bb8: 114274c0 call 8114274c -81117bbc: dfc02517 ldw ra,148(sp) -81117bc0: dc402417 ldw r17,144(sp) -81117bc4: dc002317 ldw r16,140(sp) -81117bc8: dec02604 addi sp,sp,152 -81117bcc: f800283a ret -81117bd0: 11246ac0 call 811246ac -81117bd4: 003ff906 br 81117bbc <__reset+0xfb0f7bbc> - -81117bd8 : -81117bd8: 00e04574 movhi r3,33045 -81117bdc: 18d83704 addi r3,r3,24796 -81117be0: 1980068b ldhu r6,26(r3) -81117be4: 19c0060b ldhu r7,24(r3) -81117be8: 1880050b ldhu r2,20(r3) -81117bec: 1940058b ldhu r5,22(r3) -81117bf0: 3806943a slli r3,r7,16 -81117bf4: 10bfffcc andi r2,r2,65535 -81117bf8: 10842f24 muli r2,r2,4284 -81117bfc: 31bfffcc andi r6,r6,65535 -81117c00: 30ccb03a or r6,r6,r3 -81117c04: 10994504 addi r2,r2,25876 -81117c08: 297fffcc andi r5,r5,65535 -81117c0c: 2089883a add r4,r4,r2 -81117c10: 1108ed81 jmpi 81108ed8 - -81117c14 : -81117c14: defff604 addi sp,sp,-40 -81117c18: 29403fcc andi r5,r5,255 -81117c1c: dc800215 stw r18,8(sp) -81117c20: 2c842f24 muli r18,r5,4284 -81117c24: dc400115 stw r17,4(sp) -81117c28: dcc00315 stw r19,12(sp) -81117c2c: 94599404 addi r17,r18,26192 -81117c30: 2463883a add r17,r4,r17 -81117c34: 2027883a mov r19,r4 -81117c38: 8809883a mov r4,r17 -81117c3c: dfc00915 stw ra,36(sp) -81117c40: df000815 stw fp,32(sp) -81117c44: ddc00715 stw r23,28(sp) -81117c48: dd800615 stw r22,24(sp) -81117c4c: dd400515 stw r21,20(sp) -81117c50: dd000415 stw r20,16(sp) -81117c54: dc000015 stw r16,0(sp) -81117c58: 05000044 movi r20,1 -81117c5c: 9ca1883a add r16,r19,r18 -81117c60: 11050900 call 81105090 -81117c64: 8809883a mov r4,r17 -81117c68: 8019a415 stw zero,26256(r16) -81117c6c: 8519a215 stw r20,26248(r16) -81117c70: 8519a315 stw r20,26252(r16) -81117c74: 8019a515 stw zero,26260(r16) -81117c78: 9459f804 addi r17,r18,26592 -81117c7c: 9c63883a add r17,r19,r17 -81117c80: 11050480 call 81105048 -81117c84: 8809883a mov r4,r17 -81117c88: 85da1304 addi r23,r16,26700 -81117c8c: 11024e80 call 811024e8 -81117c90: 871a1404 addi fp,r16,26704 -81117c94: b8000015 stw zero,0(r23) -81117c98: e0000015 stw zero,0(fp) -81117c9c: 859a1504 addi r22,r16,26708 -81117ca0: 8809883a mov r4,r17 -81117ca4: b0000015 stw zero,0(r22) -81117ca8: 11024b80 call 811024b8 -81117cac: 8809883a mov r4,r17 -81117cb0: 11025d80 call 811025d8 -81117cb4: 8809883a mov r4,r17 -81117cb8: 801a1c15 stw zero,26736(r16) -81117cbc: 801a1d15 stw zero,26740(r16) -81117cc0: 801a1e15 stw zero,26744(r16) -81117cc4: 11025a00 call 811025a0 -81117cc8: 8809883a mov r4,r17 -81117ccc: 9559a904 addi r21,r18,26276 -81117cd0: 11026180 call 81102618 -81117cd4: 9d6b883a add r21,r19,r21 -81117cd8: a809883a mov r4,r21 -81117cdc: 1103bd00 call 81103bd0 -81117ce0: a809883a mov r4,r21 -81117ce4: 8519b215 stw r20,26312(r16) -81117ce8: 1103c380 call 81103c38 -81117cec: 8809883a mov r4,r17 -81117cf0: 801abb15 stw zero,27372(r16) -81117cf4: 801abc15 stw zero,27376(r16) -81117cf8: 801abd15 stw zero,27380(r16) -81117cfc: 801abe05 stb zero,27384(r16) -81117d00: 801abe8d sth zero,27386(r16) -81117d04: 801abf8d sth zero,27390(r16) -81117d08: 801abf0d sth zero,27388(r16) -81117d0c: 801ac115 stw zero,27396(r16) -81117d10: 801ac215 stw zero,27400(r16) -81117d14: 801ac315 stw zero,27404(r16) -81117d18: 801ac405 stb zero,27408(r16) -81117d1c: 801ac48d sth zero,27410(r16) -81117d20: 801ac58d sth zero,27414(r16) -81117d24: 801ac50d sth zero,27412(r16) -81117d28: 11024e80 call 811024e8 -81117d2c: b8000015 stw zero,0(r23) -81117d30: e0000015 stw zero,0(fp) -81117d34: 8809883a mov r4,r17 -81117d38: b0000015 stw zero,0(r22) -81117d3c: 11024b80 call 811024b8 -81117d40: 8809883a mov r4,r17 -81117d44: 11025600 call 81102560 -81117d48: 8809883a mov r4,r17 -81117d4c: 801a1615 stw zero,26712(r16) -81117d50: 851a1715 stw r20,26716(r16) -81117d54: 801a1815 stw zero,26720(r16) -81117d58: 11025180 call 81102518 -81117d5c: 809ac604 addi r2,r16,27416 -81117d60: 10c00017 ldw r3,0(r2) -81117d64: 1d001926 beq r3,r20,81117dcc +81117868: 91000044 addi r4,r18,1 +8111786c: 297331c4 addi r5,r5,-13113 +81117870: 21003fcc andi r4,r4,255 +81117874: 111e1e00 call 8111e1e0 +81117878: 00a04634 movhi r2,33048 +8111787c: 108f1904 addi r2,r2,15460 +81117880: 10800803 ldbu r2,32(r2) +81117884: 00c00044 movi r3,1 +81117888: 9d27883a add r19,r19,r20 +8111788c: 98d96e15 stw r3,26040(r19) +81117890: 00c00084 movi r3,2 +81117894: 18bf6f36 bltu r3,r2,81117654 <__reset+0xfb0f7654> +81117898: 01604574 movhi r5,33045 +8111789c: 2969bd04 addi r5,r5,-22796 +811178a0: d1207917 ldw r4,-32284(gp) +811178a4: 880d883a mov r6,r17 +811178a8: 003f9406 br 811176fc <__reset+0xfb0f76fc> +811178ac: 91000044 addi r4,r18,1 +811178b0: 01604574 movhi r5,33045 +811178b4: 297332c4 addi r5,r5,-13109 +811178b8: 21003fcc andi r4,r4,255 +811178bc: 111e1e00 call 8111e1e0 +811178c0: 003f8306 br 811176d0 <__reset+0xfb0f76d0> +811178c4: 91000044 addi r4,r18,1 +811178c8: 01604574 movhi r5,33045 +811178cc: 297330c4 addi r5,r5,-13117 +811178d0: 21003fcc andi r4,r4,255 +811178d4: 111e1e00 call 8111e1e0 +811178d8: 003fe706 br 81117878 <__reset+0xfb0f7878> +811178dc: 2900058b ldhu r4,22(r5) +811178e0: 01e04634 movhi r7,33048 +811178e4: 39cefb04 addi r7,r7,15340 +811178e8: 20006f26 beq r4,zero,81117aa8 +811178ec: 31000524 muli r4,r6,20 +811178f0: 390b883a add r5,r7,r4 +811178f4: 28800015 stw r2,0(r5) +811178f8: 28800115 stw r2,4(r5) +811178fc: 8880060b ldhu r2,24(r17) +81117900: 3921883a add r16,r7,r4 +81117904: 80c00204 addi r3,r16,8 +81117908: 18800005 stb r2,0(r3) +8111790c: d8c00615 stw r3,24(sp) +81117910: 80c00404 addi r3,r16,16 +81117914: d8c00715 stw r3,28(sp) +81117918: 80c004c4 addi r3,r16,19 +8111791c: 8880068b ldhu r2,26(r17) +81117920: d8c00815 stw r3,32(sp) +81117924: d8c00717 ldw r3,28(sp) +81117928: add99404 addi r23,r21,26192 +8111792c: 9def883a add r23,r19,r23 +81117930: 18800005 stb r2,0(r3) +81117934: 8880070b ldhu r2,28(r17) +81117938: d8c00817 ldw r3,32(sp) +8111793c: 85800444 addi r22,r16,17 +81117940: b809883a mov r4,r23 +81117944: 18800005 stb r2,0(r3) +81117948: 8940078b ldhu r5,30(r17) +8111794c: 8880080b ldhu r2,32(r17) +81117950: 84400304 addi r17,r16,12 +81117954: 84800104 addi r18,r16,4 +81117958: 89400015 stw r5,0(r17) +8111795c: b0800005 stb r2,0(r22) +81117960: d9800a15 stw r6,40(sp) +81117964: 1104fd40 call 81104fd4 +81117968: d8c00617 ldw r3,24(sp) +8111796c: 81400017 ldw r5,0(r16) +81117970: 90800017 ldw r2,0(r18) +81117974: 19000007 ldb r4,0(r3) +81117978: 9d69883a add r20,r19,r21 +8111797c: 02400044 movi r9,1 +81117980: a2599615 stw r9,26200(r20) +81117984: a1599715 stw r5,26204(r20) +81117988: a0999815 stw r2,26208(r20) +8111798c: da400915 stw r9,36(sp) +81117990: 11052d80 call 811052d8 +81117994: 10803fcc andi r2,r2,255 +81117998: b809883a mov r4,r23 +8111799c: a0999915 stw r2,26212(r20) +811179a0: af19f804 addi fp,r21,26592 +811179a4: 1104f940 call 81104f94 +811179a8: 9f39883a add fp,r19,fp +811179ac: e009883a mov r4,fp +811179b0: 11023980 call 81102398 +811179b4: d8c00817 ldw r3,32(sp) +811179b8: e009883a mov r4,fp +811179bc: a719f104 addi fp,r20,26564 +811179c0: 18800003 ldbu r2,0(r3) +811179c4: a09a0615 stw r2,26648(r20) +811179c8: 11023600 call 81102360 +811179cc: 89400017 ldw r5,0(r17) +811179d0: b809883a mov r4,r23 +811179d4: a5d9f204 addi r23,r20,26568 +811179d8: 11051540 call 81105154 +811179dc: a8d9d604 addi r3,r21,26456 +811179e0: 98e7883a add r19,r19,r3 +811179e4: 9809883a mov r4,r19 +811179e8: 1104ae00 call 81104ae0 +811179ec: e0000015 stw zero,0(fp) +811179f0: 9809883a mov r4,r19 +811179f4: b8000015 stw zero,0(r23) +811179f8: 1104ab80 call 81104ab8 +811179fc: 9809883a mov r4,r19 +81117a00: 1104bb00 call 81104bb0 +81117a04: d9000717 ldw r4,28(sp) +81117a08: b0c00003 ldbu r3,0(r22) +81117a0c: 20800003 ldbu r2,0(r4) +81117a10: a0d9db15 stw r3,26476(r20) +81117a14: 9809883a mov r4,r19 +81117a18: a099da15 stw r2,26472(r20) +81117a1c: 1104b800 call 81104b80 +81117a20: 9809883a mov r4,r19 +81117a24: 1104ae00 call 81104ae0 +81117a28: da400917 ldw r9,36(sp) +81117a2c: 9809883a mov r4,r19 +81117a30: e2400015 stw r9,0(fp) +81117a34: ba400015 stw r9,0(r23) +81117a38: 1104ab80 call 81104ab8 +81117a3c: 00a04634 movhi r2,33048 +81117a40: 108f1904 addi r2,r2,15460 +81117a44: 10800803 ldbu r2,32(r2) +81117a48: 00c00084 movi r3,2 +81117a4c: d9800a17 ldw r6,40(sp) +81117a50: 18bf0036 bltu r3,r2,81117654 <__reset+0xfb0f7654> +81117a54: d8c00817 ldw r3,32(sp) +81117a58: d8800617 ldw r2,24(sp) +81117a5c: b2800003 ldbu r10,0(r22) +81117a60: 1a000003 ldbu r8,0(r3) +81117a64: d8c00717 ldw r3,28(sp) +81117a68: 8a400017 ldw r9,0(r17) +81117a6c: 81c00017 ldw r7,0(r16) +81117a70: 19000003 ldbu r4,0(r3) +81117a74: 10c00003 ldbu r3,0(r2) +81117a78: 90800017 ldw r2,0(r18) +81117a7c: d9000215 stw r4,8(sp) +81117a80: d1207917 ldw r4,-32284(gp) +81117a84: 01604574 movhi r5,33045 +81117a88: 29697104 addi r5,r5,-23100 +81117a8c: da800515 stw r10,20(sp) +81117a90: da400415 stw r9,16(sp) +81117a94: da000315 stw r8,12(sp) +81117a98: d8c00115 stw r3,4(sp) +81117a9c: d8800015 stw r2,0(sp) +81117aa0: 112b6200 call 8112b620 +81117aa4: 003eeb06 br 81117654 <__reset+0xfb0f7654> +81117aa8: 31000524 muli r4,r6,20 +81117aac: 390b883a add r5,r7,r4 +81117ab0: 28000015 stw zero,0(r5) +81117ab4: 28800115 stw r2,4(r5) +81117ab8: 003f9006 br 811178fc <__reset+0xfb0f78fc> + +81117abc : +81117abc: 2005883a mov r2,r4 +81117ac0: deffff04 addi sp,sp,-4 +81117ac4: d1208217 ldw r4,-32248(gp) +81117ac8: dfc00015 stw ra,0(sp) +81117acc: 00c00044 movi r3,1 +81117ad0: 10c00305 stb r3,12(r2) +81117ad4: 10000345 stb zero,13(r2) +81117ad8: 1142cc40 call 81142cc4 +81117adc: 10803fcc andi r2,r2,255 +81117ae0: 1000031e bne r2,zero,81117af0 +81117ae4: dfc00017 ldw ra,0(sp) +81117ae8: dec00104 addi sp,sp,4 +81117aec: f800283a ret +81117af0: dfc00017 ldw ra,0(sp) +81117af4: dec00104 addi sp,sp,4 +81117af8: 11259c01 jmpi 811259c0 + +81117afc : +81117afc: 20c00303 ldbu r3,12(r4) +81117b00: 20800343 ldbu r2,13(r4) +81117b04: 18c00044 addi r3,r3,1 +81117b08: 10800044 addi r2,r2,1 +81117b0c: 18c0004c andi r3,r3,1 +81117b10: 1080004c andi r2,r2,1 +81117b14: 20c00305 stb r3,12(r4) +81117b18: 20800345 stb r2,13(r4) +81117b1c: f800283a ret + +81117b20 : +81117b20: 00894eb4 movhi r2,9530 +81117b24: deffda04 addi sp,sp,-152 +81117b28: 10934844 addi r2,r2,19745 +81117b2c: d8802015 stw r2,128(sp) +81117b30: 008e9d74 movhi r2,14965 +81117b34: dc002315 stw r16,140(sp) +81117b38: 01801f04 movi r6,124 +81117b3c: 2021883a mov r16,r4 +81117b40: 000b883a mov r5,zero +81117b44: d9000104 addi r4,sp,4 +81117b48: 109a1a04 addi r2,r2,26728 +81117b4c: dfc02515 stw ra,148(sp) +81117b50: dc402415 stw r17,144(sp) +81117b54: d8802115 stw r2,132(sp) +81117b58: d8000015 stw zero,0(sp) +81117b5c: 112c7d00 call 8112c7d0 +81117b60: 01604574 movhi r5,33045 +81117b64: 2969ff04 addi r5,r5,-22532 +81117b68: 81ffffcc andi r7,r16,65535 +81117b6c: d9802004 addi r6,sp,128 +81117b70: d809883a mov r4,sp +81117b74: 112d6b80 call 8112d6b8 +81117b78: d1204017 ldw r4,-32512(gp) +81117b7c: d9802204 addi r6,sp,136 +81117b80: 000b883a mov r5,zero +81117b84: 11424880 call 81142488 +81117b88: d8802203 ldbu r2,136(sp) +81117b8c: 10001d1e bne r2,zero,81117c04 +81117b90: 00e045f4 movhi r3,33047 +81117b94: 18e77704 addi r3,r3,-25124 +81117b98: 01400604 movi r5,24 +81117b9c: 00000206 br 81117ba8 +81117ba0: 10800044 addi r2,r2,1 +81117ba4: 11401026 beq r2,r5,81117be8 +81117ba8: 19000017 ldw r4,0(r3) +81117bac: 18c06504 addi r3,r3,404 +81117bb0: 203ffb1e bne r4,zero,81117ba0 <__reset+0xfb0f7ba0> +81117bb4: 14006524 muli r16,r2,404 +81117bb8: 046045f4 movhi r17,33047 +81117bbc: 8c6776c4 addi r17,r17,-25125 +81117bc0: 81000404 addi r4,r16,16 +81117bc4: 01802004 movi r6,128 +81117bc8: d80b883a mov r5,sp +81117bcc: 8909883a add r4,r17,r4 +81117bd0: 112c6880 call 8112c688 +81117bd4: 88bfff44 addi r2,r17,-3 +81117bd8: 1405883a add r2,r2,r16 +81117bdc: 00c00044 movi r3,1 +81117be0: 10c00115 stw r3,4(r2) +81117be4: 10000015 stw zero,0(r2) +81117be8: d1204017 ldw r4,-32512(gp) +81117bec: 114278c0 call 8114278c +81117bf0: dfc02517 ldw ra,148(sp) +81117bf4: dc402417 ldw r17,144(sp) +81117bf8: dc002317 ldw r16,140(sp) +81117bfc: dec02604 addi sp,sp,152 +81117c00: f800283a ret +81117c04: 11246ec0 call 811246ec +81117c08: 003ff906 br 81117bf0 <__reset+0xfb0f7bf0> + +81117c0c : +81117c0c: 00e04574 movhi r3,33045 +81117c10: 18d84804 addi r3,r3,24864 +81117c14: 1980068b ldhu r6,26(r3) +81117c18: 19c0060b ldhu r7,24(r3) +81117c1c: 1880050b ldhu r2,20(r3) +81117c20: 1940058b ldhu r5,22(r3) +81117c24: 3806943a slli r3,r7,16 +81117c28: 10bfffcc andi r2,r2,65535 +81117c2c: 10842f24 muli r2,r2,4284 +81117c30: 31bfffcc andi r6,r6,65535 +81117c34: 30ccb03a or r6,r6,r3 +81117c38: 10994504 addi r2,r2,25876 +81117c3c: 297fffcc andi r5,r5,65535 +81117c40: 2089883a add r4,r4,r2 +81117c44: 1108f0c1 jmpi 81108f0c + +81117c48 : +81117c48: defff604 addi sp,sp,-40 +81117c4c: 29403fcc andi r5,r5,255 +81117c50: dc800215 stw r18,8(sp) +81117c54: 2c842f24 muli r18,r5,4284 +81117c58: dc400115 stw r17,4(sp) +81117c5c: dcc00315 stw r19,12(sp) +81117c60: 94599404 addi r17,r18,26192 +81117c64: 2463883a add r17,r4,r17 +81117c68: 2027883a mov r19,r4 +81117c6c: 8809883a mov r4,r17 +81117c70: dfc00915 stw ra,36(sp) +81117c74: df000815 stw fp,32(sp) +81117c78: ddc00715 stw r23,28(sp) +81117c7c: dd800615 stw r22,24(sp) +81117c80: dd400515 stw r21,20(sp) +81117c84: dd000415 stw r20,16(sp) +81117c88: dc000015 stw r16,0(sp) +81117c8c: 05000044 movi r20,1 +81117c90: 9ca1883a add r16,r19,r18 +81117c94: 11050c40 call 811050c4 +81117c98: 8809883a mov r4,r17 +81117c9c: 8019a415 stw zero,26256(r16) +81117ca0: 8519a215 stw r20,26248(r16) +81117ca4: 8519a315 stw r20,26252(r16) +81117ca8: 8019a515 stw zero,26260(r16) +81117cac: 9459f804 addi r17,r18,26592 +81117cb0: 9c63883a add r17,r19,r17 +81117cb4: 110507c0 call 8110507c +81117cb8: 8809883a mov r4,r17 +81117cbc: 85da1304 addi r23,r16,26700 +81117cc0: 11024e80 call 811024e8 +81117cc4: 871a1404 addi fp,r16,26704 +81117cc8: b8000015 stw zero,0(r23) +81117ccc: e0000015 stw zero,0(fp) +81117cd0: 859a1504 addi r22,r16,26708 +81117cd4: 8809883a mov r4,r17 +81117cd8: b0000015 stw zero,0(r22) +81117cdc: 11024b80 call 811024b8 +81117ce0: 8809883a mov r4,r17 +81117ce4: 11025d80 call 811025d8 +81117ce8: 8809883a mov r4,r17 +81117cec: 801a1c15 stw zero,26736(r16) +81117cf0: 801a1d15 stw zero,26740(r16) +81117cf4: 801a1e15 stw zero,26744(r16) +81117cf8: 11025a00 call 811025a0 +81117cfc: 8809883a mov r4,r17 +81117d00: 9559a904 addi r21,r18,26276 +81117d04: 11026180 call 81102618 +81117d08: 9d6b883a add r21,r19,r21 +81117d0c: a809883a mov r4,r21 +81117d10: 1103bd00 call 81103bd0 +81117d14: a809883a mov r4,r21 +81117d18: 8519b215 stw r20,26312(r16) +81117d1c: 1103c380 call 81103c38 +81117d20: 8809883a mov r4,r17 +81117d24: 801abb15 stw zero,27372(r16) +81117d28: 801abc15 stw zero,27376(r16) +81117d2c: 801abd15 stw zero,27380(r16) +81117d30: 801abe05 stb zero,27384(r16) +81117d34: 801abe8d sth zero,27386(r16) +81117d38: 801abf8d sth zero,27390(r16) +81117d3c: 801abf0d sth zero,27388(r16) +81117d40: 801ac115 stw zero,27396(r16) +81117d44: 801ac215 stw zero,27400(r16) +81117d48: 801ac315 stw zero,27404(r16) +81117d4c: 801ac405 stb zero,27408(r16) +81117d50: 801ac48d sth zero,27410(r16) +81117d54: 801ac58d sth zero,27414(r16) +81117d58: 801ac50d sth zero,27412(r16) +81117d5c: 11024e80 call 811024e8 +81117d60: b8000015 stw zero,0(r23) +81117d64: e0000015 stw zero,0(fp) 81117d68: 8809883a mov r4,r17 -81117d6c: 1102d780 call 81102d78 -81117d70: 9ca5883a add r18,r19,r18 +81117d6c: b0000015 stw zero,0(r22) +81117d70: 11024b80 call 811024b8 81117d74: 8809883a mov r4,r17 -81117d78: 000b883a mov r5,zero -81117d7c: 901acf05 stb zero,27452(r18) -81117d80: 901af015 stw zero,27584(r18) -81117d84: 1102a900 call 81102a90 -81117d88: 01400044 movi r5,1 -81117d8c: 8809883a mov r4,r17 -81117d90: 1102a900 call 81102a90 -81117d94: 901d7215 stw zero,30152(r18) -81117d98: 901d7315 stw zero,30156(r18) -81117d9c: dfc00917 ldw ra,36(sp) -81117da0: df000817 ldw fp,32(sp) -81117da4: ddc00717 ldw r23,28(sp) -81117da8: dd800617 ldw r22,24(sp) -81117dac: dd400517 ldw r21,20(sp) -81117db0: dd000417 ldw r20,16(sp) -81117db4: dcc00317 ldw r19,12(sp) -81117db8: dc800217 ldw r18,8(sp) -81117dbc: dc400117 ldw r17,4(sp) -81117dc0: dc000017 ldw r16,0(sp) -81117dc4: dec00a04 addi sp,sp,40 -81117dc8: f800283a ret -81117dcc: 8809883a mov r4,r17 -81117dd0: 10000015 stw zero,0(r2) -81117dd4: 11023980 call 81102398 -81117dd8: 809ac703 ldbu r2,27420(r16) -81117ddc: 8809883a mov r4,r17 -81117de0: 809a0615 stw r2,26648(r16) -81117de4: 11023600 call 81102360 -81117de8: 003fdf06 br 81117d68 <__reset+0xfb0f7d68> - -81117dec : -81117dec: defff304 addi sp,sp,-52 -81117df0: dc400415 stw r17,16(sp) -81117df4: 04604634 movhi r17,33048 -81117df8: dc800515 stw r18,20(sp) -81117dfc: dc000315 stw r16,12(sp) -81117e00: dfc00c15 stw ra,48(sp) -81117e04: df000b15 stw fp,44(sp) -81117e08: ddc00a15 stw r23,40(sp) -81117e0c: dd800915 stw r22,36(sp) -81117e10: dd400815 stw r21,32(sp) -81117e14: dd000715 stw r20,28(sp) -81117e18: dcc00615 stw r19,24(sp) -81117e1c: 8c4f0804 addi r17,r17,15392 -81117e20: 88800803 ldbu r2,32(r17) -81117e24: 00c00084 movi r3,2 -81117e28: 2025883a mov r18,r4 -81117e2c: 2821883a mov r16,r5 -81117e30: 18803f2e bgeu r3,r2,81117f30 -81117e34: 8080038b ldhu r2,14(r16) -81117e38: 00c00cc4 movi r3,51 -81117e3c: 10bff8c4 addi r2,r2,-29 -81117e40: 10bfffcc andi r2,r2,65535 -81117e44: 18824c36 bltu r3,r2,81118778 -81117e48: 100490ba slli r2,r2,2 -81117e4c: 00e04474 movhi r3,33041 -81117e50: 18df9804 addi r3,r3,32352 -81117e54: 10c5883a add r2,r2,r3 -81117e58: 10800017 ldw r2,0(r2) -81117e5c: 1000683a jmp r2 -81117e60: 811186fc xorhi r4,r16,17947 -81117e64: 81118778 rdprs r4,r16,17949 -81117e68: 81118778 rdprs r4,r16,17949 -81117e6c: 81118778 rdprs r4,r16,17949 -81117e70: 81118778 rdprs r4,r16,17949 -81117e74: 81118778 rdprs r4,r16,17949 -81117e78: 81118778 rdprs r4,r16,17949 -81117e7c: 81118694 ori r4,r16,17946 -81117e80: 81118638 rdprs r4,r16,17944 -81117e84: 81118778 rdprs r4,r16,17949 -81117e88: 81118778 rdprs r4,r16,17949 -81117e8c: 81118778 rdprs r4,r16,17949 -81117e90: 81118778 rdprs r4,r16,17949 -81117e94: 81118778 rdprs r4,r16,17949 -81117e98: 81118778 rdprs r4,r16,17949 -81117e9c: 81118608 cmpgei r4,r16,17944 -81117ea0: 81118778 rdprs r4,r16,17949 -81117ea4: 81118758 cmpnei r4,r16,17949 -81117ea8: 81118718 cmpnei r4,r16,17948 -81117eac: 81118738 rdprs r4,r16,17948 -81117eb0: 81118398 cmpnei r4,r16,17934 -81117eb4: 81118398 cmpnei r4,r16,17934 -81117eb8: 81118498 cmpnei r4,r16,17938 -81117ebc: 81118498 cmpnei r4,r16,17938 -81117ec0: 8111846c andhi r4,r16,17937 -81117ec4: 81118778 rdprs r4,r16,17949 -81117ec8: 81118778 rdprs r4,r16,17949 -81117ecc: 81118778 rdprs r4,r16,17949 -81117ed0: 81118778 rdprs r4,r16,17949 -81117ed4: 81118434 orhi r4,r16,17936 -81117ed8: 811183e8 cmpgeui r4,r16,17935 -81117edc: 81118778 rdprs r4,r16,17949 -81117ee0: 8111857c xorhi r4,r16,17941 -81117ee4: 81118778 rdprs r4,r16,17949 -81117ee8: 81118498 cmpnei r4,r16,17938 -81117eec: 811184b8 rdprs r4,r16,17938 -81117ef0: 81118778 rdprs r4,r16,17949 -81117ef4: 81118588 cmpgei r4,r16,17942 -81117ef8: 81118398 cmpnei r4,r16,17934 -81117efc: 81118778 rdprs r4,r16,17949 -81117f00: 81118778 rdprs r4,r16,17949 -81117f04: 8111833c xorhi r4,r16,17932 -81117f08: 81118778 rdprs r4,r16,17949 -81117f0c: 81118498 cmpnei r4,r16,17938 -81117f10: 811182c8 cmpgei r4,r16,17931 -81117f14: 811181d0 cmplti r4,r16,17927 -81117f18: 81118164 muli r4,r16,17925 -81117f1c: 81118778 rdprs r4,r16,17949 -81117f20: 81118778 rdprs r4,r16,17949 -81117f24: 8111804c andi r4,r16,17921 -81117f28: 81117fc4 addi r4,r16,17919 -81117f2c: 81117f48 cmpgei r4,r16,17917 -81117f30: 2980038b ldhu r6,14(r5) -81117f34: d1207917 ldw r4,-32284(gp) -81117f38: 01604574 movhi r5,33045 -81117f3c: 2969f104 addi r5,r5,-22588 -81117f40: 112b5e00 call 8112b5e0 -81117f44: 003fbb06 br 81117e34 <__reset+0xfb0f7e34> -81117f48: 84000503 ldbu r16,20(r16) -81117f4c: 81042f24 muli r4,r16,4284 -81117f50: 209acf04 addi r2,r4,27452 -81117f54: 2119f804 addi r4,r4,26592 -81117f58: 9085883a add r2,r18,r2 -81117f5c: 9109883a add r4,r18,r4 -81117f60: d0a07115 stw r2,-32316(gp) -81117f64: 1102bfc0 call 81102bfc -81117f68: 10020b26 beq r2,zero,81118798 -81117f6c: 88800803 ldbu r2,32(r17) -81117f70: 00c001c4 movi r3,7 -81117f74: 18800536 bltu r3,r2,81117f8c -81117f78: d1207917 ldw r4,-32284(gp) -81117f7c: 01604574 movhi r5,33045 -81117f80: 296d2504 addi r5,r5,-19308 -81117f84: 800d883a mov r6,r16 -81117f88: 112b5e00 call 8112b5e0 -81117f8c: d0a07117 ldw r2,-32316(gp) -81117f90: 10000005 stb zero,0(r2) -81117f94: dfc00c17 ldw ra,48(sp) -81117f98: df000b17 ldw fp,44(sp) -81117f9c: ddc00a17 ldw r23,40(sp) -81117fa0: dd800917 ldw r22,36(sp) -81117fa4: dd400817 ldw r21,32(sp) -81117fa8: dd000717 ldw r20,28(sp) -81117fac: dcc00617 ldw r19,24(sp) -81117fb0: dc800517 ldw r18,20(sp) -81117fb4: dc400417 ldw r17,16(sp) -81117fb8: dc000317 ldw r16,12(sp) -81117fbc: dec00d04 addi sp,sp,52 -81117fc0: f800283a ret -81117fc4: 84c00503 ldbu r19,20(r16) -81117fc8: 9c042f24 muli r16,r19,4284 -81117fcc: 9405883a add r2,r18,r16 -81117fd0: 109acf04 addi r2,r2,27452 -81117fd4: 10c00003 ldbu r3,0(r2) -81117fd8: 811acf04 addi r4,r16,27452 -81117fdc: 9109883a add r4,r18,r4 -81117fe0: d1207115 stw r4,-32316(gp) -81117fe4: 18c03fcc andi r3,r3,255 -81117fe8: 1802961e bne r3,zero,81118a44 -81117fec: 8419f804 addi r16,r16,26592 -81117ff0: 9425883a add r18,r18,r16 -81117ff4: 9009883a mov r4,r18 -81117ff8: 1102bfc0 call 81102bfc -81117ffc: 1002691e bne r2,zero,811189a4 -81118000: 88800803 ldbu r2,32(r17) -81118004: 00c001c4 movi r3,7 -81118008: 18bfe236 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -8111800c: d1207917 ldw r4,-32284(gp) -81118010: 01604574 movhi r5,33045 -81118014: 296d1604 addi r5,r5,-19368 -81118018: 980d883a mov r6,r19 -8111801c: dfc00c17 ldw ra,48(sp) -81118020: df000b17 ldw fp,44(sp) -81118024: ddc00a17 ldw r23,40(sp) -81118028: dd800917 ldw r22,36(sp) -8111802c: dd400817 ldw r21,32(sp) -81118030: dd000717 ldw r20,28(sp) -81118034: dcc00617 ldw r19,24(sp) -81118038: dc800517 ldw r18,20(sp) -8111803c: dc400417 ldw r17,16(sp) -81118040: dc000317 ldw r16,12(sp) -81118044: dec00d04 addi sp,sp,52 -81118048: 112b5e01 jmpi 8112b5e0 -8111804c: 81800503 ldbu r6,20(r16) -81118050: 30842f24 muli r2,r6,4284 -81118054: 9087883a add r3,r18,r2 -81118058: 18dacf04 addi r3,r3,27452 -8111805c: 19000003 ldbu r4,0(r3) -81118060: 115acf04 addi r5,r2,27452 -81118064: 914b883a add r5,r18,r5 -81118068: d1607115 stw r5,-32316(gp) -8111806c: 21003fcc andi r4,r4,255 -81118070: 01400284 movi r5,10 -81118074: 2901d036 bltu r5,r4,811187b8 -81118078: 19000003 ldbu r4,0(r3) -8111807c: 8200058b ldhu r8,22(r16) -81118080: 8240060b ldhu r9,24(r16) -81118084: 21003fcc andi r4,r4,255 -81118088: 21003144 addi r4,r4,197 -8111808c: 200890fa slli r4,r4,3 -81118090: 81c0068b ldhu r7,26(r16) -81118094: 8140070b ldhu r5,28(r16) -81118098: 2089883a add r4,r4,r2 -8111809c: 9109883a add r4,r18,r4 -811180a0: 21194584 addi r4,r4,25878 -811180a4: 2200000d sth r8,0(r4) -811180a8: 19000003 ldbu r4,0(r3) -811180ac: 8a000803 ldbu r8,32(r17) -811180b0: 21003fcc andi r4,r4,255 -811180b4: 21003144 addi r4,r4,197 -811180b8: 200890fa slli r4,r4,3 -811180bc: 2089883a add r4,r4,r2 -811180c0: 9109883a add r4,r18,r4 -811180c4: 21194604 addi r4,r4,25880 -811180c8: 2240000d sth r9,0(r4) -811180cc: 19000003 ldbu r4,0(r3) -811180d0: 21003fcc andi r4,r4,255 -811180d4: 210002c4 addi r4,r4,11 -811180d8: 200890fa slli r4,r4,3 -811180dc: 2089883a add r4,r4,r2 -811180e0: 9109883a add r4,r18,r4 -811180e4: 211aba84 addi r4,r4,27370 -811180e8: 21c0000d sth r7,0(r4) -811180ec: 19000003 ldbu r4,0(r3) -811180f0: 21003fcc andi r4,r4,255 -811180f4: 210002c4 addi r4,r4,11 -811180f8: 200890fa slli r4,r4,3 -811180fc: 2085883a add r2,r4,r2 -81118100: 90a5883a add r18,r18,r2 -81118104: 949abb04 addi r18,r18,27372 -81118108: 9140000d sth r5,0(r18) -8111810c: 18800003 ldbu r2,0(r3) -81118110: 10800044 addi r2,r2,1 -81118114: 18800005 stb r2,0(r3) -81118118: 008001c4 movi r2,7 -8111811c: 123f9d36 bltu r2,r8,81117f94 <__reset+0xfb0f7f94> -81118120: 19c00003 ldbu r7,0(r3) -81118124: d1207917 ldw r4,-32284(gp) -81118128: 01604574 movhi r5,33045 -8111812c: 296cd104 addi r5,r5,-19644 -81118130: 39c03fcc andi r7,r7,255 -81118134: dfc00c17 ldw ra,48(sp) -81118138: df000b17 ldw fp,44(sp) -8111813c: ddc00a17 ldw r23,40(sp) -81118140: dd800917 ldw r22,36(sp) -81118144: dd400817 ldw r21,32(sp) -81118148: dd000717 ldw r20,28(sp) -8111814c: dcc00617 ldw r19,24(sp) -81118150: dc800517 ldw r18,20(sp) -81118154: dc400417 ldw r17,16(sp) -81118158: dc000317 ldw r16,12(sp) -8111815c: dec00d04 addi sp,sp,52 -81118160: 112b5e01 jmpi 8112b5e0 -81118164: 85000503 ldbu r20,20(r16) -81118168: 8400058b ldhu r16,22(r16) -8111816c: a4c42f24 muli r19,r20,4284 -81118170: 80ffff4c andi r3,r16,65533 -81118174: 989af104 addi r2,r19,27588 -81118178: 9085883a add r2,r18,r2 -8111817c: d0a07215 stw r2,-32312(gp) -81118180: 1801ab26 beq r3,zero,81118830 -81118184: 843fffc4 addi r16,r16,-1 -81118188: 843fffcc andi r16,r16,65535 -8111818c: 01400044 movi r5,1 -81118190: 2c3f8036 bltu r5,r16,81117f94 <__reset+0xfb0f7f94> -81118194: 9919f804 addi r4,r19,26592 -81118198: 9109883a add r4,r18,r4 -8111819c: 11027b80 call 811027b8 -811181a0: 1002cb26 beq r2,zero,81118cd0 -811181a4: 88800803 ldbu r2,32(r17) -811181a8: 00c001c4 movi r3,7 -811181ac: 18800536 bltu r3,r2,811181c4 -811181b0: d1207917 ldw r4,-32284(gp) -811181b4: 01604574 movhi r5,33045 -811181b8: 296cab04 addi r5,r5,-19796 -811181bc: a00d883a mov r6,r20 -811181c0: 112b5e00 call 8112b5e0 -811181c4: d0a07217 ldw r2,-32312(gp) -811181c8: 10000045 stb zero,1(r2) -811181cc: 003f7106 br 81117f94 <__reset+0xfb0f7f94> -811181d0: 84c00503 ldbu r19,20(r16) -811181d4: 8580058b ldhu r22,22(r16) -811181d8: 9c042f24 muli r16,r19,4284 -811181dc: b0bfff4c andi r2,r22,65533 -811181e0: 811af104 addi r4,r16,27588 -811181e4: 9109883a add r4,r18,r4 -811181e8: d1207215 stw r4,-32312(gp) -811181ec: 10019b26 beq r2,zero,8111885c -811181f0: b5bfffc4 addi r22,r22,-1 -811181f4: b5bfffcc andi r22,r22,65535 -811181f8: 00800044 movi r2,1 -811181fc: 15bf6536 bltu r2,r22,81117f94 <__reset+0xfb0f7f94> -81118200: d1207217 ldw r4,-32312(gp) -81118204: 01e04474 movhi r7,33041 -81118208: 39dc1404 addi r7,r7,28752 -8111820c: 21400043 ldbu r5,1(r4) -81118210: 01800284 movi r6,10 -81118214: 21014084 addi r4,r4,1282 -81118218: 29403fcc andi r5,r5,255 -8111821c: 112cb540 call 8112cb54 -81118220: 88800803 ldbu r2,32(r17) -81118224: 00c001c4 movi r3,7 -81118228: 1882f52e bgeu r3,r2,81118e00 -8111822c: 8559f804 addi r21,r16,26592 -81118230: 956b883a add r21,r18,r21 -81118234: 01400044 movi r5,1 -81118238: a809883a mov r4,r21 -8111823c: 11027b80 call 811027b8 -81118240: 10029b26 beq r2,zero,81118cb0 -81118244: 88800803 ldbu r2,32(r17) -81118248: 00c001c4 movi r3,7 -8111824c: 1883352e bgeu r3,r2,81118f24 -81118250: 01400044 movi r5,1 -81118254: a809883a mov r4,r21 -81118258: 11028400 call 81102840 -8111825c: 103f4d26 beq r2,zero,81117f94 <__reset+0xfb0f7f94> -81118260: 88800803 ldbu r2,32(r17) -81118264: 00c001c4 movi r3,7 -81118268: 18836b2e bgeu r3,r2,81119018 -8111826c: d1a07217 ldw r6,-32312(gp) -81118270: 30800043 ldbu r2,1(r6) -81118274: 10803fcc andi r2,r2,255 -81118278: 10033e1e bne r2,zero,81118f74 -8111827c: 01400044 movi r5,1 -81118280: a809883a mov r4,r21 -81118284: 11029ac0 call 811029ac -81118288: 10031d26 beq r2,zero,81118f00 -8111828c: 88800803 ldbu r2,32(r17) -81118290: 00c001c4 movi r3,7 -81118294: 18836d2e bgeu r3,r2,8111904c -81118298: a809883a mov r4,r21 -8111829c: 11027800 call 81102780 -811182a0: 88800803 ldbu r2,32(r17) -811182a4: 00c001c4 movi r3,7 -811182a8: 18bf3a36 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -811182ac: 9421883a add r16,r18,r16 -811182b0: 01604574 movhi r5,33045 -811182b4: 81da4403 ldbu r7,26896(r16) -811182b8: 296c5c04 addi r5,r5,-20112 -811182bc: d1207917 ldw r4,-32284(gp) -811182c0: 980d883a mov r6,r19 -811182c4: 003f9b06 br 81118134 <__reset+0xfb0f8134> -811182c8: 84c00503 ldbu r19,20(r16) -811182cc: 8540078b ldhu r21,30(r16) -811182d0: 85c0058b ldhu r23,22(r16) -811182d4: 98c42f24 muli r3,r19,4284 -811182d8: a93fffcc andi r4,r21,65535 -811182dc: 8700060b ldhu fp,24(r16) -811182e0: 189af104 addi r2,r3,27588 -811182e4: 9085883a add r2,r18,r2 -811182e8: d0a07215 stw r2,-32312(gp) -811182ec: 8500068b ldhu r20,26(r16) -811182f0: 8580070b ldhu r22,28(r16) -811182f4: 8400080b ldhu r16,32(r16) -811182f8: 20013b1e bne r4,zero,811187e8 -811182fc: 88800803 ldbu r2,32(r17) -81118300: 00c001c4 movi r3,7 -81118304: 18bf2336 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -81118308: d1207917 ldw r4,-32284(gp) -8111830c: 01604574 movhi r5,33045 -81118310: 296aad04 addi r5,r5,-21836 -81118314: 980d883a mov r6,r19 -81118318: 112b5e00 call 8112b5e0 -8111831c: 88800803 ldbu r2,32(r17) -81118320: 00c001c4 movi r3,7 -81118324: 18bf1b36 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -81118328: 01604574 movhi r5,33045 -8111832c: 296b3204 addi r5,r5,-21304 -81118330: d1207917 ldw r4,-32284(gp) -81118334: 980d883a mov r6,r19 -81118338: 003f3806 br 8111801c <__reset+0xfb0f801c> -8111833c: 88c00803 ldbu r3,32(r17) -81118340: 010001c4 movi r4,7 -81118344: 80800503 ldbu r2,20(r16) -81118348: 84400583 ldbu r17,22(r16) -8111834c: 20c1772e bgeu r4,r3,8111892c -81118350: 14003fcc andi r16,r2,255 -81118354: 8c403fcc andi r17,r17,255 -81118358: 880f883a mov r7,r17 -8111835c: 880d883a mov r6,r17 -81118360: 01401d84 movi r5,118 -81118364: 8009883a mov r4,r16 -81118368: dfc00c17 ldw ra,48(sp) -8111836c: df000b17 ldw fp,44(sp) -81118370: ddc00a17 ldw r23,40(sp) -81118374: dd800917 ldw r22,36(sp) -81118378: dd400817 ldw r21,32(sp) -8111837c: dd000717 ldw r20,28(sp) -81118380: dcc00617 ldw r19,24(sp) -81118384: dc800517 ldw r18,20(sp) -81118388: dc400417 ldw r17,16(sp) -8111838c: dc000317 ldw r16,12(sp) -81118390: dec00d04 addi sp,sp,52 -81118394: 11263d01 jmpi 811263d0 -81118398: 88800803 ldbu r2,32(r17) -8111839c: 00c001c4 movi r3,7 -811183a0: 18befc36 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -811183a4: d1e07917 ldw r7,-32284(gp) -811183a8: 01204574 movhi r4,33045 -811183ac: 212a5004 addi r4,r4,-22208 -811183b0: 018013c4 movi r6,79 -811183b4: 01400044 movi r5,1 -811183b8: dfc00c17 ldw ra,48(sp) -811183bc: df000b17 ldw fp,44(sp) -811183c0: ddc00a17 ldw r23,40(sp) -811183c4: dd800917 ldw r22,36(sp) -811183c8: dd400817 ldw r21,32(sp) -811183cc: dd000717 ldw r20,28(sp) -811183d0: dcc00617 ldw r19,24(sp) -811183d4: dc800517 ldw r18,20(sp) -811183d8: dc400417 ldw r17,16(sp) -811183dc: dc000317 ldw r16,12(sp) -811183e0: dec00d04 addi sp,sp,52 -811183e4: 112bc4c1 jmpi 8112bc4c -811183e8: 0009883a mov r4,zero -811183ec: 000f883a mov r7,zero -811183f0: 018000c4 movi r6,3 -811183f4: 000b883a mov r5,zero -811183f8: 114478c0 call 8114478c -811183fc: 1106b840 call 81106b84 -81118400: 0009883a mov r4,zero -81118404: dfc00c17 ldw ra,48(sp) -81118408: df000b17 ldw fp,44(sp) -8111840c: ddc00a17 ldw r23,40(sp) -81118410: dd800917 ldw r22,36(sp) -81118414: dd400817 ldw r21,32(sp) -81118418: dd000717 ldw r20,28(sp) -8111841c: dcc00617 ldw r19,24(sp) -81118420: dc800517 ldw r18,20(sp) -81118424: dc400417 ldw r17,16(sp) -81118428: dc000317 ldw r16,12(sp) -8111842c: dec00d04 addi sp,sp,52 -81118430: 1106b381 jmpi 81106b38 -81118434: 800b883a mov r5,r16 -81118438: 9009883a mov r4,r18 -8111843c: dfc00c17 ldw ra,48(sp) -81118440: df000b17 ldw fp,44(sp) -81118444: ddc00a17 ldw r23,40(sp) -81118448: dd800917 ldw r22,36(sp) -8111844c: dd400817 ldw r21,32(sp) -81118450: dd000717 ldw r20,28(sp) -81118454: dcc00617 ldw r19,24(sp) -81118458: dc800517 ldw r18,20(sp) -8111845c: dc400417 ldw r17,16(sp) -81118460: dc000317 ldw r16,12(sp) -81118464: dec00d04 addi sp,sp,52 -81118468: 1117bd81 jmpi 81117bd8 -8111846c: 81400503 ldbu r5,20(r16) -81118470: 9009883a mov r4,r18 -81118474: 1117c140 call 81117c14 -81118478: 88800803 ldbu r2,32(r17) -8111847c: 00c001c4 movi r3,7 -81118480: 18bec436 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -81118484: 01204574 movhi r4,33045 -81118488: d1e07917 ldw r7,-32284(gp) -8111848c: 212a1604 addi r4,r4,-22440 -81118490: 01800404 movi r6,16 -81118494: 003fc706 br 811183b4 <__reset+0xfb0f83b4> -81118498: 88800803 ldbu r2,32(r17) -8111849c: 00c001c4 movi r3,7 -811184a0: 18bebc36 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -811184a4: 01204574 movhi r4,33045 -811184a8: d1e07917 ldw r7,-32284(gp) -811184ac: 212a6404 addi r4,r4,-22128 -811184b0: 018014c4 movi r6,83 -811184b4: 003fbf06 br 811183b4 <__reset+0xfb0f83b4> -811184b8: 8540080b ldhu r21,32(r16) -811184bc: 85c0060b ldhu r23,24(r16) -811184c0: 8580070b ldhu r22,28(r16) -811184c4: 8140050b ldhu r5,20(r16) -811184c8: a804943a slli r2,r21,16 -811184cc: 8540088b ldhu r21,34(r16) -811184d0: b808943a slli r4,r23,16 -811184d4: b006943a slli r3,r22,16 -811184d8: 85c0068b ldhu r23,26(r16) -811184dc: 8580078b ldhu r22,30(r16) -811184e0: 280a943a slli r5,r5,16 -811184e4: 81c0058b ldhu r7,22(r16) -811184e8: 89800803 ldbu r6,32(r17) -811184ec: 156ab03a or r21,r2,r21 -811184f0: 008001c4 movi r2,7 -811184f4: 29e0b03a or r16,r5,r7 -811184f8: 25eeb03a or r23,r4,r23 -811184fc: 1dacb03a or r22,r3,r22 -81118500: 1181132e bgeu r2,r6,81118950 -81118504: 84ffffcc andi r19,r16,65535 -81118508: 9809883a mov r4,r19 -8111850c: 11076080 call 81107608 -81118510: 00c00044 movi r3,1 -81118514: 10c1e126 beq r2,r3,81118c9c -81118518: 00b32914 movui r2,52388 -8111851c: 941a0f04 addi r16,r18,26684 -81118520: 94da1204 addi r19,r18,26696 -81118524: 90a5883a add r18,r18,r2 -81118528: 853fe904 addi r20,r16,-92 -8111852c: a009883a mov r4,r20 -81118530: 11024800 call 81102480 -81118534: b809883a mov r4,r23 -81118538: 11030b00 call 811030b0 -8111853c: 80800015 stw r2,0(r16) -81118540: b009883a mov r4,r22 -81118544: 110309c0 call 8110309c -81118548: 98800015 stw r2,0(r19) -8111854c: a809883a mov r4,r21 -81118550: 110309c0 call 8110309c -81118554: 80800215 stw r2,8(r16) -81118558: a009883a mov r4,r20 -8111855c: 84042f04 addi r16,r16,4284 -81118560: 11024480 call 81102448 -81118564: 9cc42f04 addi r19,r19,4284 -81118568: 943fef1e bne r18,r16,81118528 <__reset+0xfb0f8528> -8111856c: 8dc00415 stw r23,16(r17) -81118570: 8d800715 stw r22,28(r17) -81118574: 8d400615 stw r21,24(r17) -81118578: 003e8606 br 81117f94 <__reset+0xfb0f7f94> -8111857c: 00800104 movi r2,4 -81118580: 90800115 stw r2,4(r18) -81118584: 003e8306 br 81117f94 <__reset+0xfb0f7f94> -81118588: 0021883a mov r16,zero -8111858c: 048000b4 movhi r18,2 -81118590: 044003c4 movi r17,15 -81118594: 014007f4 movhi r5,31 -81118598: 0009883a mov r4,zero -8111859c: 11065dc0 call 811065dc -811185a0: 814000cc andi r5,r16,3 -811185a4: 914a983a sll r5,r18,r5 -811185a8: 01000044 movi r4,1 -811185ac: 84000044 addi r16,r16,1 -811185b0: 11065dc0 call 811065dc -811185b4: 000f883a mov r7,zero -811185b8: 01800044 movi r6,1 -811185bc: 000b883a mov r5,zero -811185c0: 0009883a mov r4,zero -811185c4: 114478c0 call 8114478c -811185c8: 80803fcc andi r2,r16,255 -811185cc: 147ff11e bne r2,r17,81118594 <__reset+0xfb0f8594> -811185d0: 014007f4 movhi r5,31 -811185d4: 01000044 movi r4,1 -811185d8: dfc00c17 ldw ra,48(sp) -811185dc: df000b17 ldw fp,44(sp) -811185e0: ddc00a17 ldw r23,40(sp) -811185e4: dd800917 ldw r22,36(sp) -811185e8: dd400817 ldw r21,32(sp) -811185ec: dd000717 ldw r20,28(sp) -811185f0: dcc00617 ldw r19,24(sp) -811185f4: dc800517 ldw r18,20(sp) -811185f8: dc400417 ldw r17,16(sp) -811185fc: dc000317 ldw r16,12(sp) -81118600: dec00d04 addi sp,sp,52 -81118604: 11065dc1 jmpi 811065dc -81118608: 8180050b ldhu r6,20(r16) -8111860c: 88800803 ldbu r2,32(r17) -81118610: 00c00044 movi r3,1 -81118614: 90d94315 stw r3,25868(r18) -81118618: 9199428d sth r6,25866(r18) -8111861c: 00c001c4 movi r3,7 -81118620: 18be5c36 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -81118624: 01604574 movhi r5,33045 -81118628: 296a0b04 addi r5,r5,-22484 -8111862c: d1207917 ldw r4,-32284(gp) -81118630: 31bfffcc andi r6,r6,65535 -81118634: 003e7906 br 8111801c <__reset+0xfb0f801c> -81118638: 85400503 ldbu r21,20(r16) -8111863c: ad042f24 muli r20,r21,4284 -81118640: a4d9d604 addi r19,r20,26456 -81118644: 94e7883a add r19,r18,r19 -81118648: 9809883a mov r4,r19 -8111864c: 1104b580 call 81104b58 -81118650: 9525883a add r18,r18,r20 -81118654: 9019d715 stw zero,26460(r18) -81118658: 9809883a mov r4,r19 -8111865c: 1104b300 call 81104b30 -81118660: 88800803 ldbu r2,32(r17) -81118664: 00c001c4 movi r3,7 -81118668: 18be4a36 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -8111866c: d1207917 ldw r4,-32284(gp) -81118670: 8180050b ldhu r6,20(r16) -81118674: 01604574 movhi r5,33045 -81118678: 2969fc04 addi r5,r5,-22544 -8111867c: 112b5e00 call 8112b5e0 -81118680: 01604574 movhi r5,33045 -81118684: a80d883a mov r6,r21 -81118688: 296a0604 addi r5,r5,-22504 -8111868c: d1207917 ldw r4,-32284(gp) -81118690: 003e6206 br 8111801c <__reset+0xfb0f801c> -81118694: 85400503 ldbu r21,20(r16) -81118698: acc42f24 muli r19,r21,4284 -8111869c: 9d19d604 addi r20,r19,26456 -811186a0: 9529883a add r20,r18,r20 -811186a4: a009883a mov r4,r20 -811186a8: 1104b580 call 81104b58 -811186ac: 8080058b ldhu r2,22(r16) -811186b0: 00c00044 movi r3,1 -811186b4: 94e5883a add r18,r18,r19 -811186b8: 90d9d715 stw r3,26460(r18) -811186bc: 9099d815 stw r2,26464(r18) -811186c0: a009883a mov r4,r20 -811186c4: 1104b300 call 81104b30 -811186c8: 88800803 ldbu r2,32(r17) -811186cc: 00c001c4 movi r3,7 -811186d0: 18be3036 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -811186d4: 8180050b ldhu r6,20(r16) -811186d8: d1207917 ldw r4,-32284(gp) -811186dc: 01604574 movhi r5,33045 -811186e0: 2969fc04 addi r5,r5,-22544 -811186e4: 112b5e00 call 8112b5e0 -811186e8: 01604574 movhi r5,33045 -811186ec: 296a0104 addi r5,r5,-22524 -811186f0: d1207917 ldw r4,-32284(gp) -811186f4: 8180058b ldhu r6,22(r16) -811186f8: 003fe006 br 8111867c <__reset+0xfb0f867c> -811186fc: 8080050b ldhu r2,20(r16) -81118700: 1000331e bne r2,zero,811187d0 -81118704: 000b883a mov r5,zero -81118708: 9009883a mov r4,r18 -8111870c: 11262000 call 81126200 -81118710: 88000905 stb zero,36(r17) -81118714: 003e1f06 br 81117f94 <__reset+0xfb0f7f94> -81118718: 88800803 ldbu r2,32(r17) -8111871c: 00c001c4 movi r3,7 -81118720: 18be1c36 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -81118724: 01204574 movhi r4,33045 -81118728: d1e07917 ldw r7,-32284(gp) -8111872c: 212a2d04 addi r4,r4,-22348 -81118730: 01801044 movi r6,65 -81118734: 003f1f06 br 811183b4 <__reset+0xfb0f83b4> -81118738: 88800803 ldbu r2,32(r17) -8111873c: 00c001c4 movi r3,7 -81118740: 18be1436 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -81118744: 01204574 movhi r4,33045 -81118748: d1e07917 ldw r7,-32284(gp) -8111874c: 212a3e04 addi r4,r4,-22280 -81118750: 01801144 movi r6,69 -81118754: 003f1706 br 811183b4 <__reset+0xfb0f83b4> -81118758: 88800803 ldbu r2,32(r17) -8111875c: 00c001c4 movi r3,7 -81118760: 18be0c36 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -81118764: 01204574 movhi r4,33045 -81118768: d1e07917 ldw r7,-32284(gp) -8111876c: 212a1b04 addi r4,r4,-22420 -81118770: 01801184 movi r6,70 -81118774: 003f0f06 br 811183b4 <__reset+0xfb0f83b4> -81118778: 88800803 ldbu r2,32(r17) -8111877c: 00c001c4 movi r3,7 -81118780: 18be0436 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -81118784: 01204574 movhi r4,33045 -81118788: d1e07917 ldw r7,-32284(gp) -8111878c: 21299304 addi r4,r4,-22964 -81118790: 01800b04 movi r6,44 -81118794: 003f0706 br 811183b4 <__reset+0xfb0f83b4> -81118798: 88800803 ldbu r2,32(r17) -8111879c: 00c001c4 movi r3,7 -811187a0: 18bdfc36 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -811187a4: 01604574 movhi r5,33045 -811187a8: 296d1604 addi r5,r5,-19368 -811187ac: d1207917 ldw r4,-32284(gp) -811187b0: 800d883a mov r6,r16 -811187b4: 003e1906 br 8111801c <__reset+0xfb0f801c> -811187b8: 88800803 ldbu r2,32(r17) -811187bc: 00c001c4 movi r3,7 -811187c0: 18bdf436 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -811187c4: 01604574 movhi r5,33045 -811187c8: 296ce404 addi r5,r5,-19568 -811187cc: 003faf06 br 8111868c <__reset+0xfb0f868c> -811187d0: 04000044 movi r16,1 -811187d4: 800b883a mov r5,r16 -811187d8: 9009883a mov r4,r18 -811187dc: 11262000 call 81126200 -811187e0: 8c000905 stb r16,36(r17) -811187e4: 003deb06 br 81117f94 <__reset+0xfb0f7f94> -811187e8: 90c5883a add r2,r18,r3 -811187ec: 119af104 addi r6,r2,27588 -811187f0: 109af144 addi r2,r2,27589 -811187f4: 31000003 ldbu r4,0(r6) -811187f8: 10800003 ldbu r2,0(r2) -811187fc: 21003fcc andi r4,r4,255 -81118800: 10803fcc andi r2,r2,255 -81118804: 2085883a add r2,r4,r2 -81118808: 010018c4 movi r4,99 -8111880c: 2080950e bge r4,r2,81118a64 -81118810: 88800803 ldbu r2,32(r17) -81118814: 00c001c4 movi r3,7 -81118818: 18bdde36 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -8111881c: 01604574 movhi r5,33045 -81118820: 296ac604 addi r5,r5,-21736 -81118824: d1207917 ldw r4,-32284(gp) -81118828: 980d883a mov r6,r19 -8111882c: 003dfb06 br 8111801c <__reset+0xfb0f801c> -81118830: 9919f804 addi r4,r19,26592 -81118834: 000b883a mov r5,zero -81118838: 9109883a add r4,r18,r4 -8111883c: 11027b80 call 811027b8 -81118840: 1000d526 beq r2,zero,81118b98 +81117d78: 11025600 call 81102560 +81117d7c: 8809883a mov r4,r17 +81117d80: 801a1615 stw zero,26712(r16) +81117d84: 851a1715 stw r20,26716(r16) +81117d88: 801a1815 stw zero,26720(r16) +81117d8c: 11025180 call 81102518 +81117d90: 809ac604 addi r2,r16,27416 +81117d94: 10c00017 ldw r3,0(r2) +81117d98: 1d001926 beq r3,r20,81117e00 +81117d9c: 8809883a mov r4,r17 +81117da0: 1102d780 call 81102d78 +81117da4: 9ca5883a add r18,r19,r18 +81117da8: 8809883a mov r4,r17 +81117dac: 000b883a mov r5,zero +81117db0: 901acf05 stb zero,27452(r18) +81117db4: 901af015 stw zero,27584(r18) +81117db8: 1102a900 call 81102a90 +81117dbc: 01400044 movi r5,1 +81117dc0: 8809883a mov r4,r17 +81117dc4: 1102a900 call 81102a90 +81117dc8: 901d7215 stw zero,30152(r18) +81117dcc: 901d7315 stw zero,30156(r18) +81117dd0: dfc00917 ldw ra,36(sp) +81117dd4: df000817 ldw fp,32(sp) +81117dd8: ddc00717 ldw r23,28(sp) +81117ddc: dd800617 ldw r22,24(sp) +81117de0: dd400517 ldw r21,20(sp) +81117de4: dd000417 ldw r20,16(sp) +81117de8: dcc00317 ldw r19,12(sp) +81117dec: dc800217 ldw r18,8(sp) +81117df0: dc400117 ldw r17,4(sp) +81117df4: dc000017 ldw r16,0(sp) +81117df8: dec00a04 addi sp,sp,40 +81117dfc: f800283a ret +81117e00: 8809883a mov r4,r17 +81117e04: 10000015 stw zero,0(r2) +81117e08: 11023980 call 81102398 +81117e0c: 809ac703 ldbu r2,27420(r16) +81117e10: 8809883a mov r4,r17 +81117e14: 809a0615 stw r2,26648(r16) +81117e18: 11023600 call 81102360 +81117e1c: 003fdf06 br 81117d9c <__reset+0xfb0f7d9c> + +81117e20 : +81117e20: defff304 addi sp,sp,-52 +81117e24: dc400415 stw r17,16(sp) +81117e28: 04604634 movhi r17,33048 +81117e2c: dc800515 stw r18,20(sp) +81117e30: dc000315 stw r16,12(sp) +81117e34: dfc00c15 stw ra,48(sp) +81117e38: df000b15 stw fp,44(sp) +81117e3c: ddc00a15 stw r23,40(sp) +81117e40: dd800915 stw r22,36(sp) +81117e44: dd400815 stw r21,32(sp) +81117e48: dd000715 stw r20,28(sp) +81117e4c: dcc00615 stw r19,24(sp) +81117e50: 8c4f1904 addi r17,r17,15460 +81117e54: 88800803 ldbu r2,32(r17) +81117e58: 00c00084 movi r3,2 +81117e5c: 2025883a mov r18,r4 +81117e60: 2821883a mov r16,r5 +81117e64: 18803f2e bgeu r3,r2,81117f64 +81117e68: 8080038b ldhu r2,14(r16) +81117e6c: 00c00cc4 movi r3,51 +81117e70: 10bff8c4 addi r2,r2,-29 +81117e74: 10bfffcc andi r2,r2,65535 +81117e78: 18824c36 bltu r3,r2,811187ac +81117e7c: 100490ba slli r2,r2,2 +81117e80: 00e04474 movhi r3,33041 +81117e84: 18dfa504 addi r3,r3,32404 +81117e88: 10c5883a add r2,r2,r3 +81117e8c: 10800017 ldw r2,0(r2) +81117e90: 1000683a jmp r2 +81117e94: 81118730 cmpltui r4,r16,17948 +81117e98: 811187ac andhi r4,r16,17950 +81117e9c: 811187ac andhi r4,r16,17950 +81117ea0: 811187ac andhi r4,r16,17950 +81117ea4: 811187ac andhi r4,r16,17950 +81117ea8: 811187ac andhi r4,r16,17950 +81117eac: 811187ac andhi r4,r16,17950 +81117eb0: 811186c8 cmpgei r4,r16,17947 +81117eb4: 8111866c andhi r4,r16,17945 +81117eb8: 811187ac andhi r4,r16,17950 +81117ebc: 811187ac andhi r4,r16,17950 +81117ec0: 811187ac andhi r4,r16,17950 +81117ec4: 811187ac andhi r4,r16,17950 +81117ec8: 811187ac andhi r4,r16,17950 +81117ecc: 811187ac andhi r4,r16,17950 +81117ed0: 8111863c xorhi r4,r16,17944 +81117ed4: 811187ac andhi r4,r16,17950 +81117ed8: 8111878c andi r4,r16,17950 +81117edc: 8111874c andi r4,r16,17949 +81117ee0: 8111876c andhi r4,r16,17949 +81117ee4: 811183cc andi r4,r16,17935 +81117ee8: 811183cc andi r4,r16,17935 +81117eec: 811184cc andi r4,r16,17939 +81117ef0: 811184cc andi r4,r16,17939 +81117ef4: 811184a0 cmpeqi r4,r16,17938 +81117ef8: 811187ac andhi r4,r16,17950 +81117efc: 811187ac andhi r4,r16,17950 +81117f00: 811187ac andhi r4,r16,17950 +81117f04: 811187ac andhi r4,r16,17950 +81117f08: 81118468 cmpgeui r4,r16,17937 +81117f0c: 8111841c xori r4,r16,17936 +81117f10: 811187ac andhi r4,r16,17950 +81117f14: 811185b0 cmpltui r4,r16,17942 +81117f18: 811187ac andhi r4,r16,17950 +81117f1c: 811184cc andi r4,r16,17939 +81117f20: 811184ec andhi r4,r16,17939 +81117f24: 811187ac andhi r4,r16,17950 +81117f28: 811185bc xorhi r4,r16,17942 +81117f2c: 811183cc andi r4,r16,17935 +81117f30: 811187ac andhi r4,r16,17950 +81117f34: 811187ac andhi r4,r16,17950 +81117f38: 81118370 cmpltui r4,r16,17933 +81117f3c: 811187ac andhi r4,r16,17950 +81117f40: 811184cc andi r4,r16,17939 +81117f44: 811182fc xorhi r4,r16,17931 +81117f48: 81118204 addi r4,r16,17928 +81117f4c: 81118198 cmpnei r4,r16,17926 +81117f50: 811187ac andhi r4,r16,17950 +81117f54: 811187ac andhi r4,r16,17950 +81117f58: 81118080 call 88111808 <__reset+0x20f1808> +81117f5c: 81117ff8 rdprs r4,r16,17919 +81117f60: 81117f7c xorhi r4,r16,17917 +81117f64: 2980038b ldhu r6,14(r5) +81117f68: d1207917 ldw r4,-32284(gp) +81117f6c: 01604574 movhi r5,33045 +81117f70: 296a0104 addi r5,r5,-22524 +81117f74: 112b6200 call 8112b620 +81117f78: 003fbb06 br 81117e68 <__reset+0xfb0f7e68> +81117f7c: 84000503 ldbu r16,20(r16) +81117f80: 81042f24 muli r4,r16,4284 +81117f84: 209acf04 addi r2,r4,27452 +81117f88: 2119f804 addi r4,r4,26592 +81117f8c: 9085883a add r2,r18,r2 +81117f90: 9109883a add r4,r18,r4 +81117f94: d0a07115 stw r2,-32316(gp) +81117f98: 1102bfc0 call 81102bfc +81117f9c: 10020b26 beq r2,zero,811187cc +81117fa0: 88800803 ldbu r2,32(r17) +81117fa4: 00c001c4 movi r3,7 +81117fa8: 18800536 bltu r3,r2,81117fc0 +81117fac: d1207917 ldw r4,-32284(gp) +81117fb0: 01604574 movhi r5,33045 +81117fb4: 296d3504 addi r5,r5,-19244 +81117fb8: 800d883a mov r6,r16 +81117fbc: 112b6200 call 8112b620 +81117fc0: d0a07117 ldw r2,-32316(gp) +81117fc4: 10000005 stb zero,0(r2) +81117fc8: dfc00c17 ldw ra,48(sp) +81117fcc: df000b17 ldw fp,44(sp) +81117fd0: ddc00a17 ldw r23,40(sp) +81117fd4: dd800917 ldw r22,36(sp) +81117fd8: dd400817 ldw r21,32(sp) +81117fdc: dd000717 ldw r20,28(sp) +81117fe0: dcc00617 ldw r19,24(sp) +81117fe4: dc800517 ldw r18,20(sp) +81117fe8: dc400417 ldw r17,16(sp) +81117fec: dc000317 ldw r16,12(sp) +81117ff0: dec00d04 addi sp,sp,52 +81117ff4: f800283a ret +81117ff8: 84c00503 ldbu r19,20(r16) +81117ffc: 9c042f24 muli r16,r19,4284 +81118000: 9405883a add r2,r18,r16 +81118004: 109acf04 addi r2,r2,27452 +81118008: 10c00003 ldbu r3,0(r2) +8111800c: 811acf04 addi r4,r16,27452 +81118010: 9109883a add r4,r18,r4 +81118014: d1207115 stw r4,-32316(gp) +81118018: 18c03fcc andi r3,r3,255 +8111801c: 1802961e bne r3,zero,81118a78 +81118020: 8419f804 addi r16,r16,26592 +81118024: 9425883a add r18,r18,r16 +81118028: 9009883a mov r4,r18 +8111802c: 1102bfc0 call 81102bfc +81118030: 1002691e bne r2,zero,811189d8 +81118034: 88800803 ldbu r2,32(r17) +81118038: 00c001c4 movi r3,7 +8111803c: 18bfe236 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +81118040: d1207917 ldw r4,-32284(gp) +81118044: 01604574 movhi r5,33045 +81118048: 296d2604 addi r5,r5,-19304 +8111804c: 980d883a mov r6,r19 +81118050: dfc00c17 ldw ra,48(sp) +81118054: df000b17 ldw fp,44(sp) +81118058: ddc00a17 ldw r23,40(sp) +8111805c: dd800917 ldw r22,36(sp) +81118060: dd400817 ldw r21,32(sp) +81118064: dd000717 ldw r20,28(sp) +81118068: dcc00617 ldw r19,24(sp) +8111806c: dc800517 ldw r18,20(sp) +81118070: dc400417 ldw r17,16(sp) +81118074: dc000317 ldw r16,12(sp) +81118078: dec00d04 addi sp,sp,52 +8111807c: 112b6201 jmpi 8112b620 +81118080: 81800503 ldbu r6,20(r16) +81118084: 30842f24 muli r2,r6,4284 +81118088: 9087883a add r3,r18,r2 +8111808c: 18dacf04 addi r3,r3,27452 +81118090: 19000003 ldbu r4,0(r3) +81118094: 115acf04 addi r5,r2,27452 +81118098: 914b883a add r5,r18,r5 +8111809c: d1607115 stw r5,-32316(gp) +811180a0: 21003fcc andi r4,r4,255 +811180a4: 01400284 movi r5,10 +811180a8: 2901d036 bltu r5,r4,811187ec +811180ac: 19000003 ldbu r4,0(r3) +811180b0: 8200058b ldhu r8,22(r16) +811180b4: 8240060b ldhu r9,24(r16) +811180b8: 21003fcc andi r4,r4,255 +811180bc: 21003144 addi r4,r4,197 +811180c0: 200890fa slli r4,r4,3 +811180c4: 81c0068b ldhu r7,26(r16) +811180c8: 8140070b ldhu r5,28(r16) +811180cc: 2089883a add r4,r4,r2 +811180d0: 9109883a add r4,r18,r4 +811180d4: 21194584 addi r4,r4,25878 +811180d8: 2200000d sth r8,0(r4) +811180dc: 19000003 ldbu r4,0(r3) +811180e0: 8a000803 ldbu r8,32(r17) +811180e4: 21003fcc andi r4,r4,255 +811180e8: 21003144 addi r4,r4,197 +811180ec: 200890fa slli r4,r4,3 +811180f0: 2089883a add r4,r4,r2 +811180f4: 9109883a add r4,r18,r4 +811180f8: 21194604 addi r4,r4,25880 +811180fc: 2240000d sth r9,0(r4) +81118100: 19000003 ldbu r4,0(r3) +81118104: 21003fcc andi r4,r4,255 +81118108: 210002c4 addi r4,r4,11 +8111810c: 200890fa slli r4,r4,3 +81118110: 2089883a add r4,r4,r2 +81118114: 9109883a add r4,r18,r4 +81118118: 211aba84 addi r4,r4,27370 +8111811c: 21c0000d sth r7,0(r4) +81118120: 19000003 ldbu r4,0(r3) +81118124: 21003fcc andi r4,r4,255 +81118128: 210002c4 addi r4,r4,11 +8111812c: 200890fa slli r4,r4,3 +81118130: 2085883a add r2,r4,r2 +81118134: 90a5883a add r18,r18,r2 +81118138: 949abb04 addi r18,r18,27372 +8111813c: 9140000d sth r5,0(r18) +81118140: 18800003 ldbu r2,0(r3) +81118144: 10800044 addi r2,r2,1 +81118148: 18800005 stb r2,0(r3) +8111814c: 008001c4 movi r2,7 +81118150: 123f9d36 bltu r2,r8,81117fc8 <__reset+0xfb0f7fc8> +81118154: 19c00003 ldbu r7,0(r3) +81118158: d1207917 ldw r4,-32284(gp) +8111815c: 01604574 movhi r5,33045 +81118160: 296ce104 addi r5,r5,-19580 +81118164: 39c03fcc andi r7,r7,255 +81118168: dfc00c17 ldw ra,48(sp) +8111816c: df000b17 ldw fp,44(sp) +81118170: ddc00a17 ldw r23,40(sp) +81118174: dd800917 ldw r22,36(sp) +81118178: dd400817 ldw r21,32(sp) +8111817c: dd000717 ldw r20,28(sp) +81118180: dcc00617 ldw r19,24(sp) +81118184: dc800517 ldw r18,20(sp) +81118188: dc400417 ldw r17,16(sp) +8111818c: dc000317 ldw r16,12(sp) +81118190: dec00d04 addi sp,sp,52 +81118194: 112b6201 jmpi 8112b620 +81118198: 85000503 ldbu r20,20(r16) +8111819c: 8400058b ldhu r16,22(r16) +811181a0: a4c42f24 muli r19,r20,4284 +811181a4: 80ffff4c andi r3,r16,65533 +811181a8: 989af104 addi r2,r19,27588 +811181ac: 9085883a add r2,r18,r2 +811181b0: d0a07215 stw r2,-32312(gp) +811181b4: 1801ab26 beq r3,zero,81118864 +811181b8: 843fffc4 addi r16,r16,-1 +811181bc: 843fffcc andi r16,r16,65535 +811181c0: 01400044 movi r5,1 +811181c4: 2c3f8036 bltu r5,r16,81117fc8 <__reset+0xfb0f7fc8> +811181c8: 9919f804 addi r4,r19,26592 +811181cc: 9109883a add r4,r18,r4 +811181d0: 11027b80 call 811027b8 +811181d4: 1002cb26 beq r2,zero,81118d04 +811181d8: 88800803 ldbu r2,32(r17) +811181dc: 00c001c4 movi r3,7 +811181e0: 18800536 bltu r3,r2,811181f8 +811181e4: d1207917 ldw r4,-32284(gp) +811181e8: 01604574 movhi r5,33045 +811181ec: 296cbb04 addi r5,r5,-19732 +811181f0: a00d883a mov r6,r20 +811181f4: 112b6200 call 8112b620 +811181f8: d0a07217 ldw r2,-32312(gp) +811181fc: 10000045 stb zero,1(r2) +81118200: 003f7106 br 81117fc8 <__reset+0xfb0f7fc8> +81118204: 84c00503 ldbu r19,20(r16) +81118208: 8580058b ldhu r22,22(r16) +8111820c: 9c042f24 muli r16,r19,4284 +81118210: b0bfff4c andi r2,r22,65533 +81118214: 811af104 addi r4,r16,27588 +81118218: 9109883a add r4,r18,r4 +8111821c: d1207215 stw r4,-32312(gp) +81118220: 10019b26 beq r2,zero,81118890 +81118224: b5bfffc4 addi r22,r22,-1 +81118228: b5bfffcc andi r22,r22,65535 +8111822c: 00800044 movi r2,1 +81118230: 15bf6536 bltu r2,r22,81117fc8 <__reset+0xfb0f7fc8> +81118234: d1207217 ldw r4,-32312(gp) +81118238: 01e04474 movhi r7,33041 +8111823c: 39dc2104 addi r7,r7,28804 +81118240: 21400043 ldbu r5,1(r4) +81118244: 01800284 movi r6,10 +81118248: 21014084 addi r4,r4,1282 +8111824c: 29403fcc andi r5,r5,255 +81118250: 112cb940 call 8112cb94 +81118254: 88800803 ldbu r2,32(r17) +81118258: 00c001c4 movi r3,7 +8111825c: 1882f52e bgeu r3,r2,81118e34 +81118260: 8559f804 addi r21,r16,26592 +81118264: 956b883a add r21,r18,r21 +81118268: 01400044 movi r5,1 +8111826c: a809883a mov r4,r21 +81118270: 11027b80 call 811027b8 +81118274: 10029b26 beq r2,zero,81118ce4 +81118278: 88800803 ldbu r2,32(r17) +8111827c: 00c001c4 movi r3,7 +81118280: 1883352e bgeu r3,r2,81118f58 +81118284: 01400044 movi r5,1 +81118288: a809883a mov r4,r21 +8111828c: 11028400 call 81102840 +81118290: 103f4d26 beq r2,zero,81117fc8 <__reset+0xfb0f7fc8> +81118294: 88800803 ldbu r2,32(r17) +81118298: 00c001c4 movi r3,7 +8111829c: 18836b2e bgeu r3,r2,8111904c +811182a0: d1a07217 ldw r6,-32312(gp) +811182a4: 30800043 ldbu r2,1(r6) +811182a8: 10803fcc andi r2,r2,255 +811182ac: 10033e1e bne r2,zero,81118fa8 +811182b0: 01400044 movi r5,1 +811182b4: a809883a mov r4,r21 +811182b8: 11029ac0 call 811029ac +811182bc: 10031d26 beq r2,zero,81118f34 +811182c0: 88800803 ldbu r2,32(r17) +811182c4: 00c001c4 movi r3,7 +811182c8: 18836d2e bgeu r3,r2,81119080 +811182cc: a809883a mov r4,r21 +811182d0: 11027800 call 81102780 +811182d4: 88800803 ldbu r2,32(r17) +811182d8: 00c001c4 movi r3,7 +811182dc: 18bf3a36 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +811182e0: 9421883a add r16,r18,r16 +811182e4: 01604574 movhi r5,33045 +811182e8: 81da4403 ldbu r7,26896(r16) +811182ec: 296c6c04 addi r5,r5,-20048 +811182f0: d1207917 ldw r4,-32284(gp) +811182f4: 980d883a mov r6,r19 +811182f8: 003f9b06 br 81118168 <__reset+0xfb0f8168> +811182fc: 84c00503 ldbu r19,20(r16) +81118300: 8540078b ldhu r21,30(r16) +81118304: 85c0058b ldhu r23,22(r16) +81118308: 98c42f24 muli r3,r19,4284 +8111830c: a93fffcc andi r4,r21,65535 +81118310: 8700060b ldhu fp,24(r16) +81118314: 189af104 addi r2,r3,27588 +81118318: 9085883a add r2,r18,r2 +8111831c: d0a07215 stw r2,-32312(gp) +81118320: 8500068b ldhu r20,26(r16) +81118324: 8580070b ldhu r22,28(r16) +81118328: 8400080b ldhu r16,32(r16) +8111832c: 20013b1e bne r4,zero,8111881c +81118330: 88800803 ldbu r2,32(r17) +81118334: 00c001c4 movi r3,7 +81118338: 18bf2336 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +8111833c: d1207917 ldw r4,-32284(gp) +81118340: 01604574 movhi r5,33045 +81118344: 296abd04 addi r5,r5,-21772 +81118348: 980d883a mov r6,r19 +8111834c: 112b6200 call 8112b620 +81118350: 88800803 ldbu r2,32(r17) +81118354: 00c001c4 movi r3,7 +81118358: 18bf1b36 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +8111835c: 01604574 movhi r5,33045 +81118360: 296b4204 addi r5,r5,-21240 +81118364: d1207917 ldw r4,-32284(gp) +81118368: 980d883a mov r6,r19 +8111836c: 003f3806 br 81118050 <__reset+0xfb0f8050> +81118370: 88c00803 ldbu r3,32(r17) +81118374: 010001c4 movi r4,7 +81118378: 80800503 ldbu r2,20(r16) +8111837c: 84400583 ldbu r17,22(r16) +81118380: 20c1772e bgeu r4,r3,81118960 +81118384: 14003fcc andi r16,r2,255 +81118388: 8c403fcc andi r17,r17,255 +8111838c: 880f883a mov r7,r17 +81118390: 880d883a mov r6,r17 +81118394: 01401d84 movi r5,118 +81118398: 8009883a mov r4,r16 +8111839c: dfc00c17 ldw ra,48(sp) +811183a0: df000b17 ldw fp,44(sp) +811183a4: ddc00a17 ldw r23,40(sp) +811183a8: dd800917 ldw r22,36(sp) +811183ac: dd400817 ldw r21,32(sp) +811183b0: dd000717 ldw r20,28(sp) +811183b4: dcc00617 ldw r19,24(sp) +811183b8: dc800517 ldw r18,20(sp) +811183bc: dc400417 ldw r17,16(sp) +811183c0: dc000317 ldw r16,12(sp) +811183c4: dec00d04 addi sp,sp,52 +811183c8: 11264101 jmpi 81126410 +811183cc: 88800803 ldbu r2,32(r17) +811183d0: 00c001c4 movi r3,7 +811183d4: 18befc36 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +811183d8: d1e07917 ldw r7,-32284(gp) +811183dc: 01204574 movhi r4,33045 +811183e0: 212a6004 addi r4,r4,-22144 +811183e4: 018013c4 movi r6,79 +811183e8: 01400044 movi r5,1 +811183ec: dfc00c17 ldw ra,48(sp) +811183f0: df000b17 ldw fp,44(sp) +811183f4: ddc00a17 ldw r23,40(sp) +811183f8: dd800917 ldw r22,36(sp) +811183fc: dd400817 ldw r21,32(sp) +81118400: dd000717 ldw r20,28(sp) +81118404: dcc00617 ldw r19,24(sp) +81118408: dc800517 ldw r18,20(sp) +8111840c: dc400417 ldw r17,16(sp) +81118410: dc000317 ldw r16,12(sp) +81118414: dec00d04 addi sp,sp,52 +81118418: 112bc8c1 jmpi 8112bc8c +8111841c: 0009883a mov r4,zero +81118420: 000f883a mov r7,zero +81118424: 018000c4 movi r6,3 +81118428: 000b883a mov r5,zero +8111842c: 11447cc0 call 811447cc +81118430: 1106bb80 call 81106bb8 +81118434: 0009883a mov r4,zero +81118438: dfc00c17 ldw ra,48(sp) +8111843c: df000b17 ldw fp,44(sp) +81118440: ddc00a17 ldw r23,40(sp) +81118444: dd800917 ldw r22,36(sp) +81118448: dd400817 ldw r21,32(sp) +8111844c: dd000717 ldw r20,28(sp) +81118450: dcc00617 ldw r19,24(sp) +81118454: dc800517 ldw r18,20(sp) +81118458: dc400417 ldw r17,16(sp) +8111845c: dc000317 ldw r16,12(sp) +81118460: dec00d04 addi sp,sp,52 +81118464: 1106b6c1 jmpi 81106b6c +81118468: 800b883a mov r5,r16 +8111846c: 9009883a mov r4,r18 +81118470: dfc00c17 ldw ra,48(sp) +81118474: df000b17 ldw fp,44(sp) +81118478: ddc00a17 ldw r23,40(sp) +8111847c: dd800917 ldw r22,36(sp) +81118480: dd400817 ldw r21,32(sp) +81118484: dd000717 ldw r20,28(sp) +81118488: dcc00617 ldw r19,24(sp) +8111848c: dc800517 ldw r18,20(sp) +81118490: dc400417 ldw r17,16(sp) +81118494: dc000317 ldw r16,12(sp) +81118498: dec00d04 addi sp,sp,52 +8111849c: 1117c0c1 jmpi 81117c0c +811184a0: 81400503 ldbu r5,20(r16) +811184a4: 9009883a mov r4,r18 +811184a8: 1117c480 call 81117c48 +811184ac: 88800803 ldbu r2,32(r17) +811184b0: 00c001c4 movi r3,7 +811184b4: 18bec436 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +811184b8: 01204574 movhi r4,33045 +811184bc: d1e07917 ldw r7,-32284(gp) +811184c0: 212a2604 addi r4,r4,-22376 +811184c4: 01800404 movi r6,16 +811184c8: 003fc706 br 811183e8 <__reset+0xfb0f83e8> +811184cc: 88800803 ldbu r2,32(r17) +811184d0: 00c001c4 movi r3,7 +811184d4: 18bebc36 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +811184d8: 01204574 movhi r4,33045 +811184dc: d1e07917 ldw r7,-32284(gp) +811184e0: 212a7404 addi r4,r4,-22064 +811184e4: 018014c4 movi r6,83 +811184e8: 003fbf06 br 811183e8 <__reset+0xfb0f83e8> +811184ec: 8540080b ldhu r21,32(r16) +811184f0: 85c0060b ldhu r23,24(r16) +811184f4: 8580070b ldhu r22,28(r16) +811184f8: 8140050b ldhu r5,20(r16) +811184fc: a804943a slli r2,r21,16 +81118500: 8540088b ldhu r21,34(r16) +81118504: b808943a slli r4,r23,16 +81118508: b006943a slli r3,r22,16 +8111850c: 85c0068b ldhu r23,26(r16) +81118510: 8580078b ldhu r22,30(r16) +81118514: 280a943a slli r5,r5,16 +81118518: 81c0058b ldhu r7,22(r16) +8111851c: 89800803 ldbu r6,32(r17) +81118520: 156ab03a or r21,r2,r21 +81118524: 008001c4 movi r2,7 +81118528: 29e0b03a or r16,r5,r7 +8111852c: 25eeb03a or r23,r4,r23 +81118530: 1dacb03a or r22,r3,r22 +81118534: 1181132e bgeu r2,r6,81118984 +81118538: 84ffffcc andi r19,r16,65535 +8111853c: 9809883a mov r4,r19 +81118540: 110763c0 call 8110763c +81118544: 00c00044 movi r3,1 +81118548: 10c1e126 beq r2,r3,81118cd0 +8111854c: 00b32914 movui r2,52388 +81118550: 941a0f04 addi r16,r18,26684 +81118554: 94da1204 addi r19,r18,26696 +81118558: 90a5883a add r18,r18,r2 +8111855c: 853fe904 addi r20,r16,-92 +81118560: a009883a mov r4,r20 +81118564: 11024800 call 81102480 +81118568: b809883a mov r4,r23 +8111856c: 11030b00 call 811030b0 +81118570: 80800015 stw r2,0(r16) +81118574: b009883a mov r4,r22 +81118578: 110309c0 call 8110309c +8111857c: 98800015 stw r2,0(r19) +81118580: a809883a mov r4,r21 +81118584: 110309c0 call 8110309c +81118588: 80800215 stw r2,8(r16) +8111858c: a009883a mov r4,r20 +81118590: 84042f04 addi r16,r16,4284 +81118594: 11024480 call 81102448 +81118598: 9cc42f04 addi r19,r19,4284 +8111859c: 943fef1e bne r18,r16,8111855c <__reset+0xfb0f855c> +811185a0: 8dc00415 stw r23,16(r17) +811185a4: 8d800715 stw r22,28(r17) +811185a8: 8d400615 stw r21,24(r17) +811185ac: 003e8606 br 81117fc8 <__reset+0xfb0f7fc8> +811185b0: 00800104 movi r2,4 +811185b4: 90800115 stw r2,4(r18) +811185b8: 003e8306 br 81117fc8 <__reset+0xfb0f7fc8> +811185bc: 0021883a mov r16,zero +811185c0: 048000b4 movhi r18,2 +811185c4: 044003c4 movi r17,15 +811185c8: 014007f4 movhi r5,31 +811185cc: 0009883a mov r4,zero +811185d0: 11066100 call 81106610 +811185d4: 814000cc andi r5,r16,3 +811185d8: 914a983a sll r5,r18,r5 +811185dc: 01000044 movi r4,1 +811185e0: 84000044 addi r16,r16,1 +811185e4: 11066100 call 81106610 +811185e8: 000f883a mov r7,zero +811185ec: 01800044 movi r6,1 +811185f0: 000b883a mov r5,zero +811185f4: 0009883a mov r4,zero +811185f8: 11447cc0 call 811447cc +811185fc: 80803fcc andi r2,r16,255 +81118600: 147ff11e bne r2,r17,811185c8 <__reset+0xfb0f85c8> +81118604: 014007f4 movhi r5,31 +81118608: 01000044 movi r4,1 +8111860c: dfc00c17 ldw ra,48(sp) +81118610: df000b17 ldw fp,44(sp) +81118614: ddc00a17 ldw r23,40(sp) +81118618: dd800917 ldw r22,36(sp) +8111861c: dd400817 ldw r21,32(sp) +81118620: dd000717 ldw r20,28(sp) +81118624: dcc00617 ldw r19,24(sp) +81118628: dc800517 ldw r18,20(sp) +8111862c: dc400417 ldw r17,16(sp) +81118630: dc000317 ldw r16,12(sp) +81118634: dec00d04 addi sp,sp,52 +81118638: 11066101 jmpi 81106610 +8111863c: 8180050b ldhu r6,20(r16) +81118640: 88800803 ldbu r2,32(r17) +81118644: 00c00044 movi r3,1 +81118648: 90d94315 stw r3,25868(r18) +8111864c: 9199428d sth r6,25866(r18) +81118650: 00c001c4 movi r3,7 +81118654: 18be5c36 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +81118658: 01604574 movhi r5,33045 +8111865c: 296a1b04 addi r5,r5,-22420 +81118660: d1207917 ldw r4,-32284(gp) +81118664: 31bfffcc andi r6,r6,65535 +81118668: 003e7906 br 81118050 <__reset+0xfb0f8050> +8111866c: 85400503 ldbu r21,20(r16) +81118670: ad042f24 muli r20,r21,4284 +81118674: a4d9d604 addi r19,r20,26456 +81118678: 94e7883a add r19,r18,r19 +8111867c: 9809883a mov r4,r19 +81118680: 1104b580 call 81104b58 +81118684: 9525883a add r18,r18,r20 +81118688: 9019d715 stw zero,26460(r18) +8111868c: 9809883a mov r4,r19 +81118690: 1104b300 call 81104b30 +81118694: 88800803 ldbu r2,32(r17) +81118698: 00c001c4 movi r3,7 +8111869c: 18be4a36 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +811186a0: d1207917 ldw r4,-32284(gp) +811186a4: 8180050b ldhu r6,20(r16) +811186a8: 01604574 movhi r5,33045 +811186ac: 296a0c04 addi r5,r5,-22480 +811186b0: 112b6200 call 8112b620 +811186b4: 01604574 movhi r5,33045 +811186b8: a80d883a mov r6,r21 +811186bc: 296a1604 addi r5,r5,-22440 +811186c0: d1207917 ldw r4,-32284(gp) +811186c4: 003e6206 br 81118050 <__reset+0xfb0f8050> +811186c8: 85400503 ldbu r21,20(r16) +811186cc: acc42f24 muli r19,r21,4284 +811186d0: 9d19d604 addi r20,r19,26456 +811186d4: 9529883a add r20,r18,r20 +811186d8: a009883a mov r4,r20 +811186dc: 1104b580 call 81104b58 +811186e0: 8080058b ldhu r2,22(r16) +811186e4: 00c00044 movi r3,1 +811186e8: 94e5883a add r18,r18,r19 +811186ec: 90d9d715 stw r3,26460(r18) +811186f0: 9099d815 stw r2,26464(r18) +811186f4: a009883a mov r4,r20 +811186f8: 1104b300 call 81104b30 +811186fc: 88800803 ldbu r2,32(r17) +81118700: 00c001c4 movi r3,7 +81118704: 18be3036 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +81118708: 8180050b ldhu r6,20(r16) +8111870c: d1207917 ldw r4,-32284(gp) +81118710: 01604574 movhi r5,33045 +81118714: 296a0c04 addi r5,r5,-22480 +81118718: 112b6200 call 8112b620 +8111871c: 01604574 movhi r5,33045 +81118720: 296a1104 addi r5,r5,-22460 +81118724: d1207917 ldw r4,-32284(gp) +81118728: 8180058b ldhu r6,22(r16) +8111872c: 003fe006 br 811186b0 <__reset+0xfb0f86b0> +81118730: 8080050b ldhu r2,20(r16) +81118734: 1000331e bne r2,zero,81118804 +81118738: 000b883a mov r5,zero +8111873c: 9009883a mov r4,r18 +81118740: 11262400 call 81126240 +81118744: 88000905 stb zero,36(r17) +81118748: 003e1f06 br 81117fc8 <__reset+0xfb0f7fc8> +8111874c: 88800803 ldbu r2,32(r17) +81118750: 00c001c4 movi r3,7 +81118754: 18be1c36 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +81118758: 01204574 movhi r4,33045 +8111875c: d1e07917 ldw r7,-32284(gp) +81118760: 212a3d04 addi r4,r4,-22284 +81118764: 01801044 movi r6,65 +81118768: 003f1f06 br 811183e8 <__reset+0xfb0f83e8> +8111876c: 88800803 ldbu r2,32(r17) +81118770: 00c001c4 movi r3,7 +81118774: 18be1436 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +81118778: 01204574 movhi r4,33045 +8111877c: d1e07917 ldw r7,-32284(gp) +81118780: 212a4e04 addi r4,r4,-22216 +81118784: 01801144 movi r6,69 +81118788: 003f1706 br 811183e8 <__reset+0xfb0f83e8> +8111878c: 88800803 ldbu r2,32(r17) +81118790: 00c001c4 movi r3,7 +81118794: 18be0c36 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +81118798: 01204574 movhi r4,33045 +8111879c: d1e07917 ldw r7,-32284(gp) +811187a0: 212a2b04 addi r4,r4,-22356 +811187a4: 01801184 movi r6,70 +811187a8: 003f0f06 br 811183e8 <__reset+0xfb0f83e8> +811187ac: 88800803 ldbu r2,32(r17) +811187b0: 00c001c4 movi r3,7 +811187b4: 18be0436 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +811187b8: 01204574 movhi r4,33045 +811187bc: d1e07917 ldw r7,-32284(gp) +811187c0: 2129a304 addi r4,r4,-22900 +811187c4: 01800b04 movi r6,44 +811187c8: 003f0706 br 811183e8 <__reset+0xfb0f83e8> +811187cc: 88800803 ldbu r2,32(r17) +811187d0: 00c001c4 movi r3,7 +811187d4: 18bdfc36 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +811187d8: 01604574 movhi r5,33045 +811187dc: 296d2604 addi r5,r5,-19304 +811187e0: d1207917 ldw r4,-32284(gp) +811187e4: 800d883a mov r6,r16 +811187e8: 003e1906 br 81118050 <__reset+0xfb0f8050> +811187ec: 88800803 ldbu r2,32(r17) +811187f0: 00c001c4 movi r3,7 +811187f4: 18bdf436 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +811187f8: 01604574 movhi r5,33045 +811187fc: 296cf404 addi r5,r5,-19504 +81118800: 003faf06 br 811186c0 <__reset+0xfb0f86c0> +81118804: 04000044 movi r16,1 +81118808: 800b883a mov r5,r16 +8111880c: 9009883a mov r4,r18 +81118810: 11262400 call 81126240 +81118814: 8c000905 stb r16,36(r17) +81118818: 003deb06 br 81117fc8 <__reset+0xfb0f7fc8> +8111881c: 90c5883a add r2,r18,r3 +81118820: 119af104 addi r6,r2,27588 +81118824: 109af144 addi r2,r2,27589 +81118828: 31000003 ldbu r4,0(r6) +8111882c: 10800003 ldbu r2,0(r2) +81118830: 21003fcc andi r4,r4,255 +81118834: 10803fcc andi r2,r2,255 +81118838: 2085883a add r2,r4,r2 +8111883c: 010018c4 movi r4,99 +81118840: 2080950e bge r4,r2,81118a98 81118844: 88800803 ldbu r2,32(r17) 81118848: 00c001c4 movi r3,7 -8111884c: 1881292e bgeu r3,r2,81118cf4 -81118850: d0a07217 ldw r2,-32312(gp) -81118854: 10000005 stb zero,0(r2) -81118858: 003e4a06 br 81118184 <__reset+0xfb0f8184> -8111885c: 9405883a add r2,r18,r16 -81118860: 109af104 addi r2,r2,27588 -81118864: 11400003 ldbu r5,0(r2) -81118868: 01e04474 movhi r7,33041 -8111886c: 39dc1404 addi r7,r7,28752 -81118870: 01800284 movi r6,10 -81118874: 29403fcc andi r5,r5,255 -81118878: 21000084 addi r4,r4,2 -8111887c: 112cb540 call 8112cb54 -81118880: 88800803 ldbu r2,32(r17) -81118884: 00c001c4 movi r3,7 -81118888: 1880cc2e bgeu r3,r2,81118bbc -8111888c: 8559f804 addi r21,r16,26592 -81118890: 956b883a add r21,r18,r21 -81118894: 000b883a mov r5,zero -81118898: a809883a mov r4,r21 -8111889c: 11027b80 call 811027b8 -811188a0: 1000b426 beq r2,zero,81118b74 -811188a4: 88800803 ldbu r2,32(r17) -811188a8: 00c001c4 movi r3,7 -811188ac: 1881172e bgeu r3,r2,81118d0c -811188b0: 000b883a mov r5,zero -811188b4: a809883a mov r4,r21 -811188b8: 11028400 call 81102840 -811188bc: 103e4c26 beq r2,zero,811181f0 <__reset+0xfb0f81f0> -811188c0: 88800803 ldbu r2,32(r17) -811188c4: 00c001c4 movi r3,7 -811188c8: 1881592e bgeu r3,r2,81118e30 -811188cc: d1a07217 ldw r6,-32312(gp) -811188d0: 30800003 ldbu r2,0(r6) -811188d4: 10803fcc andi r2,r2,255 -811188d8: 1001851e bne r2,zero,81118ef0 -811188dc: 000b883a mov r5,zero -811188e0: a809883a mov r4,r21 -811188e4: 11029ac0 call 811029ac -811188e8: 10013c26 beq r2,zero,81118ddc -811188ec: 88800803 ldbu r2,32(r17) -811188f0: 00c001c4 movi r3,7 -811188f4: 1881992e bgeu r3,r2,81118f5c -811188f8: a809883a mov r4,r21 -811188fc: 11027100 call 81102710 -81118900: 88800803 ldbu r2,32(r17) -81118904: 00c001c4 movi r3,7 -81118908: 18be3936 bltu r3,r2,811181f0 <__reset+0xfb0f81f0> -8111890c: 9405883a add r2,r18,r16 -81118910: 11da3503 ldbu r7,26836(r2) -81118914: d1207917 ldw r4,-32284(gp) -81118918: 01604574 movhi r5,33045 -8111891c: 296bb904 addi r5,r5,-20764 -81118920: 980d883a mov r6,r19 -81118924: 112b5e00 call 8112b5e0 -81118928: 003e3106 br 811181f0 <__reset+0xfb0f81f0> -8111892c: d1207917 ldw r4,-32284(gp) -81118930: 14003fcc andi r16,r2,255 -81118934: 8c403fcc andi r17,r17,255 -81118938: 01604574 movhi r5,33045 -8111893c: 296a9e04 addi r5,r5,-21896 -81118940: 880f883a mov r7,r17 -81118944: 800d883a mov r6,r16 -81118948: 112b5e00 call 8112b5e0 -8111894c: 003e8206 br 81118358 <__reset+0xfb0f8358> -81118950: d1207917 ldw r4,-32284(gp) -81118954: 01604574 movhi r5,33045 -81118958: 800d883a mov r6,r16 -8111895c: 296a7904 addi r5,r5,-22044 -81118960: 112b5e00 call 8112b5e0 -81118964: d1207917 ldw r4,-32284(gp) -81118968: 01604574 movhi r5,33045 -8111896c: b80d883a mov r6,r23 -81118970: 296a8104 addi r5,r5,-22012 -81118974: 112b5e00 call 8112b5e0 -81118978: d1207917 ldw r4,-32284(gp) -8111897c: 01604574 movhi r5,33045 -81118980: b00d883a mov r6,r22 -81118984: 296a8b04 addi r5,r5,-21972 -81118988: 112b5e00 call 8112b5e0 -8111898c: d1207917 ldw r4,-32284(gp) -81118990: 01604574 movhi r5,33045 -81118994: a80d883a mov r6,r21 -81118998: 296a9404 addi r5,r5,-21936 -8111899c: 112b5e00 call 8112b5e0 -811189a0: 003ed806 br 81118504 <__reset+0xfb0f8504> -811189a4: 9009883a mov r4,r18 -811189a8: 1102c440 call 81102c44 -811189ac: 103d7926 beq r2,zero,81117f94 <__reset+0xfb0f7f94> -811189b0: 88800803 ldbu r2,32(r17) -811189b4: 00c001c4 movi r3,7 -811189b8: 1881172e bgeu r3,r2,81118e18 -811189bc: d1607117 ldw r5,-32316(gp) -811189c0: 0021883a mov r16,zero -811189c4: 28800003 ldbu r2,0(r5) -811189c8: 10803fcc andi r2,r2,255 -811189cc: 10001426 beq r2,zero,81118a20 -811189d0: 800490fa slli r2,r16,3 -811189d4: 9009883a mov r4,r18 -811189d8: 84000044 addi r16,r16,1 -811189dc: 2885883a add r2,r5,r2 -811189e0: 10c00204 addi r3,r2,8 -811189e4: 11c00184 addi r7,r2,6 -811189e8: 1140008b ldhu r5,2(r2) -811189ec: 1180010b ldhu r6,4(r2) -811189f0: 39c0000b ldhu r7,0(r7) -811189f4: 1880000b ldhu r2,0(r3) -811189f8: 29403fcc andi r5,r5,255 -811189fc: 31bfffcc andi r6,r6,65535 -81118a00: 10bfffcc andi r2,r2,65535 -81118a04: 39c03fcc andi r7,r7,255 -81118a08: d8800015 stw r2,0(sp) -81118a0c: 1102c8c0 call 81102c8c -81118a10: d1607117 ldw r5,-32316(gp) -81118a14: 28c00003 ldbu r3,0(r5) -81118a18: 18c03fcc andi r3,r3,255 -81118a1c: 80ffec16 blt r16,r3,811189d0 <__reset+0xfb0f89d0> -81118a20: 903d5c26 beq r18,zero,81117f94 <__reset+0xfb0f7f94> -81118a24: 88800803 ldbu r2,32(r17) -81118a28: 00c001c4 movi r3,7 -81118a2c: 18bd5936 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -81118a30: 01604574 movhi r5,33045 -81118a34: 296d0204 addi r5,r5,-19448 -81118a38: d1207917 ldw r4,-32284(gp) -81118a3c: 980d883a mov r6,r19 -81118a40: 003d7606 br 8111801c <__reset+0xfb0f801c> -81118a44: 11400003 ldbu r5,0(r2) -81118a48: 01e04474 movhi r7,33041 -81118a4c: 39dc2204 addi r7,r7,28808 -81118a50: 01800204 movi r6,8 -81118a54: 29403fcc andi r5,r5,255 -81118a58: 21000084 addi r4,r4,2 -81118a5c: 112cb540 call 8112cb54 -81118a60: 003d6206 br 81117fec <__reset+0xfb0f7fec> -81118a64: a0bfff4c andi r2,r20,65533 -81118a68: 10001c1e bne r2,zero,81118adc -81118a6c: 30800003 ldbu r2,0(r6) -81118a70: 10803fcc andi r2,r2,255 -81118a74: 10005726 beq r2,zero,81118bd4 -81118a78: 30800003 ldbu r2,0(r6) -81118a7c: 10803fcc andi r2,r2,255 -81118a80: 10005426 beq r2,zero,81118bd4 -81118a84: 0009883a mov r4,zero -81118a88: b9ffffcc andi r7,r23,65535 -81118a8c: e23fffcc andi r8,fp,65535 -81118a90: 00000406 br 81118aa4 -81118a94: 30800003 ldbu r2,0(r6) -81118a98: 21000044 addi r4,r4,1 -81118a9c: 10803fcc andi r2,r2,255 -81118aa0: 20804c0e bge r4,r2,81118bd4 -81118aa4: 208002a4 muli r2,r4,10 -81118aa8: 10c5883a add r2,r2,r3 -81118aac: 9085883a add r2,r18,r2 -81118ab0: 115af184 addi r5,r2,27590 -81118ab4: 2940000b ldhu r5,0(r5) -81118ab8: 297fffcc andi r5,r5,65535 -81118abc: 397ff51e bne r7,r5,81118a94 <__reset+0xfb0f8a94> -81118ac0: 109af204 addi r2,r2,27592 -81118ac4: 1080000b ldhu r2,0(r2) -81118ac8: 10bfffcc andi r2,r2,65535 -81118acc: 40bff11e bne r8,r2,81118a94 <__reset+0xfb0f8a94> -81118ad0: 88800803 ldbu r2,32(r17) -81118ad4: 00c001c4 movi r3,7 -81118ad8: 1881622e bgeu r3,r2,81119064 -81118adc: a0bfffc4 addi r2,r20,-1 -81118ae0: 10bfffcc andi r2,r2,65535 -81118ae4: 00c00044 movi r3,1 -81118ae8: 18be0c36 bltu r3,r2,8111831c <__reset+0xfb0f831c> -81118aec: d1607217 ldw r5,-32312(gp) -81118af0: 28800043 ldbu r2,1(r5) -81118af4: 10803fcc andi r2,r2,255 -81118af8: 10008a26 beq r2,zero,81118d24 -81118afc: 28800043 ldbu r2,1(r5) -81118b00: 10803fcc andi r2,r2,255 -81118b04: 10008726 beq r2,zero,81118d24 -81118b08: 0007883a mov r3,zero -81118b0c: b9ffffcc andi r7,r23,65535 -81118b10: e1bfffcc andi r6,fp,65535 -81118b14: 00000406 br 81118b28 -81118b18: 28800043 ldbu r2,1(r5) -81118b1c: 18c00044 addi r3,r3,1 -81118b20: 10803fcc andi r2,r2,255 -81118b24: 18807f0e bge r3,r2,81118d24 -81118b28: 188002a4 muli r2,r3,10 -81118b2c: 2885883a add r2,r5,r2 -81118b30: 11014084 addi r4,r2,1282 -81118b34: 2100000b ldhu r4,0(r4) -81118b38: 213fffcc andi r4,r4,65535 -81118b3c: 393ff61e bne r7,r4,81118b18 <__reset+0xfb0f8b18> -81118b40: 10814104 addi r2,r2,1284 -81118b44: 1080000b ldhu r2,0(r2) -81118b48: 10bfffcc andi r2,r2,65535 -81118b4c: 30bff21e bne r6,r2,81118b18 <__reset+0xfb0f8b18> -81118b50: 88800803 ldbu r2,32(r17) -81118b54: 00c001c4 movi r3,7 -81118b58: 18bd0e36 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -81118b5c: d1207917 ldw r4,-32284(gp) -81118b60: 01604574 movhi r5,33045 -81118b64: 296b0804 addi r5,r5,-21472 -81118b68: 980d883a mov r6,r19 -81118b6c: 112b5e00 call 8112b5e0 -81118b70: 003dea06 br 8111831c <__reset+0xfb0f831c> -81118b74: 88800803 ldbu r2,32(r17) -81118b78: 00c001c4 movi r3,7 -81118b7c: 18bd9c36 bltu r3,r2,811181f0 <__reset+0xfb0f81f0> -81118b80: d1207917 ldw r4,-32284(gp) -81118b84: 01604574 movhi r5,33045 -81118b88: 296bcd04 addi r5,r5,-20684 -81118b8c: 980d883a mov r6,r19 -81118b90: 112b5e00 call 8112b5e0 -81118b94: 003d9606 br 811181f0 <__reset+0xfb0f81f0> -81118b98: 88800803 ldbu r2,32(r17) -81118b9c: 00c001c4 movi r3,7 -81118ba0: 18bf2b36 bltu r3,r2,81118850 <__reset+0xfb0f8850> -81118ba4: d1207917 ldw r4,-32284(gp) -81118ba8: 01604574 movhi r5,33045 -81118bac: 296c9704 addi r5,r5,-19876 -81118bb0: a00d883a mov r6,r20 -81118bb4: 112b5e00 call 8112b5e0 -81118bb8: 003f2506 br 81118850 <__reset+0xfb0f8850> -81118bbc: d1207917 ldw r4,-32284(gp) -81118bc0: 01604574 movhi r5,33045 -81118bc4: 296b4004 addi r5,r5,-21248 -81118bc8: 980d883a mov r6,r19 -81118bcc: 112b5e00 call 8112b5e0 -81118bd0: 003f2e06 br 8111888c <__reset+0xfb0f888c> -81118bd4: 90c9883a add r4,r18,r3 -81118bd8: 211af104 addi r4,r4,27588 -81118bdc: 20800003 ldbu r2,0(r4) -81118be0: b54d883a add r6,r22,r21 -81118be4: 31bfffc4 addi r6,r6,-1 -81118be8: 10803fcc andi r2,r2,255 -81118bec: 108002a4 muli r2,r2,10 -81118bf0: 89400803 ldbu r5,32(r17) -81118bf4: 10c5883a add r2,r2,r3 -81118bf8: 9085883a add r2,r18,r2 -81118bfc: 109af184 addi r2,r2,27590 -81118c00: 15c0000d sth r23,0(r2) -81118c04: 20800003 ldbu r2,0(r4) -81118c08: 10803fcc andi r2,r2,255 -81118c0c: 108002a4 muli r2,r2,10 -81118c10: 10c5883a add r2,r2,r3 -81118c14: 9085883a add r2,r18,r2 -81118c18: 109af204 addi r2,r2,27592 -81118c1c: 1700000d sth fp,0(r2) -81118c20: 20800003 ldbu r2,0(r4) -81118c24: 10803fcc andi r2,r2,255 -81118c28: 108002a4 muli r2,r2,10 -81118c2c: 10c5883a add r2,r2,r3 -81118c30: 9085883a add r2,r18,r2 -81118c34: 109af284 addi r2,r2,27594 -81118c38: 1580000d sth r22,0(r2) -81118c3c: 20800003 ldbu r2,0(r4) -81118c40: 10803fcc andi r2,r2,255 -81118c44: 108002a4 muli r2,r2,10 -81118c48: 10c5883a add r2,r2,r3 -81118c4c: 9085883a add r2,r18,r2 -81118c50: 109af304 addi r2,r2,27596 -81118c54: 1180000d sth r6,0(r2) -81118c58: 20800003 ldbu r2,0(r4) -81118c5c: 10803fcc andi r2,r2,255 -81118c60: 108002a4 muli r2,r2,10 -81118c64: 10c5883a add r2,r2,r3 -81118c68: 9085883a add r2,r18,r2 -81118c6c: 109af384 addi r2,r2,27598 -81118c70: 1400000d sth r16,0(r2) -81118c74: 20800003 ldbu r2,0(r4) -81118c78: 10800044 addi r2,r2,1 -81118c7c: 20800005 stb r2,0(r4) -81118c80: 008001c4 movi r2,7 -81118c84: 1140ad2e bgeu r2,r5,81118f3c -81118c88: a0bfffc4 addi r2,r20,-1 -81118c8c: 10bfffcc andi r2,r2,65535 -81118c90: 00c00044 movi r3,1 -81118c94: 18bcbf36 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -81118c98: 003f9406 br 81118aec <__reset+0xfb0f8aec> -81118c9c: 980b883a mov r5,r19 -81118ca0: 9009883a mov r4,r18 -81118ca4: 11261d40 call 811261d4 -81118ca8: 8c00028d sth r16,10(r17) -81118cac: 003e1a06 br 81118518 <__reset+0xfb0f8518> -81118cb0: 88800803 ldbu r2,32(r17) -81118cb4: 00c001c4 movi r3,7 -81118cb8: 18bcb636 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -81118cbc: 01604574 movhi r5,33045 -81118cc0: 296c7104 addi r5,r5,-20028 -81118cc4: d1207917 ldw r4,-32284(gp) -81118cc8: 980d883a mov r6,r19 -81118ccc: 003cd306 br 8111801c <__reset+0xfb0f801c> -81118cd0: 88800803 ldbu r2,32(r17) -81118cd4: 00c001c4 movi r3,7 -81118cd8: 18bd3a36 bltu r3,r2,811181c4 <__reset+0xfb0f81c4> -81118cdc: d1207917 ldw r4,-32284(gp) -81118ce0: 01604574 movhi r5,33045 -81118ce4: 296cbd04 addi r5,r5,-19724 -81118ce8: a00d883a mov r6,r20 -81118cec: 112b5e00 call 8112b5e0 -81118cf0: 003d3406 br 811181c4 <__reset+0xfb0f81c4> -81118cf4: d1207917 ldw r4,-32284(gp) -81118cf8: 01604574 movhi r5,33045 -81118cfc: 296c8604 addi r5,r5,-19944 -81118d00: a00d883a mov r6,r20 -81118d04: 112b5e00 call 8112b5e0 -81118d08: 003ed106 br 81118850 <__reset+0xfb0f8850> -81118d0c: d1207917 ldw r4,-32284(gp) -81118d10: 01604574 movhi r5,33045 -81118d14: 296b5304 addi r5,r5,-21172 -81118d18: 980d883a mov r6,r19 -81118d1c: 112b5e00 call 8112b5e0 -81118d20: 003ee306 br 811188b0 <__reset+0xfb0f88b0> -81118d24: 28800043 ldbu r2,1(r5) -81118d28: b547883a add r3,r22,r21 -81118d2c: 18ffffc4 addi r3,r3,-1 -81118d30: 10803fcc andi r2,r2,255 -81118d34: 108002a4 muli r2,r2,10 -81118d38: 2885883a add r2,r5,r2 -81118d3c: 10814084 addi r2,r2,1282 -81118d40: 15c0000d sth r23,0(r2) -81118d44: 28800043 ldbu r2,1(r5) -81118d48: 10803fcc andi r2,r2,255 -81118d4c: 108002a4 muli r2,r2,10 -81118d50: 2885883a add r2,r5,r2 -81118d54: 10814104 addi r2,r2,1284 -81118d58: 1700000d sth fp,0(r2) -81118d5c: 28800043 ldbu r2,1(r5) -81118d60: 10803fcc andi r2,r2,255 -81118d64: 108002a4 muli r2,r2,10 -81118d68: 2885883a add r2,r5,r2 -81118d6c: 10814184 addi r2,r2,1286 -81118d70: 1580000d sth r22,0(r2) -81118d74: 28800043 ldbu r2,1(r5) -81118d78: 10803fcc andi r2,r2,255 -81118d7c: 108002a4 muli r2,r2,10 -81118d80: 2885883a add r2,r5,r2 -81118d84: 10814204 addi r2,r2,1288 -81118d88: 10c0000d sth r3,0(r2) -81118d8c: 28800043 ldbu r2,1(r5) -81118d90: 00c001c4 movi r3,7 +8111884c: 18bdde36 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +81118850: 01604574 movhi r5,33045 +81118854: 296ad604 addi r5,r5,-21672 +81118858: d1207917 ldw r4,-32284(gp) +8111885c: 980d883a mov r6,r19 +81118860: 003dfb06 br 81118050 <__reset+0xfb0f8050> +81118864: 9919f804 addi r4,r19,26592 +81118868: 000b883a mov r5,zero +8111886c: 9109883a add r4,r18,r4 +81118870: 11027b80 call 811027b8 +81118874: 1000d526 beq r2,zero,81118bcc +81118878: 88800803 ldbu r2,32(r17) +8111887c: 00c001c4 movi r3,7 +81118880: 1881292e bgeu r3,r2,81118d28 +81118884: d0a07217 ldw r2,-32312(gp) +81118888: 10000005 stb zero,0(r2) +8111888c: 003e4a06 br 811181b8 <__reset+0xfb0f81b8> +81118890: 9405883a add r2,r18,r16 +81118894: 109af104 addi r2,r2,27588 +81118898: 11400003 ldbu r5,0(r2) +8111889c: 01e04474 movhi r7,33041 +811188a0: 39dc2104 addi r7,r7,28804 +811188a4: 01800284 movi r6,10 +811188a8: 29403fcc andi r5,r5,255 +811188ac: 21000084 addi r4,r4,2 +811188b0: 112cb940 call 8112cb94 +811188b4: 88800803 ldbu r2,32(r17) +811188b8: 00c001c4 movi r3,7 +811188bc: 1880cc2e bgeu r3,r2,81118bf0 +811188c0: 8559f804 addi r21,r16,26592 +811188c4: 956b883a add r21,r18,r21 +811188c8: 000b883a mov r5,zero +811188cc: a809883a mov r4,r21 +811188d0: 11027b80 call 811027b8 +811188d4: 1000b426 beq r2,zero,81118ba8 +811188d8: 88800803 ldbu r2,32(r17) +811188dc: 00c001c4 movi r3,7 +811188e0: 1881172e bgeu r3,r2,81118d40 +811188e4: 000b883a mov r5,zero +811188e8: a809883a mov r4,r21 +811188ec: 11028400 call 81102840 +811188f0: 103e4c26 beq r2,zero,81118224 <__reset+0xfb0f8224> +811188f4: 88800803 ldbu r2,32(r17) +811188f8: 00c001c4 movi r3,7 +811188fc: 1881592e bgeu r3,r2,81118e64 +81118900: d1a07217 ldw r6,-32312(gp) +81118904: 30800003 ldbu r2,0(r6) +81118908: 10803fcc andi r2,r2,255 +8111890c: 1001851e bne r2,zero,81118f24 +81118910: 000b883a mov r5,zero +81118914: a809883a mov r4,r21 +81118918: 11029ac0 call 811029ac +8111891c: 10013c26 beq r2,zero,81118e10 +81118920: 88800803 ldbu r2,32(r17) +81118924: 00c001c4 movi r3,7 +81118928: 1881992e bgeu r3,r2,81118f90 +8111892c: a809883a mov r4,r21 +81118930: 11027100 call 81102710 +81118934: 88800803 ldbu r2,32(r17) +81118938: 00c001c4 movi r3,7 +8111893c: 18be3936 bltu r3,r2,81118224 <__reset+0xfb0f8224> +81118940: 9405883a add r2,r18,r16 +81118944: 11da3503 ldbu r7,26836(r2) +81118948: d1207917 ldw r4,-32284(gp) +8111894c: 01604574 movhi r5,33045 +81118950: 296bc904 addi r5,r5,-20700 +81118954: 980d883a mov r6,r19 +81118958: 112b6200 call 8112b620 +8111895c: 003e3106 br 81118224 <__reset+0xfb0f8224> +81118960: d1207917 ldw r4,-32284(gp) +81118964: 14003fcc andi r16,r2,255 +81118968: 8c403fcc andi r17,r17,255 +8111896c: 01604574 movhi r5,33045 +81118970: 296aae04 addi r5,r5,-21832 +81118974: 880f883a mov r7,r17 +81118978: 800d883a mov r6,r16 +8111897c: 112b6200 call 8112b620 +81118980: 003e8206 br 8111838c <__reset+0xfb0f838c> +81118984: d1207917 ldw r4,-32284(gp) +81118988: 01604574 movhi r5,33045 +8111898c: 800d883a mov r6,r16 +81118990: 296a8904 addi r5,r5,-21980 +81118994: 112b6200 call 8112b620 +81118998: d1207917 ldw r4,-32284(gp) +8111899c: 01604574 movhi r5,33045 +811189a0: b80d883a mov r6,r23 +811189a4: 296a9104 addi r5,r5,-21948 +811189a8: 112b6200 call 8112b620 +811189ac: d1207917 ldw r4,-32284(gp) +811189b0: 01604574 movhi r5,33045 +811189b4: b00d883a mov r6,r22 +811189b8: 296a9b04 addi r5,r5,-21908 +811189bc: 112b6200 call 8112b620 +811189c0: d1207917 ldw r4,-32284(gp) +811189c4: 01604574 movhi r5,33045 +811189c8: a80d883a mov r6,r21 +811189cc: 296aa404 addi r5,r5,-21872 +811189d0: 112b6200 call 8112b620 +811189d4: 003ed806 br 81118538 <__reset+0xfb0f8538> +811189d8: 9009883a mov r4,r18 +811189dc: 1102c440 call 81102c44 +811189e0: 103d7926 beq r2,zero,81117fc8 <__reset+0xfb0f7fc8> +811189e4: 88800803 ldbu r2,32(r17) +811189e8: 00c001c4 movi r3,7 +811189ec: 1881172e bgeu r3,r2,81118e4c +811189f0: d1607117 ldw r5,-32316(gp) +811189f4: 0021883a mov r16,zero +811189f8: 28800003 ldbu r2,0(r5) +811189fc: 10803fcc andi r2,r2,255 +81118a00: 10001426 beq r2,zero,81118a54 +81118a04: 800490fa slli r2,r16,3 +81118a08: 9009883a mov r4,r18 +81118a0c: 84000044 addi r16,r16,1 +81118a10: 2885883a add r2,r5,r2 +81118a14: 10c00204 addi r3,r2,8 +81118a18: 11c00184 addi r7,r2,6 +81118a1c: 1140008b ldhu r5,2(r2) +81118a20: 1180010b ldhu r6,4(r2) +81118a24: 39c0000b ldhu r7,0(r7) +81118a28: 1880000b ldhu r2,0(r3) +81118a2c: 29403fcc andi r5,r5,255 +81118a30: 31bfffcc andi r6,r6,65535 +81118a34: 10bfffcc andi r2,r2,65535 +81118a38: 39c03fcc andi r7,r7,255 +81118a3c: d8800015 stw r2,0(sp) +81118a40: 1102c8c0 call 81102c8c +81118a44: d1607117 ldw r5,-32316(gp) +81118a48: 28c00003 ldbu r3,0(r5) +81118a4c: 18c03fcc andi r3,r3,255 +81118a50: 80ffec16 blt r16,r3,81118a04 <__reset+0xfb0f8a04> +81118a54: 903d5c26 beq r18,zero,81117fc8 <__reset+0xfb0f7fc8> +81118a58: 88800803 ldbu r2,32(r17) +81118a5c: 00c001c4 movi r3,7 +81118a60: 18bd5936 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +81118a64: 01604574 movhi r5,33045 +81118a68: 296d1204 addi r5,r5,-19384 +81118a6c: d1207917 ldw r4,-32284(gp) +81118a70: 980d883a mov r6,r19 +81118a74: 003d7606 br 81118050 <__reset+0xfb0f8050> +81118a78: 11400003 ldbu r5,0(r2) +81118a7c: 01e04474 movhi r7,33041 +81118a80: 39dc2f04 addi r7,r7,28860 +81118a84: 01800204 movi r6,8 +81118a88: 29403fcc andi r5,r5,255 +81118a8c: 21000084 addi r4,r4,2 +81118a90: 112cb940 call 8112cb94 +81118a94: 003d6206 br 81118020 <__reset+0xfb0f8020> +81118a98: a0bfff4c andi r2,r20,65533 +81118a9c: 10001c1e bne r2,zero,81118b10 +81118aa0: 30800003 ldbu r2,0(r6) +81118aa4: 10803fcc andi r2,r2,255 +81118aa8: 10005726 beq r2,zero,81118c08 +81118aac: 30800003 ldbu r2,0(r6) +81118ab0: 10803fcc andi r2,r2,255 +81118ab4: 10005426 beq r2,zero,81118c08 +81118ab8: 0009883a mov r4,zero +81118abc: b9ffffcc andi r7,r23,65535 +81118ac0: e23fffcc andi r8,fp,65535 +81118ac4: 00000406 br 81118ad8 +81118ac8: 30800003 ldbu r2,0(r6) +81118acc: 21000044 addi r4,r4,1 +81118ad0: 10803fcc andi r2,r2,255 +81118ad4: 20804c0e bge r4,r2,81118c08 +81118ad8: 208002a4 muli r2,r4,10 +81118adc: 10c5883a add r2,r2,r3 +81118ae0: 9085883a add r2,r18,r2 +81118ae4: 115af184 addi r5,r2,27590 +81118ae8: 2940000b ldhu r5,0(r5) +81118aec: 297fffcc andi r5,r5,65535 +81118af0: 397ff51e bne r7,r5,81118ac8 <__reset+0xfb0f8ac8> +81118af4: 109af204 addi r2,r2,27592 +81118af8: 1080000b ldhu r2,0(r2) +81118afc: 10bfffcc andi r2,r2,65535 +81118b00: 40bff11e bne r8,r2,81118ac8 <__reset+0xfb0f8ac8> +81118b04: 88800803 ldbu r2,32(r17) +81118b08: 00c001c4 movi r3,7 +81118b0c: 1881622e bgeu r3,r2,81119098 +81118b10: a0bfffc4 addi r2,r20,-1 +81118b14: 10bfffcc andi r2,r2,65535 +81118b18: 00c00044 movi r3,1 +81118b1c: 18be0c36 bltu r3,r2,81118350 <__reset+0xfb0f8350> +81118b20: d1607217 ldw r5,-32312(gp) +81118b24: 28800043 ldbu r2,1(r5) +81118b28: 10803fcc andi r2,r2,255 +81118b2c: 10008a26 beq r2,zero,81118d58 +81118b30: 28800043 ldbu r2,1(r5) +81118b34: 10803fcc andi r2,r2,255 +81118b38: 10008726 beq r2,zero,81118d58 +81118b3c: 0007883a mov r3,zero +81118b40: b9ffffcc andi r7,r23,65535 +81118b44: e1bfffcc andi r6,fp,65535 +81118b48: 00000406 br 81118b5c +81118b4c: 28800043 ldbu r2,1(r5) +81118b50: 18c00044 addi r3,r3,1 +81118b54: 10803fcc andi r2,r2,255 +81118b58: 18807f0e bge r3,r2,81118d58 +81118b5c: 188002a4 muli r2,r3,10 +81118b60: 2885883a add r2,r5,r2 +81118b64: 11014084 addi r4,r2,1282 +81118b68: 2100000b ldhu r4,0(r4) +81118b6c: 213fffcc andi r4,r4,65535 +81118b70: 393ff61e bne r7,r4,81118b4c <__reset+0xfb0f8b4c> +81118b74: 10814104 addi r2,r2,1284 +81118b78: 1080000b ldhu r2,0(r2) +81118b7c: 10bfffcc andi r2,r2,65535 +81118b80: 30bff21e bne r6,r2,81118b4c <__reset+0xfb0f8b4c> +81118b84: 88800803 ldbu r2,32(r17) +81118b88: 00c001c4 movi r3,7 +81118b8c: 18bd0e36 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +81118b90: d1207917 ldw r4,-32284(gp) +81118b94: 01604574 movhi r5,33045 +81118b98: 296b1804 addi r5,r5,-21408 +81118b9c: 980d883a mov r6,r19 +81118ba0: 112b6200 call 8112b620 +81118ba4: 003dea06 br 81118350 <__reset+0xfb0f8350> +81118ba8: 88800803 ldbu r2,32(r17) +81118bac: 00c001c4 movi r3,7 +81118bb0: 18bd9c36 bltu r3,r2,81118224 <__reset+0xfb0f8224> +81118bb4: d1207917 ldw r4,-32284(gp) +81118bb8: 01604574 movhi r5,33045 +81118bbc: 296bdd04 addi r5,r5,-20620 +81118bc0: 980d883a mov r6,r19 +81118bc4: 112b6200 call 8112b620 +81118bc8: 003d9606 br 81118224 <__reset+0xfb0f8224> +81118bcc: 88800803 ldbu r2,32(r17) +81118bd0: 00c001c4 movi r3,7 +81118bd4: 18bf2b36 bltu r3,r2,81118884 <__reset+0xfb0f8884> +81118bd8: d1207917 ldw r4,-32284(gp) +81118bdc: 01604574 movhi r5,33045 +81118be0: 296ca704 addi r5,r5,-19812 +81118be4: a00d883a mov r6,r20 +81118be8: 112b6200 call 8112b620 +81118bec: 003f2506 br 81118884 <__reset+0xfb0f8884> +81118bf0: d1207917 ldw r4,-32284(gp) +81118bf4: 01604574 movhi r5,33045 +81118bf8: 296b5004 addi r5,r5,-21184 +81118bfc: 980d883a mov r6,r19 +81118c00: 112b6200 call 8112b620 +81118c04: 003f2e06 br 811188c0 <__reset+0xfb0f88c0> +81118c08: 90c9883a add r4,r18,r3 +81118c0c: 211af104 addi r4,r4,27588 +81118c10: 20800003 ldbu r2,0(r4) +81118c14: b54d883a add r6,r22,r21 +81118c18: 31bfffc4 addi r6,r6,-1 +81118c1c: 10803fcc andi r2,r2,255 +81118c20: 108002a4 muli r2,r2,10 +81118c24: 89400803 ldbu r5,32(r17) +81118c28: 10c5883a add r2,r2,r3 +81118c2c: 9085883a add r2,r18,r2 +81118c30: 109af184 addi r2,r2,27590 +81118c34: 15c0000d sth r23,0(r2) +81118c38: 20800003 ldbu r2,0(r4) +81118c3c: 10803fcc andi r2,r2,255 +81118c40: 108002a4 muli r2,r2,10 +81118c44: 10c5883a add r2,r2,r3 +81118c48: 9085883a add r2,r18,r2 +81118c4c: 109af204 addi r2,r2,27592 +81118c50: 1700000d sth fp,0(r2) +81118c54: 20800003 ldbu r2,0(r4) +81118c58: 10803fcc andi r2,r2,255 +81118c5c: 108002a4 muli r2,r2,10 +81118c60: 10c5883a add r2,r2,r3 +81118c64: 9085883a add r2,r18,r2 +81118c68: 109af284 addi r2,r2,27594 +81118c6c: 1580000d sth r22,0(r2) +81118c70: 20800003 ldbu r2,0(r4) +81118c74: 10803fcc andi r2,r2,255 +81118c78: 108002a4 muli r2,r2,10 +81118c7c: 10c5883a add r2,r2,r3 +81118c80: 9085883a add r2,r18,r2 +81118c84: 109af304 addi r2,r2,27596 +81118c88: 1180000d sth r6,0(r2) +81118c8c: 20800003 ldbu r2,0(r4) +81118c90: 10803fcc andi r2,r2,255 +81118c94: 108002a4 muli r2,r2,10 +81118c98: 10c5883a add r2,r2,r3 +81118c9c: 9085883a add r2,r18,r2 +81118ca0: 109af384 addi r2,r2,27598 +81118ca4: 1400000d sth r16,0(r2) +81118ca8: 20800003 ldbu r2,0(r4) +81118cac: 10800044 addi r2,r2,1 +81118cb0: 20800005 stb r2,0(r4) +81118cb4: 008001c4 movi r2,7 +81118cb8: 1140ad2e bgeu r2,r5,81118f70 +81118cbc: a0bfffc4 addi r2,r20,-1 +81118cc0: 10bfffcc andi r2,r2,65535 +81118cc4: 00c00044 movi r3,1 +81118cc8: 18bcbf36 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +81118ccc: 003f9406 br 81118b20 <__reset+0xfb0f8b20> +81118cd0: 980b883a mov r5,r19 +81118cd4: 9009883a mov r4,r18 +81118cd8: 11262140 call 81126214 +81118cdc: 8c00028d sth r16,10(r17) +81118ce0: 003e1a06 br 8111854c <__reset+0xfb0f854c> +81118ce4: 88800803 ldbu r2,32(r17) +81118ce8: 00c001c4 movi r3,7 +81118cec: 18bcb636 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +81118cf0: 01604574 movhi r5,33045 +81118cf4: 296c8104 addi r5,r5,-19964 +81118cf8: d1207917 ldw r4,-32284(gp) +81118cfc: 980d883a mov r6,r19 +81118d00: 003cd306 br 81118050 <__reset+0xfb0f8050> +81118d04: 88800803 ldbu r2,32(r17) +81118d08: 00c001c4 movi r3,7 +81118d0c: 18bd3a36 bltu r3,r2,811181f8 <__reset+0xfb0f81f8> +81118d10: d1207917 ldw r4,-32284(gp) +81118d14: 01604574 movhi r5,33045 +81118d18: 296ccd04 addi r5,r5,-19660 +81118d1c: a00d883a mov r6,r20 +81118d20: 112b6200 call 8112b620 +81118d24: 003d3406 br 811181f8 <__reset+0xfb0f81f8> +81118d28: d1207917 ldw r4,-32284(gp) +81118d2c: 01604574 movhi r5,33045 +81118d30: 296c9604 addi r5,r5,-19880 +81118d34: a00d883a mov r6,r20 +81118d38: 112b6200 call 8112b620 +81118d3c: 003ed106 br 81118884 <__reset+0xfb0f8884> +81118d40: d1207917 ldw r4,-32284(gp) +81118d44: 01604574 movhi r5,33045 +81118d48: 296b6304 addi r5,r5,-21108 +81118d4c: 980d883a mov r6,r19 +81118d50: 112b6200 call 8112b620 +81118d54: 003ee306 br 811188e4 <__reset+0xfb0f88e4> +81118d58: 28800043 ldbu r2,1(r5) +81118d5c: b547883a add r3,r22,r21 +81118d60: 18ffffc4 addi r3,r3,-1 +81118d64: 10803fcc andi r2,r2,255 +81118d68: 108002a4 muli r2,r2,10 +81118d6c: 2885883a add r2,r5,r2 +81118d70: 10814084 addi r2,r2,1282 +81118d74: 15c0000d sth r23,0(r2) +81118d78: 28800043 ldbu r2,1(r5) +81118d7c: 10803fcc andi r2,r2,255 +81118d80: 108002a4 muli r2,r2,10 +81118d84: 2885883a add r2,r5,r2 +81118d88: 10814104 addi r2,r2,1284 +81118d8c: 1700000d sth fp,0(r2) +81118d90: 28800043 ldbu r2,1(r5) 81118d94: 10803fcc andi r2,r2,255 81118d98: 108002a4 muli r2,r2,10 81118d9c: 2885883a add r2,r5,r2 -81118da0: 10814284 addi r2,r2,1290 -81118da4: 1400000d sth r16,0(r2) +81118da0: 10814184 addi r2,r2,1286 +81118da4: 1580000d sth r22,0(r2) 81118da8: 28800043 ldbu r2,1(r5) -81118dac: 10800044 addi r2,r2,1 -81118db0: 28800045 stb r2,1(r5) -81118db4: 88800803 ldbu r2,32(r17) -81118db8: 18bc7636 bltu r3,r2,81117f94 <__reset+0xfb0f7f94> -81118dbc: 29c00043 ldbu r7,1(r5) -81118dc0: d1207917 ldw r4,-32284(gp) -81118dc4: 01604574 movhi r5,33045 -81118dc8: 296b2104 addi r5,r5,-21372 -81118dcc: 39c03fcc andi r7,r7,255 -81118dd0: 980d883a mov r6,r19 -81118dd4: 112b5e00 call 8112b5e0 -81118dd8: 003d5006 br 8111831c <__reset+0xfb0f831c> -81118ddc: 88800803 ldbu r2,32(r17) -81118de0: 00c001c4 movi r3,7 -81118de4: 18bec436 bltu r3,r2,811188f8 <__reset+0xfb0f88f8> -81118de8: d1207917 ldw r4,-32284(gp) -81118dec: 01604574 movhi r5,33045 -81118df0: 296ba304 addi r5,r5,-20852 -81118df4: 980d883a mov r6,r19 -81118df8: 112b5e00 call 8112b5e0 -81118dfc: 003ebe06 br 811188f8 <__reset+0xfb0f88f8> -81118e00: d1207917 ldw r4,-32284(gp) -81118e04: 01604574 movhi r5,33045 -81118e08: 296be204 addi r5,r5,-20600 -81118e0c: 980d883a mov r6,r19 -81118e10: 112b5e00 call 8112b5e0 -81118e14: 003d0506 br 8111822c <__reset+0xfb0f822c> -81118e18: d1207917 ldw r4,-32284(gp) -81118e1c: 01604574 movhi r5,33045 -81118e20: 296cf504 addi r5,r5,-19500 -81118e24: 980d883a mov r6,r19 -81118e28: 112b5e00 call 8112b5e0 -81118e2c: 003ee306 br 811189bc <__reset+0xfb0f89bc> -81118e30: d1207917 ldw r4,-32284(gp) -81118e34: 01604574 movhi r5,33045 -81118e38: 980d883a mov r6,r19 -81118e3c: 296b6604 addi r5,r5,-21096 -81118e40: 112b5e00 call 8112b5e0 -81118e44: d1a07217 ldw r6,-32312(gp) -81118e48: 30800003 ldbu r2,0(r6) -81118e4c: 10803fcc andi r2,r2,255 -81118e50: 10001e26 beq r2,zero,81118ecc -81118e54: 30800003 ldbu r2,0(r6) -81118e58: 10803fcc andi r2,r2,255 -81118e5c: 10001b26 beq r2,zero,81118ecc -81118e60: 0029883a mov r20,zero -81118e64: a08002a4 muli r2,r20,10 -81118e68: 000b883a mov r5,zero -81118e6c: a809883a mov r4,r21 -81118e70: 3085883a add r2,r6,r2 -81118e74: 10c00204 addi r3,r2,8 -81118e78: 11800184 addi r6,r2,6 -81118e7c: 12400284 addi r9,r2,10 -81118e80: 3180000b ldhu r6,0(r6) -81118e84: 19c0000b ldhu r7,0(r3) -81118e88: 10c0008b ldhu r3,2(r2) -81118e8c: 1200010b ldhu r8,4(r2) -81118e90: 4a40000b ldhu r9,0(r9) -81118e94: 18bfffcc andi r2,r3,65535 -81118e98: 40ffffcc andi r3,r8,65535 -81118e9c: 4a3fffcc andi r8,r9,65535 -81118ea0: d8c00115 stw r3,4(sp) -81118ea4: 31bfffcc andi r6,r6,65535 -81118ea8: da000215 stw r8,8(sp) -81118eac: d8800015 stw r2,0(sp) -81118eb0: 39ffffcc andi r7,r7,65535 -81118eb4: 11028d00 call 811028d0 -81118eb8: d1a07217 ldw r6,-32312(gp) -81118ebc: a5000044 addi r20,r20,1 -81118ec0: 30c00003 ldbu r3,0(r6) -81118ec4: 18c03fcc andi r3,r3,255 -81118ec8: a0ffe616 blt r20,r3,81118e64 <__reset+0xfb0f8e64> -81118ecc: 88800803 ldbu r2,32(r17) -81118ed0: 00c001c4 movi r3,7 -81118ed4: 18be8136 bltu r3,r2,811188dc <__reset+0xfb0f88dc> -81118ed8: d1207917 ldw r4,-32284(gp) -81118edc: 01604574 movhi r5,33045 -81118ee0: 296b7904 addi r5,r5,-21020 -81118ee4: 980d883a mov r6,r19 -81118ee8: 112b5e00 call 8112b5e0 -81118eec: 003e7b06 br 811188dc <__reset+0xfb0f88dc> -81118ef0: 30800003 ldbu r2,0(r6) -81118ef4: 10803fcc andi r2,r2,255 -81118ef8: 103fd91e bne r2,zero,81118e60 <__reset+0xfb0f8e60> -81118efc: 003e7706 br 811188dc <__reset+0xfb0f88dc> +81118dac: 10803fcc andi r2,r2,255 +81118db0: 108002a4 muli r2,r2,10 +81118db4: 2885883a add r2,r5,r2 +81118db8: 10814204 addi r2,r2,1288 +81118dbc: 10c0000d sth r3,0(r2) +81118dc0: 28800043 ldbu r2,1(r5) +81118dc4: 00c001c4 movi r3,7 +81118dc8: 10803fcc andi r2,r2,255 +81118dcc: 108002a4 muli r2,r2,10 +81118dd0: 2885883a add r2,r5,r2 +81118dd4: 10814284 addi r2,r2,1290 +81118dd8: 1400000d sth r16,0(r2) +81118ddc: 28800043 ldbu r2,1(r5) +81118de0: 10800044 addi r2,r2,1 +81118de4: 28800045 stb r2,1(r5) +81118de8: 88800803 ldbu r2,32(r17) +81118dec: 18bc7636 bltu r3,r2,81117fc8 <__reset+0xfb0f7fc8> +81118df0: 29c00043 ldbu r7,1(r5) +81118df4: d1207917 ldw r4,-32284(gp) +81118df8: 01604574 movhi r5,33045 +81118dfc: 296b3104 addi r5,r5,-21308 +81118e00: 39c03fcc andi r7,r7,255 +81118e04: 980d883a mov r6,r19 +81118e08: 112b6200 call 8112b620 +81118e0c: 003d5006 br 81118350 <__reset+0xfb0f8350> +81118e10: 88800803 ldbu r2,32(r17) +81118e14: 00c001c4 movi r3,7 +81118e18: 18bec436 bltu r3,r2,8111892c <__reset+0xfb0f892c> +81118e1c: d1207917 ldw r4,-32284(gp) +81118e20: 01604574 movhi r5,33045 +81118e24: 296bb304 addi r5,r5,-20788 +81118e28: 980d883a mov r6,r19 +81118e2c: 112b6200 call 8112b620 +81118e30: 003ebe06 br 8111892c <__reset+0xfb0f892c> +81118e34: d1207917 ldw r4,-32284(gp) +81118e38: 01604574 movhi r5,33045 +81118e3c: 296bf204 addi r5,r5,-20536 +81118e40: 980d883a mov r6,r19 +81118e44: 112b6200 call 8112b620 +81118e48: 003d0506 br 81118260 <__reset+0xfb0f8260> +81118e4c: d1207917 ldw r4,-32284(gp) +81118e50: 01604574 movhi r5,33045 +81118e54: 296d0504 addi r5,r5,-19436 +81118e58: 980d883a mov r6,r19 +81118e5c: 112b6200 call 8112b620 +81118e60: 003ee306 br 811189f0 <__reset+0xfb0f89f0> +81118e64: d1207917 ldw r4,-32284(gp) +81118e68: 01604574 movhi r5,33045 +81118e6c: 980d883a mov r6,r19 +81118e70: 296b7604 addi r5,r5,-21032 +81118e74: 112b6200 call 8112b620 +81118e78: d1a07217 ldw r6,-32312(gp) +81118e7c: 30800003 ldbu r2,0(r6) +81118e80: 10803fcc andi r2,r2,255 +81118e84: 10001e26 beq r2,zero,81118f00 +81118e88: 30800003 ldbu r2,0(r6) +81118e8c: 10803fcc andi r2,r2,255 +81118e90: 10001b26 beq r2,zero,81118f00 +81118e94: 0029883a mov r20,zero +81118e98: a08002a4 muli r2,r20,10 +81118e9c: 000b883a mov r5,zero +81118ea0: a809883a mov r4,r21 +81118ea4: 3085883a add r2,r6,r2 +81118ea8: 10c00204 addi r3,r2,8 +81118eac: 11800184 addi r6,r2,6 +81118eb0: 12400284 addi r9,r2,10 +81118eb4: 3180000b ldhu r6,0(r6) +81118eb8: 19c0000b ldhu r7,0(r3) +81118ebc: 10c0008b ldhu r3,2(r2) +81118ec0: 1200010b ldhu r8,4(r2) +81118ec4: 4a40000b ldhu r9,0(r9) +81118ec8: 18bfffcc andi r2,r3,65535 +81118ecc: 40ffffcc andi r3,r8,65535 +81118ed0: 4a3fffcc andi r8,r9,65535 +81118ed4: d8c00115 stw r3,4(sp) +81118ed8: 31bfffcc andi r6,r6,65535 +81118edc: da000215 stw r8,8(sp) +81118ee0: d8800015 stw r2,0(sp) +81118ee4: 39ffffcc andi r7,r7,65535 +81118ee8: 11028d00 call 811028d0 +81118eec: d1a07217 ldw r6,-32312(gp) +81118ef0: a5000044 addi r20,r20,1 +81118ef4: 30c00003 ldbu r3,0(r6) +81118ef8: 18c03fcc andi r3,r3,255 +81118efc: a0ffe616 blt r20,r3,81118e98 <__reset+0xfb0f8e98> 81118f00: 88800803 ldbu r2,32(r17) 81118f04: 00c001c4 movi r3,7 -81118f08: 18bce336 bltu r3,r2,81118298 <__reset+0xfb0f8298> +81118f08: 18be8136 bltu r3,r2,81118910 <__reset+0xfb0f8910> 81118f0c: d1207917 ldw r4,-32284(gp) 81118f10: 01604574 movhi r5,33045 -81118f14: 296c4604 addi r5,r5,-20200 +81118f14: 296b8904 addi r5,r5,-20956 81118f18: 980d883a mov r6,r19 -81118f1c: 112b5e00 call 8112b5e0 -81118f20: 003cdd06 br 81118298 <__reset+0xfb0f8298> -81118f24: d1207917 ldw r4,-32284(gp) -81118f28: 01604574 movhi r5,33045 -81118f2c: 296bf504 addi r5,r5,-20524 -81118f30: 980d883a mov r6,r19 -81118f34: 112b5e00 call 8112b5e0 -81118f38: 003cc506 br 81118250 <__reset+0xfb0f8250> -81118f3c: 21c00003 ldbu r7,0(r4) +81118f1c: 112b6200 call 8112b620 +81118f20: 003e7b06 br 81118910 <__reset+0xfb0f8910> +81118f24: 30800003 ldbu r2,0(r6) +81118f28: 10803fcc andi r2,r2,255 +81118f2c: 103fd91e bne r2,zero,81118e94 <__reset+0xfb0f8e94> +81118f30: 003e7706 br 81118910 <__reset+0xfb0f8910> +81118f34: 88800803 ldbu r2,32(r17) +81118f38: 00c001c4 movi r3,7 +81118f3c: 18bce336 bltu r3,r2,811182cc <__reset+0xfb0f82cc> 81118f40: d1207917 ldw r4,-32284(gp) 81118f44: 01604574 movhi r5,33045 -81118f48: 296af704 addi r5,r5,-21540 -81118f4c: 39c03fcc andi r7,r7,255 -81118f50: 980d883a mov r6,r19 -81118f54: 112b5e00 call 8112b5e0 -81118f58: 003ee006 br 81118adc <__reset+0xfb0f8adc> -81118f5c: d1207917 ldw r4,-32284(gp) -81118f60: 01604574 movhi r5,33045 -81118f64: 296b9004 addi r5,r5,-20928 -81118f68: 980d883a mov r6,r19 -81118f6c: 112b5e00 call 8112b5e0 -81118f70: 003e6106 br 811188f8 <__reset+0xfb0f88f8> -81118f74: 30800043 ldbu r2,1(r6) -81118f78: 10803fcc andi r2,r2,255 -81118f7c: 103cbf26 beq r2,zero,8111827c <__reset+0xfb0f827c> -81118f80: 0029883a mov r20,zero -81118f84: a08002a4 muli r2,r20,10 -81118f88: 01400044 movi r5,1 -81118f8c: a809883a mov r4,r21 -81118f90: 3085883a add r2,r6,r2 -81118f94: 11814184 addi r6,r2,1286 -81118f98: 11c14204 addi r7,r2,1288 -81118f9c: 10c14084 addi r3,r2,1282 -81118fa0: 12014104 addi r8,r2,1284 -81118fa4: 10814284 addi r2,r2,1290 -81118fa8: 3180000b ldhu r6,0(r6) -81118fac: 39c0000b ldhu r7,0(r7) -81118fb0: 18c0000b ldhu r3,0(r3) -81118fb4: 4200000b ldhu r8,0(r8) -81118fb8: 1240000b ldhu r9,0(r2) -81118fbc: 18bfffcc andi r2,r3,65535 -81118fc0: 40ffffcc andi r3,r8,65535 -81118fc4: 4a3fffcc andi r8,r9,65535 -81118fc8: d8c00115 stw r3,4(sp) -81118fcc: 31bfffcc andi r6,r6,65535 -81118fd0: da000215 stw r8,8(sp) -81118fd4: d8800015 stw r2,0(sp) -81118fd8: 39ffffcc andi r7,r7,65535 -81118fdc: 11028d00 call 811028d0 -81118fe0: d1a07217 ldw r6,-32312(gp) -81118fe4: a5000044 addi r20,r20,1 -81118fe8: 30c00043 ldbu r3,1(r6) -81118fec: 18c03fcc andi r3,r3,255 -81118ff0: a0ffe416 blt r20,r3,81118f84 <__reset+0xfb0f8f84> -81118ff4: 88800803 ldbu r2,32(r17) -81118ff8: 00c001c4 movi r3,7 -81118ffc: 18bc9f36 bltu r3,r2,8111827c <__reset+0xfb0f827c> -81119000: d1207917 ldw r4,-32284(gp) -81119004: 01604574 movhi r5,33045 -81119008: 296c1c04 addi r5,r5,-20368 -8111900c: 980d883a mov r6,r19 -81119010: 112b5e00 call 8112b5e0 -81119014: 003c9906 br 8111827c <__reset+0xfb0f827c> -81119018: d1207917 ldw r4,-32284(gp) -8111901c: 01604574 movhi r5,33045 -81119020: 980d883a mov r6,r19 -81119024: 296c0904 addi r5,r5,-20444 -81119028: 112b5e00 call 8112b5e0 -8111902c: d1a07217 ldw r6,-32312(gp) -81119030: 30800043 ldbu r2,1(r6) -81119034: 10803fcc andi r2,r2,255 -81119038: 103fee26 beq r2,zero,81118ff4 <__reset+0xfb0f8ff4> -8111903c: 30800043 ldbu r2,1(r6) -81119040: 10803fcc andi r2,r2,255 -81119044: 103fce1e bne r2,zero,81118f80 <__reset+0xfb0f8f80> -81119048: 003fea06 br 81118ff4 <__reset+0xfb0f8ff4> +81118f48: 296c5604 addi r5,r5,-20136 +81118f4c: 980d883a mov r6,r19 +81118f50: 112b6200 call 8112b620 +81118f54: 003cdd06 br 811182cc <__reset+0xfb0f82cc> +81118f58: d1207917 ldw r4,-32284(gp) +81118f5c: 01604574 movhi r5,33045 +81118f60: 296c0504 addi r5,r5,-20460 +81118f64: 980d883a mov r6,r19 +81118f68: 112b6200 call 8112b620 +81118f6c: 003cc506 br 81118284 <__reset+0xfb0f8284> +81118f70: 21c00003 ldbu r7,0(r4) +81118f74: d1207917 ldw r4,-32284(gp) +81118f78: 01604574 movhi r5,33045 +81118f7c: 296b0704 addi r5,r5,-21476 +81118f80: 39c03fcc andi r7,r7,255 +81118f84: 980d883a mov r6,r19 +81118f88: 112b6200 call 8112b620 +81118f8c: 003ee006 br 81118b10 <__reset+0xfb0f8b10> +81118f90: d1207917 ldw r4,-32284(gp) +81118f94: 01604574 movhi r5,33045 +81118f98: 296ba004 addi r5,r5,-20864 +81118f9c: 980d883a mov r6,r19 +81118fa0: 112b6200 call 8112b620 +81118fa4: 003e6106 br 8111892c <__reset+0xfb0f892c> +81118fa8: 30800043 ldbu r2,1(r6) +81118fac: 10803fcc andi r2,r2,255 +81118fb0: 103cbf26 beq r2,zero,811182b0 <__reset+0xfb0f82b0> +81118fb4: 0029883a mov r20,zero +81118fb8: a08002a4 muli r2,r20,10 +81118fbc: 01400044 movi r5,1 +81118fc0: a809883a mov r4,r21 +81118fc4: 3085883a add r2,r6,r2 +81118fc8: 11814184 addi r6,r2,1286 +81118fcc: 11c14204 addi r7,r2,1288 +81118fd0: 10c14084 addi r3,r2,1282 +81118fd4: 12014104 addi r8,r2,1284 +81118fd8: 10814284 addi r2,r2,1290 +81118fdc: 3180000b ldhu r6,0(r6) +81118fe0: 39c0000b ldhu r7,0(r7) +81118fe4: 18c0000b ldhu r3,0(r3) +81118fe8: 4200000b ldhu r8,0(r8) +81118fec: 1240000b ldhu r9,0(r2) +81118ff0: 18bfffcc andi r2,r3,65535 +81118ff4: 40ffffcc andi r3,r8,65535 +81118ff8: 4a3fffcc andi r8,r9,65535 +81118ffc: d8c00115 stw r3,4(sp) +81119000: 31bfffcc andi r6,r6,65535 +81119004: da000215 stw r8,8(sp) +81119008: d8800015 stw r2,0(sp) +8111900c: 39ffffcc andi r7,r7,65535 +81119010: 11028d00 call 811028d0 +81119014: d1a07217 ldw r6,-32312(gp) +81119018: a5000044 addi r20,r20,1 +8111901c: 30c00043 ldbu r3,1(r6) +81119020: 18c03fcc andi r3,r3,255 +81119024: a0ffe416 blt r20,r3,81118fb8 <__reset+0xfb0f8fb8> +81119028: 88800803 ldbu r2,32(r17) +8111902c: 00c001c4 movi r3,7 +81119030: 18bc9f36 bltu r3,r2,811182b0 <__reset+0xfb0f82b0> +81119034: d1207917 ldw r4,-32284(gp) +81119038: 01604574 movhi r5,33045 +8111903c: 296c2c04 addi r5,r5,-20304 +81119040: 980d883a mov r6,r19 +81119044: 112b6200 call 8112b620 +81119048: 003c9906 br 811182b0 <__reset+0xfb0f82b0> 8111904c: d1207917 ldw r4,-32284(gp) 81119050: 01604574 movhi r5,33045 -81119054: 296c3304 addi r5,r5,-20276 -81119058: 980d883a mov r6,r19 -8111905c: 112b5e00 call 8112b5e0 -81119060: 003c8d06 br 81118298 <__reset+0xfb0f8298> -81119064: d1207917 ldw r4,-32284(gp) -81119068: 01604574 movhi r5,33045 -8111906c: 296ade04 addi r5,r5,-21640 -81119070: 980d883a mov r6,r19 -81119074: 112b5e00 call 8112b5e0 -81119078: 003e9806 br 81118adc <__reset+0xfb0f8adc> - -8111907c : -8111907c: 2880030b ldhu r2,12(r5) -81119080: 00c03ec4 movi r3,251 -81119084: 10c00c26 beq r2,r3,811190b8 -81119088: 00c03f04 movi r3,252 -8111908c: 10c00926 beq r2,r3,811190b4 -81119090: 00c03e84 movi r3,250 -81119094: 10c00626 beq r2,r3,811190b0 -81119098: 00a04634 movhi r2,33048 -8111909c: 108f0804 addi r2,r2,15392 -811190a0: 10800803 ldbu r2,32(r2) -811190a4: 00c001c4 movi r3,7 -811190a8: 1880092e bgeu r3,r2,811190d0 -811190ac: f800283a ret -811190b0: 1117dec1 jmpi 81117dec -811190b4: 11171701 jmpi 81117170 -811190b8: 00a04634 movhi r2,33048 -811190bc: 108f0804 addi r2,r2,15392 -811190c0: 10800803 ldbu r2,32(r2) -811190c4: 00c00104 movi r3,4 -811190c8: 18bff836 bltu r3,r2,811190ac <__reset+0xfb0f90ac> -811190cc: 11171201 jmpi 81117120 -811190d0: d1e07917 ldw r7,-32284(gp) -811190d4: 01204574 movhi r4,33045 -811190d8: 212d3204 addi r4,r4,-19256 -811190dc: 01800d84 movi r6,54 -811190e0: 01400044 movi r5,1 -811190e4: 112bc4c1 jmpi 8112bc4c - -811190e8 : -811190e8: defffc04 addi sp,sp,-16 -811190ec: dfc00315 stw ra,12(sp) -811190f0: dc800215 stw r18,8(sp) -811190f4: dc400115 stw r17,4(sp) -811190f8: dc000015 stw r16,0(sp) -811190fc: 2023883a mov r17,r4 -81119100: 11267b40 call 811267b4 -81119104: 11267b80 call 811267b8 -81119108: 11071300 call 81107130 -8111910c: 01c00144 movi r7,5 -81119110: 000d883a mov r6,zero -81119114: 000b883a mov r5,zero -81119118: 0009883a mov r4,zero -8111911c: 114478c0 call 8114478c -81119120: 00800044 movi r2,1 -81119124: 88800305 stb r2,12(r17) -81119128: 000d883a mov r6,zero -8111912c: 000b883a mov r5,zero -81119130: 01002844 movi r4,161 -81119134: 88000345 stb zero,13(r17) -81119138: 11263540 call 81126354 -8111913c: 000d883a mov r6,zero -81119140: 000b883a mov r5,zero -81119144: 01002844 movi r4,161 -81119148: 11264900 call 81126490 -8111914c: 01c03e84 movi r7,250 -81119150: 000d883a mov r6,zero -81119154: 000b883a mov r5,zero -81119158: 0009883a mov r4,zero -8111915c: 114478c0 call 8114478c -81119160: 0021883a mov r16,zero -81119164: 04800184 movi r18,6 -81119168: 800b883a mov r5,r16 -8111916c: 8809883a mov r4,r17 -81119170: 84000044 addi r16,r16,1 -81119174: 1117c140 call 81117c14 -81119178: 84bffb1e bne r16,r18,81119168 <__reset+0xfb0f9168> -8111917c: 0021883a mov r16,zero -81119180: 04400184 movi r17,6 -81119184: 8009883a mov r4,r16 -81119188: 01800044 movi r6,1 -8111918c: 000b883a mov r5,zero -81119190: 1101da00 call 81101da0 -81119194: 01800044 movi r6,1 -81119198: 8009883a mov r4,r16 -8111919c: 300b883a mov r5,r6 -811191a0: 81a1883a add r16,r16,r6 -811191a4: 1101da00 call 81101da0 -811191a8: 847ff61e bne r16,r17,81119184 <__reset+0xfb0f9184> -811191ac: 01000044 movi r4,1 -811191b0: 1101e5c0 call 81101e5c -811191b4: 1105bc00 call 81105bc0 -811191b8: 1105a680 call 81105a68 -811191bc: dfc00317 ldw ra,12(sp) -811191c0: dc800217 ldw r18,8(sp) -811191c4: dc400117 ldw r17,4(sp) -811191c8: dc000017 ldw r16,0(sp) -811191cc: dec00404 addi sp,sp,16 -811191d0: 1105ab41 jmpi 81105ab4 - -811191d4 : -811191d4: 2880038b ldhu r2,14(r5) -811191d8: defff904 addi sp,sp,-28 -811191dc: dfc00615 stw ra,24(sp) -811191e0: 10bff844 addi r2,r2,-31 -811191e4: dd400515 stw r21,20(sp) -811191e8: dd000415 stw r20,16(sp) -811191ec: dcc00315 stw r19,12(sp) -811191f0: dc800215 stw r18,8(sp) -811191f4: dc400115 stw r17,4(sp) -811191f8: dc000015 stw r16,0(sp) -811191fc: 10bfffcc andi r2,r2,65535 -81119200: 00c00cc4 movi r3,51 -81119204: 18824c36 bltu r3,r2,81119b38 -81119208: 100490ba slli r2,r2,2 -8111920c: 00e044b4 movhi r3,33042 -81119210: 18e48a04 addi r3,r3,-28120 -81119214: 10c5883a add r2,r2,r3 -81119218: 10800017 ldw r2,0(r2) -8111921c: 2023883a mov r17,r4 -81119220: 2821883a mov r16,r5 -81119224: 1000683a jmp r2 -81119228: 81119afc xorhi r4,r16,18027 -8111922c: 81119b38 rdprs r4,r16,18028 -81119230: 81119b38 rdprs r4,r16,18028 -81119234: 81119b38 rdprs r4,r16,18028 -81119238: 81119b38 rdprs r4,r16,18028 -8111923c: 81119a8c andi r4,r16,18026 -81119240: 81119a2c andhi r4,r16,18024 -81119244: 81119b38 rdprs r4,r16,18028 -81119248: 81119b38 rdprs r4,r16,18028 -8111924c: 81119b38 rdprs r4,r16,18028 -81119250: 81119b38 rdprs r4,r16,18028 -81119254: 81119b38 rdprs r4,r16,18028 -81119258: 81119b38 rdprs r4,r16,18028 -8111925c: 811199f4 orhi r4,r16,18023 -81119260: 81119b38 rdprs r4,r16,18028 -81119264: 811199ac andhi r4,r16,18022 -81119268: 81119944 addi r4,r16,18021 -8111926c: 811198a4 muli r4,r16,18018 -81119270: 81119864 muli r4,r16,18017 -81119274: 8111983c xorhi r4,r16,18016 -81119278: 811197b8 rdprs r4,r16,18014 -8111927c: 8111974c andi r4,r16,18013 -81119280: 8111971c xori r4,r16,18012 -81119284: 81119b38 rdprs r4,r16,18028 -81119288: 81119b38 rdprs r4,r16,18028 -8111928c: 81119b38 rdprs r4,r16,18028 -81119290: 81119b38 rdprs r4,r16,18028 -81119294: 811196f8 rdprs r4,r16,18011 -81119298: 811196b4 orhi r4,r16,18010 -8111929c: 81119698 cmpnei r4,r16,18010 -811192a0: 81119b38 rdprs r4,r16,18028 -811192a4: 81119b38 rdprs r4,r16,18028 -811192a8: 81119650 cmplti r4,r16,18009 -811192ac: 81119624 muli r4,r16,18008 -811192b0: 81119b38 rdprs r4,r16,18028 -811192b4: 811195ac andhi r4,r16,18006 -811192b8: 81119470 cmpltui r4,r16,18001 -811192bc: 81119b38 rdprs r4,r16,18028 -811192c0: 81119b38 rdprs r4,r16,18028 -811192c4: 81119418 cmpnei r4,r16,18000 -811192c8: 81119b38 rdprs r4,r16,18028 -811192cc: 8111951c xori r4,r16,18004 -811192d0: 81119b38 rdprs r4,r16,18028 -811192d4: 81119b38 rdprs r4,r16,18028 -811192d8: 81119b38 rdprs r4,r16,18028 -811192dc: 811194b0 cmpltui r4,r16,18002 -811192e0: 811193b8 rdprs r4,r16,17998 -811192e4: 81119b38 rdprs r4,r16,18028 -811192e8: 81119b38 rdprs r4,r16,18028 -811192ec: 81119b38 rdprs r4,r16,18028 -811192f0: 81119350 cmplti r4,r16,17997 -811192f4: 811192f8 rdprs r4,r16,17995 -811192f8: 2880050b ldhu r2,20(r5) -811192fc: 113fffcc andi r4,r2,65535 -81119300: 21042f24 muli r4,r4,4284 -81119304: 1021883a mov r16,r2 -81119308: 2119f804 addi r4,r4,26592 -8111930c: 8909883a add r4,r17,r4 -81119310: 1102d780 call 81102d78 -81119314: 10037426 beq r2,zero,8111a0e8 -81119318: 00a04634 movhi r2,33048 -8111931c: 108f0804 addi r2,r2,15392 -81119320: 10800803 ldbu r2,32(r2) -81119324: 00c001c4 movi r3,7 -81119328: 1883fe2e bgeu r3,r2,8111a324 -8111932c: dfc00617 ldw ra,24(sp) -81119330: dd400517 ldw r21,20(sp) -81119334: dd000417 ldw r20,16(sp) -81119338: dcc00317 ldw r19,12(sp) -8111933c: dc800217 ldw r18,8(sp) -81119340: dc400117 ldw r17,4(sp) -81119344: dc000017 ldw r16,0(sp) -81119348: dec00704 addi sp,sp,28 -8111934c: f800283a ret -81119350: 29800503 ldbu r6,20(r5) -81119354: 00e04634 movhi r3,33048 -81119358: 18cf0804 addi r3,r3,15392 -8111935c: 30842f24 muli r2,r6,4284 -81119360: 19000803 ldbu r4,32(r3) -81119364: 8887883a add r3,r17,r2 -81119368: 109acf04 addi r2,r2,27452 -8111936c: 88a3883a add r17,r17,r2 -81119370: 189af004 addi r2,r3,27584 -81119374: 00c00044 movi r3,1 -81119378: 10c00015 stw r3,0(r2) -8111937c: d4607115 stw r17,-32316(gp) -81119380: 008001c4 movi r2,7 -81119384: 113fe936 bltu r2,r4,8111932c <__reset+0xfb0f932c> -81119388: 01604574 movhi r5,33045 -8111938c: 296ead04 addi r5,r5,-17740 -81119390: d1207917 ldw r4,-32284(gp) -81119394: dfc00617 ldw ra,24(sp) -81119398: dd400517 ldw r21,20(sp) -8111939c: dd000417 ldw r20,16(sp) -811193a0: dcc00317 ldw r19,12(sp) -811193a4: dc800217 ldw r18,8(sp) -811193a8: dc400117 ldw r17,4(sp) -811193ac: dc000017 ldw r16,0(sp) -811193b0: dec00704 addi sp,sp,28 -811193b4: 112b5e01 jmpi 8112b5e0 -811193b8: 2c80058b ldhu r18,22(r5) -811193bc: 2c000503 ldbu r16,20(r5) -811193c0: 90bfff4c andi r2,r18,65533 -811193c4: 10035226 beq r2,zero,8111a110 -811193c8: 94bfffc4 addi r18,r18,-1 -811193cc: 94bfffcc andi r18,r18,65535 -811193d0: 01400044 movi r5,1 -811193d4: 2cbfd536 bltu r5,r18,8111932c <__reset+0xfb0f932c> -811193d8: 84003fcc andi r16,r16,255 -811193dc: 81042f24 muli r4,r16,4284 -811193e0: 2119f804 addi r4,r4,26592 -811193e4: 8909883a add r4,r17,r4 -811193e8: 1102a900 call 81102a90 -811193ec: 1003e626 beq r2,zero,8111a388 -811193f0: 00a04634 movhi r2,33048 -811193f4: 108f0804 addi r2,r2,15392 -811193f8: 10800803 ldbu r2,32(r2) -811193fc: 00c001c4 movi r3,7 -81119400: 18bfca36 bltu r3,r2,8111932c <__reset+0xfb0f932c> -81119404: 01604574 movhi r5,33045 -81119408: 296e8104 addi r5,r5,-17916 -8111940c: d1207917 ldw r4,-32284(gp) -81119410: 800d883a mov r6,r16 -81119414: 003fdf06 br 81119394 <__reset+0xfb0f9394> -81119418: 00e04634 movhi r3,33048 -8111941c: 18cf0804 addi r3,r3,15392 -81119420: 18c00803 ldbu r3,32(r3) -81119424: 010001c4 movi r4,7 -81119428: 28800503 ldbu r2,20(r5) -8111942c: 2c400583 ldbu r17,22(r5) -81119430: 20c3492e bgeu r4,r3,8111a158 -81119434: 14003fcc andi r16,r2,255 -81119438: 8c403fcc andi r17,r17,255 -8111943c: 880f883a mov r7,r17 -81119440: 880d883a mov r6,r17 -81119444: 01401d84 movi r5,118 -81119448: 8009883a mov r4,r16 -8111944c: dfc00617 ldw ra,24(sp) -81119450: dd400517 ldw r21,20(sp) -81119454: dd000417 ldw r20,16(sp) -81119458: dcc00317 ldw r19,12(sp) -8111945c: dc800217 ldw r18,8(sp) -81119460: dc400117 ldw r17,4(sp) -81119464: dc000017 ldw r16,0(sp) -81119468: dec00704 addi sp,sp,28 -8111946c: 11263d01 jmpi 811263d0 -81119470: 28800503 ldbu r2,20(r5) -81119474: 28c0058b ldhu r3,22(r5) -81119478: 10842f24 muli r2,r2,4284 -8111947c: 20a3883a add r17,r4,r2 -81119480: 88dabe05 stb r3,27384(r17) -81119484: 2900060b ldhu r4,24(r5) -81119488: 28c0068b ldhu r3,26(r5) -8111948c: 2880070b ldhu r2,28(r5) -81119490: 01400044 movi r5,1 -81119494: 895abd15 stw r5,27380(r17) -81119498: 891abe8d sth r4,27386(r17) -8111949c: 88dabf8d sth r3,27390(r17) -811194a0: 889abf0d sth r2,27388(r17) -811194a4: 881abc15 stw zero,27376(r17) -811194a8: 881abb15 stw zero,27372(r17) -811194ac: 003f9f06 br 8111932c <__reset+0xfb0f932c> -811194b0: 2c800503 ldbu r18,20(r5) -811194b4: 2c00058b ldhu r16,22(r5) -811194b8: 90842f24 muli r2,r18,4284 -811194bc: 813fffcc andi r4,r16,65535 -811194c0: 10daf104 addi r3,r2,27588 -811194c4: 88c7883a add r3,r17,r3 -811194c8: d0e07215 stw r3,-32312(gp) -811194cc: 2002f726 beq r4,zero,8111a0ac -811194d0: 00c00084 movi r3,2 -811194d4: 20c39826 beq r4,r3,8111a338 -811194d8: 843fffc4 addi r16,r16,-1 -811194dc: 843fffcc andi r16,r16,65535 -811194e0: 00800044 movi r2,1 -811194e4: 143f9136 bltu r2,r16,8111932c <__reset+0xfb0f932c> -811194e8: 00e04634 movhi r3,33048 -811194ec: 18cf0804 addi r3,r3,15392 -811194f0: 18800803 ldbu r2,32(r3) -811194f4: d0e07217 ldw r3,-32312(gp) -811194f8: 01000044 movi r4,1 -811194fc: 19028215 stw r4,2568(r3) -81119500: 00c001c4 movi r3,7 -81119504: 18bf8936 bltu r3,r2,8111932c <__reset+0xfb0f932c> -81119508: 01604574 movhi r5,33045 -8111950c: 296e4004 addi r5,r5,-18176 -81119510: d1207917 ldw r4,-32284(gp) -81119514: 900d883a mov r6,r18 -81119518: 003f9e06 br 81119394 <__reset+0xfb0f9394> -8111951c: 28800503 ldbu r2,20(r5) -81119520: 28c0058b ldhu r3,22(r5) -81119524: 04c00044 movi r19,1 -81119528: 10842f24 muli r2,r2,4284 -8111952c: 20a5883a add r18,r4,r2 -81119530: 90dac405 stb r3,27408(r18) -81119534: 2980060b ldhu r6,24(r5) -81119538: 80c0070b ldhu r3,28(r16) -8111953c: 2940068b ldhu r5,26(r5) -81119540: 1099a904 addi r2,r2,26276 -81119544: 20a3883a add r17,r4,r2 -81119548: 919ac48d sth r6,27410(r18) -8111954c: 915ac58d sth r5,27414(r18) -81119550: 8809883a mov r4,r17 -81119554: 90dac50d sth r3,27412(r18) -81119558: 94dac315 stw r19,27404(r18) -8111955c: 901ac215 stw zero,27400(r18) -81119560: 901ac115 stw zero,27396(r18) -81119564: 1103bd00 call 81103bd0 -81119568: 8809883a mov r4,r17 -8111956c: 94d9b215 stw r19,26312(r18) -81119570: 1103c380 call 81103c38 -81119574: d1e07917 ldw r7,-32284(gp) -81119578: 01204574 movhi r4,33045 -8111957c: 212e2304 addi r4,r4,-18292 -81119580: 018007c4 movi r6,31 -81119584: 980b883a mov r5,r19 -81119588: dfc00617 ldw ra,24(sp) -8111958c: dd400517 ldw r21,20(sp) -81119590: dd000417 ldw r20,16(sp) -81119594: dcc00317 ldw r19,12(sp) -81119598: dc800217 ldw r18,8(sp) -8111959c: dc400117 ldw r17,4(sp) -811195a0: dc000017 ldw r16,0(sp) -811195a4: dec00704 addi sp,sp,28 -811195a8: 112bc4c1 jmpi 8112bc4c -811195ac: 11190e80 call 811190e8 -811195b0: 0021883a mov r16,zero -811195b4: 048000b4 movhi r18,2 -811195b8: 044003c4 movi r17,15 -811195bc: 014007f4 movhi r5,31 -811195c0: 0009883a mov r4,zero -811195c4: 11065dc0 call 811065dc -811195c8: 814000cc andi r5,r16,3 -811195cc: 914a983a sll r5,r18,r5 -811195d0: 01000044 movi r4,1 -811195d4: 84000044 addi r16,r16,1 -811195d8: 11065dc0 call 811065dc -811195dc: 000f883a mov r7,zero -811195e0: 01800044 movi r6,1 -811195e4: 000b883a mov r5,zero -811195e8: 0009883a mov r4,zero -811195ec: 114478c0 call 8114478c -811195f0: 80803fcc andi r2,r16,255 -811195f4: 147ff11e bne r2,r17,811195bc <__reset+0xfb0f95bc> -811195f8: 014007f4 movhi r5,31 -811195fc: 01000044 movi r4,1 -81119600: dfc00617 ldw ra,24(sp) -81119604: dd400517 ldw r21,20(sp) -81119608: dd000417 ldw r20,16(sp) -8111960c: dcc00317 ldw r19,12(sp) -81119610: dc800217 ldw r18,8(sp) -81119614: dc400117 ldw r17,4(sp) -81119618: dc000017 ldw r16,0(sp) -8111961c: dec00704 addi sp,sp,28 -81119620: 11065dc1 jmpi 811065dc -81119624: 00a04634 movhi r2,33048 -81119628: 108f0804 addi r2,r2,15392 -8111962c: 10800803 ldbu r2,32(r2) -81119630: 00c001c4 movi r3,7 -81119634: 18bf3d36 bltu r3,r2,8111932c <__reset+0xfb0f932c> -81119638: d1e07917 ldw r7,-32284(gp) -8111963c: 01204574 movhi r4,33045 -81119640: 212e1604 addi r4,r4,-18344 -81119644: 01800c44 movi r6,49 -81119648: 01400044 movi r5,1 -8111964c: 003fce06 br 81119588 <__reset+0xfb0f9588> -81119650: 28800503 ldbu r2,20(r5) -81119654: 10842f24 muli r2,r2,4284 -81119658: 1419a904 addi r16,r2,26276 -8111965c: 2421883a add r16,r4,r16 -81119660: 20a3883a add r17,r4,r2 -81119664: 8009883a mov r4,r16 -81119668: 1103bd00 call 81103bd0 -8111966c: 8c99b204 addi r18,r17,26312 -81119670: 8009883a mov r4,r16 -81119674: 90000015 stw zero,0(r18) -81119678: 1103c380 call 81103c38 -8111967c: 01604574 movhi r5,33045 -81119680: 881ac115 stw zero,27396(r17) -81119684: 881ac215 stw zero,27400(r17) -81119688: 881ac315 stw zero,27404(r17) -8111968c: 91800017 ldw r6,0(r18) -81119690: 296e0c04 addi r5,r5,-18384 -81119694: 003f3e06 br 81119390 <__reset+0xfb0f9390> -81119698: 00a04634 movhi r2,33048 -8111969c: 108ee304 addi r2,r2,15244 -811196a0: 10800017 ldw r2,0(r2) -811196a4: 103f211e bne r2,zero,8111932c <__reset+0xfb0f932c> -811196a8: 008000c4 movi r2,3 -811196ac: 20800115 stw r2,4(r4) -811196b0: 003f1e06 br 8111932c <__reset+0xfb0f932c> -811196b4: 11190e80 call 811190e8 -811196b8: 0009883a mov r4,zero -811196bc: 000f883a mov r7,zero -811196c0: 018000c4 movi r6,3 -811196c4: 000b883a mov r5,zero -811196c8: 114478c0 call 8114478c -811196cc: 1106b840 call 81106b84 -811196d0: 0009883a mov r4,zero -811196d4: dfc00617 ldw ra,24(sp) -811196d8: dd400517 ldw r21,20(sp) -811196dc: dd000417 ldw r20,16(sp) -811196e0: dcc00317 ldw r19,12(sp) -811196e4: dc800217 ldw r18,8(sp) -811196e8: dc400117 ldw r17,4(sp) -811196ec: dc000017 ldw r16,0(sp) -811196f0: dec00704 addi sp,sp,28 -811196f4: 1106b381 jmpi 81106b38 -811196f8: dfc00617 ldw ra,24(sp) -811196fc: dd400517 ldw r21,20(sp) -81119700: dd000417 ldw r20,16(sp) -81119704: dcc00317 ldw r19,12(sp) -81119708: dc800217 ldw r18,8(sp) -8111970c: dc400117 ldw r17,4(sp) -81119710: dc000017 ldw r16,0(sp) -81119714: dec00704 addi sp,sp,28 -81119718: 1117bd81 jmpi 81117bd8 -8111971c: 29400503 ldbu r5,20(r5) -81119720: 1117c140 call 81117c14 -81119724: 00a04634 movhi r2,33048 -81119728: 108f0804 addi r2,r2,15392 -8111972c: 10800803 ldbu r2,32(r2) -81119730: 00c001c4 movi r3,7 -81119734: 18befd36 bltu r3,r2,8111932c <__reset+0xfb0f932c> -81119738: 01204574 movhi r4,33045 -8111973c: d1e07917 ldw r7,-32284(gp) -81119740: 212a1604 addi r4,r4,-22440 -81119744: 01800404 movi r6,16 -81119748: 003fbf06 br 81119648 <__reset+0xfb0f9648> -8111974c: 28800503 ldbu r2,20(r5) -81119750: 28c0058b ldhu r3,22(r5) -81119754: 04800044 movi r18,1 -81119758: 14042f24 muli r16,r2,4284 -8111975c: 8099a904 addi r2,r16,26276 -81119760: 20a3883a add r17,r4,r2 -81119764: 2421883a add r16,r4,r16 -81119768: 80dac405 stb r3,27408(r16) -8111976c: 8809883a mov r4,r17 -81119770: 849ac115 stw r18,27396(r16) -81119774: 801ac215 stw zero,27400(r16) -81119778: 801ac315 stw zero,27404(r16) -8111977c: 1103bd00 call 81103bd0 -81119780: 8809883a mov r4,r17 -81119784: 8499b215 stw r18,26312(r16) -81119788: 1103c380 call 81103c38 -8111978c: 00a04634 movhi r2,33048 -81119790: 108f0804 addi r2,r2,15392 -81119794: 10800803 ldbu r2,32(r2) -81119798: 00c001c4 movi r3,7 -8111979c: 18bee336 bltu r3,r2,8111932c <__reset+0xfb0f932c> -811197a0: 01204574 movhi r4,33045 -811197a4: d1e07917 ldw r7,-32284(gp) -811197a8: 212e0304 addi r4,r4,-18420 -811197ac: 01800844 movi r6,33 -811197b0: 900b883a mov r5,r18 -811197b4: 003f7406 br 81119588 <__reset+0xfb0f9588> -811197b8: 28800503 ldbu r2,20(r5) -811197bc: 28c0058b ldhu r3,22(r5) -811197c0: 04c00044 movi r19,1 -811197c4: 10842f24 muli r2,r2,4284 -811197c8: 20a5883a add r18,r4,r2 -811197cc: 90dac405 stb r3,27408(r18) -811197d0: 2980060b ldhu r6,24(r5) -811197d4: 80c0070b ldhu r3,28(r16) -811197d8: 2940068b ldhu r5,26(r5) -811197dc: 1099a904 addi r2,r2,26276 -811197e0: 20a3883a add r17,r4,r2 -811197e4: 90dac50d sth r3,27412(r18) -811197e8: 8809883a mov r4,r17 -811197ec: 919ac48d sth r6,27410(r18) -811197f0: 915ac58d sth r5,27414(r18) -811197f4: 94dac215 stw r19,27400(r18) -811197f8: 901ac315 stw zero,27404(r18) -811197fc: 901ac115 stw zero,27396(r18) -81119800: 1103bd00 call 81103bd0 -81119804: 8809883a mov r4,r17 -81119808: 94d9b215 stw r19,26312(r18) -8111980c: 1103c380 call 81103c38 -81119810: 00a04634 movhi r2,33048 -81119814: 108f0804 addi r2,r2,15392 -81119818: 10800803 ldbu r2,32(r2) -8111981c: 00c001c4 movi r3,7 -81119820: 18bec236 bltu r3,r2,8111932c <__reset+0xfb0f932c> -81119824: 01204574 movhi r4,33045 -81119828: d1e07917 ldw r7,-32284(gp) -8111982c: 212dfb04 addi r4,r4,-18452 -81119830: 018007c4 movi r6,31 -81119834: 980b883a mov r5,r19 -81119838: 003f5306 br 81119588 <__reset+0xfb0f9588> -8111983c: 28800503 ldbu r2,20(r5) -81119840: 28c0058b ldhu r3,22(r5) -81119844: 10842f24 muli r2,r2,4284 -81119848: 20a3883a add r17,r4,r2 -8111984c: 00800044 movi r2,1 -81119850: 889abb15 stw r2,27372(r17) -81119854: 881abc15 stw zero,27376(r17) -81119858: 881abd15 stw zero,27380(r17) -8111985c: 88dabe05 stb r3,27384(r17) -81119860: 003eb206 br 8111932c <__reset+0xfb0f932c> -81119864: 28800503 ldbu r2,20(r5) -81119868: 28c0058b ldhu r3,22(r5) -8111986c: 10842f24 muli r2,r2,4284 -81119870: 20a3883a add r17,r4,r2 -81119874: 88dabe05 stb r3,27384(r17) -81119878: 2900060b ldhu r4,24(r5) -8111987c: 28c0068b ldhu r3,26(r5) -81119880: 2880070b ldhu r2,28(r5) -81119884: 01400044 movi r5,1 -81119888: 895abc15 stw r5,27376(r17) -8111988c: 891abe8d sth r4,27386(r17) -81119890: 88dabf8d sth r3,27390(r17) -81119894: 889abf0d sth r2,27388(r17) -81119898: 881abd15 stw zero,27380(r17) -8111989c: 881abb15 stw zero,27372(r17) -811198a0: 003ea206 br 8111932c <__reset+0xfb0f932c> -811198a4: 2cc0078b ldhu r19,30(r5) -811198a8: 05000044 movi r20,1 -811198ac: 28800503 ldbu r2,20(r5) -811198b0: 9d027f26 beq r19,r20,8111a2b0 -811198b4: 98026626 beq r19,zero,8111a250 -811198b8: 00c00084 movi r3,2 -811198bc: 98c24526 beq r19,r3,8111a1d4 -811198c0: 00c000c4 movi r3,3 -811198c4: 98c2381e bne r19,r3,8111a1a8 -811198c8: 10803fcc andi r2,r2,255 -811198cc: 14842f24 muli r18,r2,4284 -811198d0: 94d99404 addi r19,r18,26192 -811198d4: 24e7883a add r19,r4,r19 -811198d8: 9809883a mov r4,r19 -811198dc: 11050900 call 81105090 -811198e0: 8080060b ldhu r2,24(r16) -811198e4: 8100068b ldhu r4,26(r16) -811198e8: 8ca3883a add r17,r17,r18 -811198ec: 1004943a slli r2,r2,16 -811198f0: 8819a315 stw zero,26252(r17) -811198f4: 8d19a515 stw r20,26260(r17) -811198f8: 1108b03a or r4,r2,r4 -811198fc: 11053240 call 81105324 -81119900: 8899a615 stw r2,26264(r17) -81119904: 9809883a mov r4,r19 -81119908: 11050480 call 81105048 -8111990c: 00a04634 movhi r2,33048 -81119910: 80c0070b ldhu r3,28(r16) -81119914: 108f0804 addi r2,r2,15392 -81119918: 10800803 ldbu r2,32(r2) -8111991c: 88dacc8d sth r3,27442(r17) -81119920: 8d1ace15 stw r20,27448(r17) -81119924: 00c00104 movi r3,4 -81119928: 18be8036 bltu r3,r2,8111932c <__reset+0xfb0f932c> -8111992c: 01204574 movhi r4,33045 -81119930: d1e07917 ldw r7,-32284(gp) -81119934: 212de204 addi r4,r4,-18552 -81119938: 01800d04 movi r6,52 -8111993c: a00b883a mov r5,r20 -81119940: 003f1106 br 81119588 <__reset+0xfb0f9588> -81119944: 2c800503 ldbu r18,20(r5) -81119948: 05000044 movi r20,1 -8111994c: 94842f24 muli r18,r18,4284 -81119950: 94d9f804 addi r19,r18,26592 -81119954: 24e7883a add r19,r4,r19 -81119958: 9809883a mov r4,r19 -8111995c: 11025d80 call 811025d8 -81119960: 8080060b ldhu r2,24(r16) -81119964: 80c0068b ldhu r3,26(r16) -81119968: 8180058b ldhu r6,22(r16) -8111996c: 1004943a slli r2,r2,16 -81119970: 8140070b ldhu r5,28(r16) -81119974: 8ca3883a add r17,r17,r18 -81119978: 10c4b03a or r2,r2,r3 -8111997c: 9809883a mov r4,r19 -81119980: 899a1d15 stw r6,26740(r17) -81119984: 895a1f15 stw r5,26748(r17) -81119988: 8d1a1c15 stw r20,26736(r17) -8111998c: 889a1e15 stw r2,26744(r17) -81119990: 11025a00 call 811025a0 -81119994: 01204574 movhi r4,33045 -81119998: d1e07917 ldw r7,-32284(gp) -8111999c: 212db304 addi r4,r4,-18740 -811199a0: 01800604 movi r6,24 -811199a4: a00b883a mov r5,r20 -811199a8: 003ef706 br 81119588 <__reset+0xfb0f9588> -811199ac: 2880068b ldhu r2,26(r5) -811199b0: 01000184 movi r4,6 -811199b4: 28c00503 ldbu r3,20(r5) -811199b8: 20826936 bltu r4,r2,8111a360 -811199bc: 100490ba slli r2,r2,2 -811199c0: 012044b4 movhi r4,33042 -811199c4: 21267604 addi r4,r4,-26152 -811199c8: 1105883a add r2,r2,r4 -811199cc: 10800017 ldw r2,0(r2) -811199d0: 18c03fcc andi r3,r3,255 -811199d4: 1000683a jmp r2 -811199d8: 81119d8c andi r4,r16,18038 -811199dc: 81119cc0 call 881119cc <__reset+0x20f19cc> -811199e0: 81119f2c andhi r4,r16,18044 -811199e4: 81119e5c xori r4,r16,18041 -811199e8: 81119bf4 orhi r4,r16,18031 -811199ec: 81119b60 cmpeqi r4,r16,18029 -811199f0: 81119ffc xorhi r4,r16,18047 -811199f4: 00a04634 movhi r2,33048 -811199f8: 2980050b ldhu r6,20(r5) -811199fc: 108f0804 addi r2,r2,15392 -81119a00: 10800803 ldbu r2,32(r2) -81119a04: 00c00044 movi r3,1 -81119a08: 20d94315 stw r3,25868(r4) -81119a0c: 2199428d sth r6,25866(r4) -81119a10: 00c001c4 movi r3,7 -81119a14: 18be4536 bltu r3,r2,8111932c <__reset+0xfb0f932c> -81119a18: 01604574 movhi r5,33045 -81119a1c: 296a0b04 addi r5,r5,-22484 -81119a20: d1207917 ldw r4,-32284(gp) -81119a24: 31bfffcc andi r6,r6,65535 -81119a28: 003e5a06 br 81119394 <__reset+0xfb0f9394> -81119a2c: 2d000503 ldbu r20,20(r5) -81119a30: a4c42f24 muli r19,r20,4284 -81119a34: 9c99d604 addi r18,r19,26456 -81119a38: 24a5883a add r18,r4,r18 -81119a3c: 9009883a mov r4,r18 -81119a40: 1104b580 call 81104b58 -81119a44: 8ce3883a add r17,r17,r19 -81119a48: 8819d715 stw zero,26460(r17) -81119a4c: 9009883a mov r4,r18 -81119a50: 1104b300 call 81104b30 -81119a54: 00a04634 movhi r2,33048 -81119a58: 108f0804 addi r2,r2,15392 -81119a5c: 10800803 ldbu r2,32(r2) -81119a60: 00c001c4 movi r3,7 -81119a64: 18be3136 bltu r3,r2,8111932c <__reset+0xfb0f932c> -81119a68: d1207917 ldw r4,-32284(gp) -81119a6c: 8180050b ldhu r6,20(r16) -81119a70: 01604574 movhi r5,33045 -81119a74: 2969fc04 addi r5,r5,-22544 -81119a78: 112b5e00 call 8112b5e0 -81119a7c: 01604574 movhi r5,33045 -81119a80: a00d883a mov r6,r20 -81119a84: 296a0604 addi r5,r5,-22504 -81119a88: 003e4106 br 81119390 <__reset+0xfb0f9390> -81119a8c: 2d000503 ldbu r20,20(r5) -81119a90: a4842f24 muli r18,r20,4284 -81119a94: 94d9d604 addi r19,r18,26456 -81119a98: 24e7883a add r19,r4,r19 -81119a9c: 9809883a mov r4,r19 -81119aa0: 1104b580 call 81104b58 -81119aa4: 8080058b ldhu r2,22(r16) -81119aa8: 00c00044 movi r3,1 -81119aac: 8ca3883a add r17,r17,r18 -81119ab0: 88d9d715 stw r3,26460(r17) -81119ab4: 8899d815 stw r2,26464(r17) -81119ab8: 9809883a mov r4,r19 -81119abc: 1104b300 call 81104b30 -81119ac0: 00a04634 movhi r2,33048 -81119ac4: 108f0804 addi r2,r2,15392 -81119ac8: 10800803 ldbu r2,32(r2) -81119acc: 00c001c4 movi r3,7 -81119ad0: 18be1636 bltu r3,r2,8111932c <__reset+0xfb0f932c> -81119ad4: 8180050b ldhu r6,20(r16) -81119ad8: d1207917 ldw r4,-32284(gp) -81119adc: 01604574 movhi r5,33045 -81119ae0: 2969fc04 addi r5,r5,-22544 -81119ae4: 112b5e00 call 8112b5e0 -81119ae8: 01604574 movhi r5,33045 -81119aec: 296a0104 addi r5,r5,-22524 -81119af0: d1207917 ldw r4,-32284(gp) -81119af4: 8180058b ldhu r6,22(r16) -81119af8: 003fdf06 br 81119a78 <__reset+0xfb0f9a78> -81119afc: 00a04634 movhi r2,33048 -81119b00: 108ee304 addi r2,r2,15244 -81119b04: 10800017 ldw r2,0(r2) -81119b08: 103e081e bne r2,zero,8111932c <__reset+0xfb0f932c> -81119b0c: 2900050b ldhu r4,20(r5) -81119b10: 89594504 addi r5,r17,25876 -81119b14: dfc00617 ldw ra,24(sp) -81119b18: dd400517 ldw r21,20(sp) -81119b1c: dd000417 ldw r20,16(sp) -81119b20: dcc00317 ldw r19,12(sp) -81119b24: dc800217 ldw r18,8(sp) -81119b28: dc400117 ldw r17,4(sp) -81119b2c: dc000017 ldw r16,0(sp) -81119b30: dec00704 addi sp,sp,28 -81119b34: 11262241 jmpi 81126224 -81119b38: 00a04634 movhi r2,33048 -81119b3c: 108f0804 addi r2,r2,15392 -81119b40: 10800803 ldbu r2,32(r2) -81119b44: 00c001c4 movi r3,7 -81119b48: 18bdf836 bltu r3,r2,8111932c <__reset+0xfb0f932c> -81119b4c: 01204574 movhi r4,33045 -81119b50: d1e07917 ldw r7,-32284(gp) -81119b54: 2129e204 addi r4,r4,-22648 -81119b58: 01800c84 movi r6,50 -81119b5c: 003eba06 br 81119648 <__reset+0xfb0f9648> -81119b60: 1c842f24 muli r18,r3,4284 -81119b64: 05400044 movi r21,1 -81119b68: 9519f804 addi r20,r18,26592 -81119b6c: 8d29883a add r20,r17,r20 -81119b70: a009883a mov r4,r20 -81119b74: 11025600 call 81102560 -81119b78: 8ca7883a add r19,r17,r18 -81119b7c: 981a1615 stw zero,26712(r19) -81119b80: 9d5a1715 stw r21,26716(r19) -81119b84: 981a1815 stw zero,26720(r19) -81119b88: a009883a mov r4,r20 -81119b8c: 11025180 call 81102518 -81119b90: 989ac604 addi r2,r19,27416 -81119b94: 10c00017 ldw r3,0(r2) -81119b98: 1d420526 beq r3,r21,8111a3b0 -81119b9c: a009883a mov r4,r20 -81119ba0: 11024e80 call 811024e8 -81119ba4: 80c0060b ldhu r3,24(r16) -81119ba8: 8080058b ldhu r2,22(r16) -81119bac: 8ca3883a add r17,r17,r18 -81119bb0: 04000044 movi r16,1 -81119bb4: 88da1415 stw r3,26704(r17) -81119bb8: 8c1a1315 stw r16,26700(r17) -81119bbc: 889a1515 stw r2,26708(r17) -81119bc0: a009883a mov r4,r20 -81119bc4: 11024b80 call 811024b8 -81119bc8: 00a04634 movhi r2,33048 -81119bcc: 108f0804 addi r2,r2,15392 -81119bd0: 10800803 ldbu r2,32(r2) -81119bd4: 00c00104 movi r3,4 -81119bd8: 18bdd436 bltu r3,r2,8111932c <__reset+0xfb0f932c> -81119bdc: 01204574 movhi r4,33045 -81119be0: d1e07917 ldw r7,-32284(gp) -81119be4: 212d8604 addi r4,r4,-18920 -81119be8: 01800f04 movi r6,60 -81119bec: 800b883a mov r5,r16 -81119bf0: 003e6506 br 81119588 <__reset+0xfb0f9588> -81119bf4: 1c042f24 muli r16,r3,4284 -81119bf8: 84d9f804 addi r19,r16,26592 -81119bfc: 8ce7883a add r19,r17,r19 -81119c00: 9809883a mov r4,r19 -81119c04: 11024e80 call 811024e8 -81119c08: 8c25883a add r18,r17,r16 -81119c0c: 9809883a mov r4,r19 -81119c10: 901a1315 stw zero,26700(r18) -81119c14: 901a1415 stw zero,26704(r18) -81119c18: 901a1515 stw zero,26708(r18) -81119c1c: 11024b80 call 811024b8 -81119c20: 909ac604 addi r2,r18,27416 -81119c24: 11000017 ldw r4,0(r2) -81119c28: 00c00044 movi r3,1 -81119c2c: 20c21026 beq r4,r3,8111a470 -81119c30: 9809883a mov r4,r19 -81119c34: 11025600 call 81102560 -81119c38: 8c25883a add r18,r17,r16 -81119c3c: 00800044 movi r2,1 -81119c40: 901a1615 stw zero,26712(r18) -81119c44: 909a1715 stw r2,26716(r18) -81119c48: 901a1815 stw zero,26720(r18) -81119c4c: 9809883a mov r4,r19 -81119c50: 11025180 call 81102518 -81119c54: 9809883a mov r4,r19 -81119c58: 11025600 call 81102560 -81119c5c: 949a1a04 addi r18,r18,26728 -81119c60: 90800017 ldw r2,0(r18) -81119c64: 1000041e bne r2,zero,81119c78 -81119c68: 9809883a mov r4,r19 -81119c6c: 11025600 call 81102560 -81119c70: 90800017 ldw r2,0(r18) -81119c74: 103ffc26 beq r2,zero,81119c68 <__reset+0xfb0f9c68> -81119c78: 8c21883a add r16,r17,r16 -81119c7c: 04800044 movi r18,1 -81119c80: 04400104 movi r17,4 -81119c84: 849a1615 stw r18,26712(r16) -81119c88: 801a1715 stw zero,26716(r16) -81119c8c: 845a1815 stw r17,26720(r16) -81119c90: 9809883a mov r4,r19 -81119c94: 11025180 call 81102518 -81119c98: 00a04634 movhi r2,33048 -81119c9c: 108f0804 addi r2,r2,15392 -81119ca0: 10800803 ldbu r2,32(r2) -81119ca4: 88bda136 bltu r17,r2,8111932c <__reset+0xfb0f932c> -81119ca8: 01204574 movhi r4,33045 -81119cac: d1e07917 ldw r7,-32284(gp) -81119cb0: 212d7304 addi r4,r4,-18996 -81119cb4: 01801244 movi r6,73 -81119cb8: 900b883a mov r5,r18 -81119cbc: 003e3206 br 81119588 <__reset+0xfb0f9588> -81119cc0: 1c042f24 muli r16,r3,4284 -81119cc4: 84d9f804 addi r19,r16,26592 -81119cc8: 8ce7883a add r19,r17,r19 -81119ccc: 9809883a mov r4,r19 -81119cd0: 11024e80 call 811024e8 -81119cd4: 8c25883a add r18,r17,r16 -81119cd8: 9809883a mov r4,r19 -81119cdc: 901a1315 stw zero,26700(r18) -81119ce0: 901a1415 stw zero,26704(r18) -81119ce4: 901a1515 stw zero,26708(r18) -81119ce8: 11024b80 call 811024b8 -81119cec: 909ac604 addi r2,r18,27416 -81119cf0: 11000017 ldw r4,0(r2) -81119cf4: 00c00044 movi r3,1 -81119cf8: 20c1cd26 beq r4,r3,8111a430 -81119cfc: 9809883a mov r4,r19 -81119d00: 11025600 call 81102560 -81119d04: 8c25883a add r18,r17,r16 -81119d08: 00800044 movi r2,1 -81119d0c: 901a1615 stw zero,26712(r18) -81119d10: 909a1715 stw r2,26716(r18) -81119d14: 901a1815 stw zero,26720(r18) -81119d18: 9809883a mov r4,r19 -81119d1c: 11025180 call 81102518 -81119d20: 9809883a mov r4,r19 -81119d24: 11025600 call 81102560 -81119d28: 949a1a04 addi r18,r18,26728 -81119d2c: 90800017 ldw r2,0(r18) -81119d30: 1000041e bne r2,zero,81119d44 -81119d34: 9809883a mov r4,r19 -81119d38: 11025600 call 81102560 -81119d3c: 90800017 ldw r2,0(r18) -81119d40: 103ffc26 beq r2,zero,81119d34 <__reset+0xfb0f9d34> -81119d44: 8c21883a add r16,r17,r16 -81119d48: 04400044 movi r17,1 -81119d4c: 845a1615 stw r17,26712(r16) -81119d50: 801a1715 stw zero,26716(r16) -81119d54: 845a1815 stw r17,26720(r16) -81119d58: 9809883a mov r4,r19 -81119d5c: 11025180 call 81102518 -81119d60: 00a04634 movhi r2,33048 -81119d64: 108f0804 addi r2,r2,15392 -81119d68: 10800803 ldbu r2,32(r2) -81119d6c: 00c00104 movi r3,4 -81119d70: 18bd6e36 bltu r3,r2,8111932c <__reset+0xfb0f932c> -81119d74: 01204574 movhi r4,33045 -81119d78: d1e07917 ldw r7,-32284(gp) -81119d7c: 212d5004 addi r4,r4,-19136 -81119d80: 01801044 movi r6,65 -81119d84: 880b883a mov r5,r17 -81119d88: 003dff06 br 81119588 <__reset+0xfb0f9588> -81119d8c: 1c042f24 muli r16,r3,4284 -81119d90: 84d9f804 addi r19,r16,26592 -81119d94: 8ce7883a add r19,r17,r19 -81119d98: 9809883a mov r4,r19 -81119d9c: 11024e80 call 811024e8 -81119da0: 8c25883a add r18,r17,r16 -81119da4: 9809883a mov r4,r19 -81119da8: 901a1315 stw zero,26700(r18) -81119dac: 901a1415 stw zero,26704(r18) -81119db0: 901a1515 stw zero,26708(r18) -81119db4: 11024b80 call 811024b8 -81119db8: 909ac604 addi r2,r18,27416 -81119dbc: 11000017 ldw r4,0(r2) -81119dc0: 00c00044 movi r3,1 -81119dc4: 20c1a226 beq r4,r3,8111a450 -81119dc8: 9809883a mov r4,r19 -81119dcc: 11025600 call 81102560 -81119dd0: 8c25883a add r18,r17,r16 -81119dd4: 00800044 movi r2,1 -81119dd8: 901a1615 stw zero,26712(r18) -81119ddc: 909a1715 stw r2,26716(r18) -81119de0: 901a1815 stw zero,26720(r18) -81119de4: 9809883a mov r4,r19 -81119de8: 11025180 call 81102518 -81119dec: 9809883a mov r4,r19 -81119df0: 11025600 call 81102560 -81119df4: 949a1a04 addi r18,r18,26728 -81119df8: 90800017 ldw r2,0(r18) -81119dfc: 1000041e bne r2,zero,81119e10 -81119e00: 9809883a mov r4,r19 -81119e04: 11025600 call 81102560 -81119e08: 90800017 ldw r2,0(r18) -81119e0c: 103ffc26 beq r2,zero,81119e00 <__reset+0xfb0f9e00> -81119e10: 8c21883a add r16,r17,r16 -81119e14: 00800084 movi r2,2 -81119e18: 04400044 movi r17,1 -81119e1c: 845a1615 stw r17,26712(r16) -81119e20: 801a1715 stw zero,26716(r16) -81119e24: 809a1815 stw r2,26720(r16) -81119e28: 9809883a mov r4,r19 -81119e2c: 11025180 call 81102518 -81119e30: 00a04634 movhi r2,33048 -81119e34: 108f0804 addi r2,r2,15392 -81119e38: 10800803 ldbu r2,32(r2) -81119e3c: 00c00104 movi r3,4 -81119e40: 18bd3a36 bltu r3,r2,8111932c <__reset+0xfb0f932c> -81119e44: 01204574 movhi r4,33045 -81119e48: d1e07917 ldw r7,-32284(gp) -81119e4c: 212d4004 addi r4,r4,-19200 -81119e50: 01800f44 movi r6,61 -81119e54: 880b883a mov r5,r17 -81119e58: 003dcb06 br 81119588 <__reset+0xfb0f9588> -81119e5c: 1c042f24 muli r16,r3,4284 -81119e60: 84d9f804 addi r19,r16,26592 -81119e64: 8ce7883a add r19,r17,r19 -81119e68: 9809883a mov r4,r19 -81119e6c: 11024e80 call 811024e8 -81119e70: 8c25883a add r18,r17,r16 -81119e74: 9809883a mov r4,r19 -81119e78: 901a1315 stw zero,26700(r18) -81119e7c: 901a1415 stw zero,26704(r18) -81119e80: 901a1515 stw zero,26708(r18) -81119e84: 11024b80 call 811024b8 -81119e88: 909ac604 addi r2,r18,27416 -81119e8c: 11000017 ldw r4,0(r2) -81119e90: 00c00044 movi r3,1 -81119e94: 20c14e26 beq r4,r3,8111a3d0 -81119e98: 9809883a mov r4,r19 -81119e9c: 11025600 call 81102560 -81119ea0: 8c25883a add r18,r17,r16 -81119ea4: 00800044 movi r2,1 -81119ea8: 901a1615 stw zero,26712(r18) -81119eac: 909a1715 stw r2,26716(r18) -81119eb0: 901a1815 stw zero,26720(r18) -81119eb4: 9809883a mov r4,r19 -81119eb8: 11025180 call 81102518 -81119ebc: 9809883a mov r4,r19 -81119ec0: 11025600 call 81102560 -81119ec4: 949a1a04 addi r18,r18,26728 -81119ec8: 90800017 ldw r2,0(r18) -81119ecc: 1000041e bne r2,zero,81119ee0 -81119ed0: 9809883a mov r4,r19 -81119ed4: 11025600 call 81102560 -81119ed8: 90800017 ldw r2,0(r18) -81119edc: 103ffc26 beq r2,zero,81119ed0 <__reset+0xfb0f9ed0> -81119ee0: 8c21883a add r16,r17,r16 -81119ee4: 00800144 movi r2,5 -81119ee8: 04400044 movi r17,1 -81119eec: 845a1615 stw r17,26712(r16) -81119ef0: 801a1715 stw zero,26716(r16) -81119ef4: 809a1815 stw r2,26720(r16) -81119ef8: 9809883a mov r4,r19 -81119efc: 11025180 call 81102518 -81119f00: 00a04634 movhi r2,33048 -81119f04: 108f0804 addi r2,r2,15392 -81119f08: 10800803 ldbu r2,32(r2) -81119f0c: 00c00104 movi r3,4 -81119f10: 18bd0636 bltu r3,r2,8111932c <__reset+0xfb0f932c> -81119f14: 01204574 movhi r4,33045 -81119f18: d1e07917 ldw r7,-32284(gp) -81119f1c: 212d7304 addi r4,r4,-18996 -81119f20: 01801244 movi r6,73 -81119f24: 880b883a mov r5,r17 -81119f28: 003d9706 br 81119588 <__reset+0xfb0f9588> -81119f2c: 1c042f24 muli r16,r3,4284 -81119f30: 84d9f804 addi r19,r16,26592 -81119f34: 8ce7883a add r19,r17,r19 -81119f38: 9809883a mov r4,r19 -81119f3c: 11024e80 call 811024e8 -81119f40: 8c25883a add r18,r17,r16 -81119f44: 9809883a mov r4,r19 -81119f48: 901a1315 stw zero,26700(r18) -81119f4c: 901a1415 stw zero,26704(r18) -81119f50: 901a1515 stw zero,26708(r18) -81119f54: 11024b80 call 811024b8 -81119f58: 909ac604 addi r2,r18,27416 -81119f5c: 11000017 ldw r4,0(r2) -81119f60: 00c00044 movi r3,1 -81119f64: 20c12a26 beq r4,r3,8111a410 -81119f68: 9809883a mov r4,r19 -81119f6c: 11025600 call 81102560 -81119f70: 8c25883a add r18,r17,r16 -81119f74: 00800044 movi r2,1 -81119f78: 901a1615 stw zero,26712(r18) -81119f7c: 909a1715 stw r2,26716(r18) -81119f80: 901a1815 stw zero,26720(r18) -81119f84: 9809883a mov r4,r19 -81119f88: 11025180 call 81102518 -81119f8c: 9809883a mov r4,r19 -81119f90: 11025600 call 81102560 -81119f94: 949a1a04 addi r18,r18,26728 -81119f98: 90800017 ldw r2,0(r18) -81119f9c: 1000041e bne r2,zero,81119fb0 -81119fa0: 9809883a mov r4,r19 -81119fa4: 11025600 call 81102560 -81119fa8: 90800017 ldw r2,0(r18) -81119fac: 103ffc26 beq r2,zero,81119fa0 <__reset+0xfb0f9fa0> -81119fb0: 8c21883a add r16,r17,r16 -81119fb4: 008000c4 movi r2,3 -81119fb8: 04400044 movi r17,1 -81119fbc: 845a1615 stw r17,26712(r16) -81119fc0: 801a1715 stw zero,26716(r16) -81119fc4: 809a1815 stw r2,26720(r16) -81119fc8: 9809883a mov r4,r19 -81119fcc: 11025180 call 81102518 -81119fd0: 00a04634 movhi r2,33048 -81119fd4: 108f0804 addi r2,r2,15392 -81119fd8: 10800803 ldbu r2,32(r2) -81119fdc: 00c00104 movi r3,4 -81119fe0: 18bcd236 bltu r3,r2,8111932c <__reset+0xfb0f932c> -81119fe4: 01204574 movhi r4,33045 -81119fe8: d1e07917 ldw r7,-32284(gp) -81119fec: 212d6104 addi r4,r4,-19068 -81119ff0: 01801184 movi r6,70 -81119ff4: 880b883a mov r5,r17 -81119ff8: 003d6306 br 81119588 <__reset+0xfb0f9588> -81119ffc: 1c842f24 muli r18,r3,4284 -8111a000: 05400044 movi r21,1 -8111a004: 9519f804 addi r20,r18,26592 -8111a008: 8d29883a add r20,r17,r20 -8111a00c: a009883a mov r4,r20 -8111a010: 8ca7883a add r19,r17,r18 -8111a014: 11025600 call 81102560 -8111a018: a009883a mov r4,r20 -8111a01c: 981a1615 stw zero,26712(r19) -8111a020: 9d5a1715 stw r21,26716(r19) -8111a024: 981a1815 stw zero,26720(r19) -8111a028: 11025180 call 81102518 -8111a02c: a009883a mov r4,r20 -8111a030: 11024e80 call 811024e8 -8111a034: a009883a mov r4,r20 -8111a038: 981a1315 stw zero,26700(r19) -8111a03c: 981a1415 stw zero,26704(r19) -8111a040: 981a1515 stw zero,26708(r19) -8111a044: 11024b80 call 811024b8 -8111a048: 989ac604 addi r2,r19,27416 -8111a04c: 10c00017 ldw r3,0(r2) -8111a050: 1d40e726 beq r3,r21,8111a3f0 -8111a054: a009883a mov r4,r20 -8111a058: 11023980 call 81102398 -8111a05c: 8ca3883a add r17,r17,r18 -8111a060: 889a0604 addi r2,r17,26648 -8111a064: 10c00017 ldw r3,0(r2) +81119054: 980d883a mov r6,r19 +81119058: 296c1904 addi r5,r5,-20380 +8111905c: 112b6200 call 8112b620 +81119060: d1a07217 ldw r6,-32312(gp) +81119064: 30800043 ldbu r2,1(r6) +81119068: 10803fcc andi r2,r2,255 +8111906c: 103fee26 beq r2,zero,81119028 <__reset+0xfb0f9028> +81119070: 30800043 ldbu r2,1(r6) +81119074: 10803fcc andi r2,r2,255 +81119078: 103fce1e bne r2,zero,81118fb4 <__reset+0xfb0f8fb4> +8111907c: 003fea06 br 81119028 <__reset+0xfb0f9028> +81119080: d1207917 ldw r4,-32284(gp) +81119084: 01604574 movhi r5,33045 +81119088: 296c4304 addi r5,r5,-20212 +8111908c: 980d883a mov r6,r19 +81119090: 112b6200 call 8112b620 +81119094: 003c8d06 br 811182cc <__reset+0xfb0f82cc> +81119098: d1207917 ldw r4,-32284(gp) +8111909c: 01604574 movhi r5,33045 +811190a0: 296aee04 addi r5,r5,-21576 +811190a4: 980d883a mov r6,r19 +811190a8: 112b6200 call 8112b620 +811190ac: 003e9806 br 81118b10 <__reset+0xfb0f8b10> + +811190b0 : +811190b0: 2880030b ldhu r2,12(r5) +811190b4: 00c03ec4 movi r3,251 +811190b8: 10c00c26 beq r2,r3,811190ec +811190bc: 00c03f04 movi r3,252 +811190c0: 10c00926 beq r2,r3,811190e8 +811190c4: 00c03e84 movi r3,250 +811190c8: 10c00626 beq r2,r3,811190e4 +811190cc: 00a04634 movhi r2,33048 +811190d0: 108f1904 addi r2,r2,15460 +811190d4: 10800803 ldbu r2,32(r2) +811190d8: 00c001c4 movi r3,7 +811190dc: 1880092e bgeu r3,r2,81119104 +811190e0: f800283a ret +811190e4: 1117e201 jmpi 81117e20 +811190e8: 11171a41 jmpi 811171a4 +811190ec: 00a04634 movhi r2,33048 +811190f0: 108f1904 addi r2,r2,15460 +811190f4: 10800803 ldbu r2,32(r2) +811190f8: 00c00104 movi r3,4 +811190fc: 18bff836 bltu r3,r2,811190e0 <__reset+0xfb0f90e0> +81119100: 11171541 jmpi 81117154 +81119104: d1e07917 ldw r7,-32284(gp) +81119108: 01204574 movhi r4,33045 +8111910c: 212d4204 addi r4,r4,-19192 +81119110: 01800d84 movi r6,54 +81119114: 01400044 movi r5,1 +81119118: 112bc8c1 jmpi 8112bc8c + +8111911c : +8111911c: defffc04 addi sp,sp,-16 +81119120: dfc00315 stw ra,12(sp) +81119124: dc800215 stw r18,8(sp) +81119128: dc400115 stw r17,4(sp) +8111912c: dc000015 stw r16,0(sp) +81119130: 2023883a mov r17,r4 +81119134: 11267f40 call 811267f4 +81119138: 11267f80 call 811267f8 +8111913c: 11071640 call 81107164 +81119140: 01c00144 movi r7,5 +81119144: 000d883a mov r6,zero +81119148: 000b883a mov r5,zero +8111914c: 0009883a mov r4,zero +81119150: 11447cc0 call 811447cc +81119154: 00800044 movi r2,1 +81119158: 88800305 stb r2,12(r17) +8111915c: 000d883a mov r6,zero +81119160: 000b883a mov r5,zero +81119164: 01002844 movi r4,161 +81119168: 88000345 stb zero,13(r17) +8111916c: 11263940 call 81126394 +81119170: 000d883a mov r6,zero +81119174: 000b883a mov r5,zero +81119178: 01002844 movi r4,161 +8111917c: 11264d00 call 811264d0 +81119180: 01c03e84 movi r7,250 +81119184: 000d883a mov r6,zero +81119188: 000b883a mov r5,zero +8111918c: 0009883a mov r4,zero +81119190: 11447cc0 call 811447cc +81119194: 0021883a mov r16,zero +81119198: 04800184 movi r18,6 +8111919c: 800b883a mov r5,r16 +811191a0: 8809883a mov r4,r17 +811191a4: 84000044 addi r16,r16,1 +811191a8: 1117c480 call 81117c48 +811191ac: 84bffb1e bne r16,r18,8111919c <__reset+0xfb0f919c> +811191b0: 0021883a mov r16,zero +811191b4: 04400184 movi r17,6 +811191b8: 8009883a mov r4,r16 +811191bc: 01800044 movi r6,1 +811191c0: 000b883a mov r5,zero +811191c4: 1101da00 call 81101da0 +811191c8: 01800044 movi r6,1 +811191cc: 8009883a mov r4,r16 +811191d0: 300b883a mov r5,r6 +811191d4: 81a1883a add r16,r16,r6 +811191d8: 1101da00 call 81101da0 +811191dc: 847ff61e bne r16,r17,811191b8 <__reset+0xfb0f91b8> +811191e0: 01000044 movi r4,1 +811191e4: 1101e5c0 call 81101e5c +811191e8: 1105bf40 call 81105bf4 +811191ec: 1105a9c0 call 81105a9c +811191f0: dfc00317 ldw ra,12(sp) +811191f4: dc800217 ldw r18,8(sp) +811191f8: dc400117 ldw r17,4(sp) +811191fc: dc000017 ldw r16,0(sp) +81119200: dec00404 addi sp,sp,16 +81119204: 1105ae81 jmpi 81105ae8 + +81119208 : +81119208: 2880038b ldhu r2,14(r5) +8111920c: defff904 addi sp,sp,-28 +81119210: dfc00615 stw ra,24(sp) +81119214: 10bff844 addi r2,r2,-31 +81119218: dd400515 stw r21,20(sp) +8111921c: dd000415 stw r20,16(sp) +81119220: dcc00315 stw r19,12(sp) +81119224: dc800215 stw r18,8(sp) +81119228: dc400115 stw r17,4(sp) +8111922c: dc000015 stw r16,0(sp) +81119230: 10bfffcc andi r2,r2,65535 +81119234: 00c00cc4 movi r3,51 +81119238: 18824c36 bltu r3,r2,81119b6c +8111923c: 100490ba slli r2,r2,2 +81119240: 00e044b4 movhi r3,33042 +81119244: 18e49704 addi r3,r3,-28068 +81119248: 10c5883a add r2,r2,r3 +8111924c: 10800017 ldw r2,0(r2) +81119250: 2023883a mov r17,r4 +81119254: 2821883a mov r16,r5 +81119258: 1000683a jmp r2 +8111925c: 81119b30 cmpltui r4,r16,18028 +81119260: 81119b6c andhi r4,r16,18029 +81119264: 81119b6c andhi r4,r16,18029 +81119268: 81119b6c andhi r4,r16,18029 +8111926c: 81119b6c andhi r4,r16,18029 +81119270: 81119ac0 call 881119ac <__reset+0x20f19ac> +81119274: 81119a60 cmpeqi r4,r16,18025 +81119278: 81119b6c andhi r4,r16,18029 +8111927c: 81119b6c andhi r4,r16,18029 +81119280: 81119b6c andhi r4,r16,18029 +81119284: 81119b6c andhi r4,r16,18029 +81119288: 81119b6c andhi r4,r16,18029 +8111928c: 81119b6c andhi r4,r16,18029 +81119290: 81119a28 cmpgeui r4,r16,18024 +81119294: 81119b6c andhi r4,r16,18029 +81119298: 811199e0 cmpeqi r4,r16,18023 +8111929c: 81119978 rdprs r4,r16,18021 +811192a0: 811198d8 cmpnei r4,r16,18019 +811192a4: 81119898 cmpnei r4,r16,18018 +811192a8: 81119870 cmpltui r4,r16,18017 +811192ac: 811197ec andhi r4,r16,18015 +811192b0: 81119780 call 88111978 <__reset+0x20f1978> +811192b4: 81119750 cmplti r4,r16,18013 +811192b8: 81119b6c andhi r4,r16,18029 +811192bc: 81119b6c andhi r4,r16,18029 +811192c0: 81119b6c andhi r4,r16,18029 +811192c4: 81119b6c andhi r4,r16,18029 +811192c8: 8111972c andhi r4,r16,18012 +811192cc: 811196e8 cmpgeui r4,r16,18011 +811192d0: 811196cc andi r4,r16,18011 +811192d4: 81119b6c andhi r4,r16,18029 +811192d8: 81119b6c andhi r4,r16,18029 +811192dc: 81119684 addi r4,r16,18010 +811192e0: 81119658 cmpnei r4,r16,18009 +811192e4: 81119b6c andhi r4,r16,18029 +811192e8: 811195e0 cmpeqi r4,r16,18007 +811192ec: 811194a4 muli r4,r16,18002 +811192f0: 81119b6c andhi r4,r16,18029 +811192f4: 81119b6c andhi r4,r16,18029 +811192f8: 8111944c andi r4,r16,18001 +811192fc: 81119b6c andhi r4,r16,18029 +81119300: 81119550 cmplti r4,r16,18005 +81119304: 81119b6c andhi r4,r16,18029 +81119308: 81119b6c andhi r4,r16,18029 +8111930c: 81119b6c andhi r4,r16,18029 +81119310: 811194e4 muli r4,r16,18003 +81119314: 811193ec andhi r4,r16,17999 +81119318: 81119b6c andhi r4,r16,18029 +8111931c: 81119b6c andhi r4,r16,18029 +81119320: 81119b6c andhi r4,r16,18029 +81119324: 81119384 addi r4,r16,17998 +81119328: 8111932c andhi r4,r16,17996 +8111932c: 2880050b ldhu r2,20(r5) +81119330: 113fffcc andi r4,r2,65535 +81119334: 21042f24 muli r4,r4,4284 +81119338: 1021883a mov r16,r2 +8111933c: 2119f804 addi r4,r4,26592 +81119340: 8909883a add r4,r17,r4 +81119344: 1102d780 call 81102d78 +81119348: 10037426 beq r2,zero,8111a11c +8111934c: 00a04634 movhi r2,33048 +81119350: 108f1904 addi r2,r2,15460 +81119354: 10800803 ldbu r2,32(r2) +81119358: 00c001c4 movi r3,7 +8111935c: 1883fe2e bgeu r3,r2,8111a358 +81119360: dfc00617 ldw ra,24(sp) +81119364: dd400517 ldw r21,20(sp) +81119368: dd000417 ldw r20,16(sp) +8111936c: dcc00317 ldw r19,12(sp) +81119370: dc800217 ldw r18,8(sp) +81119374: dc400117 ldw r17,4(sp) +81119378: dc000017 ldw r16,0(sp) +8111937c: dec00704 addi sp,sp,28 +81119380: f800283a ret +81119384: 29800503 ldbu r6,20(r5) +81119388: 00e04634 movhi r3,33048 +8111938c: 18cf1904 addi r3,r3,15460 +81119390: 30842f24 muli r2,r6,4284 +81119394: 19000803 ldbu r4,32(r3) +81119398: 8887883a add r3,r17,r2 +8111939c: 109acf04 addi r2,r2,27452 +811193a0: 88a3883a add r17,r17,r2 +811193a4: 189af004 addi r2,r3,27584 +811193a8: 00c00044 movi r3,1 +811193ac: 10c00015 stw r3,0(r2) +811193b0: d4607115 stw r17,-32316(gp) +811193b4: 008001c4 movi r2,7 +811193b8: 113fe936 bltu r2,r4,81119360 <__reset+0xfb0f9360> +811193bc: 01604574 movhi r5,33045 +811193c0: 296ebd04 addi r5,r5,-17676 +811193c4: d1207917 ldw r4,-32284(gp) +811193c8: dfc00617 ldw ra,24(sp) +811193cc: dd400517 ldw r21,20(sp) +811193d0: dd000417 ldw r20,16(sp) +811193d4: dcc00317 ldw r19,12(sp) +811193d8: dc800217 ldw r18,8(sp) +811193dc: dc400117 ldw r17,4(sp) +811193e0: dc000017 ldw r16,0(sp) +811193e4: dec00704 addi sp,sp,28 +811193e8: 112b6201 jmpi 8112b620 +811193ec: 2c80058b ldhu r18,22(r5) +811193f0: 2c000503 ldbu r16,20(r5) +811193f4: 90bfff4c andi r2,r18,65533 +811193f8: 10035226 beq r2,zero,8111a144 +811193fc: 94bfffc4 addi r18,r18,-1 +81119400: 94bfffcc andi r18,r18,65535 +81119404: 01400044 movi r5,1 +81119408: 2cbfd536 bltu r5,r18,81119360 <__reset+0xfb0f9360> +8111940c: 84003fcc andi r16,r16,255 +81119410: 81042f24 muli r4,r16,4284 +81119414: 2119f804 addi r4,r4,26592 +81119418: 8909883a add r4,r17,r4 +8111941c: 1102a900 call 81102a90 +81119420: 1003e626 beq r2,zero,8111a3bc +81119424: 00a04634 movhi r2,33048 +81119428: 108f1904 addi r2,r2,15460 +8111942c: 10800803 ldbu r2,32(r2) +81119430: 00c001c4 movi r3,7 +81119434: 18bfca36 bltu r3,r2,81119360 <__reset+0xfb0f9360> +81119438: 01604574 movhi r5,33045 +8111943c: 296e9104 addi r5,r5,-17852 +81119440: d1207917 ldw r4,-32284(gp) +81119444: 800d883a mov r6,r16 +81119448: 003fdf06 br 811193c8 <__reset+0xfb0f93c8> +8111944c: 00e04634 movhi r3,33048 +81119450: 18cf1904 addi r3,r3,15460 +81119454: 18c00803 ldbu r3,32(r3) +81119458: 010001c4 movi r4,7 +8111945c: 28800503 ldbu r2,20(r5) +81119460: 2c400583 ldbu r17,22(r5) +81119464: 20c3492e bgeu r4,r3,8111a18c +81119468: 14003fcc andi r16,r2,255 +8111946c: 8c403fcc andi r17,r17,255 +81119470: 880f883a mov r7,r17 +81119474: 880d883a mov r6,r17 +81119478: 01401d84 movi r5,118 +8111947c: 8009883a mov r4,r16 +81119480: dfc00617 ldw ra,24(sp) +81119484: dd400517 ldw r21,20(sp) +81119488: dd000417 ldw r20,16(sp) +8111948c: dcc00317 ldw r19,12(sp) +81119490: dc800217 ldw r18,8(sp) +81119494: dc400117 ldw r17,4(sp) +81119498: dc000017 ldw r16,0(sp) +8111949c: dec00704 addi sp,sp,28 +811194a0: 11264101 jmpi 81126410 +811194a4: 28800503 ldbu r2,20(r5) +811194a8: 28c0058b ldhu r3,22(r5) +811194ac: 10842f24 muli r2,r2,4284 +811194b0: 20a3883a add r17,r4,r2 +811194b4: 88dabe05 stb r3,27384(r17) +811194b8: 2900060b ldhu r4,24(r5) +811194bc: 28c0068b ldhu r3,26(r5) +811194c0: 2880070b ldhu r2,28(r5) +811194c4: 01400044 movi r5,1 +811194c8: 895abd15 stw r5,27380(r17) +811194cc: 891abe8d sth r4,27386(r17) +811194d0: 88dabf8d sth r3,27390(r17) +811194d4: 889abf0d sth r2,27388(r17) +811194d8: 881abc15 stw zero,27376(r17) +811194dc: 881abb15 stw zero,27372(r17) +811194e0: 003f9f06 br 81119360 <__reset+0xfb0f9360> +811194e4: 2c800503 ldbu r18,20(r5) +811194e8: 2c00058b ldhu r16,22(r5) +811194ec: 90842f24 muli r2,r18,4284 +811194f0: 813fffcc andi r4,r16,65535 +811194f4: 10daf104 addi r3,r2,27588 +811194f8: 88c7883a add r3,r17,r3 +811194fc: d0e07215 stw r3,-32312(gp) +81119500: 2002f726 beq r4,zero,8111a0e0 +81119504: 00c00084 movi r3,2 +81119508: 20c39826 beq r4,r3,8111a36c +8111950c: 843fffc4 addi r16,r16,-1 +81119510: 843fffcc andi r16,r16,65535 +81119514: 00800044 movi r2,1 +81119518: 143f9136 bltu r2,r16,81119360 <__reset+0xfb0f9360> +8111951c: 00e04634 movhi r3,33048 +81119520: 18cf1904 addi r3,r3,15460 +81119524: 18800803 ldbu r2,32(r3) +81119528: d0e07217 ldw r3,-32312(gp) +8111952c: 01000044 movi r4,1 +81119530: 19028215 stw r4,2568(r3) +81119534: 00c001c4 movi r3,7 +81119538: 18bf8936 bltu r3,r2,81119360 <__reset+0xfb0f9360> +8111953c: 01604574 movhi r5,33045 +81119540: 296e5004 addi r5,r5,-18112 +81119544: d1207917 ldw r4,-32284(gp) +81119548: 900d883a mov r6,r18 +8111954c: 003f9e06 br 811193c8 <__reset+0xfb0f93c8> +81119550: 28800503 ldbu r2,20(r5) +81119554: 28c0058b ldhu r3,22(r5) +81119558: 04c00044 movi r19,1 +8111955c: 10842f24 muli r2,r2,4284 +81119560: 20a5883a add r18,r4,r2 +81119564: 90dac405 stb r3,27408(r18) +81119568: 2980060b ldhu r6,24(r5) +8111956c: 80c0070b ldhu r3,28(r16) +81119570: 2940068b ldhu r5,26(r5) +81119574: 1099a904 addi r2,r2,26276 +81119578: 20a3883a add r17,r4,r2 +8111957c: 919ac48d sth r6,27410(r18) +81119580: 915ac58d sth r5,27414(r18) +81119584: 8809883a mov r4,r17 +81119588: 90dac50d sth r3,27412(r18) +8111958c: 94dac315 stw r19,27404(r18) +81119590: 901ac215 stw zero,27400(r18) +81119594: 901ac115 stw zero,27396(r18) +81119598: 1103bd00 call 81103bd0 +8111959c: 8809883a mov r4,r17 +811195a0: 94d9b215 stw r19,26312(r18) +811195a4: 1103c380 call 81103c38 +811195a8: d1e07917 ldw r7,-32284(gp) +811195ac: 01204574 movhi r4,33045 +811195b0: 212e3304 addi r4,r4,-18228 +811195b4: 018007c4 movi r6,31 +811195b8: 980b883a mov r5,r19 +811195bc: dfc00617 ldw ra,24(sp) +811195c0: dd400517 ldw r21,20(sp) +811195c4: dd000417 ldw r20,16(sp) +811195c8: dcc00317 ldw r19,12(sp) +811195cc: dc800217 ldw r18,8(sp) +811195d0: dc400117 ldw r17,4(sp) +811195d4: dc000017 ldw r16,0(sp) +811195d8: dec00704 addi sp,sp,28 +811195dc: 112bc8c1 jmpi 8112bc8c +811195e0: 111911c0 call 8111911c +811195e4: 0021883a mov r16,zero +811195e8: 048000b4 movhi r18,2 +811195ec: 044003c4 movi r17,15 +811195f0: 014007f4 movhi r5,31 +811195f4: 0009883a mov r4,zero +811195f8: 11066100 call 81106610 +811195fc: 814000cc andi r5,r16,3 +81119600: 914a983a sll r5,r18,r5 +81119604: 01000044 movi r4,1 +81119608: 84000044 addi r16,r16,1 +8111960c: 11066100 call 81106610 +81119610: 000f883a mov r7,zero +81119614: 01800044 movi r6,1 +81119618: 000b883a mov r5,zero +8111961c: 0009883a mov r4,zero +81119620: 11447cc0 call 811447cc +81119624: 80803fcc andi r2,r16,255 +81119628: 147ff11e bne r2,r17,811195f0 <__reset+0xfb0f95f0> +8111962c: 014007f4 movhi r5,31 +81119630: 01000044 movi r4,1 +81119634: dfc00617 ldw ra,24(sp) +81119638: dd400517 ldw r21,20(sp) +8111963c: dd000417 ldw r20,16(sp) +81119640: dcc00317 ldw r19,12(sp) +81119644: dc800217 ldw r18,8(sp) +81119648: dc400117 ldw r17,4(sp) +8111964c: dc000017 ldw r16,0(sp) +81119650: dec00704 addi sp,sp,28 +81119654: 11066101 jmpi 81106610 +81119658: 00a04634 movhi r2,33048 +8111965c: 108f1904 addi r2,r2,15460 +81119660: 10800803 ldbu r2,32(r2) +81119664: 00c001c4 movi r3,7 +81119668: 18bf3d36 bltu r3,r2,81119360 <__reset+0xfb0f9360> +8111966c: d1e07917 ldw r7,-32284(gp) +81119670: 01204574 movhi r4,33045 +81119674: 212e2604 addi r4,r4,-18280 +81119678: 01800c44 movi r6,49 +8111967c: 01400044 movi r5,1 +81119680: 003fce06 br 811195bc <__reset+0xfb0f95bc> +81119684: 28800503 ldbu r2,20(r5) +81119688: 10842f24 muli r2,r2,4284 +8111968c: 1419a904 addi r16,r2,26276 +81119690: 2421883a add r16,r4,r16 +81119694: 20a3883a add r17,r4,r2 +81119698: 8009883a mov r4,r16 +8111969c: 1103bd00 call 81103bd0 +811196a0: 8c99b204 addi r18,r17,26312 +811196a4: 8009883a mov r4,r16 +811196a8: 90000015 stw zero,0(r18) +811196ac: 1103c380 call 81103c38 +811196b0: 01604574 movhi r5,33045 +811196b4: 881ac115 stw zero,27396(r17) +811196b8: 881ac215 stw zero,27400(r17) +811196bc: 881ac315 stw zero,27404(r17) +811196c0: 91800017 ldw r6,0(r18) +811196c4: 296e1c04 addi r5,r5,-18320 +811196c8: 003f3e06 br 811193c4 <__reset+0xfb0f93c4> +811196cc: 00a04634 movhi r2,33048 +811196d0: 108ef404 addi r2,r2,15312 +811196d4: 10800017 ldw r2,0(r2) +811196d8: 103f211e bne r2,zero,81119360 <__reset+0xfb0f9360> +811196dc: 008000c4 movi r2,3 +811196e0: 20800115 stw r2,4(r4) +811196e4: 003f1e06 br 81119360 <__reset+0xfb0f9360> +811196e8: 111911c0 call 8111911c +811196ec: 0009883a mov r4,zero +811196f0: 000f883a mov r7,zero +811196f4: 018000c4 movi r6,3 +811196f8: 000b883a mov r5,zero +811196fc: 11447cc0 call 811447cc +81119700: 1106bb80 call 81106bb8 +81119704: 0009883a mov r4,zero +81119708: dfc00617 ldw ra,24(sp) +8111970c: dd400517 ldw r21,20(sp) +81119710: dd000417 ldw r20,16(sp) +81119714: dcc00317 ldw r19,12(sp) +81119718: dc800217 ldw r18,8(sp) +8111971c: dc400117 ldw r17,4(sp) +81119720: dc000017 ldw r16,0(sp) +81119724: dec00704 addi sp,sp,28 +81119728: 1106b6c1 jmpi 81106b6c +8111972c: dfc00617 ldw ra,24(sp) +81119730: dd400517 ldw r21,20(sp) +81119734: dd000417 ldw r20,16(sp) +81119738: dcc00317 ldw r19,12(sp) +8111973c: dc800217 ldw r18,8(sp) +81119740: dc400117 ldw r17,4(sp) +81119744: dc000017 ldw r16,0(sp) +81119748: dec00704 addi sp,sp,28 +8111974c: 1117c0c1 jmpi 81117c0c +81119750: 29400503 ldbu r5,20(r5) +81119754: 1117c480 call 81117c48 +81119758: 00a04634 movhi r2,33048 +8111975c: 108f1904 addi r2,r2,15460 +81119760: 10800803 ldbu r2,32(r2) +81119764: 00c001c4 movi r3,7 +81119768: 18befd36 bltu r3,r2,81119360 <__reset+0xfb0f9360> +8111976c: 01204574 movhi r4,33045 +81119770: d1e07917 ldw r7,-32284(gp) +81119774: 212a2604 addi r4,r4,-22376 +81119778: 01800404 movi r6,16 +8111977c: 003fbf06 br 8111967c <__reset+0xfb0f967c> +81119780: 28800503 ldbu r2,20(r5) +81119784: 28c0058b ldhu r3,22(r5) +81119788: 04800044 movi r18,1 +8111978c: 14042f24 muli r16,r2,4284 +81119790: 8099a904 addi r2,r16,26276 +81119794: 20a3883a add r17,r4,r2 +81119798: 2421883a add r16,r4,r16 +8111979c: 80dac405 stb r3,27408(r16) +811197a0: 8809883a mov r4,r17 +811197a4: 849ac115 stw r18,27396(r16) +811197a8: 801ac215 stw zero,27400(r16) +811197ac: 801ac315 stw zero,27404(r16) +811197b0: 1103bd00 call 81103bd0 +811197b4: 8809883a mov r4,r17 +811197b8: 8499b215 stw r18,26312(r16) +811197bc: 1103c380 call 81103c38 +811197c0: 00a04634 movhi r2,33048 +811197c4: 108f1904 addi r2,r2,15460 +811197c8: 10800803 ldbu r2,32(r2) +811197cc: 00c001c4 movi r3,7 +811197d0: 18bee336 bltu r3,r2,81119360 <__reset+0xfb0f9360> +811197d4: 01204574 movhi r4,33045 +811197d8: d1e07917 ldw r7,-32284(gp) +811197dc: 212e1304 addi r4,r4,-18356 +811197e0: 01800844 movi r6,33 +811197e4: 900b883a mov r5,r18 +811197e8: 003f7406 br 811195bc <__reset+0xfb0f95bc> +811197ec: 28800503 ldbu r2,20(r5) +811197f0: 28c0058b ldhu r3,22(r5) +811197f4: 04c00044 movi r19,1 +811197f8: 10842f24 muli r2,r2,4284 +811197fc: 20a5883a add r18,r4,r2 +81119800: 90dac405 stb r3,27408(r18) +81119804: 2980060b ldhu r6,24(r5) +81119808: 80c0070b ldhu r3,28(r16) +8111980c: 2940068b ldhu r5,26(r5) +81119810: 1099a904 addi r2,r2,26276 +81119814: 20a3883a add r17,r4,r2 +81119818: 90dac50d sth r3,27412(r18) +8111981c: 8809883a mov r4,r17 +81119820: 919ac48d sth r6,27410(r18) +81119824: 915ac58d sth r5,27414(r18) +81119828: 94dac215 stw r19,27400(r18) +8111982c: 901ac315 stw zero,27404(r18) +81119830: 901ac115 stw zero,27396(r18) +81119834: 1103bd00 call 81103bd0 +81119838: 8809883a mov r4,r17 +8111983c: 94d9b215 stw r19,26312(r18) +81119840: 1103c380 call 81103c38 +81119844: 00a04634 movhi r2,33048 +81119848: 108f1904 addi r2,r2,15460 +8111984c: 10800803 ldbu r2,32(r2) +81119850: 00c001c4 movi r3,7 +81119854: 18bec236 bltu r3,r2,81119360 <__reset+0xfb0f9360> +81119858: 01204574 movhi r4,33045 +8111985c: d1e07917 ldw r7,-32284(gp) +81119860: 212e0b04 addi r4,r4,-18388 +81119864: 018007c4 movi r6,31 +81119868: 980b883a mov r5,r19 +8111986c: 003f5306 br 811195bc <__reset+0xfb0f95bc> +81119870: 28800503 ldbu r2,20(r5) +81119874: 28c0058b ldhu r3,22(r5) +81119878: 10842f24 muli r2,r2,4284 +8111987c: 20a3883a add r17,r4,r2 +81119880: 00800044 movi r2,1 +81119884: 889abb15 stw r2,27372(r17) +81119888: 881abc15 stw zero,27376(r17) +8111988c: 881abd15 stw zero,27380(r17) +81119890: 88dabe05 stb r3,27384(r17) +81119894: 003eb206 br 81119360 <__reset+0xfb0f9360> +81119898: 28800503 ldbu r2,20(r5) +8111989c: 28c0058b ldhu r3,22(r5) +811198a0: 10842f24 muli r2,r2,4284 +811198a4: 20a3883a add r17,r4,r2 +811198a8: 88dabe05 stb r3,27384(r17) +811198ac: 2900060b ldhu r4,24(r5) +811198b0: 28c0068b ldhu r3,26(r5) +811198b4: 2880070b ldhu r2,28(r5) +811198b8: 01400044 movi r5,1 +811198bc: 895abc15 stw r5,27376(r17) +811198c0: 891abe8d sth r4,27386(r17) +811198c4: 88dabf8d sth r3,27390(r17) +811198c8: 889abf0d sth r2,27388(r17) +811198cc: 881abd15 stw zero,27380(r17) +811198d0: 881abb15 stw zero,27372(r17) +811198d4: 003ea206 br 81119360 <__reset+0xfb0f9360> +811198d8: 2cc0078b ldhu r19,30(r5) +811198dc: 05000044 movi r20,1 +811198e0: 28800503 ldbu r2,20(r5) +811198e4: 9d027f26 beq r19,r20,8111a2e4 +811198e8: 98026626 beq r19,zero,8111a284 +811198ec: 00c00084 movi r3,2 +811198f0: 98c24526 beq r19,r3,8111a208 +811198f4: 00c000c4 movi r3,3 +811198f8: 98c2381e bne r19,r3,8111a1dc +811198fc: 10803fcc andi r2,r2,255 +81119900: 14842f24 muli r18,r2,4284 +81119904: 94d99404 addi r19,r18,26192 +81119908: 24e7883a add r19,r4,r19 +8111990c: 9809883a mov r4,r19 +81119910: 11050c40 call 811050c4 +81119914: 8080060b ldhu r2,24(r16) +81119918: 8100068b ldhu r4,26(r16) +8111991c: 8ca3883a add r17,r17,r18 +81119920: 1004943a slli r2,r2,16 +81119924: 8819a315 stw zero,26252(r17) +81119928: 8d19a515 stw r20,26260(r17) +8111992c: 1108b03a or r4,r2,r4 +81119930: 11053580 call 81105358 +81119934: 8899a615 stw r2,26264(r17) +81119938: 9809883a mov r4,r19 +8111993c: 110507c0 call 8110507c +81119940: 00a04634 movhi r2,33048 +81119944: 80c0070b ldhu r3,28(r16) +81119948: 108f1904 addi r2,r2,15460 +8111994c: 10800803 ldbu r2,32(r2) +81119950: 88dacc8d sth r3,27442(r17) +81119954: 8d1ace15 stw r20,27448(r17) +81119958: 00c00104 movi r3,4 +8111995c: 18be8036 bltu r3,r2,81119360 <__reset+0xfb0f9360> +81119960: 01204574 movhi r4,33045 +81119964: d1e07917 ldw r7,-32284(gp) +81119968: 212df204 addi r4,r4,-18488 +8111996c: 01800d04 movi r6,52 +81119970: a00b883a mov r5,r20 +81119974: 003f1106 br 811195bc <__reset+0xfb0f95bc> +81119978: 2c800503 ldbu r18,20(r5) +8111997c: 05000044 movi r20,1 +81119980: 94842f24 muli r18,r18,4284 +81119984: 94d9f804 addi r19,r18,26592 +81119988: 24e7883a add r19,r4,r19 +8111998c: 9809883a mov r4,r19 +81119990: 11025d80 call 811025d8 +81119994: 8080060b ldhu r2,24(r16) +81119998: 80c0068b ldhu r3,26(r16) +8111999c: 8180058b ldhu r6,22(r16) +811199a0: 1004943a slli r2,r2,16 +811199a4: 8140070b ldhu r5,28(r16) +811199a8: 8ca3883a add r17,r17,r18 +811199ac: 10c4b03a or r2,r2,r3 +811199b0: 9809883a mov r4,r19 +811199b4: 899a1d15 stw r6,26740(r17) +811199b8: 895a1f15 stw r5,26748(r17) +811199bc: 8d1a1c15 stw r20,26736(r17) +811199c0: 889a1e15 stw r2,26744(r17) +811199c4: 11025a00 call 811025a0 +811199c8: 01204574 movhi r4,33045 +811199cc: d1e07917 ldw r7,-32284(gp) +811199d0: 212dc304 addi r4,r4,-18676 +811199d4: 01800604 movi r6,24 +811199d8: a00b883a mov r5,r20 +811199dc: 003ef706 br 811195bc <__reset+0xfb0f95bc> +811199e0: 2880068b ldhu r2,26(r5) +811199e4: 01000184 movi r4,6 +811199e8: 28c00503 ldbu r3,20(r5) +811199ec: 20826936 bltu r4,r2,8111a394 +811199f0: 100490ba slli r2,r2,2 +811199f4: 012044b4 movhi r4,33042 +811199f8: 21268304 addi r4,r4,-26100 +811199fc: 1105883a add r2,r2,r4 +81119a00: 10800017 ldw r2,0(r2) +81119a04: 18c03fcc andi r3,r3,255 +81119a08: 1000683a jmp r2 +81119a0c: 81119dc0 call 881119dc <__reset+0x20f19dc> +81119a10: 81119cf4 orhi r4,r16,18035 +81119a14: 81119f60 cmpeqi r4,r16,18045 +81119a18: 81119e90 cmplti r4,r16,18042 +81119a1c: 81119c28 cmpgeui r4,r16,18032 +81119a20: 81119b94 ori r4,r16,18030 +81119a24: 8111a030 cmpltui r4,r16,18048 +81119a28: 00a04634 movhi r2,33048 +81119a2c: 2980050b ldhu r6,20(r5) +81119a30: 108f1904 addi r2,r2,15460 +81119a34: 10800803 ldbu r2,32(r2) +81119a38: 00c00044 movi r3,1 +81119a3c: 20d94315 stw r3,25868(r4) +81119a40: 2199428d sth r6,25866(r4) +81119a44: 00c001c4 movi r3,7 +81119a48: 18be4536 bltu r3,r2,81119360 <__reset+0xfb0f9360> +81119a4c: 01604574 movhi r5,33045 +81119a50: 296a1b04 addi r5,r5,-22420 +81119a54: d1207917 ldw r4,-32284(gp) +81119a58: 31bfffcc andi r6,r6,65535 +81119a5c: 003e5a06 br 811193c8 <__reset+0xfb0f93c8> +81119a60: 2d000503 ldbu r20,20(r5) +81119a64: a4c42f24 muli r19,r20,4284 +81119a68: 9c99d604 addi r18,r19,26456 +81119a6c: 24a5883a add r18,r4,r18 +81119a70: 9009883a mov r4,r18 +81119a74: 1104b580 call 81104b58 +81119a78: 8ce3883a add r17,r17,r19 +81119a7c: 8819d715 stw zero,26460(r17) +81119a80: 9009883a mov r4,r18 +81119a84: 1104b300 call 81104b30 +81119a88: 00a04634 movhi r2,33048 +81119a8c: 108f1904 addi r2,r2,15460 +81119a90: 10800803 ldbu r2,32(r2) +81119a94: 00c001c4 movi r3,7 +81119a98: 18be3136 bltu r3,r2,81119360 <__reset+0xfb0f9360> +81119a9c: d1207917 ldw r4,-32284(gp) +81119aa0: 8180050b ldhu r6,20(r16) +81119aa4: 01604574 movhi r5,33045 +81119aa8: 296a0c04 addi r5,r5,-22480 +81119aac: 112b6200 call 8112b620 +81119ab0: 01604574 movhi r5,33045 +81119ab4: a00d883a mov r6,r20 +81119ab8: 296a1604 addi r5,r5,-22440 +81119abc: 003e4106 br 811193c4 <__reset+0xfb0f93c4> +81119ac0: 2d000503 ldbu r20,20(r5) +81119ac4: a4842f24 muli r18,r20,4284 +81119ac8: 94d9d604 addi r19,r18,26456 +81119acc: 24e7883a add r19,r4,r19 +81119ad0: 9809883a mov r4,r19 +81119ad4: 1104b580 call 81104b58 +81119ad8: 8080058b ldhu r2,22(r16) +81119adc: 00c00044 movi r3,1 +81119ae0: 8ca3883a add r17,r17,r18 +81119ae4: 88d9d715 stw r3,26460(r17) +81119ae8: 8899d815 stw r2,26464(r17) +81119aec: 9809883a mov r4,r19 +81119af0: 1104b300 call 81104b30 +81119af4: 00a04634 movhi r2,33048 +81119af8: 108f1904 addi r2,r2,15460 +81119afc: 10800803 ldbu r2,32(r2) +81119b00: 00c001c4 movi r3,7 +81119b04: 18be1636 bltu r3,r2,81119360 <__reset+0xfb0f9360> +81119b08: 8180050b ldhu r6,20(r16) +81119b0c: d1207917 ldw r4,-32284(gp) +81119b10: 01604574 movhi r5,33045 +81119b14: 296a0c04 addi r5,r5,-22480 +81119b18: 112b6200 call 8112b620 +81119b1c: 01604574 movhi r5,33045 +81119b20: 296a1104 addi r5,r5,-22460 +81119b24: d1207917 ldw r4,-32284(gp) +81119b28: 8180058b ldhu r6,22(r16) +81119b2c: 003fdf06 br 81119aac <__reset+0xfb0f9aac> +81119b30: 00a04634 movhi r2,33048 +81119b34: 108ef404 addi r2,r2,15312 +81119b38: 10800017 ldw r2,0(r2) +81119b3c: 103e081e bne r2,zero,81119360 <__reset+0xfb0f9360> +81119b40: 2900050b ldhu r4,20(r5) +81119b44: 89594504 addi r5,r17,25876 +81119b48: dfc00617 ldw ra,24(sp) +81119b4c: dd400517 ldw r21,20(sp) +81119b50: dd000417 ldw r20,16(sp) +81119b54: dcc00317 ldw r19,12(sp) +81119b58: dc800217 ldw r18,8(sp) +81119b5c: dc400117 ldw r17,4(sp) +81119b60: dc000017 ldw r16,0(sp) +81119b64: dec00704 addi sp,sp,28 +81119b68: 11262641 jmpi 81126264 +81119b6c: 00a04634 movhi r2,33048 +81119b70: 108f1904 addi r2,r2,15460 +81119b74: 10800803 ldbu r2,32(r2) +81119b78: 00c001c4 movi r3,7 +81119b7c: 18bdf836 bltu r3,r2,81119360 <__reset+0xfb0f9360> +81119b80: 01204574 movhi r4,33045 +81119b84: d1e07917 ldw r7,-32284(gp) +81119b88: 2129f204 addi r4,r4,-22584 +81119b8c: 01800c84 movi r6,50 +81119b90: 003eba06 br 8111967c <__reset+0xfb0f967c> +81119b94: 1c842f24 muli r18,r3,4284 +81119b98: 05400044 movi r21,1 +81119b9c: 9519f804 addi r20,r18,26592 +81119ba0: 8d29883a add r20,r17,r20 +81119ba4: a009883a mov r4,r20 +81119ba8: 11025600 call 81102560 +81119bac: 8ca7883a add r19,r17,r18 +81119bb0: 981a1615 stw zero,26712(r19) +81119bb4: 9d5a1715 stw r21,26716(r19) +81119bb8: 981a1815 stw zero,26720(r19) +81119bbc: a009883a mov r4,r20 +81119bc0: 11025180 call 81102518 +81119bc4: 989ac604 addi r2,r19,27416 +81119bc8: 10c00017 ldw r3,0(r2) +81119bcc: 1d420526 beq r3,r21,8111a3e4 +81119bd0: a009883a mov r4,r20 +81119bd4: 11024e80 call 811024e8 +81119bd8: 80c0060b ldhu r3,24(r16) +81119bdc: 8080058b ldhu r2,22(r16) +81119be0: 8ca3883a add r17,r17,r18 +81119be4: 04000044 movi r16,1 +81119be8: 88da1415 stw r3,26704(r17) +81119bec: 8c1a1315 stw r16,26700(r17) +81119bf0: 889a1515 stw r2,26708(r17) +81119bf4: a009883a mov r4,r20 +81119bf8: 11024b80 call 811024b8 +81119bfc: 00a04634 movhi r2,33048 +81119c00: 108f1904 addi r2,r2,15460 +81119c04: 10800803 ldbu r2,32(r2) +81119c08: 00c00104 movi r3,4 +81119c0c: 18bdd436 bltu r3,r2,81119360 <__reset+0xfb0f9360> +81119c10: 01204574 movhi r4,33045 +81119c14: d1e07917 ldw r7,-32284(gp) +81119c18: 212d9604 addi r4,r4,-18856 +81119c1c: 01800f04 movi r6,60 +81119c20: 800b883a mov r5,r16 +81119c24: 003e6506 br 811195bc <__reset+0xfb0f95bc> +81119c28: 1c042f24 muli r16,r3,4284 +81119c2c: 84d9f804 addi r19,r16,26592 +81119c30: 8ce7883a add r19,r17,r19 +81119c34: 9809883a mov r4,r19 +81119c38: 11024e80 call 811024e8 +81119c3c: 8c25883a add r18,r17,r16 +81119c40: 9809883a mov r4,r19 +81119c44: 901a1315 stw zero,26700(r18) +81119c48: 901a1415 stw zero,26704(r18) +81119c4c: 901a1515 stw zero,26708(r18) +81119c50: 11024b80 call 811024b8 +81119c54: 909ac604 addi r2,r18,27416 +81119c58: 11000017 ldw r4,0(r2) +81119c5c: 00c00044 movi r3,1 +81119c60: 20c21026 beq r4,r3,8111a4a4 +81119c64: 9809883a mov r4,r19 +81119c68: 11025600 call 81102560 +81119c6c: 8c25883a add r18,r17,r16 +81119c70: 00800044 movi r2,1 +81119c74: 901a1615 stw zero,26712(r18) +81119c78: 909a1715 stw r2,26716(r18) +81119c7c: 901a1815 stw zero,26720(r18) +81119c80: 9809883a mov r4,r19 +81119c84: 11025180 call 81102518 +81119c88: 9809883a mov r4,r19 +81119c8c: 11025600 call 81102560 +81119c90: 949a1a04 addi r18,r18,26728 +81119c94: 90800017 ldw r2,0(r18) +81119c98: 1000041e bne r2,zero,81119cac +81119c9c: 9809883a mov r4,r19 +81119ca0: 11025600 call 81102560 +81119ca4: 90800017 ldw r2,0(r18) +81119ca8: 103ffc26 beq r2,zero,81119c9c <__reset+0xfb0f9c9c> +81119cac: 8c21883a add r16,r17,r16 +81119cb0: 04800044 movi r18,1 +81119cb4: 04400104 movi r17,4 +81119cb8: 849a1615 stw r18,26712(r16) +81119cbc: 801a1715 stw zero,26716(r16) +81119cc0: 845a1815 stw r17,26720(r16) +81119cc4: 9809883a mov r4,r19 +81119cc8: 11025180 call 81102518 +81119ccc: 00a04634 movhi r2,33048 +81119cd0: 108f1904 addi r2,r2,15460 +81119cd4: 10800803 ldbu r2,32(r2) +81119cd8: 88bda136 bltu r17,r2,81119360 <__reset+0xfb0f9360> +81119cdc: 01204574 movhi r4,33045 +81119ce0: d1e07917 ldw r7,-32284(gp) +81119ce4: 212d8304 addi r4,r4,-18932 +81119ce8: 01801244 movi r6,73 +81119cec: 900b883a mov r5,r18 +81119cf0: 003e3206 br 811195bc <__reset+0xfb0f95bc> +81119cf4: 1c042f24 muli r16,r3,4284 +81119cf8: 84d9f804 addi r19,r16,26592 +81119cfc: 8ce7883a add r19,r17,r19 +81119d00: 9809883a mov r4,r19 +81119d04: 11024e80 call 811024e8 +81119d08: 8c25883a add r18,r17,r16 +81119d0c: 9809883a mov r4,r19 +81119d10: 901a1315 stw zero,26700(r18) +81119d14: 901a1415 stw zero,26704(r18) +81119d18: 901a1515 stw zero,26708(r18) +81119d1c: 11024b80 call 811024b8 +81119d20: 909ac604 addi r2,r18,27416 +81119d24: 11000017 ldw r4,0(r2) +81119d28: 00c00044 movi r3,1 +81119d2c: 20c1cd26 beq r4,r3,8111a464 +81119d30: 9809883a mov r4,r19 +81119d34: 11025600 call 81102560 +81119d38: 8c25883a add r18,r17,r16 +81119d3c: 00800044 movi r2,1 +81119d40: 901a1615 stw zero,26712(r18) +81119d44: 909a1715 stw r2,26716(r18) +81119d48: 901a1815 stw zero,26720(r18) +81119d4c: 9809883a mov r4,r19 +81119d50: 11025180 call 81102518 +81119d54: 9809883a mov r4,r19 +81119d58: 11025600 call 81102560 +81119d5c: 949a1a04 addi r18,r18,26728 +81119d60: 90800017 ldw r2,0(r18) +81119d64: 1000041e bne r2,zero,81119d78 +81119d68: 9809883a mov r4,r19 +81119d6c: 11025600 call 81102560 +81119d70: 90800017 ldw r2,0(r18) +81119d74: 103ffc26 beq r2,zero,81119d68 <__reset+0xfb0f9d68> +81119d78: 8c21883a add r16,r17,r16 +81119d7c: 04400044 movi r17,1 +81119d80: 845a1615 stw r17,26712(r16) +81119d84: 801a1715 stw zero,26716(r16) +81119d88: 845a1815 stw r17,26720(r16) +81119d8c: 9809883a mov r4,r19 +81119d90: 11025180 call 81102518 +81119d94: 00a04634 movhi r2,33048 +81119d98: 108f1904 addi r2,r2,15460 +81119d9c: 10800803 ldbu r2,32(r2) +81119da0: 00c00104 movi r3,4 +81119da4: 18bd6e36 bltu r3,r2,81119360 <__reset+0xfb0f9360> +81119da8: 01204574 movhi r4,33045 +81119dac: d1e07917 ldw r7,-32284(gp) +81119db0: 212d6004 addi r4,r4,-19072 +81119db4: 01801044 movi r6,65 +81119db8: 880b883a mov r5,r17 +81119dbc: 003dff06 br 811195bc <__reset+0xfb0f95bc> +81119dc0: 1c042f24 muli r16,r3,4284 +81119dc4: 84d9f804 addi r19,r16,26592 +81119dc8: 8ce7883a add r19,r17,r19 +81119dcc: 9809883a mov r4,r19 +81119dd0: 11024e80 call 811024e8 +81119dd4: 8c25883a add r18,r17,r16 +81119dd8: 9809883a mov r4,r19 +81119ddc: 901a1315 stw zero,26700(r18) +81119de0: 901a1415 stw zero,26704(r18) +81119de4: 901a1515 stw zero,26708(r18) +81119de8: 11024b80 call 811024b8 +81119dec: 909ac604 addi r2,r18,27416 +81119df0: 11000017 ldw r4,0(r2) +81119df4: 00c00044 movi r3,1 +81119df8: 20c1a226 beq r4,r3,8111a484 +81119dfc: 9809883a mov r4,r19 +81119e00: 11025600 call 81102560 +81119e04: 8c25883a add r18,r17,r16 +81119e08: 00800044 movi r2,1 +81119e0c: 901a1615 stw zero,26712(r18) +81119e10: 909a1715 stw r2,26716(r18) +81119e14: 901a1815 stw zero,26720(r18) +81119e18: 9809883a mov r4,r19 +81119e1c: 11025180 call 81102518 +81119e20: 9809883a mov r4,r19 +81119e24: 11025600 call 81102560 +81119e28: 949a1a04 addi r18,r18,26728 +81119e2c: 90800017 ldw r2,0(r18) +81119e30: 1000041e bne r2,zero,81119e44 +81119e34: 9809883a mov r4,r19 +81119e38: 11025600 call 81102560 +81119e3c: 90800017 ldw r2,0(r18) +81119e40: 103ffc26 beq r2,zero,81119e34 <__reset+0xfb0f9e34> +81119e44: 8c21883a add r16,r17,r16 +81119e48: 00800084 movi r2,2 +81119e4c: 04400044 movi r17,1 +81119e50: 845a1615 stw r17,26712(r16) +81119e54: 801a1715 stw zero,26716(r16) +81119e58: 809a1815 stw r2,26720(r16) +81119e5c: 9809883a mov r4,r19 +81119e60: 11025180 call 81102518 +81119e64: 00a04634 movhi r2,33048 +81119e68: 108f1904 addi r2,r2,15460 +81119e6c: 10800803 ldbu r2,32(r2) +81119e70: 00c00104 movi r3,4 +81119e74: 18bd3a36 bltu r3,r2,81119360 <__reset+0xfb0f9360> +81119e78: 01204574 movhi r4,33045 +81119e7c: d1e07917 ldw r7,-32284(gp) +81119e80: 212d5004 addi r4,r4,-19136 +81119e84: 01800f44 movi r6,61 +81119e88: 880b883a mov r5,r17 +81119e8c: 003dcb06 br 811195bc <__reset+0xfb0f95bc> +81119e90: 1c042f24 muli r16,r3,4284 +81119e94: 84d9f804 addi r19,r16,26592 +81119e98: 8ce7883a add r19,r17,r19 +81119e9c: 9809883a mov r4,r19 +81119ea0: 11024e80 call 811024e8 +81119ea4: 8c25883a add r18,r17,r16 +81119ea8: 9809883a mov r4,r19 +81119eac: 901a1315 stw zero,26700(r18) +81119eb0: 901a1415 stw zero,26704(r18) +81119eb4: 901a1515 stw zero,26708(r18) +81119eb8: 11024b80 call 811024b8 +81119ebc: 909ac604 addi r2,r18,27416 +81119ec0: 11000017 ldw r4,0(r2) +81119ec4: 00c00044 movi r3,1 +81119ec8: 20c14e26 beq r4,r3,8111a404 +81119ecc: 9809883a mov r4,r19 +81119ed0: 11025600 call 81102560 +81119ed4: 8c25883a add r18,r17,r16 +81119ed8: 00800044 movi r2,1 +81119edc: 901a1615 stw zero,26712(r18) +81119ee0: 909a1715 stw r2,26716(r18) +81119ee4: 901a1815 stw zero,26720(r18) +81119ee8: 9809883a mov r4,r19 +81119eec: 11025180 call 81102518 +81119ef0: 9809883a mov r4,r19 +81119ef4: 11025600 call 81102560 +81119ef8: 949a1a04 addi r18,r18,26728 +81119efc: 90800017 ldw r2,0(r18) +81119f00: 1000041e bne r2,zero,81119f14 +81119f04: 9809883a mov r4,r19 +81119f08: 11025600 call 81102560 +81119f0c: 90800017 ldw r2,0(r18) +81119f10: 103ffc26 beq r2,zero,81119f04 <__reset+0xfb0f9f04> +81119f14: 8c21883a add r16,r17,r16 +81119f18: 00800144 movi r2,5 +81119f1c: 04400044 movi r17,1 +81119f20: 845a1615 stw r17,26712(r16) +81119f24: 801a1715 stw zero,26716(r16) +81119f28: 809a1815 stw r2,26720(r16) +81119f2c: 9809883a mov r4,r19 +81119f30: 11025180 call 81102518 +81119f34: 00a04634 movhi r2,33048 +81119f38: 108f1904 addi r2,r2,15460 +81119f3c: 10800803 ldbu r2,32(r2) +81119f40: 00c00104 movi r3,4 +81119f44: 18bd0636 bltu r3,r2,81119360 <__reset+0xfb0f9360> +81119f48: 01204574 movhi r4,33045 +81119f4c: d1e07917 ldw r7,-32284(gp) +81119f50: 212d8304 addi r4,r4,-18932 +81119f54: 01801244 movi r6,73 +81119f58: 880b883a mov r5,r17 +81119f5c: 003d9706 br 811195bc <__reset+0xfb0f95bc> +81119f60: 1c042f24 muli r16,r3,4284 +81119f64: 84d9f804 addi r19,r16,26592 +81119f68: 8ce7883a add r19,r17,r19 +81119f6c: 9809883a mov r4,r19 +81119f70: 11024e80 call 811024e8 +81119f74: 8c25883a add r18,r17,r16 +81119f78: 9809883a mov r4,r19 +81119f7c: 901a1315 stw zero,26700(r18) +81119f80: 901a1415 stw zero,26704(r18) +81119f84: 901a1515 stw zero,26708(r18) +81119f88: 11024b80 call 811024b8 +81119f8c: 909ac604 addi r2,r18,27416 +81119f90: 11000017 ldw r4,0(r2) +81119f94: 00c00044 movi r3,1 +81119f98: 20c12a26 beq r4,r3,8111a444 +81119f9c: 9809883a mov r4,r19 +81119fa0: 11025600 call 81102560 +81119fa4: 8c25883a add r18,r17,r16 +81119fa8: 00800044 movi r2,1 +81119fac: 901a1615 stw zero,26712(r18) +81119fb0: 909a1715 stw r2,26716(r18) +81119fb4: 901a1815 stw zero,26720(r18) +81119fb8: 9809883a mov r4,r19 +81119fbc: 11025180 call 81102518 +81119fc0: 9809883a mov r4,r19 +81119fc4: 11025600 call 81102560 +81119fc8: 949a1a04 addi r18,r18,26728 +81119fcc: 90800017 ldw r2,0(r18) +81119fd0: 1000041e bne r2,zero,81119fe4 +81119fd4: 9809883a mov r4,r19 +81119fd8: 11025600 call 81102560 +81119fdc: 90800017 ldw r2,0(r18) +81119fe0: 103ffc26 beq r2,zero,81119fd4 <__reset+0xfb0f9fd4> +81119fe4: 8c21883a add r16,r17,r16 +81119fe8: 008000c4 movi r2,3 +81119fec: 04400044 movi r17,1 +81119ff0: 845a1615 stw r17,26712(r16) +81119ff4: 801a1715 stw zero,26716(r16) +81119ff8: 809a1815 stw r2,26720(r16) +81119ffc: 9809883a mov r4,r19 +8111a000: 11025180 call 81102518 +8111a004: 00a04634 movhi r2,33048 +8111a008: 108f1904 addi r2,r2,15460 +8111a00c: 10800803 ldbu r2,32(r2) +8111a010: 00c00104 movi r3,4 +8111a014: 18bcd236 bltu r3,r2,81119360 <__reset+0xfb0f9360> +8111a018: 01204574 movhi r4,33045 +8111a01c: d1e07917 ldw r7,-32284(gp) +8111a020: 212d7104 addi r4,r4,-19004 +8111a024: 01801184 movi r6,70 +8111a028: 880b883a mov r5,r17 +8111a02c: 003d6306 br 811195bc <__reset+0xfb0f95bc> +8111a030: 1c842f24 muli r18,r3,4284 +8111a034: 05400044 movi r21,1 +8111a038: 9519f804 addi r20,r18,26592 +8111a03c: 8d29883a add r20,r17,r20 +8111a040: a009883a mov r4,r20 +8111a044: 8ca7883a add r19,r17,r18 +8111a048: 11025600 call 81102560 +8111a04c: a009883a mov r4,r20 +8111a050: 981a1615 stw zero,26712(r19) +8111a054: 9d5a1715 stw r21,26716(r19) +8111a058: 981a1815 stw zero,26720(r19) +8111a05c: 11025180 call 81102518 +8111a060: a009883a mov r4,r20 +8111a064: 11024e80 call 811024e8 8111a068: a009883a mov r4,r20 -8111a06c: 88dac705 stb r3,27420(r17) -8111a070: 80c00583 ldbu r3,22(r16) -8111a074: 10c00015 stw r3,0(r2) -8111a078: 11023600 call 81102360 -8111a07c: 00a04634 movhi r2,33048 -8111a080: 108f0804 addi r2,r2,15392 -8111a084: 10800803 ldbu r2,32(r2) -8111a088: 01400044 movi r5,1 -8111a08c: 895ac615 stw r5,27416(r17) -8111a090: 00c00104 movi r3,4 -8111a094: 18bca536 bltu r3,r2,8111932c <__reset+0xfb0f932c> -8111a098: 01204574 movhi r4,33045 -8111a09c: d1e07917 ldw r7,-32284(gp) -8111a0a0: 212d9604 addi r4,r4,-18856 -8111a0a4: 018012c4 movi r6,75 -8111a0a8: 003d3706 br 81119588 <__reset+0xfb0f9588> -8111a0ac: 00e04634 movhi r3,33048 -8111a0b0: 18cf0804 addi r3,r3,15392 -8111a0b4: 8885883a add r2,r17,r2 -8111a0b8: 18c00803 ldbu r3,32(r3) -8111a0bc: 109d7204 addi r2,r2,30152 -8111a0c0: 01000044 movi r4,1 -8111a0c4: 11000015 stw r4,0(r2) -8111a0c8: 008001c4 movi r2,7 -8111a0cc: 10fc9736 bltu r2,r3,8111932c <__reset+0xfb0f932c> -8111a0d0: d1207917 ldw r4,-32284(gp) -8111a0d4: 01604574 movhi r5,33045 -8111a0d8: 296e2b04 addi r5,r5,-18260 -8111a0dc: 900d883a mov r6,r18 -8111a0e0: 112b5e00 call 8112b5e0 -8111a0e4: 003cfc06 br 811194d8 <__reset+0xfb0f94d8> -8111a0e8: 00a04634 movhi r2,33048 -8111a0ec: 108f0804 addi r2,r2,15392 -8111a0f0: 10800803 ldbu r2,32(r2) -8111a0f4: 00c001c4 movi r3,7 -8111a0f8: 18bc8c36 bltu r3,r2,8111932c <__reset+0xfb0f932c> -8111a0fc: 01604574 movhi r5,33045 -8111a100: 296eca04 addi r5,r5,-17624 +8111a06c: 981a1315 stw zero,26700(r19) +8111a070: 981a1415 stw zero,26704(r19) +8111a074: 981a1515 stw zero,26708(r19) +8111a078: 11024b80 call 811024b8 +8111a07c: 989ac604 addi r2,r19,27416 +8111a080: 10c00017 ldw r3,0(r2) +8111a084: 1d40e726 beq r3,r21,8111a424 +8111a088: a009883a mov r4,r20 +8111a08c: 11023980 call 81102398 +8111a090: 8ca3883a add r17,r17,r18 +8111a094: 889a0604 addi r2,r17,26648 +8111a098: 10c00017 ldw r3,0(r2) +8111a09c: a009883a mov r4,r20 +8111a0a0: 88dac705 stb r3,27420(r17) +8111a0a4: 80c00583 ldbu r3,22(r16) +8111a0a8: 10c00015 stw r3,0(r2) +8111a0ac: 11023600 call 81102360 +8111a0b0: 00a04634 movhi r2,33048 +8111a0b4: 108f1904 addi r2,r2,15460 +8111a0b8: 10800803 ldbu r2,32(r2) +8111a0bc: 01400044 movi r5,1 +8111a0c0: 895ac615 stw r5,27416(r17) +8111a0c4: 00c00104 movi r3,4 +8111a0c8: 18bca536 bltu r3,r2,81119360 <__reset+0xfb0f9360> +8111a0cc: 01204574 movhi r4,33045 +8111a0d0: d1e07917 ldw r7,-32284(gp) +8111a0d4: 212da604 addi r4,r4,-18792 +8111a0d8: 018012c4 movi r6,75 +8111a0dc: 003d3706 br 811195bc <__reset+0xfb0f95bc> +8111a0e0: 00e04634 movhi r3,33048 +8111a0e4: 18cf1904 addi r3,r3,15460 +8111a0e8: 8885883a add r2,r17,r2 +8111a0ec: 18c00803 ldbu r3,32(r3) +8111a0f0: 109d7204 addi r2,r2,30152 +8111a0f4: 01000044 movi r4,1 +8111a0f8: 11000015 stw r4,0(r2) +8111a0fc: 008001c4 movi r2,7 +8111a100: 10fc9736 bltu r2,r3,81119360 <__reset+0xfb0f9360> 8111a104: d1207917 ldw r4,-32284(gp) -8111a108: 81803fcc andi r6,r16,255 -8111a10c: 003ca106 br 81119394 <__reset+0xfb0f9394> -8111a110: 84c03fcc andi r19,r16,255 -8111a114: 99042f24 muli r4,r19,4284 -8111a118: 000b883a mov r5,zero -8111a11c: 2119f804 addi r4,r4,26592 -8111a120: 8909883a add r4,r17,r4 -8111a124: 1102a900 call 81102a90 -8111a128: 10001426 beq r2,zero,8111a17c -8111a12c: 00a04634 movhi r2,33048 -8111a130: 108f0804 addi r2,r2,15392 -8111a134: 10800803 ldbu r2,32(r2) -8111a138: 00c001c4 movi r3,7 -8111a13c: 18bca236 bltu r3,r2,811193c8 <__reset+0xfb0f93c8> -8111a140: d1207917 ldw r4,-32284(gp) -8111a144: 01604574 movhi r5,33045 -8111a148: 296e5504 addi r5,r5,-18092 -8111a14c: 980d883a mov r6,r19 -8111a150: 112b5e00 call 8112b5e0 -8111a154: 003c9c06 br 811193c8 <__reset+0xfb0f93c8> -8111a158: d1207917 ldw r4,-32284(gp) -8111a15c: 14003fcc andi r16,r2,255 -8111a160: 8c403fcc andi r17,r17,255 -8111a164: 01604574 movhi r5,33045 -8111a168: 296a9e04 addi r5,r5,-21896 -8111a16c: 880f883a mov r7,r17 -8111a170: 800d883a mov r6,r16 -8111a174: 112b5e00 call 8112b5e0 -8111a178: 003cb006 br 8111943c <__reset+0xfb0f943c> -8111a17c: 00a04634 movhi r2,33048 -8111a180: 108f0804 addi r2,r2,15392 -8111a184: 10800803 ldbu r2,32(r2) -8111a188: 00c001c4 movi r3,7 -8111a18c: 18bc8e36 bltu r3,r2,811193c8 <__reset+0xfb0f93c8> -8111a190: d1207917 ldw r4,-32284(gp) -8111a194: 01604574 movhi r5,33045 -8111a198: 296e6804 addi r5,r5,-18016 -8111a19c: 980d883a mov r6,r19 -8111a1a0: 112b5e00 call 8112b5e0 -8111a1a4: 003c8806 br 811193c8 <__reset+0xfb0f93c8> -8111a1a8: 00a04634 movhi r2,33048 -8111a1ac: 108f0804 addi r2,r2,15392 -8111a1b0: 10800803 ldbu r2,32(r2) -8111a1b4: 00c001c4 movi r3,7 -8111a1b8: 18bc5c36 bltu r3,r2,8111932c <__reset+0xfb0f932c> -8111a1bc: 01204574 movhi r4,33045 -8111a1c0: d1e07917 ldw r7,-32284(gp) -8111a1c4: 212df004 addi r4,r4,-18496 -8111a1c8: 01800a04 movi r6,40 -8111a1cc: a00b883a mov r5,r20 -8111a1d0: 003ced06 br 81119588 <__reset+0xfb0f9588> -8111a1d4: 10803fcc andi r2,r2,255 -8111a1d8: 14842f24 muli r18,r2,4284 -8111a1dc: 94d99404 addi r19,r18,26192 -8111a1e0: 24e7883a add r19,r4,r19 -8111a1e4: 9809883a mov r4,r19 -8111a1e8: 11050900 call 81105090 -8111a1ec: 8080060b ldhu r2,24(r16) -8111a1f0: 8100068b ldhu r4,26(r16) -8111a1f4: 8ca3883a add r17,r17,r18 -8111a1f8: 1004943a slli r2,r2,16 -8111a1fc: 8d19a315 stw r20,26252(r17) -8111a200: 8d19a515 stw r20,26260(r17) -8111a204: 1108b03a or r4,r2,r4 -8111a208: 11053240 call 81105324 -8111a20c: 8899a615 stw r2,26264(r17) -8111a210: 9809883a mov r4,r19 -8111a214: 11050480 call 81105048 -8111a218: 00a04634 movhi r2,33048 -8111a21c: 80c0070b ldhu r3,28(r16) -8111a220: 108f0804 addi r2,r2,15392 -8111a224: 10800803 ldbu r2,32(r2) -8111a228: 88daca0d sth r3,27432(r17) -8111a22c: 8d1acd15 stw r20,27444(r17) -8111a230: 00c00104 movi r3,4 -8111a234: 18bc3d36 bltu r3,r2,8111932c <__reset+0xfb0f932c> -8111a238: 01204574 movhi r4,33045 -8111a23c: d1e07917 ldw r7,-32284(gp) -8111a240: 212dd404 addi r4,r4,-18608 -8111a244: 01800d44 movi r6,53 -8111a248: a00b883a mov r5,r20 -8111a24c: 003cce06 br 81119588 <__reset+0xfb0f9588> -8111a250: 10803fcc andi r2,r2,255 -8111a254: 14842f24 muli r18,r2,4284 -8111a258: 000b883a mov r5,zero -8111a25c: 94d99404 addi r19,r18,26192 -8111a260: 24e7883a add r19,r4,r19 -8111a264: 9809883a mov r4,r19 -8111a268: 11051200 call 81105120 -8111a26c: 9809883a mov r4,r19 -8111a270: 11050d80 call 811050d8 -8111a274: 00a04634 movhi r2,33048 -8111a278: 80c0070b ldhu r3,28(r16) -8111a27c: 108f0804 addi r2,r2,15392 -8111a280: 10800803 ldbu r2,32(r2) -8111a284: 8ca3883a add r17,r17,r18 -8111a288: 88dac80d sth r3,27424(r17) -8111a28c: 8d1ac915 stw r20,27428(r17) -8111a290: 00c00104 movi r3,4 -8111a294: 18bc2536 bltu r3,r2,8111932c <__reset+0xfb0f932c> -8111a298: 01204574 movhi r4,33045 -8111a29c: d1e07917 ldw r7,-32284(gp) -8111a2a0: 212dba04 addi r4,r4,-18712 -8111a2a4: 01800c84 movi r6,50 -8111a2a8: a00b883a mov r5,r20 -8111a2ac: 003cb606 br 81119588 <__reset+0xfb0f9588> -8111a2b0: 10803fcc andi r2,r2,255 -8111a2b4: 14842f24 muli r18,r2,4284 -8111a2b8: 95199404 addi r20,r18,26192 -8111a2bc: 2529883a add r20,r4,r20 -8111a2c0: a009883a mov r4,r20 -8111a2c4: 11050900 call 81105090 -8111a2c8: 8080058b ldhu r2,22(r16) -8111a2cc: 8ca3883a add r17,r17,r18 -8111a2d0: a009883a mov r4,r20 -8111a2d4: 8899a415 stw r2,26256(r17) -8111a2d8: 11050480 call 81105048 -8111a2dc: a009883a mov r4,r20 -8111a2e0: 11050d80 call 811050d8 -8111a2e4: 00a04634 movhi r2,33048 -8111a2e8: 80c0058b ldhu r3,22(r16) -8111a2ec: 8100070b ldhu r4,28(r16) -8111a2f0: 108f0804 addi r2,r2,15392 -8111a2f4: 10800803 ldbu r2,32(r2) -8111a2f8: 88daca8d sth r3,27434(r17) -8111a2fc: 8cdacb15 stw r19,27436(r17) -8111a300: 891aca0d sth r4,27432(r17) -8111a304: 00c00104 movi r3,4 -8111a308: 18bc0836 bltu r3,r2,8111932c <__reset+0xfb0f932c> -8111a30c: 01204574 movhi r4,33045 -8111a310: d1e07917 ldw r7,-32284(gp) -8111a314: 212dc704 addi r4,r4,-18660 -8111a318: 01800c04 movi r6,48 -8111a31c: 980b883a mov r5,r19 -8111a320: 003c9906 br 81119588 <__reset+0xfb0f9588> -8111a324: 01604574 movhi r5,33045 -8111a328: 296ebc04 addi r5,r5,-17680 -8111a32c: d1207917 ldw r4,-32284(gp) -8111a330: 81803fcc andi r6,r16,255 -8111a334: 003c1706 br 81119394 <__reset+0xfb0f9394> -8111a338: 00e04634 movhi r3,33048 -8111a33c: 18cf0804 addi r3,r3,15392 -8111a340: 8885883a add r2,r17,r2 -8111a344: 19000803 ldbu r4,32(r3) -8111a348: 109d7204 addi r2,r2,30152 -8111a34c: 01400044 movi r5,1 -8111a350: 11400015 stw r5,0(r2) -8111a354: 008001c4 movi r2,7 -8111a358: 113f5d2e bgeu r2,r4,8111a0d0 <__reset+0xfb0fa0d0> -8111a35c: 003c6406 br 811194f0 <__reset+0xfb0f94f0> -8111a360: 00a04634 movhi r2,33048 -8111a364: 108f0804 addi r2,r2,15392 -8111a368: 10800803 ldbu r2,32(r2) -8111a36c: 00c001c4 movi r3,7 -8111a370: 18bbee36 bltu r3,r2,8111932c <__reset+0xfb0f932c> -8111a374: 01204574 movhi r4,33045 -8111a378: d1e07917 ldw r7,-32284(gp) -8111a37c: 212da904 addi r4,r4,-18780 -8111a380: 018009c4 movi r6,39 -8111a384: 003cb006 br 81119648 <__reset+0xfb0f9648> -8111a388: 00a04634 movhi r2,33048 -8111a38c: 108f0804 addi r2,r2,15392 -8111a390: 10800803 ldbu r2,32(r2) -8111a394: 00c001c4 movi r3,7 -8111a398: 18bbe436 bltu r3,r2,8111932c <__reset+0xfb0f932c> -8111a39c: 01604574 movhi r5,33045 -8111a3a0: 296e9404 addi r5,r5,-17840 -8111a3a4: d1207917 ldw r4,-32284(gp) -8111a3a8: 800d883a mov r6,r16 -8111a3ac: 003bf906 br 81119394 <__reset+0xfb0f9394> -8111a3b0: a009883a mov r4,r20 -8111a3b4: 10000015 stw zero,0(r2) -8111a3b8: 11023980 call 81102398 -8111a3bc: 989ac703 ldbu r2,27420(r19) -8111a3c0: a009883a mov r4,r20 -8111a3c4: 989a0615 stw r2,26648(r19) -8111a3c8: 11023600 call 81102360 -8111a3cc: 003df306 br 81119b9c <__reset+0xfb0f9b9c> -8111a3d0: 9809883a mov r4,r19 -8111a3d4: 10000015 stw zero,0(r2) -8111a3d8: 11023980 call 81102398 -8111a3dc: 909ac703 ldbu r2,27420(r18) -8111a3e0: 9809883a mov r4,r19 -8111a3e4: 909a0615 stw r2,26648(r18) -8111a3e8: 11023600 call 81102360 -8111a3ec: 003eaa06 br 81119e98 <__reset+0xfb0f9e98> -8111a3f0: a009883a mov r4,r20 -8111a3f4: 10000015 stw zero,0(r2) -8111a3f8: 11023980 call 81102398 -8111a3fc: 989ac703 ldbu r2,27420(r19) -8111a400: a009883a mov r4,r20 -8111a404: 989a0615 stw r2,26648(r19) -8111a408: 11023600 call 81102360 -8111a40c: 003f1106 br 8111a054 <__reset+0xfb0fa054> -8111a410: 9809883a mov r4,r19 -8111a414: 10000015 stw zero,0(r2) -8111a418: 11023980 call 81102398 -8111a41c: 909ac703 ldbu r2,27420(r18) -8111a420: 9809883a mov r4,r19 -8111a424: 909a0615 stw r2,26648(r18) -8111a428: 11023600 call 81102360 -8111a42c: 003ece06 br 81119f68 <__reset+0xfb0f9f68> -8111a430: 9809883a mov r4,r19 -8111a434: 10000015 stw zero,0(r2) -8111a438: 11023980 call 81102398 -8111a43c: 909ac703 ldbu r2,27420(r18) -8111a440: 9809883a mov r4,r19 -8111a444: 909a0615 stw r2,26648(r18) -8111a448: 11023600 call 81102360 -8111a44c: 003e2b06 br 81119cfc <__reset+0xfb0f9cfc> -8111a450: 9809883a mov r4,r19 -8111a454: 10000015 stw zero,0(r2) -8111a458: 11023980 call 81102398 -8111a45c: 909ac703 ldbu r2,27420(r18) -8111a460: 9809883a mov r4,r19 -8111a464: 909a0615 stw r2,26648(r18) -8111a468: 11023600 call 81102360 -8111a46c: 003e5606 br 81119dc8 <__reset+0xfb0f9dc8> -8111a470: 9809883a mov r4,r19 -8111a474: 10000015 stw zero,0(r2) -8111a478: 11023980 call 81102398 -8111a47c: 909ac703 ldbu r2,27420(r18) -8111a480: 9809883a mov r4,r19 -8111a484: 909a0615 stw r2,26648(r18) -8111a488: 11023600 call 81102360 -8111a48c: 003de806 br 81119c30 <__reset+0xfb0f9c30> - -8111a490 : -8111a490: 2880030b ldhu r2,12(r5) -8111a494: 00c03ec4 movi r3,251 -8111a498: 10c00c26 beq r2,r3,8111a4cc -8111a49c: 00c03f04 movi r3,252 -8111a4a0: 10c00926 beq r2,r3,8111a4c8 -8111a4a4: 00c03e84 movi r3,250 -8111a4a8: 10c00626 beq r2,r3,8111a4c4 -8111a4ac: 00a04634 movhi r2,33048 -8111a4b0: 108f0804 addi r2,r2,15392 -8111a4b4: 10800803 ldbu r2,32(r2) -8111a4b8: 00c001c4 movi r3,7 -8111a4bc: 1880082e bgeu r3,r2,8111a4e0 -8111a4c0: f800283a ret -8111a4c4: 11191d41 jmpi 811191d4 -8111a4c8: 11175cc1 jmpi 811175cc -8111a4cc: 00a04634 movhi r2,33048 -8111a4d0: 108ee304 addi r2,r2,15244 -8111a4d4: 10800017 ldw r2,0(r2) -8111a4d8: 103ff91e bne r2,zero,8111a4c0 <__reset+0xfb0fa4c0> -8111a4dc: 11174601 jmpi 81117460 -8111a4e0: d1e07917 ldw r7,-32284(gp) -8111a4e4: 01204574 movhi r4,33045 -8111a4e8: 212edf04 addi r4,r4,-17540 -8111a4ec: 01800cc4 movi r6,51 -8111a4f0: 01400044 movi r5,1 -8111a4f4: 112bc4c1 jmpi 8112bc4c - -8111a4f8 : -8111a4f8: defffa04 addi sp,sp,-24 -8111a4fc: dc800315 stw r18,12(sp) -8111a500: 04a04634 movhi r18,33048 -8111a504: 948f0804 addi r18,r18,15392 -8111a508: 90800803 ldbu r2,32(r18) -8111a50c: dcc00415 stw r19,16(sp) -8111a510: dfc00515 stw ra,20(sp) -8111a514: dc400215 stw r17,8(sp) -8111a518: dc000115 stw r16,4(sp) -8111a51c: 00c00084 movi r3,2 -8111a520: 2027883a mov r19,r4 -8111a524: 1880392e bgeu r3,r2,8111a60c -8111a528: d1208e17 ldw r4,-32200(gp) -8111a52c: d80d883a mov r6,sp -8111a530: 01400084 movi r5,2 -8111a534: 11424480 call 81142448 -8111a538: d8800003 ldbu r2,0(sp) -8111a53c: 1000311e bne r2,zero,8111a604 -8111a540: 01204574 movhi r4,33045 -8111a544: 21183704 addi r4,r4,24796 -8111a548: 04400044 movi r17,1 -8111a54c: 01800404 movi r6,16 -8111a550: 11404524 muli r5,r2,276 -8111a554: 10800044 addi r2,r2,1 -8111a558: 214b883a add r5,r4,r5 -8111a55c: 2c000104 addi r16,r5,4 -8111a560: 80c00017 ldw r3,0(r16) -8111a564: 1c401326 beq r3,r17,8111a5b4 -8111a568: 11bff91e bne r2,r6,8111a550 <__reset+0xfb0fa550> -8111a56c: d1208e17 ldw r4,-32200(gp) -8111a570: 114274c0 call 8114274c -8111a574: 90800803 ldbu r2,32(r18) -8111a578: 00c00084 movi r3,2 -8111a57c: 18800636 bltu r3,r2,8111a598 -8111a580: d1e07917 ldw r7,-32284(gp) -8111a584: 01204574 movhi r4,33045 -8111a588: 212ef204 addi r4,r4,-17464 -8111a58c: 01800e04 movi r6,56 -8111a590: 01400044 movi r5,1 -8111a594: 112bc4c0 call 8112bc4c -8111a598: dfc00517 ldw ra,20(sp) -8111a59c: dcc00417 ldw r19,16(sp) -8111a5a0: dc800317 ldw r18,12(sp) -8111a5a4: dc400217 ldw r17,8(sp) -8111a5a8: dc000117 ldw r16,4(sp) -8111a5ac: dec00604 addi sp,sp,24 -8111a5b0: f800283a ret -8111a5b4: 01204574 movhi r4,33045 -8111a5b8: 21084804 addi r4,r4,8480 -8111a5bc: 01804504 movi r6,276 -8111a5c0: 112c6480 call 8112c648 -8111a5c4: d1208e17 ldw r4,-32200(gp) -8111a5c8: 80000015 stw zero,0(r16) -8111a5cc: 114274c0 call 8114274c -8111a5d0: 98800117 ldw r2,4(r19) -8111a5d4: 00c00084 movi r3,2 -8111a5d8: 10c00526 beq r2,r3,8111a5f0 -8111a5dc: 1880122e bgeu r3,r2,8111a628 -8111a5e0: 00c000c4 movi r3,3 -8111a5e4: 10c01126 beq r2,r3,8111a62c -8111a5e8: 00c00104 movi r3,4 -8111a5ec: 10ffea1e bne r2,r3,8111a598 <__reset+0xfb0fa598> -8111a5f0: 01604574 movhi r5,33045 -8111a5f4: 29484804 addi r5,r5,8480 -8111a5f8: 9809883a mov r4,r19 -8111a5fc: 111a4900 call 8111a490 -8111a600: 003fe506 br 8111a598 <__reset+0xfb0fa598> -8111a604: 11254840 call 81125484 -8111a608: 003fda06 br 8111a574 <__reset+0xfb0fa574> -8111a60c: d1e07917 ldw r7,-32284(gp) -8111a610: 01204574 movhi r4,33045 -8111a614: 212eec04 addi r4,r4,-17488 -8111a618: 01800584 movi r6,22 -8111a61c: 01400044 movi r5,1 -8111a620: 112bc4c0 call 8112bc4c -8111a624: 003fc006 br 8111a528 <__reset+0xfb0fa528> -8111a628: 147fdb1e bne r2,r17,8111a598 <__reset+0xfb0fa598> -8111a62c: 01604574 movhi r5,33045 -8111a630: 29484804 addi r5,r5,8480 -8111a634: 9809883a mov r4,r19 -8111a638: 111907c0 call 8111907c -8111a63c: 003fd606 br 8111a598 <__reset+0xfb0fa598> - -8111a640 : -8111a640: defffc04 addi sp,sp,-16 -8111a644: dc400115 stw r17,4(sp) -8111a648: 04604634 movhi r17,33048 -8111a64c: 8c4f0804 addi r17,r17,15392 -8111a650: 88800803 ldbu r2,32(r17) -8111a654: dc800215 stw r18,8(sp) -8111a658: dc000015 stw r16,0(sp) -8111a65c: dfc00315 stw ra,12(sp) -8111a660: 00c00084 movi r3,2 -8111a664: 2021883a mov r16,r4 -8111a668: 2825883a mov r18,r5 -8111a66c: 1880162e bgeu r3,r2,8111a6c8 -8111a670: 8004d63a srli r2,r16,24 -8111a674: 00c00044 movi r3,1 -8111a678: 10c01b26 beq r2,r3,8111a6e8 -8111a67c: 88c00803 ldbu r3,32(r17) -8111a680: 010001c4 movi r4,7 -8111a684: 20c0062e bgeu r4,r3,8111a6a0 -8111a688: dfc00317 ldw ra,12(sp) -8111a68c: dc800217 ldw r18,8(sp) -8111a690: dc400117 ldw r17,4(sp) -8111a694: dc000017 ldw r16,0(sp) -8111a698: dec00404 addi sp,sp,16 -8111a69c: f800283a ret -8111a6a0: d1207917 ldw r4,-32284(gp) -8111a6a4: 01604574 movhi r5,33045 -8111a6a8: 296f3504 addi r5,r5,-17196 -8111a6ac: 100d883a mov r6,r2 -8111a6b0: dfc00317 ldw ra,12(sp) -8111a6b4: dc800217 ldw r18,8(sp) -8111a6b8: dc400117 ldw r17,4(sp) -8111a6bc: dc000017 ldw r16,0(sp) -8111a6c0: dec00404 addi sp,sp,16 -8111a6c4: 112b5e01 jmpi 8112b5e0 -8111a6c8: d1207917 ldw r4,-32284(gp) -8111a6cc: 01604574 movhi r5,33045 -8111a6d0: 296f0104 addi r5,r5,-17404 -8111a6d4: 800d883a mov r6,r16 -8111a6d8: 112b5e00 call 8112b5e0 -8111a6dc: 8004d63a srli r2,r16,24 -8111a6e0: 00c00044 movi r3,1 -8111a6e4: 10ffe51e bne r2,r3,8111a67c <__reset+0xfb0fa67c> -8111a6e8: 800cd43a srli r6,r16,16 -8111a6ec: 00c00484 movi r3,18 -8111a6f0: 31803fcc andi r6,r6,255 -8111a6f4: 30ffe426 beq r6,r3,8111a688 <__reset+0xfb0fa688> -8111a6f8: 1980112e bgeu r3,r6,8111a740 -8111a6fc: 30ffc804 addi r3,r6,-224 -8111a700: 01000084 movi r4,2 -8111a704: 20c01636 bltu r4,r3,8111a760 -8111a708: 88c00803 ldbu r3,32(r17) -8111a70c: 010001c4 movi r4,7 -8111a710: 20ffdd36 bltu r4,r3,8111a688 <__reset+0xfb0fa688> -8111a714: d1e07917 ldw r7,-32284(gp) -8111a718: 01204574 movhi r4,33045 -8111a71c: 212f1004 addi r4,r4,-17344 -8111a720: 018012c4 movi r6,75 -8111a724: 100b883a mov r5,r2 -8111a728: dfc00317 ldw ra,12(sp) -8111a72c: dc800217 ldw r18,8(sp) -8111a730: dc400117 ldw r17,4(sp) -8111a734: dc000017 ldw r16,0(sp) -8111a738: dec00404 addi sp,sp,16 -8111a73c: 112bc4c1 jmpi 8112bc4c -8111a740: 3080071e bne r6,r2,8111a760 -8111a744: 9009883a mov r4,r18 -8111a748: dfc00317 ldw ra,12(sp) -8111a74c: dc800217 ldw r18,8(sp) -8111a750: dc400117 ldw r17,4(sp) -8111a754: dc000017 ldw r16,0(sp) -8111a758: dec00404 addi sp,sp,16 -8111a75c: 111a4f81 jmpi 8111a4f8 -8111a760: 88800803 ldbu r2,32(r17) -8111a764: 00c001c4 movi r3,7 -8111a768: 18bfc736 bltu r3,r2,8111a688 <__reset+0xfb0fa688> -8111a76c: 01604574 movhi r5,33045 -8111a770: 296f2304 addi r5,r5,-17268 -8111a774: d1207917 ldw r4,-32284(gp) -8111a778: 003fcd06 br 8111a6b0 <__reset+0xfb0fa6b0> - -8111a77c : -8111a77c: defff404 addi sp,sp,-48 -8111a780: 00f2bd14 movui r3,51956 -8111a784: df000a15 stw fp,40(sp) -8111a788: ddc00915 stw r23,36(sp) -8111a78c: dd800815 stw r22,32(sp) -8111a790: dd400715 stw r21,28(sp) -8111a794: dd000615 stw r20,24(sp) -8111a798: dcc00515 stw r19,20(sp) -8111a79c: dc800415 stw r18,16(sp) -8111a7a0: dc400315 stw r17,12(sp) -8111a7a4: dc000215 stw r16,8(sp) -8111a7a8: dfc00b15 stw ra,44(sp) -8111a7ac: 251ac904 addi r20,r4,27428 -8111a7b0: 24dace04 addi r19,r4,27448 -8111a7b4: 259acc84 addi r22,r4,27442 -8111a7b8: 2419a304 addi r16,r4,26252 -8111a7bc: 25d9a504 addi r23,r4,26260 -8111a7c0: 2719a604 addi fp,r4,26264 -8111a7c4: 249acd04 addi r18,r4,27444 -8111a7c8: 255acc04 addi r21,r4,27440 -8111a7cc: 20c7883a add r3,r4,r3 -8111a7d0: 04400044 movi r17,1 -8111a7d4: 00000f06 br 8111a814 -8111a7d8: 80812817 ldw r2,1184(r16) -8111a7dc: 14401626 beq r2,r17,8111a838 -8111a7e0: 90800017 ldw r2,0(r18) -8111a7e4: 14401b26 beq r2,r17,8111a854 -8111a7e8: 98800017 ldw r2,0(r19) -8111a7ec: 14402026 beq r2,r17,8111a870 -8111a7f0: 84042f04 addi r16,r16,4284 -8111a7f4: a5042f04 addi r20,r20,4284 -8111a7f8: 9cc42f04 addi r19,r19,4284 -8111a7fc: b5842f04 addi r22,r22,4284 -8111a800: bdc42f04 addi r23,r23,4284 -8111a804: e7042f04 addi fp,fp,4284 -8111a808: 94842f04 addi r18,r18,4284 -8111a80c: ad442f04 addi r21,r21,4284 -8111a810: 80c01d26 beq r16,r3,8111a888 -8111a814: a0800017 ldw r2,0(r20) -8111a818: 147fef1e bne r2,r17,8111a7d8 <__reset+0xfb0fa7d8> -8111a81c: 8081250b ldhu r2,1172(r16) -8111a820: 113fffcc andi r4,r2,65535 -8111a824: 20002426 beq r4,zero,8111a8b8 -8111a828: 10bfffc4 addi r2,r2,-1 -8111a82c: 8081250d sth r2,1172(r16) -8111a830: 80812817 ldw r2,1184(r16) -8111a834: 147fea1e bne r2,r17,8111a7e0 <__reset+0xfb0fa7e0> -8111a838: 8081270b ldhu r2,1180(r16) -8111a83c: 113fffcc andi r4,r2,65535 -8111a840: 20002426 beq r4,zero,8111a8d4 -8111a844: 10bfffc4 addi r2,r2,-1 -8111a848: 8081270d sth r2,1180(r16) -8111a84c: 90800017 ldw r2,0(r18) -8111a850: 147fe51e bne r2,r17,8111a7e8 <__reset+0xfb0fa7e8> -8111a854: a880000b ldhu r2,0(r21) -8111a858: 113fffcc andi r4,r2,65535 -8111a85c: 20002c26 beq r4,zero,8111a910 -8111a860: 10bfffc4 addi r2,r2,-1 -8111a864: a880000d sth r2,0(r21) -8111a868: 98800017 ldw r2,0(r19) -8111a86c: 147fe01e bne r2,r17,8111a7f0 <__reset+0xfb0fa7f0> -8111a870: b080000b ldhu r2,0(r22) -8111a874: 113fffcc andi r4,r2,65535 -8111a878: 20003326 beq r4,zero,8111a948 -8111a87c: 10bfffc4 addi r2,r2,-1 -8111a880: b080000d sth r2,0(r22) -8111a884: 003fda06 br 8111a7f0 <__reset+0xfb0fa7f0> -8111a888: dfc00b17 ldw ra,44(sp) -8111a88c: df000a17 ldw fp,40(sp) -8111a890: ddc00917 ldw r23,36(sp) -8111a894: dd800817 ldw r22,32(sp) -8111a898: dd400717 ldw r21,28(sp) -8111a89c: dd000617 ldw r20,24(sp) -8111a8a0: dcc00517 ldw r19,20(sp) -8111a8a4: dc800417 ldw r18,16(sp) -8111a8a8: dc400317 ldw r17,12(sp) -8111a8ac: dc000217 ldw r16,8(sp) -8111a8b0: dec00c04 addi sp,sp,48 -8111a8b4: f800283a ret -8111a8b8: 880b883a mov r5,r17 -8111a8bc: 813ff104 addi r4,r16,-60 -8111a8c0: d8c00115 stw r3,4(sp) -8111a8c4: 11051200 call 81105120 -8111a8c8: d8c00117 ldw r3,4(sp) -8111a8cc: a0000015 stw zero,0(r20) -8111a8d0: 003fc106 br 8111a7d8 <__reset+0xfb0fa7d8> -8111a8d4: 817ff104 addi r5,r16,-60 -8111a8d8: 2809883a mov r4,r5 -8111a8dc: d8c00115 stw r3,4(sp) -8111a8e0: d9400015 stw r5,0(sp) -8111a8e4: 11050900 call 81105090 -8111a8e8: d9400017 ldw r5,0(sp) -8111a8ec: 80000115 stw zero,4(r16) -8111a8f0: 847fff15 stw r17,-4(r16) -8111a8f4: 84400015 stw r17,0(r16) -8111a8f8: b8000015 stw zero,0(r23) -8111a8fc: 2809883a mov r4,r5 -8111a900: 11050480 call 81105048 -8111a904: d8c00117 ldw r3,4(sp) -8111a908: 80012815 stw zero,1184(r16) -8111a90c: 003fb406 br 8111a7e0 <__reset+0xfb0fa7e0> -8111a910: 817ff104 addi r5,r16,-60 -8111a914: 2809883a mov r4,r5 -8111a918: d8c00115 stw r3,4(sp) -8111a91c: d9400015 stw r5,0(sp) -8111a920: 11050900 call 81105090 -8111a924: d9400017 ldw r5,0(sp) +8111a108: 01604574 movhi r5,33045 +8111a10c: 296e3b04 addi r5,r5,-18196 +8111a110: 900d883a mov r6,r18 +8111a114: 112b6200 call 8112b620 +8111a118: 003cfc06 br 8111950c <__reset+0xfb0f950c> +8111a11c: 00a04634 movhi r2,33048 +8111a120: 108f1904 addi r2,r2,15460 +8111a124: 10800803 ldbu r2,32(r2) +8111a128: 00c001c4 movi r3,7 +8111a12c: 18bc8c36 bltu r3,r2,81119360 <__reset+0xfb0f9360> +8111a130: 01604574 movhi r5,33045 +8111a134: 296eda04 addi r5,r5,-17560 +8111a138: d1207917 ldw r4,-32284(gp) +8111a13c: 81803fcc andi r6,r16,255 +8111a140: 003ca106 br 811193c8 <__reset+0xfb0f93c8> +8111a144: 84c03fcc andi r19,r16,255 +8111a148: 99042f24 muli r4,r19,4284 +8111a14c: 000b883a mov r5,zero +8111a150: 2119f804 addi r4,r4,26592 +8111a154: 8909883a add r4,r17,r4 +8111a158: 1102a900 call 81102a90 +8111a15c: 10001426 beq r2,zero,8111a1b0 +8111a160: 00a04634 movhi r2,33048 +8111a164: 108f1904 addi r2,r2,15460 +8111a168: 10800803 ldbu r2,32(r2) +8111a16c: 00c001c4 movi r3,7 +8111a170: 18bca236 bltu r3,r2,811193fc <__reset+0xfb0f93fc> +8111a174: d1207917 ldw r4,-32284(gp) +8111a178: 01604574 movhi r5,33045 +8111a17c: 296e6504 addi r5,r5,-18028 +8111a180: 980d883a mov r6,r19 +8111a184: 112b6200 call 8112b620 +8111a188: 003c9c06 br 811193fc <__reset+0xfb0f93fc> +8111a18c: d1207917 ldw r4,-32284(gp) +8111a190: 14003fcc andi r16,r2,255 +8111a194: 8c403fcc andi r17,r17,255 +8111a198: 01604574 movhi r5,33045 +8111a19c: 296aae04 addi r5,r5,-21832 +8111a1a0: 880f883a mov r7,r17 +8111a1a4: 800d883a mov r6,r16 +8111a1a8: 112b6200 call 8112b620 +8111a1ac: 003cb006 br 81119470 <__reset+0xfb0f9470> +8111a1b0: 00a04634 movhi r2,33048 +8111a1b4: 108f1904 addi r2,r2,15460 +8111a1b8: 10800803 ldbu r2,32(r2) +8111a1bc: 00c001c4 movi r3,7 +8111a1c0: 18bc8e36 bltu r3,r2,811193fc <__reset+0xfb0f93fc> +8111a1c4: d1207917 ldw r4,-32284(gp) +8111a1c8: 01604574 movhi r5,33045 +8111a1cc: 296e7804 addi r5,r5,-17952 +8111a1d0: 980d883a mov r6,r19 +8111a1d4: 112b6200 call 8112b620 +8111a1d8: 003c8806 br 811193fc <__reset+0xfb0f93fc> +8111a1dc: 00a04634 movhi r2,33048 +8111a1e0: 108f1904 addi r2,r2,15460 +8111a1e4: 10800803 ldbu r2,32(r2) +8111a1e8: 00c001c4 movi r3,7 +8111a1ec: 18bc5c36 bltu r3,r2,81119360 <__reset+0xfb0f9360> +8111a1f0: 01204574 movhi r4,33045 +8111a1f4: d1e07917 ldw r7,-32284(gp) +8111a1f8: 212e0004 addi r4,r4,-18432 +8111a1fc: 01800a04 movi r6,40 +8111a200: a00b883a mov r5,r20 +8111a204: 003ced06 br 811195bc <__reset+0xfb0f95bc> +8111a208: 10803fcc andi r2,r2,255 +8111a20c: 14842f24 muli r18,r2,4284 +8111a210: 94d99404 addi r19,r18,26192 +8111a214: 24e7883a add r19,r4,r19 +8111a218: 9809883a mov r4,r19 +8111a21c: 11050c40 call 811050c4 +8111a220: 8080060b ldhu r2,24(r16) +8111a224: 8100068b ldhu r4,26(r16) +8111a228: 8ca3883a add r17,r17,r18 +8111a22c: 1004943a slli r2,r2,16 +8111a230: 8d19a315 stw r20,26252(r17) +8111a234: 8d19a515 stw r20,26260(r17) +8111a238: 1108b03a or r4,r2,r4 +8111a23c: 11053580 call 81105358 +8111a240: 8899a615 stw r2,26264(r17) +8111a244: 9809883a mov r4,r19 +8111a248: 110507c0 call 8110507c +8111a24c: 00a04634 movhi r2,33048 +8111a250: 80c0070b ldhu r3,28(r16) +8111a254: 108f1904 addi r2,r2,15460 +8111a258: 10800803 ldbu r2,32(r2) +8111a25c: 88daca0d sth r3,27432(r17) +8111a260: 8d1acd15 stw r20,27444(r17) +8111a264: 00c00104 movi r3,4 +8111a268: 18bc3d36 bltu r3,r2,81119360 <__reset+0xfb0f9360> +8111a26c: 01204574 movhi r4,33045 +8111a270: d1e07917 ldw r7,-32284(gp) +8111a274: 212de404 addi r4,r4,-18544 +8111a278: 01800d44 movi r6,53 +8111a27c: a00b883a mov r5,r20 +8111a280: 003cce06 br 811195bc <__reset+0xfb0f95bc> +8111a284: 10803fcc andi r2,r2,255 +8111a288: 14842f24 muli r18,r2,4284 +8111a28c: 000b883a mov r5,zero +8111a290: 94d99404 addi r19,r18,26192 +8111a294: 24e7883a add r19,r4,r19 +8111a298: 9809883a mov r4,r19 +8111a29c: 11051540 call 81105154 +8111a2a0: 9809883a mov r4,r19 +8111a2a4: 110510c0 call 8110510c +8111a2a8: 00a04634 movhi r2,33048 +8111a2ac: 80c0070b ldhu r3,28(r16) +8111a2b0: 108f1904 addi r2,r2,15460 +8111a2b4: 10800803 ldbu r2,32(r2) +8111a2b8: 8ca3883a add r17,r17,r18 +8111a2bc: 88dac80d sth r3,27424(r17) +8111a2c0: 8d1ac915 stw r20,27428(r17) +8111a2c4: 00c00104 movi r3,4 +8111a2c8: 18bc2536 bltu r3,r2,81119360 <__reset+0xfb0f9360> +8111a2cc: 01204574 movhi r4,33045 +8111a2d0: d1e07917 ldw r7,-32284(gp) +8111a2d4: 212dca04 addi r4,r4,-18648 +8111a2d8: 01800c84 movi r6,50 +8111a2dc: a00b883a mov r5,r20 +8111a2e0: 003cb606 br 811195bc <__reset+0xfb0f95bc> +8111a2e4: 10803fcc andi r2,r2,255 +8111a2e8: 14842f24 muli r18,r2,4284 +8111a2ec: 95199404 addi r20,r18,26192 +8111a2f0: 2529883a add r20,r4,r20 +8111a2f4: a009883a mov r4,r20 +8111a2f8: 11050c40 call 811050c4 +8111a2fc: 8080058b ldhu r2,22(r16) +8111a300: 8ca3883a add r17,r17,r18 +8111a304: a009883a mov r4,r20 +8111a308: 8899a415 stw r2,26256(r17) +8111a30c: 110507c0 call 8110507c +8111a310: a009883a mov r4,r20 +8111a314: 110510c0 call 8110510c +8111a318: 00a04634 movhi r2,33048 +8111a31c: 80c0058b ldhu r3,22(r16) +8111a320: 8100070b ldhu r4,28(r16) +8111a324: 108f1904 addi r2,r2,15460 +8111a328: 10800803 ldbu r2,32(r2) +8111a32c: 88daca8d sth r3,27434(r17) +8111a330: 8cdacb15 stw r19,27436(r17) +8111a334: 891aca0d sth r4,27432(r17) +8111a338: 00c00104 movi r3,4 +8111a33c: 18bc0836 bltu r3,r2,81119360 <__reset+0xfb0f9360> +8111a340: 01204574 movhi r4,33045 +8111a344: d1e07917 ldw r7,-32284(gp) +8111a348: 212dd704 addi r4,r4,-18596 +8111a34c: 01800c04 movi r6,48 +8111a350: 980b883a mov r5,r19 +8111a354: 003c9906 br 811195bc <__reset+0xfb0f95bc> +8111a358: 01604574 movhi r5,33045 +8111a35c: 296ecc04 addi r5,r5,-17616 +8111a360: d1207917 ldw r4,-32284(gp) +8111a364: 81803fcc andi r6,r16,255 +8111a368: 003c1706 br 811193c8 <__reset+0xfb0f93c8> +8111a36c: 00e04634 movhi r3,33048 +8111a370: 18cf1904 addi r3,r3,15460 +8111a374: 8885883a add r2,r17,r2 +8111a378: 19000803 ldbu r4,32(r3) +8111a37c: 109d7204 addi r2,r2,30152 +8111a380: 01400044 movi r5,1 +8111a384: 11400015 stw r5,0(r2) +8111a388: 008001c4 movi r2,7 +8111a38c: 113f5d2e bgeu r2,r4,8111a104 <__reset+0xfb0fa104> +8111a390: 003c6406 br 81119524 <__reset+0xfb0f9524> +8111a394: 00a04634 movhi r2,33048 +8111a398: 108f1904 addi r2,r2,15460 +8111a39c: 10800803 ldbu r2,32(r2) +8111a3a0: 00c001c4 movi r3,7 +8111a3a4: 18bbee36 bltu r3,r2,81119360 <__reset+0xfb0f9360> +8111a3a8: 01204574 movhi r4,33045 +8111a3ac: d1e07917 ldw r7,-32284(gp) +8111a3b0: 212db904 addi r4,r4,-18716 +8111a3b4: 018009c4 movi r6,39 +8111a3b8: 003cb006 br 8111967c <__reset+0xfb0f967c> +8111a3bc: 00a04634 movhi r2,33048 +8111a3c0: 108f1904 addi r2,r2,15460 +8111a3c4: 10800803 ldbu r2,32(r2) +8111a3c8: 00c001c4 movi r3,7 +8111a3cc: 18bbe436 bltu r3,r2,81119360 <__reset+0xfb0f9360> +8111a3d0: 01604574 movhi r5,33045 +8111a3d4: 296ea404 addi r5,r5,-17776 +8111a3d8: d1207917 ldw r4,-32284(gp) +8111a3dc: 800d883a mov r6,r16 +8111a3e0: 003bf906 br 811193c8 <__reset+0xfb0f93c8> +8111a3e4: a009883a mov r4,r20 +8111a3e8: 10000015 stw zero,0(r2) +8111a3ec: 11023980 call 81102398 +8111a3f0: 989ac703 ldbu r2,27420(r19) +8111a3f4: a009883a mov r4,r20 +8111a3f8: 989a0615 stw r2,26648(r19) +8111a3fc: 11023600 call 81102360 +8111a400: 003df306 br 81119bd0 <__reset+0xfb0f9bd0> +8111a404: 9809883a mov r4,r19 +8111a408: 10000015 stw zero,0(r2) +8111a40c: 11023980 call 81102398 +8111a410: 909ac703 ldbu r2,27420(r18) +8111a414: 9809883a mov r4,r19 +8111a418: 909a0615 stw r2,26648(r18) +8111a41c: 11023600 call 81102360 +8111a420: 003eaa06 br 81119ecc <__reset+0xfb0f9ecc> +8111a424: a009883a mov r4,r20 +8111a428: 10000015 stw zero,0(r2) +8111a42c: 11023980 call 81102398 +8111a430: 989ac703 ldbu r2,27420(r19) +8111a434: a009883a mov r4,r20 +8111a438: 989a0615 stw r2,26648(r19) +8111a43c: 11023600 call 81102360 +8111a440: 003f1106 br 8111a088 <__reset+0xfb0fa088> +8111a444: 9809883a mov r4,r19 +8111a448: 10000015 stw zero,0(r2) +8111a44c: 11023980 call 81102398 +8111a450: 909ac703 ldbu r2,27420(r18) +8111a454: 9809883a mov r4,r19 +8111a458: 909a0615 stw r2,26648(r18) +8111a45c: 11023600 call 81102360 +8111a460: 003ece06 br 81119f9c <__reset+0xfb0f9f9c> +8111a464: 9809883a mov r4,r19 +8111a468: 10000015 stw zero,0(r2) +8111a46c: 11023980 call 81102398 +8111a470: 909ac703 ldbu r2,27420(r18) +8111a474: 9809883a mov r4,r19 +8111a478: 909a0615 stw r2,26648(r18) +8111a47c: 11023600 call 81102360 +8111a480: 003e2b06 br 81119d30 <__reset+0xfb0f9d30> +8111a484: 9809883a mov r4,r19 +8111a488: 10000015 stw zero,0(r2) +8111a48c: 11023980 call 81102398 +8111a490: 909ac703 ldbu r2,27420(r18) +8111a494: 9809883a mov r4,r19 +8111a498: 909a0615 stw r2,26648(r18) +8111a49c: 11023600 call 81102360 +8111a4a0: 003e5606 br 81119dfc <__reset+0xfb0f9dfc> +8111a4a4: 9809883a mov r4,r19 +8111a4a8: 10000015 stw zero,0(r2) +8111a4ac: 11023980 call 81102398 +8111a4b0: 909ac703 ldbu r2,27420(r18) +8111a4b4: 9809883a mov r4,r19 +8111a4b8: 909a0615 stw r2,26648(r18) +8111a4bc: 11023600 call 81102360 +8111a4c0: 003de806 br 81119c64 <__reset+0xfb0f9c64> + +8111a4c4 : +8111a4c4: 2880030b ldhu r2,12(r5) +8111a4c8: 00c03ec4 movi r3,251 +8111a4cc: 10c00c26 beq r2,r3,8111a500 +8111a4d0: 00c03f04 movi r3,252 +8111a4d4: 10c00926 beq r2,r3,8111a4fc +8111a4d8: 00c03e84 movi r3,250 +8111a4dc: 10c00626 beq r2,r3,8111a4f8 +8111a4e0: 00a04634 movhi r2,33048 +8111a4e4: 108f1904 addi r2,r2,15460 +8111a4e8: 10800803 ldbu r2,32(r2) +8111a4ec: 00c001c4 movi r3,7 +8111a4f0: 1880082e bgeu r3,r2,8111a514 +8111a4f4: f800283a ret +8111a4f8: 11192081 jmpi 81119208 +8111a4fc: 11176001 jmpi 81117600 +8111a500: 00a04634 movhi r2,33048 +8111a504: 108ef404 addi r2,r2,15312 +8111a508: 10800017 ldw r2,0(r2) +8111a50c: 103ff91e bne r2,zero,8111a4f4 <__reset+0xfb0fa4f4> +8111a510: 11174941 jmpi 81117494 +8111a514: d1e07917 ldw r7,-32284(gp) +8111a518: 01204574 movhi r4,33045 +8111a51c: 212eef04 addi r4,r4,-17476 +8111a520: 01800cc4 movi r6,51 +8111a524: 01400044 movi r5,1 +8111a528: 112bc8c1 jmpi 8112bc8c + +8111a52c : +8111a52c: defffa04 addi sp,sp,-24 +8111a530: dc800315 stw r18,12(sp) +8111a534: 04a04634 movhi r18,33048 +8111a538: 948f1904 addi r18,r18,15460 +8111a53c: 90800803 ldbu r2,32(r18) +8111a540: dcc00415 stw r19,16(sp) +8111a544: dfc00515 stw ra,20(sp) +8111a548: dc400215 stw r17,8(sp) +8111a54c: dc000115 stw r16,4(sp) +8111a550: 00c00084 movi r3,2 +8111a554: 2027883a mov r19,r4 +8111a558: 1880392e bgeu r3,r2,8111a640 +8111a55c: d1208e17 ldw r4,-32200(gp) +8111a560: d80d883a mov r6,sp +8111a564: 01400084 movi r5,2 +8111a568: 11424880 call 81142488 +8111a56c: d8800003 ldbu r2,0(sp) +8111a570: 1000311e bne r2,zero,8111a638 +8111a574: 01204574 movhi r4,33045 +8111a578: 21184804 addi r4,r4,24864 +8111a57c: 04400044 movi r17,1 +8111a580: 01800404 movi r6,16 +8111a584: 11404524 muli r5,r2,276 +8111a588: 10800044 addi r2,r2,1 +8111a58c: 214b883a add r5,r4,r5 +8111a590: 2c000104 addi r16,r5,4 +8111a594: 80c00017 ldw r3,0(r16) +8111a598: 1c401326 beq r3,r17,8111a5e8 +8111a59c: 11bff91e bne r2,r6,8111a584 <__reset+0xfb0fa584> +8111a5a0: d1208e17 ldw r4,-32200(gp) +8111a5a4: 114278c0 call 8114278c +8111a5a8: 90800803 ldbu r2,32(r18) +8111a5ac: 00c00084 movi r3,2 +8111a5b0: 18800636 bltu r3,r2,8111a5cc +8111a5b4: d1e07917 ldw r7,-32284(gp) +8111a5b8: 01204574 movhi r4,33045 +8111a5bc: 212f0204 addi r4,r4,-17400 +8111a5c0: 01800e04 movi r6,56 +8111a5c4: 01400044 movi r5,1 +8111a5c8: 112bc8c0 call 8112bc8c +8111a5cc: dfc00517 ldw ra,20(sp) +8111a5d0: dcc00417 ldw r19,16(sp) +8111a5d4: dc800317 ldw r18,12(sp) +8111a5d8: dc400217 ldw r17,8(sp) +8111a5dc: dc000117 ldw r16,4(sp) +8111a5e0: dec00604 addi sp,sp,24 +8111a5e4: f800283a ret +8111a5e8: 01204574 movhi r4,33045 +8111a5ec: 21085904 addi r4,r4,8548 +8111a5f0: 01804504 movi r6,276 +8111a5f4: 112c6880 call 8112c688 +8111a5f8: d1208e17 ldw r4,-32200(gp) +8111a5fc: 80000015 stw zero,0(r16) +8111a600: 114278c0 call 8114278c +8111a604: 98800117 ldw r2,4(r19) +8111a608: 00c00084 movi r3,2 +8111a60c: 10c00526 beq r2,r3,8111a624 +8111a610: 1880122e bgeu r3,r2,8111a65c +8111a614: 00c000c4 movi r3,3 +8111a618: 10c01126 beq r2,r3,8111a660 +8111a61c: 00c00104 movi r3,4 +8111a620: 10ffea1e bne r2,r3,8111a5cc <__reset+0xfb0fa5cc> +8111a624: 01604574 movhi r5,33045 +8111a628: 29485904 addi r5,r5,8548 +8111a62c: 9809883a mov r4,r19 +8111a630: 111a4c40 call 8111a4c4 +8111a634: 003fe506 br 8111a5cc <__reset+0xfb0fa5cc> +8111a638: 11254c40 call 811254c4 +8111a63c: 003fda06 br 8111a5a8 <__reset+0xfb0fa5a8> +8111a640: d1e07917 ldw r7,-32284(gp) +8111a644: 01204574 movhi r4,33045 +8111a648: 212efc04 addi r4,r4,-17424 +8111a64c: 01800584 movi r6,22 +8111a650: 01400044 movi r5,1 +8111a654: 112bc8c0 call 8112bc8c +8111a658: 003fc006 br 8111a55c <__reset+0xfb0fa55c> +8111a65c: 147fdb1e bne r2,r17,8111a5cc <__reset+0xfb0fa5cc> +8111a660: 01604574 movhi r5,33045 +8111a664: 29485904 addi r5,r5,8548 +8111a668: 9809883a mov r4,r19 +8111a66c: 11190b00 call 811190b0 +8111a670: 003fd606 br 8111a5cc <__reset+0xfb0fa5cc> + +8111a674 : +8111a674: defffc04 addi sp,sp,-16 +8111a678: dc400115 stw r17,4(sp) +8111a67c: 04604634 movhi r17,33048 +8111a680: 8c4f1904 addi r17,r17,15460 +8111a684: 88800803 ldbu r2,32(r17) +8111a688: dc800215 stw r18,8(sp) +8111a68c: dc000015 stw r16,0(sp) +8111a690: dfc00315 stw ra,12(sp) +8111a694: 00c00084 movi r3,2 +8111a698: 2021883a mov r16,r4 +8111a69c: 2825883a mov r18,r5 +8111a6a0: 1880162e bgeu r3,r2,8111a6fc +8111a6a4: 8004d63a srli r2,r16,24 +8111a6a8: 00c00044 movi r3,1 +8111a6ac: 10c01b26 beq r2,r3,8111a71c +8111a6b0: 88c00803 ldbu r3,32(r17) +8111a6b4: 010001c4 movi r4,7 +8111a6b8: 20c0062e bgeu r4,r3,8111a6d4 +8111a6bc: dfc00317 ldw ra,12(sp) +8111a6c0: dc800217 ldw r18,8(sp) +8111a6c4: dc400117 ldw r17,4(sp) +8111a6c8: dc000017 ldw r16,0(sp) +8111a6cc: dec00404 addi sp,sp,16 +8111a6d0: f800283a ret +8111a6d4: d1207917 ldw r4,-32284(gp) +8111a6d8: 01604574 movhi r5,33045 +8111a6dc: 296f4504 addi r5,r5,-17132 +8111a6e0: 100d883a mov r6,r2 +8111a6e4: dfc00317 ldw ra,12(sp) +8111a6e8: dc800217 ldw r18,8(sp) +8111a6ec: dc400117 ldw r17,4(sp) +8111a6f0: dc000017 ldw r16,0(sp) +8111a6f4: dec00404 addi sp,sp,16 +8111a6f8: 112b6201 jmpi 8112b620 +8111a6fc: d1207917 ldw r4,-32284(gp) +8111a700: 01604574 movhi r5,33045 +8111a704: 296f1104 addi r5,r5,-17340 +8111a708: 800d883a mov r6,r16 +8111a70c: 112b6200 call 8112b620 +8111a710: 8004d63a srli r2,r16,24 +8111a714: 00c00044 movi r3,1 +8111a718: 10ffe51e bne r2,r3,8111a6b0 <__reset+0xfb0fa6b0> +8111a71c: 800cd43a srli r6,r16,16 +8111a720: 00c00484 movi r3,18 +8111a724: 31803fcc andi r6,r6,255 +8111a728: 30ffe426 beq r6,r3,8111a6bc <__reset+0xfb0fa6bc> +8111a72c: 1980112e bgeu r3,r6,8111a774 +8111a730: 30ffc804 addi r3,r6,-224 +8111a734: 01000084 movi r4,2 +8111a738: 20c01636 bltu r4,r3,8111a794 +8111a73c: 88c00803 ldbu r3,32(r17) +8111a740: 010001c4 movi r4,7 +8111a744: 20ffdd36 bltu r4,r3,8111a6bc <__reset+0xfb0fa6bc> +8111a748: d1e07917 ldw r7,-32284(gp) +8111a74c: 01204574 movhi r4,33045 +8111a750: 212f2004 addi r4,r4,-17280 +8111a754: 018012c4 movi r6,75 +8111a758: 100b883a mov r5,r2 +8111a75c: dfc00317 ldw ra,12(sp) +8111a760: dc800217 ldw r18,8(sp) +8111a764: dc400117 ldw r17,4(sp) +8111a768: dc000017 ldw r16,0(sp) +8111a76c: dec00404 addi sp,sp,16 +8111a770: 112bc8c1 jmpi 8112bc8c +8111a774: 3080071e bne r6,r2,8111a794 +8111a778: 9009883a mov r4,r18 +8111a77c: dfc00317 ldw ra,12(sp) +8111a780: dc800217 ldw r18,8(sp) +8111a784: dc400117 ldw r17,4(sp) +8111a788: dc000017 ldw r16,0(sp) +8111a78c: dec00404 addi sp,sp,16 +8111a790: 111a52c1 jmpi 8111a52c +8111a794: 88800803 ldbu r2,32(r17) +8111a798: 00c001c4 movi r3,7 +8111a79c: 18bfc736 bltu r3,r2,8111a6bc <__reset+0xfb0fa6bc> +8111a7a0: 01604574 movhi r5,33045 +8111a7a4: 296f3304 addi r5,r5,-17204 +8111a7a8: d1207917 ldw r4,-32284(gp) +8111a7ac: 003fcd06 br 8111a6e4 <__reset+0xfb0fa6e4> + +8111a7b0 : +8111a7b0: defff404 addi sp,sp,-48 +8111a7b4: 00f2bd14 movui r3,51956 +8111a7b8: df000a15 stw fp,40(sp) +8111a7bc: ddc00915 stw r23,36(sp) +8111a7c0: dd800815 stw r22,32(sp) +8111a7c4: dd400715 stw r21,28(sp) +8111a7c8: dd000615 stw r20,24(sp) +8111a7cc: dcc00515 stw r19,20(sp) +8111a7d0: dc800415 stw r18,16(sp) +8111a7d4: dc400315 stw r17,12(sp) +8111a7d8: dc000215 stw r16,8(sp) +8111a7dc: dfc00b15 stw ra,44(sp) +8111a7e0: 251ac904 addi r20,r4,27428 +8111a7e4: 24dace04 addi r19,r4,27448 +8111a7e8: 259acc84 addi r22,r4,27442 +8111a7ec: 2419a304 addi r16,r4,26252 +8111a7f0: 25d9a504 addi r23,r4,26260 +8111a7f4: 2719a604 addi fp,r4,26264 +8111a7f8: 249acd04 addi r18,r4,27444 +8111a7fc: 255acc04 addi r21,r4,27440 +8111a800: 20c7883a add r3,r4,r3 +8111a804: 04400044 movi r17,1 +8111a808: 00000f06 br 8111a848 +8111a80c: 80812817 ldw r2,1184(r16) +8111a810: 14401626 beq r2,r17,8111a86c +8111a814: 90800017 ldw r2,0(r18) +8111a818: 14401b26 beq r2,r17,8111a888 +8111a81c: 98800017 ldw r2,0(r19) +8111a820: 14402026 beq r2,r17,8111a8a4 +8111a824: 84042f04 addi r16,r16,4284 +8111a828: a5042f04 addi r20,r20,4284 +8111a82c: 9cc42f04 addi r19,r19,4284 +8111a830: b5842f04 addi r22,r22,4284 +8111a834: bdc42f04 addi r23,r23,4284 +8111a838: e7042f04 addi fp,fp,4284 +8111a83c: 94842f04 addi r18,r18,4284 +8111a840: ad442f04 addi r21,r21,4284 +8111a844: 80c01d26 beq r16,r3,8111a8bc +8111a848: a0800017 ldw r2,0(r20) +8111a84c: 147fef1e bne r2,r17,8111a80c <__reset+0xfb0fa80c> +8111a850: 8081250b ldhu r2,1172(r16) +8111a854: 113fffcc andi r4,r2,65535 +8111a858: 20002426 beq r4,zero,8111a8ec +8111a85c: 10bfffc4 addi r2,r2,-1 +8111a860: 8081250d sth r2,1172(r16) +8111a864: 80812817 ldw r2,1184(r16) +8111a868: 147fea1e bne r2,r17,8111a814 <__reset+0xfb0fa814> +8111a86c: 8081270b ldhu r2,1180(r16) +8111a870: 113fffcc andi r4,r2,65535 +8111a874: 20002426 beq r4,zero,8111a908 +8111a878: 10bfffc4 addi r2,r2,-1 +8111a87c: 8081270d sth r2,1180(r16) +8111a880: 90800017 ldw r2,0(r18) +8111a884: 147fe51e bne r2,r17,8111a81c <__reset+0xfb0fa81c> +8111a888: a880000b ldhu r2,0(r21) +8111a88c: 113fffcc andi r4,r2,65535 +8111a890: 20002c26 beq r4,zero,8111a944 +8111a894: 10bfffc4 addi r2,r2,-1 +8111a898: a880000d sth r2,0(r21) +8111a89c: 98800017 ldw r2,0(r19) +8111a8a0: 147fe01e bne r2,r17,8111a824 <__reset+0xfb0fa824> +8111a8a4: b080000b ldhu r2,0(r22) +8111a8a8: 113fffcc andi r4,r2,65535 +8111a8ac: 20003326 beq r4,zero,8111a97c +8111a8b0: 10bfffc4 addi r2,r2,-1 +8111a8b4: b080000d sth r2,0(r22) +8111a8b8: 003fda06 br 8111a824 <__reset+0xfb0fa824> +8111a8bc: dfc00b17 ldw ra,44(sp) +8111a8c0: df000a17 ldw fp,40(sp) +8111a8c4: ddc00917 ldw r23,36(sp) +8111a8c8: dd800817 ldw r22,32(sp) +8111a8cc: dd400717 ldw r21,28(sp) +8111a8d0: dd000617 ldw r20,24(sp) +8111a8d4: dcc00517 ldw r19,20(sp) +8111a8d8: dc800417 ldw r18,16(sp) +8111a8dc: dc400317 ldw r17,12(sp) +8111a8e0: dc000217 ldw r16,8(sp) +8111a8e4: dec00c04 addi sp,sp,48 +8111a8e8: f800283a ret +8111a8ec: 880b883a mov r5,r17 +8111a8f0: 813ff104 addi r4,r16,-60 +8111a8f4: d8c00115 stw r3,4(sp) +8111a8f8: 11051540 call 81105154 +8111a8fc: d8c00117 ldw r3,4(sp) +8111a900: a0000015 stw zero,0(r20) +8111a904: 003fc106 br 8111a80c <__reset+0xfb0fa80c> +8111a908: 817ff104 addi r5,r16,-60 +8111a90c: 2809883a mov r4,r5 +8111a910: d8c00115 stw r3,4(sp) +8111a914: d9400015 stw r5,0(sp) +8111a918: 11050c40 call 811050c4 +8111a91c: d9400017 ldw r5,0(sp) +8111a920: 80000115 stw zero,4(r16) +8111a924: 847fff15 stw r17,-4(r16) 8111a928: 84400015 stw r17,0(r16) 8111a92c: b8000015 stw zero,0(r23) -8111a930: e0000015 stw zero,0(fp) -8111a934: 2809883a mov r4,r5 -8111a938: 11050480 call 81105048 -8111a93c: d8c00117 ldw r3,4(sp) -8111a940: 90000015 stw zero,0(r18) -8111a944: 003fa806 br 8111a7e8 <__reset+0xfb0fa7e8> -8111a948: 817ff104 addi r5,r16,-60 -8111a94c: 2809883a mov r4,r5 -8111a950: d8c00115 stw r3,4(sp) -8111a954: d9400015 stw r5,0(sp) -8111a958: 11050900 call 81105090 -8111a95c: d9400017 ldw r5,0(sp) -8111a960: 84400015 stw r17,0(r16) -8111a964: b8000015 stw zero,0(r23) -8111a968: e0000015 stw zero,0(fp) -8111a96c: 2809883a mov r4,r5 -8111a970: 11050480 call 81105048 -8111a974: d8c00117 ldw r3,4(sp) -8111a978: 98000015 stw zero,0(r19) -8111a97c: 003f9c06 br 8111a7f0 <__reset+0xfb0fa7f0> - -8111a980 : -8111a980: 200cd63a srli r6,r4,24 -8111a984: 00800044 movi r2,1 -8111a988: 30800a26 beq r6,r2,8111a9b4 -8111a98c: 00a04634 movhi r2,33048 -8111a990: 108f0804 addi r2,r2,15392 -8111a994: 10800803 ldbu r2,32(r2) -8111a998: 00c001c4 movi r3,7 -8111a99c: 1880012e bgeu r3,r2,8111a9a4 -8111a9a0: f800283a ret -8111a9a4: d1207917 ldw r4,-32284(gp) -8111a9a8: 01604574 movhi r5,33045 -8111a9ac: 296f3504 addi r5,r5,-17196 -8111a9b0: 112b5e01 jmpi 8112b5e0 -8111a9b4: 2004d43a srli r2,r4,16 -8111a9b8: defffb04 addi sp,sp,-20 -8111a9bc: dc000015 stw r16,0(sp) -8111a9c0: dfc00415 stw ra,16(sp) -8111a9c4: dcc00315 stw r19,12(sp) -8111a9c8: dc800215 stw r18,8(sp) -8111a9cc: dc400115 stw r17,4(sp) -8111a9d0: 00c03804 movi r3,224 -8111a9d4: 10803fcc andi r2,r2,255 -8111a9d8: 2821883a mov r16,r5 -8111a9dc: 10c04326 beq r2,r3,8111aaec -8111a9e0: 18801636 bltu r3,r2,8111aa3c -8111a9e4: 11803926 beq r2,r6,8111aacc -8111a9e8: 00c00484 movi r3,18 -8111a9ec: 10c0271e bne r2,r3,8111aa8c -8111a9f0: 00a04634 movhi r2,33048 -8111a9f4: 108ee304 addi r2,r2,15244 -8111a9f8: 14800217 ldw r18,8(r2) -8111a9fc: 91801c1e bne r18,r6,8111aa70 -8111aa00: 2c196b04 addi r16,r5,26028 -8111aa04: 0023883a mov r17,zero -8111aa08: 04c00184 movi r19,6 -8111aa0c: 00000206 br 8111aa18 -8111aa10: 8c400044 addi r17,r17,1 -8111aa14: 8cc01626 beq r17,r19,8111aa70 -8111aa18: 80800017 ldw r2,0(r16) -8111aa1c: 84042f04 addi r16,r16,4284 -8111aa20: 14bffb1e bne r2,r18,8111aa10 <__reset+0xfb0faa10> -8111aa24: 880f883a mov r7,r17 -8111aa28: 8809883a mov r4,r17 -8111aa2c: 000d883a mov r6,zero -8111aa30: 01401c44 movi r5,113 -8111aa34: 11263d00 call 811263d0 -8111aa38: 003ff506 br 8111aa10 <__reset+0xfb0faa10> -8111aa3c: 00c03844 movi r3,225 -8111aa40: 10c00226 beq r2,r3,8111aa4c -8111aa44: 00c03884 movi r3,226 -8111aa48: 10c0101e bne r2,r3,8111aa8c -8111aa4c: 04604634 movhi r17,33048 -8111aa50: 8009883a mov r4,r16 -8111aa54: 111a77c0 call 8111a77c -8111aa58: 8c4f0804 addi r17,r17,15392 -8111aa5c: 88800803 ldbu r2,32(r17) -8111aa60: 00c00084 movi r3,2 -8111aa64: 1880402e bgeu r3,r2,8111ab68 -8111aa68: 00c00104 movi r3,4 -8111aa6c: 1880422e bgeu r3,r2,8111ab78 -8111aa70: dfc00417 ldw ra,16(sp) -8111aa74: dcc00317 ldw r19,12(sp) -8111aa78: dc800217 ldw r18,8(sp) -8111aa7c: dc400117 ldw r17,4(sp) -8111aa80: dc000017 ldw r16,0(sp) -8111aa84: dec00504 addi sp,sp,20 -8111aa88: f800283a ret -8111aa8c: 00e04634 movhi r3,33048 -8111aa90: 18cf0804 addi r3,r3,15392 -8111aa94: 18c00803 ldbu r3,32(r3) -8111aa98: 010001c4 movi r4,7 -8111aa9c: 20fff436 bltu r4,r3,8111aa70 <__reset+0xfb0faa70> -8111aaa0: d1207917 ldw r4,-32284(gp) -8111aaa4: 01604574 movhi r5,33045 -8111aaa8: 296f5f04 addi r5,r5,-17028 -8111aaac: 100d883a mov r6,r2 -8111aab0: dfc00417 ldw ra,16(sp) -8111aab4: dcc00317 ldw r19,12(sp) -8111aab8: dc800217 ldw r18,8(sp) -8111aabc: dc400117 ldw r17,4(sp) -8111aac0: dc000017 ldw r16,0(sp) -8111aac4: dec00504 addi sp,sp,20 -8111aac8: 112b5e01 jmpi 8112b5e0 -8111aacc: 2809883a mov r4,r5 -8111aad0: dfc00417 ldw ra,16(sp) -8111aad4: dcc00317 ldw r19,12(sp) -8111aad8: dc800217 ldw r18,8(sp) -8111aadc: dc400117 ldw r17,4(sp) -8111aae0: dc000017 ldw r16,0(sp) -8111aae4: dec00504 addi sp,sp,20 -8111aae8: 111a4f81 jmpi 8111a4f8 -8111aaec: 28c00303 ldbu r3,12(r5) -8111aaf0: 28800343 ldbu r2,13(r5) -8111aaf4: 04604634 movhi r17,33048 -8111aaf8: 18c00044 addi r3,r3,1 -8111aafc: 10800044 addi r2,r2,1 -8111ab00: 18c0004c andi r3,r3,1 -8111ab04: 1080004c andi r2,r2,1 -8111ab08: 28c00305 stb r3,12(r5) -8111ab0c: 28800345 stb r2,13(r5) -8111ab10: 2809883a mov r4,r5 -8111ab14: 111a77c0 call 8111a77c -8111ab18: 8c4f0804 addi r17,r17,15392 -8111ab1c: 88800803 ldbu r2,32(r17) -8111ab20: 00c00084 movi r3,2 -8111ab24: 1880192e bgeu r3,r2,8111ab8c -8111ab28: 00c00104 movi r3,4 -8111ab2c: 18bfd036 bltu r3,r2,8111aa70 <__reset+0xfb0faa70> -8111ab30: d1e07917 ldw r7,-32284(gp) -8111ab34: 01204574 movhi r4,33045 -8111ab38: 212f4304 addi r4,r4,-17140 -8111ab3c: 01800b04 movi r6,44 -8111ab40: 01400044 movi r5,1 -8111ab44: 112bc4c0 call 8112bc4c -8111ab48: 00a040b4 movhi r2,33026 -8111ab4c: 10b70004 addi r2,r2,-9216 -8111ab50: 11801517 ldw r6,84(r2) -8111ab54: 01604574 movhi r5,33045 -8111ab58: 296f4f04 addi r5,r5,-17092 -8111ab5c: d1207917 ldw r4,-32284(gp) -8111ab60: 31803fcc andi r6,r6,255 -8111ab64: 003fd206 br 8111aab0 <__reset+0xfb0faab0> -8111ab68: 8009883a mov r4,r16 -8111ab6c: 11170c00 call 811170c0 -8111ab70: 88800803 ldbu r2,32(r17) -8111ab74: 003fbc06 br 8111aa68 <__reset+0xfb0faa68> -8111ab78: 01204574 movhi r4,33045 -8111ab7c: 212f5504 addi r4,r4,-17068 -8111ab80: d1e07917 ldw r7,-32284(gp) -8111ab84: 01800944 movi r6,37 -8111ab88: 003fed06 br 8111ab40 <__reset+0xfb0fab40> -8111ab8c: 8009883a mov r4,r16 -8111ab90: 11170c00 call 811170c0 -8111ab94: 88800803 ldbu r2,32(r17) -8111ab98: 003fe306 br 8111ab28 <__reset+0xfb0fab28> - -8111ab9c : -8111ab9c: defff504 addi sp,sp,-44 -8111aba0: dc400315 stw r17,12(sp) -8111aba4: 04604634 movhi r17,33048 -8111aba8: 8c4f0804 addi r17,r17,15392 -8111abac: 88800803 ldbu r2,32(r17) -8111abb0: dc000215 stw r16,8(sp) -8111abb4: dfc00a15 stw ra,40(sp) -8111abb8: ddc00915 stw r23,36(sp) -8111abbc: dd800815 stw r22,32(sp) -8111abc0: dd400715 stw r21,28(sp) -8111abc4: dd000615 stw r20,24(sp) -8111abc8: dcc00515 stw r19,20(sp) -8111abcc: dc800415 stw r18,16(sp) -8111abd0: 00c00104 movi r3,4 -8111abd4: 2021883a mov r16,r4 -8111abd8: 1880dd2e bgeu r3,r2,8111af50 -8111abdc: 80800117 ldw r2,4(r16) -8111abe0: 04e044b4 movhi r19,33042 -8111abe4: 04b28d14 movui r18,51764 -8111abe8: 9ceb0404 addi r19,r19,-21488 -8111abec: 85597304 addi r21,r16,26060 -8111abf0: 8519b304 addi r20,r16,26316 -8111abf4: 84a5883a add r18,r16,r18 -8111abf8: 00c00104 movi r3,4 -8111abfc: 18802636 bltu r3,r2,8111ac98 -8111ac00: 100490ba slli r2,r2,2 -8111ac04: 14c5883a add r2,r2,r19 -8111ac08: 10800017 ldw r2,0(r2) -8111ac0c: 1000683a jmp r2 -8111ac10: 8111ac24 muli r4,r16,18096 -8111ac14: 8111ac64 muli r4,r16,18097 -8111ac18: 8111ae14 ori r4,r16,18104 -8111ac1c: 8111ac34 orhi r4,r16,18096 -8111ac20: 8111acc0 call 88111acc <__reset+0x20f1acc> -8111ac24: 8009883a mov r4,r16 -8111ac28: 1117a880 call 81117a88 -8111ac2c: 008000c4 movi r2,3 -8111ac30: 80800115 stw r2,4(r16) -8111ac34: 88800803 ldbu r2,32(r17) -8111ac38: 00c00104 movi r3,4 -8111ac3c: 18809c2e bgeu r3,r2,8111aeb0 -8111ac40: 01604574 movhi r5,33045 -8111ac44: 297326c4 addi r5,r5,-13157 -8111ac48: 0009883a mov r4,zero -8111ac4c: 111e1a00 call 8111e1a0 -8111ac50: 8009883a mov r4,r16 -8111ac54: 11190e80 call 811190e8 -8111ac58: 00800044 movi r2,1 -8111ac5c: 80800115 stw r2,4(r16) -8111ac60: 80000215 stw zero,8(r16) -8111ac64: d1208217 ldw r4,-32248(gp) -8111ac68: d9800104 addi r6,sp,4 -8111ac6c: 000b883a mov r5,zero -8111ac70: 1142cd40 call 81142cd4 -8111ac74: d8c00103 ldbu r3,4(sp) -8111ac78: d8800015 stw r2,0(sp) -8111ac7c: 1800711e bne r3,zero,8111ae44 -8111ac80: d9000017 ldw r4,0(sp) -8111ac84: 800b883a mov r5,r16 -8111ac88: 111a6400 call 8111a640 -8111ac8c: 80800117 ldw r2,4(r16) -8111ac90: 00c00104 movi r3,4 -8111ac94: 18bfda2e bgeu r3,r2,8111ac00 <__reset+0xfb0fac00> -8111ac98: 88800803 ldbu r2,32(r17) -8111ac9c: 00c001c4 movi r3,7 -8111aca0: 18bfe236 bltu r3,r2,8111ac2c <__reset+0xfb0fac2c> -8111aca4: d1e07917 ldw r7,-32284(gp) -8111aca8: 01204574 movhi r4,33045 -8111acac: 212fdf04 addi r4,r4,-16516 -8111acb0: 01800c04 movi r6,48 -8111acb4: 01400044 movi r5,1 -8111acb8: 112bc4c0 call 8112bc4c -8111acbc: 003fdb06 br 8111ac2c <__reset+0xfb0fac2c> -8111acc0: 1105a440 call 81105a44 -8111acc4: 1105a900 call 81105a90 -8111acc8: 010000b4 movhi r4,2 -8111accc: 2121a804 addi r4,r4,-31072 -8111acd0: 113f9c40 call 8113f9c4 -8111acd4: 1105ba80 call 81105ba8 -8111acd8: 00800044 movi r2,1 -8111acdc: 80800305 stb r2,12(r16) -8111ace0: 80000345 stb zero,13(r16) -8111ace4: 88800803 ldbu r2,32(r17) -8111ace8: 00c00104 movi r3,4 -8111acec: 1880692e bgeu r3,r2,8111ae94 -8111acf0: 01604574 movhi r5,33045 -8111acf4: 297327c4 addi r5,r5,-13153 -8111acf8: 0009883a mov r4,zero -8111acfc: 111e1a00 call 8111e1a0 -8111ad00: 88800803 ldbu r2,32(r17) -8111ad04: 00c00104 movi r3,4 -8111ad08: 18805b2e bgeu r3,r2,8111ae78 -8111ad0c: d1e07917 ldw r7,-32284(gp) -8111ad10: 01204574 movhi r4,33045 -8111ad14: 212f8e04 addi r4,r4,-16840 -8111ad18: 01800e84 movi r6,58 -8111ad1c: 01400044 movi r5,1 -8111ad20: 112bc4c0 call 8112bc4c -8111ad24: 000f883a mov r7,zero -8111ad28: 018000c4 movi r6,3 -8111ad2c: 000b883a mov r5,zero -8111ad30: 0009883a mov r4,zero -8111ad34: 114478c0 call 8114478c -8111ad38: 000b883a mov r5,zero -8111ad3c: 01002884 movi r4,162 -8111ad40: 000d883a mov r6,zero -8111ad44: 11264900 call 81126490 -8111ad48: d1207717 ldw r4,-32292(gp) -8111ad4c: d9800104 addi r6,sp,4 -8111ad50: 000b883a mov r5,zero -8111ad54: 11435640 call 81143564 -8111ad58: d8800103 ldbu r2,4(sp) -8111ad5c: 10003c1e bne r2,zero,8111ae50 -8111ad60: 88800803 ldbu r2,32(r17) -8111ad64: 00c00104 movi r3,4 -8111ad68: 18806b2e bgeu r3,r2,8111af18 -8111ad6c: 000d883a mov r6,zero -8111ad70: 000b883a mov r5,zero -8111ad74: 01002884 movi r4,162 -8111ad78: 11263540 call 81126354 -8111ad7c: 01c03e84 movi r7,250 +8111a930: 2809883a mov r4,r5 +8111a934: 110507c0 call 8110507c +8111a938: d8c00117 ldw r3,4(sp) +8111a93c: 80012815 stw zero,1184(r16) +8111a940: 003fb406 br 8111a814 <__reset+0xfb0fa814> +8111a944: 817ff104 addi r5,r16,-60 +8111a948: 2809883a mov r4,r5 +8111a94c: d8c00115 stw r3,4(sp) +8111a950: d9400015 stw r5,0(sp) +8111a954: 11050c40 call 811050c4 +8111a958: d9400017 ldw r5,0(sp) +8111a95c: 84400015 stw r17,0(r16) +8111a960: b8000015 stw zero,0(r23) +8111a964: e0000015 stw zero,0(fp) +8111a968: 2809883a mov r4,r5 +8111a96c: 110507c0 call 8110507c +8111a970: d8c00117 ldw r3,4(sp) +8111a974: 90000015 stw zero,0(r18) +8111a978: 003fa806 br 8111a81c <__reset+0xfb0fa81c> +8111a97c: 817ff104 addi r5,r16,-60 +8111a980: 2809883a mov r4,r5 +8111a984: d8c00115 stw r3,4(sp) +8111a988: d9400015 stw r5,0(sp) +8111a98c: 11050c40 call 811050c4 +8111a990: d9400017 ldw r5,0(sp) +8111a994: 84400015 stw r17,0(r16) +8111a998: b8000015 stw zero,0(r23) +8111a99c: e0000015 stw zero,0(fp) +8111a9a0: 2809883a mov r4,r5 +8111a9a4: 110507c0 call 8110507c +8111a9a8: d8c00117 ldw r3,4(sp) +8111a9ac: 98000015 stw zero,0(r19) +8111a9b0: 003f9c06 br 8111a824 <__reset+0xfb0fa824> + +8111a9b4 : +8111a9b4: 200cd63a srli r6,r4,24 +8111a9b8: 00800044 movi r2,1 +8111a9bc: 30800a26 beq r6,r2,8111a9e8 +8111a9c0: 00a04634 movhi r2,33048 +8111a9c4: 108f1904 addi r2,r2,15460 +8111a9c8: 10800803 ldbu r2,32(r2) +8111a9cc: 00c001c4 movi r3,7 +8111a9d0: 1880012e bgeu r3,r2,8111a9d8 +8111a9d4: f800283a ret +8111a9d8: d1207917 ldw r4,-32284(gp) +8111a9dc: 01604574 movhi r5,33045 +8111a9e0: 296f4504 addi r5,r5,-17132 +8111a9e4: 112b6201 jmpi 8112b620 +8111a9e8: 2004d43a srli r2,r4,16 +8111a9ec: defffb04 addi sp,sp,-20 +8111a9f0: dc000015 stw r16,0(sp) +8111a9f4: dfc00415 stw ra,16(sp) +8111a9f8: dcc00315 stw r19,12(sp) +8111a9fc: dc800215 stw r18,8(sp) +8111aa00: dc400115 stw r17,4(sp) +8111aa04: 00c03804 movi r3,224 +8111aa08: 10803fcc andi r2,r2,255 +8111aa0c: 2821883a mov r16,r5 +8111aa10: 10c04326 beq r2,r3,8111ab20 +8111aa14: 18801636 bltu r3,r2,8111aa70 +8111aa18: 11803926 beq r2,r6,8111ab00 +8111aa1c: 00c00484 movi r3,18 +8111aa20: 10c0271e bne r2,r3,8111aac0 +8111aa24: 00a04634 movhi r2,33048 +8111aa28: 108ef404 addi r2,r2,15312 +8111aa2c: 14800217 ldw r18,8(r2) +8111aa30: 91801c1e bne r18,r6,8111aaa4 +8111aa34: 2c196b04 addi r16,r5,26028 +8111aa38: 0023883a mov r17,zero +8111aa3c: 04c00184 movi r19,6 +8111aa40: 00000206 br 8111aa4c +8111aa44: 8c400044 addi r17,r17,1 +8111aa48: 8cc01626 beq r17,r19,8111aaa4 +8111aa4c: 80800017 ldw r2,0(r16) +8111aa50: 84042f04 addi r16,r16,4284 +8111aa54: 14bffb1e bne r2,r18,8111aa44 <__reset+0xfb0faa44> +8111aa58: 880f883a mov r7,r17 +8111aa5c: 8809883a mov r4,r17 +8111aa60: 000d883a mov r6,zero +8111aa64: 01401c44 movi r5,113 +8111aa68: 11264100 call 81126410 +8111aa6c: 003ff506 br 8111aa44 <__reset+0xfb0faa44> +8111aa70: 00c03844 movi r3,225 +8111aa74: 10c00226 beq r2,r3,8111aa80 +8111aa78: 00c03884 movi r3,226 +8111aa7c: 10c0101e bne r2,r3,8111aac0 +8111aa80: 04604634 movhi r17,33048 +8111aa84: 8009883a mov r4,r16 +8111aa88: 111a7b00 call 8111a7b0 +8111aa8c: 8c4f1904 addi r17,r17,15460 +8111aa90: 88800803 ldbu r2,32(r17) +8111aa94: 00c00084 movi r3,2 +8111aa98: 1880402e bgeu r3,r2,8111ab9c +8111aa9c: 00c00104 movi r3,4 +8111aaa0: 1880422e bgeu r3,r2,8111abac +8111aaa4: dfc00417 ldw ra,16(sp) +8111aaa8: dcc00317 ldw r19,12(sp) +8111aaac: dc800217 ldw r18,8(sp) +8111aab0: dc400117 ldw r17,4(sp) +8111aab4: dc000017 ldw r16,0(sp) +8111aab8: dec00504 addi sp,sp,20 +8111aabc: f800283a ret +8111aac0: 00e04634 movhi r3,33048 +8111aac4: 18cf1904 addi r3,r3,15460 +8111aac8: 18c00803 ldbu r3,32(r3) +8111aacc: 010001c4 movi r4,7 +8111aad0: 20fff436 bltu r4,r3,8111aaa4 <__reset+0xfb0faaa4> +8111aad4: d1207917 ldw r4,-32284(gp) +8111aad8: 01604574 movhi r5,33045 +8111aadc: 296f6f04 addi r5,r5,-16964 +8111aae0: 100d883a mov r6,r2 +8111aae4: dfc00417 ldw ra,16(sp) +8111aae8: dcc00317 ldw r19,12(sp) +8111aaec: dc800217 ldw r18,8(sp) +8111aaf0: dc400117 ldw r17,4(sp) +8111aaf4: dc000017 ldw r16,0(sp) +8111aaf8: dec00504 addi sp,sp,20 +8111aafc: 112b6201 jmpi 8112b620 +8111ab00: 2809883a mov r4,r5 +8111ab04: dfc00417 ldw ra,16(sp) +8111ab08: dcc00317 ldw r19,12(sp) +8111ab0c: dc800217 ldw r18,8(sp) +8111ab10: dc400117 ldw r17,4(sp) +8111ab14: dc000017 ldw r16,0(sp) +8111ab18: dec00504 addi sp,sp,20 +8111ab1c: 111a52c1 jmpi 8111a52c +8111ab20: 28c00303 ldbu r3,12(r5) +8111ab24: 28800343 ldbu r2,13(r5) +8111ab28: 04604634 movhi r17,33048 +8111ab2c: 18c00044 addi r3,r3,1 +8111ab30: 10800044 addi r2,r2,1 +8111ab34: 18c0004c andi r3,r3,1 +8111ab38: 1080004c andi r2,r2,1 +8111ab3c: 28c00305 stb r3,12(r5) +8111ab40: 28800345 stb r2,13(r5) +8111ab44: 2809883a mov r4,r5 +8111ab48: 111a7b00 call 8111a7b0 +8111ab4c: 8c4f1904 addi r17,r17,15460 +8111ab50: 88800803 ldbu r2,32(r17) +8111ab54: 00c00084 movi r3,2 +8111ab58: 1880192e bgeu r3,r2,8111abc0 +8111ab5c: 00c00104 movi r3,4 +8111ab60: 18bfd036 bltu r3,r2,8111aaa4 <__reset+0xfb0faaa4> +8111ab64: d1e07917 ldw r7,-32284(gp) +8111ab68: 01204574 movhi r4,33045 +8111ab6c: 212f5304 addi r4,r4,-17076 +8111ab70: 01800b04 movi r6,44 +8111ab74: 01400044 movi r5,1 +8111ab78: 112bc8c0 call 8112bc8c +8111ab7c: 00a040b4 movhi r2,33026 +8111ab80: 10b70004 addi r2,r2,-9216 +8111ab84: 11801517 ldw r6,84(r2) +8111ab88: 01604574 movhi r5,33045 +8111ab8c: 296f5f04 addi r5,r5,-17028 +8111ab90: d1207917 ldw r4,-32284(gp) +8111ab94: 31803fcc andi r6,r6,255 +8111ab98: 003fd206 br 8111aae4 <__reset+0xfb0faae4> +8111ab9c: 8009883a mov r4,r16 +8111aba0: 11170f40 call 811170f4 +8111aba4: 88800803 ldbu r2,32(r17) +8111aba8: 003fbc06 br 8111aa9c <__reset+0xfb0faa9c> +8111abac: 01204574 movhi r4,33045 +8111abb0: 212f6504 addi r4,r4,-17004 +8111abb4: d1e07917 ldw r7,-32284(gp) +8111abb8: 01800944 movi r6,37 +8111abbc: 003fed06 br 8111ab74 <__reset+0xfb0fab74> +8111abc0: 8009883a mov r4,r16 +8111abc4: 11170f40 call 811170f4 +8111abc8: 88800803 ldbu r2,32(r17) +8111abcc: 003fe306 br 8111ab5c <__reset+0xfb0fab5c> + +8111abd0 : +8111abd0: defff504 addi sp,sp,-44 +8111abd4: dc400315 stw r17,12(sp) +8111abd8: 04604634 movhi r17,33048 +8111abdc: 8c4f1904 addi r17,r17,15460 +8111abe0: 88800803 ldbu r2,32(r17) +8111abe4: dc000215 stw r16,8(sp) +8111abe8: dfc00a15 stw ra,40(sp) +8111abec: ddc00915 stw r23,36(sp) +8111abf0: dd800815 stw r22,32(sp) +8111abf4: dd400715 stw r21,28(sp) +8111abf8: dd000615 stw r20,24(sp) +8111abfc: dcc00515 stw r19,20(sp) +8111ac00: dc800415 stw r18,16(sp) +8111ac04: 00c00104 movi r3,4 +8111ac08: 2021883a mov r16,r4 +8111ac0c: 1880e02e bgeu r3,r2,8111af90 +8111ac10: 80800117 ldw r2,4(r16) +8111ac14: 04e044b4 movhi r19,33042 +8111ac18: 04b28d14 movui r18,51764 +8111ac1c: 9ceb1104 addi r19,r19,-21436 +8111ac20: 85597304 addi r21,r16,26060 +8111ac24: 8519b304 addi r20,r16,26316 +8111ac28: 84a5883a add r18,r16,r18 +8111ac2c: 00c00104 movi r3,4 +8111ac30: 18802636 bltu r3,r2,8111accc +8111ac34: 100490ba slli r2,r2,2 +8111ac38: 14c5883a add r2,r2,r19 +8111ac3c: 10800017 ldw r2,0(r2) +8111ac40: 1000683a jmp r2 +8111ac44: 8111ac58 cmpnei r4,r16,18097 +8111ac48: 8111ac98 cmpnei r4,r16,18098 +8111ac4c: 8111ae54 ori r4,r16,18105 +8111ac50: 8111ac68 cmpgeui r4,r16,18097 +8111ac54: 8111acf4 orhi r4,r16,18099 +8111ac58: 8009883a mov r4,r16 +8111ac5c: 1117abc0 call 81117abc +8111ac60: 008000c4 movi r2,3 +8111ac64: 80800115 stw r2,4(r16) +8111ac68: 88800803 ldbu r2,32(r17) +8111ac6c: 00c00104 movi r3,4 +8111ac70: 18809f2e bgeu r3,r2,8111aef0 +8111ac74: 01604574 movhi r5,33045 +8111ac78: 297337c4 addi r5,r5,-13089 +8111ac7c: 0009883a mov r4,zero +8111ac80: 111e1e00 call 8111e1e0 +8111ac84: 8009883a mov r4,r16 +8111ac88: 111911c0 call 8111911c +8111ac8c: 00800044 movi r2,1 +8111ac90: 80800115 stw r2,4(r16) +8111ac94: 80000215 stw zero,8(r16) +8111ac98: d1208217 ldw r4,-32248(gp) +8111ac9c: d9800104 addi r6,sp,4 +8111aca0: 000b883a mov r5,zero +8111aca4: 1142d140 call 81142d14 +8111aca8: d8c00103 ldbu r3,4(sp) +8111acac: d8800015 stw r2,0(sp) +8111acb0: 1800741e bne r3,zero,8111ae84 +8111acb4: d9000017 ldw r4,0(sp) +8111acb8: 800b883a mov r5,r16 +8111acbc: 111a6740 call 8111a674 +8111acc0: 80800117 ldw r2,4(r16) +8111acc4: 00c00104 movi r3,4 +8111acc8: 18bfda2e bgeu r3,r2,8111ac34 <__reset+0xfb0fac34> +8111accc: 88800803 ldbu r2,32(r17) +8111acd0: 00c001c4 movi r3,7 +8111acd4: 18bfe236 bltu r3,r2,8111ac60 <__reset+0xfb0fac60> +8111acd8: d1e07917 ldw r7,-32284(gp) +8111acdc: 01204574 movhi r4,33045 +8111ace0: 212fef04 addi r4,r4,-16452 +8111ace4: 01800c04 movi r6,48 +8111ace8: 01400044 movi r5,1 +8111acec: 112bc8c0 call 8112bc8c +8111acf0: 003fdb06 br 8111ac60 <__reset+0xfb0fac60> +8111acf4: 1105a780 call 81105a78 +8111acf8: 1105ac40 call 81105ac4 +8111acfc: 010000b4 movhi r4,2 +8111ad00: 2121a804 addi r4,r4,-31072 +8111ad04: 113fa040 call 8113fa04 +8111ad08: 1105bdc0 call 81105bdc +8111ad0c: 010000b4 movhi r4,2 +8111ad10: 2121a804 addi r4,r4,-31072 +8111ad14: 1104d280 call 81104d28 +8111ad18: 00800044 movi r2,1 +8111ad1c: 80800305 stb r2,12(r16) +8111ad20: 80000345 stb zero,13(r16) +8111ad24: 88800803 ldbu r2,32(r17) +8111ad28: 00c00104 movi r3,4 +8111ad2c: 1880692e bgeu r3,r2,8111aed4 +8111ad30: 01604574 movhi r5,33045 +8111ad34: 297338c4 addi r5,r5,-13085 +8111ad38: 0009883a mov r4,zero +8111ad3c: 111e1e00 call 8111e1e0 +8111ad40: 88800803 ldbu r2,32(r17) +8111ad44: 00c00104 movi r3,4 +8111ad48: 18805b2e bgeu r3,r2,8111aeb8 +8111ad4c: d1e07917 ldw r7,-32284(gp) +8111ad50: 01204574 movhi r4,33045 +8111ad54: 212f9e04 addi r4,r4,-16776 +8111ad58: 01800e84 movi r6,58 +8111ad5c: 01400044 movi r5,1 +8111ad60: 112bc8c0 call 8112bc8c +8111ad64: 000f883a mov r7,zero +8111ad68: 018000c4 movi r6,3 +8111ad6c: 000b883a mov r5,zero +8111ad70: 0009883a mov r4,zero +8111ad74: 11447cc0 call 811447cc +8111ad78: 000b883a mov r5,zero +8111ad7c: 01002884 movi r4,162 8111ad80: 000d883a mov r6,zero -8111ad84: 000b883a mov r5,zero -8111ad88: 0009883a mov r4,zero -8111ad8c: 114478c0 call 8114478c -8111ad90: a82d883a mov r22,r21 -8111ad94: a02f883a mov r23,r20 -8111ad98: b1002104 addi r4,r22,132 -8111ad9c: 11051000 call 81105100 -8111ada0: b0000005 stb zero,0(r22) -8111ada4: b8000015 stw zero,0(r23) -8111ada8: b5842f04 addi r22,r22,4284 -8111adac: bdc42f04 addi r23,r23,4284 -8111adb0: b4bff91e bne r22,r18,8111ad98 <__reset+0xfb0fad98> -8111adb4: 1106bb40 call 81106bb4 -8111adb8: 88800803 ldbu r2,32(r17) -8111adbc: 00c00104 movi r3,4 -8111adc0: 18804e2e bgeu r3,r2,8111aefc -8111adc4: 01c03204 movi r7,200 -8111adc8: 01800144 movi r6,5 -8111adcc: 000b883a mov r5,zero -8111add0: 0009883a mov r4,zero -8111add4: 114478c0 call 8114478c -8111add8: 80800717 ldw r2,28(r16) -8111addc: 10003b1e bne r2,zero,8111aecc -8111ade0: 01000044 movi r4,1 -8111ade4: 11072940 call 81107294 -8111ade8: 88800803 ldbu r2,32(r17) -8111adec: 00c00104 movi r3,4 -8111adf0: 1880502e bgeu r3,r2,8111af34 -8111adf4: 11072b80 call 811072b8 -8111adf8: 11071300 call 81107130 -8111adfc: 112679c0 call 8112679c -8111ae00: 1125a100 call 81125a10 -8111ae04: 00800084 movi r2,2 -8111ae08: 80800115 stw r2,4(r16) -8111ae0c: 00800044 movi r2,1 -8111ae10: 80800215 stw r2,8(r16) -8111ae14: d1208217 ldw r4,-32248(gp) -8111ae18: d9800104 addi r6,sp,4 -8111ae1c: 000b883a mov r5,zero -8111ae20: 1142cd40 call 81142cd4 -8111ae24: d8c00103 ldbu r3,4(sp) -8111ae28: d8800015 stw r2,0(sp) -8111ae2c: 1800051e bne r3,zero,8111ae44 -8111ae30: d9000017 ldw r4,0(sp) -8111ae34: 800b883a mov r5,r16 -8111ae38: 111a9800 call 8111a980 -8111ae3c: 80800117 ldw r2,4(r16) -8111ae40: 003f6d06 br 8111abf8 <__reset+0xfb0fabf8> -8111ae44: 112542c0 call 8112542c -8111ae48: 80800117 ldw r2,4(r16) -8111ae4c: 003f6a06 br 8111abf8 <__reset+0xfb0fabf8> -8111ae50: 88800803 ldbu r2,32(r17) -8111ae54: 00c001c4 movi r3,7 -8111ae58: 18bf7436 bltu r3,r2,8111ac2c <__reset+0xfb0fac2c> -8111ae5c: d1e07917 ldw r7,-32284(gp) -8111ae60: 01204574 movhi r4,33045 -8111ae64: 212fc804 addi r4,r4,-16608 -8111ae68: 01801644 movi r6,89 -8111ae6c: 01400044 movi r5,1 -8111ae70: 112bc4c0 call 8112bc4c -8111ae74: 003f6d06 br 8111ac2c <__reset+0xfb0fac2c> -8111ae78: d1e07917 ldw r7,-32284(gp) -8111ae7c: 01204574 movhi r4,33045 -8111ae80: 212f7e04 addi r4,r4,-16904 -8111ae84: 01800f84 movi r6,62 -8111ae88: 01400044 movi r5,1 -8111ae8c: 112bc4c0 call 8112bc4c -8111ae90: 003f9e06 br 8111ad0c <__reset+0xfb0fad0c> -8111ae94: d1e07917 ldw r7,-32284(gp) -8111ae98: 01204574 movhi r4,33045 -8111ae9c: 212f7604 addi r4,r4,-16936 -8111aea0: 01800744 movi r6,29 -8111aea4: 01400044 movi r5,1 -8111aea8: 112bc4c0 call 8112bc4c -8111aeac: 003f9006 br 8111acf0 <__reset+0xfb0facf0> -8111aeb0: d1e07917 ldw r7,-32284(gp) -8111aeb4: 01204574 movhi r4,33045 -8111aeb8: 212f7004 addi r4,r4,-16960 -8111aebc: 01800584 movi r6,22 -8111aec0: 01400044 movi r5,1 -8111aec4: 112bc4c0 call 8112bc4c -8111aec8: 003f5d06 br 8111ac40 <__reset+0xfb0fac40> -8111aecc: 0009883a mov r4,zero -8111aed0: 11072940 call 81107294 -8111aed4: 88800803 ldbu r2,32(r17) -8111aed8: 00c00104 movi r3,4 -8111aedc: 18bfc536 bltu r3,r2,8111adf4 <__reset+0xfb0fadf4> -8111aee0: d1e07917 ldw r7,-32284(gp) -8111aee4: 01204574 movhi r4,33045 -8111aee8: 212fbd04 addi r4,r4,-16652 -8111aeec: 01800a04 movi r6,40 -8111aef0: 01400044 movi r5,1 -8111aef4: 112bc4c0 call 8112bc4c -8111aef8: 003fbe06 br 8111adf4 <__reset+0xfb0fadf4> -8111aefc: d1e07917 ldw r7,-32284(gp) -8111af00: 01204574 movhi r4,33045 -8111af04: 212fa804 addi r4,r4,-16736 -8111af08: 01800b84 movi r6,46 -8111af0c: 01400044 movi r5,1 -8111af10: 112bc4c0 call 8112bc4c -8111af14: 003fab06 br 8111adc4 <__reset+0xfb0fadc4> -8111af18: d1e07917 ldw r7,-32284(gp) -8111af1c: 01204574 movhi r4,33045 -8111af20: 212f9d04 addi r4,r4,-16780 -8111af24: 01800a84 movi r6,42 -8111af28: 01400044 movi r5,1 -8111af2c: 112bc4c0 call 8112bc4c -8111af30: 003f8e06 br 8111ad6c <__reset+0xfb0fad6c> -8111af34: d1e07917 ldw r7,-32284(gp) -8111af38: 01204574 movhi r4,33045 -8111af3c: 212fb404 addi r4,r4,-16688 -8111af40: 01800804 movi r6,32 -8111af44: 01400044 movi r5,1 -8111af48: 112bc4c0 call 8112bc4c -8111af4c: 003fa906 br 8111adf4 <__reset+0xfb0fadf4> -8111af50: d1e07917 ldw r7,-32284(gp) -8111af54: 01204574 movhi r4,33045 -8111af58: 212f6804 addi r4,r4,-16992 -8111af5c: 018007c4 movi r6,31 -8111af60: 01400044 movi r5,1 -8111af64: 112bc4c0 call 8112bc4c -8111af68: 003f1c06 br 8111abdc <__reset+0xfb0fabdc> - -8111af6c : -8111af6c: d1e07917 ldw r7,-32284(gp) -8111af70: 01204574 movhi r4,33045 -8111af74: defffb04 addi sp,sp,-20 -8111af78: 212fec04 addi r4,r4,-16464 -8111af7c: 018006c4 movi r6,27 -8111af80: 01400044 movi r5,1 -8111af84: dfc00415 stw ra,16(sp) -8111af88: 112bc4c0 call 8112bc4c -8111af8c: 00010306 br 8111b39c -8111af90: d9c00217 ldw r7,8(sp) -8111af94: d8800317 ldw r2,12(sp) -8111af98: d1207917 ldw r4,-32284(gp) -8111af9c: 01a04574 movhi r6,33045 -8111afa0: 01604574 movhi r5,33045 -8111afa4: d9c00015 stw r7,0(sp) -8111afa8: 31b00f04 addi r6,r6,-16324 -8111afac: 29701304 addi r5,r5,-16308 -8111afb0: d8800115 stw r2,4(sp) -8111afb4: 388f883a add r7,r7,r2 -8111afb8: 112b5e00 call 8112b5e0 -8111afbc: d9400204 addi r5,sp,8 -8111afc0: 010008c4 movi r4,35 -8111afc4: 11443e40 call 811443e4 -8111afc8: 10803fcc andi r2,r2,255 -8111afcc: 10016d1e bne r2,zero,8111b584 +8111ad84: 11264d00 call 811264d0 +8111ad88: d1207717 ldw r4,-32292(gp) +8111ad8c: d9800104 addi r6,sp,4 +8111ad90: 000b883a mov r5,zero +8111ad94: 11435a40 call 811435a4 +8111ad98: d8800103 ldbu r2,4(sp) +8111ad9c: 10003c1e bne r2,zero,8111ae90 +8111ada0: 88800803 ldbu r2,32(r17) +8111ada4: 00c00104 movi r3,4 +8111ada8: 18806b2e bgeu r3,r2,8111af58 +8111adac: 000d883a mov r6,zero +8111adb0: 000b883a mov r5,zero +8111adb4: 01002884 movi r4,162 +8111adb8: 11263940 call 81126394 +8111adbc: 01c03e84 movi r7,250 +8111adc0: 000d883a mov r6,zero +8111adc4: 000b883a mov r5,zero +8111adc8: 0009883a mov r4,zero +8111adcc: 11447cc0 call 811447cc +8111add0: a82d883a mov r22,r21 +8111add4: a02f883a mov r23,r20 +8111add8: b1002104 addi r4,r22,132 +8111addc: 11051340 call 81105134 +8111ade0: b0000005 stb zero,0(r22) +8111ade4: b8000015 stw zero,0(r23) +8111ade8: b5842f04 addi r22,r22,4284 +8111adec: bdc42f04 addi r23,r23,4284 +8111adf0: b4bff91e bne r22,r18,8111add8 <__reset+0xfb0fadd8> +8111adf4: 1106be80 call 81106be8 +8111adf8: 88800803 ldbu r2,32(r17) +8111adfc: 00c00104 movi r3,4 +8111ae00: 18804e2e bgeu r3,r2,8111af3c +8111ae04: 01c03204 movi r7,200 +8111ae08: 01800144 movi r6,5 +8111ae0c: 000b883a mov r5,zero +8111ae10: 0009883a mov r4,zero +8111ae14: 11447cc0 call 811447cc +8111ae18: 80800717 ldw r2,28(r16) +8111ae1c: 10003b1e bne r2,zero,8111af0c +8111ae20: 01000044 movi r4,1 +8111ae24: 11072c80 call 811072c8 +8111ae28: 88800803 ldbu r2,32(r17) +8111ae2c: 00c00104 movi r3,4 +8111ae30: 1880502e bgeu r3,r2,8111af74 +8111ae34: 11072ec0 call 811072ec +8111ae38: 11071640 call 81107164 +8111ae3c: 11267dc0 call 811267dc +8111ae40: 1125a500 call 81125a50 +8111ae44: 00800084 movi r2,2 +8111ae48: 80800115 stw r2,4(r16) +8111ae4c: 00800044 movi r2,1 +8111ae50: 80800215 stw r2,8(r16) +8111ae54: d1208217 ldw r4,-32248(gp) +8111ae58: d9800104 addi r6,sp,4 +8111ae5c: 000b883a mov r5,zero +8111ae60: 1142d140 call 81142d14 +8111ae64: d8c00103 ldbu r3,4(sp) +8111ae68: d8800015 stw r2,0(sp) +8111ae6c: 1800051e bne r3,zero,8111ae84 +8111ae70: d9000017 ldw r4,0(sp) +8111ae74: 800b883a mov r5,r16 +8111ae78: 111a9b40 call 8111a9b4 +8111ae7c: 80800117 ldw r2,4(r16) +8111ae80: 003f6a06 br 8111ac2c <__reset+0xfb0fac2c> +8111ae84: 112546c0 call 8112546c +8111ae88: 80800117 ldw r2,4(r16) +8111ae8c: 003f6706 br 8111ac2c <__reset+0xfb0fac2c> +8111ae90: 88800803 ldbu r2,32(r17) +8111ae94: 00c001c4 movi r3,7 +8111ae98: 18bf7136 bltu r3,r2,8111ac60 <__reset+0xfb0fac60> +8111ae9c: d1e07917 ldw r7,-32284(gp) +8111aea0: 01204574 movhi r4,33045 +8111aea4: 212fd804 addi r4,r4,-16544 +8111aea8: 01801644 movi r6,89 +8111aeac: 01400044 movi r5,1 +8111aeb0: 112bc8c0 call 8112bc8c +8111aeb4: 003f6a06 br 8111ac60 <__reset+0xfb0fac60> +8111aeb8: d1e07917 ldw r7,-32284(gp) +8111aebc: 01204574 movhi r4,33045 +8111aec0: 212f8e04 addi r4,r4,-16840 +8111aec4: 01800f84 movi r6,62 +8111aec8: 01400044 movi r5,1 +8111aecc: 112bc8c0 call 8112bc8c +8111aed0: 003f9e06 br 8111ad4c <__reset+0xfb0fad4c> +8111aed4: d1e07917 ldw r7,-32284(gp) +8111aed8: 01204574 movhi r4,33045 +8111aedc: 212f8604 addi r4,r4,-16872 +8111aee0: 01800744 movi r6,29 +8111aee4: 01400044 movi r5,1 +8111aee8: 112bc8c0 call 8112bc8c +8111aeec: 003f9006 br 8111ad30 <__reset+0xfb0fad30> +8111aef0: d1e07917 ldw r7,-32284(gp) +8111aef4: 01204574 movhi r4,33045 +8111aef8: 212f8004 addi r4,r4,-16896 +8111aefc: 01800584 movi r6,22 +8111af00: 01400044 movi r5,1 +8111af04: 112bc8c0 call 8112bc8c +8111af08: 003f5a06 br 8111ac74 <__reset+0xfb0fac74> +8111af0c: 0009883a mov r4,zero +8111af10: 11072c80 call 811072c8 +8111af14: 88800803 ldbu r2,32(r17) +8111af18: 00c00104 movi r3,4 +8111af1c: 18bfc536 bltu r3,r2,8111ae34 <__reset+0xfb0fae34> +8111af20: d1e07917 ldw r7,-32284(gp) +8111af24: 01204574 movhi r4,33045 +8111af28: 212fcd04 addi r4,r4,-16588 +8111af2c: 01800a04 movi r6,40 +8111af30: 01400044 movi r5,1 +8111af34: 112bc8c0 call 8112bc8c +8111af38: 003fbe06 br 8111ae34 <__reset+0xfb0fae34> +8111af3c: d1e07917 ldw r7,-32284(gp) +8111af40: 01204574 movhi r4,33045 +8111af44: 212fb804 addi r4,r4,-16672 +8111af48: 01800b84 movi r6,46 +8111af4c: 01400044 movi r5,1 +8111af50: 112bc8c0 call 8112bc8c +8111af54: 003fab06 br 8111ae04 <__reset+0xfb0fae04> +8111af58: d1e07917 ldw r7,-32284(gp) +8111af5c: 01204574 movhi r4,33045 +8111af60: 212fad04 addi r4,r4,-16716 +8111af64: 01800a84 movi r6,42 +8111af68: 01400044 movi r5,1 +8111af6c: 112bc8c0 call 8112bc8c +8111af70: 003f8e06 br 8111adac <__reset+0xfb0fadac> +8111af74: d1e07917 ldw r7,-32284(gp) +8111af78: 01204574 movhi r4,33045 +8111af7c: 212fc404 addi r4,r4,-16624 +8111af80: 01800804 movi r6,32 +8111af84: 01400044 movi r5,1 +8111af88: 112bc8c0 call 8112bc8c +8111af8c: 003fa906 br 8111ae34 <__reset+0xfb0fae34> +8111af90: d1e07917 ldw r7,-32284(gp) +8111af94: 01204574 movhi r4,33045 +8111af98: 212f7804 addi r4,r4,-16928 +8111af9c: 018007c4 movi r6,31 +8111afa0: 01400044 movi r5,1 +8111afa4: 112bc8c0 call 8112bc8c +8111afa8: 003f1906 br 8111ac10 <__reset+0xfb0fac10> + +8111afac : +8111afac: d1e07917 ldw r7,-32284(gp) +8111afb0: 01204574 movhi r4,33045 +8111afb4: defffb04 addi sp,sp,-20 +8111afb8: 212ffc04 addi r4,r4,-16400 +8111afbc: 018006c4 movi r6,27 +8111afc0: 01400044 movi r5,1 +8111afc4: dfc00415 stw ra,16(sp) +8111afc8: 112bc8c0 call 8112bc8c +8111afcc: 00010306 br 8111b3dc 8111afd0: d9c00217 ldw r7,8(sp) 8111afd4: d8800317 ldw r2,12(sp) 8111afd8: d1207917 ldw r4,-32284(gp) 8111afdc: 01a04574 movhi r6,33045 8111afe0: 01604574 movhi r5,33045 8111afe4: d9c00015 stw r7,0(sp) -8111afe8: 31b02c04 addi r6,r6,-16208 -8111afec: 29701304 addi r5,r5,-16308 +8111afe8: 31b01f04 addi r6,r6,-16260 +8111afec: 29702304 addi r5,r5,-16244 8111aff0: d8800115 stw r2,4(sp) 8111aff4: 388f883a add r7,r7,r2 -8111aff8: 112b5e00 call 8112b5e0 +8111aff8: 112b6200 call 8112b620 8111affc: d9400204 addi r5,sp,8 -8111b000: 01000704 movi r4,28 -8111b004: 11443e40 call 811443e4 +8111b000: 010008c4 movi r4,35 +8111b004: 11444240 call 81144424 8111b008: 10803fcc andi r2,r2,255 -8111b00c: 1001561e bne r2,zero,8111b568 +8111b00c: 10016d1e bne r2,zero,8111b5c4 8111b010: d9c00217 ldw r7,8(sp) 8111b014: d8800317 ldw r2,12(sp) 8111b018: d1207917 ldw r4,-32284(gp) 8111b01c: 01a04574 movhi r6,33045 8111b020: 01604574 movhi r5,33045 8111b024: d9c00015 stw r7,0(sp) -8111b028: 31b03a04 addi r6,r6,-16152 -8111b02c: 29701304 addi r5,r5,-16308 +8111b028: 31b03c04 addi r6,r6,-16144 +8111b02c: 29702304 addi r5,r5,-16244 8111b030: d8800115 stw r2,4(sp) 8111b034: 388f883a add r7,r7,r2 -8111b038: 112b5e00 call 8112b5e0 +8111b038: 112b6200 call 8112b620 8111b03c: d9400204 addi r5,sp,8 -8111b040: 010006c4 movi r4,27 -8111b044: 11443e40 call 811443e4 +8111b040: 01000704 movi r4,28 +8111b044: 11444240 call 81144424 8111b048: 10803fcc andi r2,r2,255 -8111b04c: 10013f1e bne r2,zero,8111b54c +8111b04c: 1001561e bne r2,zero,8111b5a8 8111b050: d9c00217 ldw r7,8(sp) 8111b054: d8800317 ldw r2,12(sp) 8111b058: d1207917 ldw r4,-32284(gp) 8111b05c: 01a04574 movhi r6,33045 8111b060: 01604574 movhi r5,33045 8111b064: d9c00015 stw r7,0(sp) -8111b068: 31b04604 addi r6,r6,-16104 -8111b06c: 29701304 addi r5,r5,-16308 +8111b068: 31b04a04 addi r6,r6,-16088 +8111b06c: 29702304 addi r5,r5,-16244 8111b070: d8800115 stw r2,4(sp) 8111b074: 388f883a add r7,r7,r2 -8111b078: 112b5e00 call 8112b5e0 +8111b078: 112b6200 call 8112b620 8111b07c: d9400204 addi r5,sp,8 -8111b080: 01000684 movi r4,26 -8111b084: 11443e40 call 811443e4 +8111b080: 010006c4 movi r4,27 +8111b084: 11444240 call 81144424 8111b088: 10803fcc andi r2,r2,255 -8111b08c: 1001281e bne r2,zero,8111b530 +8111b08c: 10013f1e bne r2,zero,8111b58c 8111b090: d9c00217 ldw r7,8(sp) 8111b094: d8800317 ldw r2,12(sp) 8111b098: d1207917 ldw r4,-32284(gp) 8111b09c: 01a04574 movhi r6,33045 8111b0a0: 01604574 movhi r5,33045 8111b0a4: d9c00015 stw r7,0(sp) -8111b0a8: 31b05304 addi r6,r6,-16052 -8111b0ac: 29701304 addi r5,r5,-16308 +8111b0a8: 31b05604 addi r6,r6,-16040 +8111b0ac: 29702304 addi r5,r5,-16244 8111b0b0: d8800115 stw r2,4(sp) 8111b0b4: 388f883a add r7,r7,r2 -8111b0b8: 112b5e00 call 8112b5e0 +8111b0b8: 112b6200 call 8112b620 8111b0bc: d9400204 addi r5,sp,8 -8111b0c0: 01000644 movi r4,25 -8111b0c4: 11443e40 call 811443e4 +8111b0c0: 01000684 movi r4,26 +8111b0c4: 11444240 call 81144424 8111b0c8: 10803fcc andi r2,r2,255 -8111b0cc: 1001111e bne r2,zero,8111b514 +8111b0cc: 1001281e bne r2,zero,8111b570 8111b0d0: d9c00217 ldw r7,8(sp) 8111b0d4: d8800317 ldw r2,12(sp) 8111b0d8: d1207917 ldw r4,-32284(gp) 8111b0dc: 01a04574 movhi r6,33045 8111b0e0: 01604574 movhi r5,33045 8111b0e4: d9c00015 stw r7,0(sp) -8111b0e8: 31b05f04 addi r6,r6,-16004 -8111b0ec: 29701304 addi r5,r5,-16308 +8111b0e8: 31b06304 addi r6,r6,-15988 +8111b0ec: 29702304 addi r5,r5,-16244 8111b0f0: d8800115 stw r2,4(sp) 8111b0f4: 388f883a add r7,r7,r2 -8111b0f8: 112b5e00 call 8112b5e0 +8111b0f8: 112b6200 call 8112b620 8111b0fc: d9400204 addi r5,sp,8 -8111b100: 01000044 movi r4,1 -8111b104: 11443e40 call 811443e4 +8111b100: 01000644 movi r4,25 +8111b104: 11444240 call 81144424 8111b108: 10803fcc andi r2,r2,255 -8111b10c: 1000fa1e bne r2,zero,8111b4f8 +8111b10c: 1001111e bne r2,zero,8111b554 8111b110: d9c00217 ldw r7,8(sp) 8111b114: d8800317 ldw r2,12(sp) 8111b118: d1207917 ldw r4,-32284(gp) 8111b11c: 01a04574 movhi r6,33045 8111b120: 01604574 movhi r5,33045 8111b124: d9c00015 stw r7,0(sp) -8111b128: 31b06b04 addi r6,r6,-15956 -8111b12c: 29701304 addi r5,r5,-16308 +8111b128: 31b06f04 addi r6,r6,-15940 +8111b12c: 29702304 addi r5,r5,-16244 8111b130: d8800115 stw r2,4(sp) 8111b134: 388f883a add r7,r7,r2 -8111b138: 112b5e00 call 8112b5e0 +8111b138: 112b6200 call 8112b620 8111b13c: d9400204 addi r5,sp,8 -8111b140: 010004c4 movi r4,19 -8111b144: 11443e40 call 811443e4 +8111b140: 01000044 movi r4,1 +8111b144: 11444240 call 81144424 8111b148: 10803fcc andi r2,r2,255 -8111b14c: 1000e31e bne r2,zero,8111b4dc +8111b14c: 1000fa1e bne r2,zero,8111b538 8111b150: d9c00217 ldw r7,8(sp) 8111b154: d8800317 ldw r2,12(sp) 8111b158: d1207917 ldw r4,-32284(gp) 8111b15c: 01a04574 movhi r6,33045 8111b160: 01604574 movhi r5,33045 8111b164: d9c00015 stw r7,0(sp) -8111b168: 31b07704 addi r6,r6,-15908 -8111b16c: 29701304 addi r5,r5,-16308 +8111b168: 31b07b04 addi r6,r6,-15892 +8111b16c: 29702304 addi r5,r5,-16244 8111b170: d8800115 stw r2,4(sp) 8111b174: 388f883a add r7,r7,r2 -8111b178: 112b5e00 call 8112b5e0 +8111b178: 112b6200 call 8112b620 8111b17c: d9400204 addi r5,sp,8 -8111b180: 01000504 movi r4,20 -8111b184: 11443e40 call 811443e4 +8111b180: 010004c4 movi r4,19 +8111b184: 11444240 call 81144424 8111b188: 10803fcc andi r2,r2,255 -8111b18c: 1000cc1e bne r2,zero,8111b4c0 +8111b18c: 1000e31e bne r2,zero,8111b51c 8111b190: d9c00217 ldw r7,8(sp) 8111b194: d8800317 ldw r2,12(sp) 8111b198: d1207917 ldw r4,-32284(gp) 8111b19c: 01a04574 movhi r6,33045 8111b1a0: 01604574 movhi r5,33045 8111b1a4: d9c00015 stw r7,0(sp) -8111b1a8: 31b08304 addi r6,r6,-15860 -8111b1ac: 29701304 addi r5,r5,-16308 +8111b1a8: 31b08704 addi r6,r6,-15844 +8111b1ac: 29702304 addi r5,r5,-16244 8111b1b0: d8800115 stw r2,4(sp) 8111b1b4: 388f883a add r7,r7,r2 -8111b1b8: 112b5e00 call 8112b5e0 +8111b1b8: 112b6200 call 8112b620 8111b1bc: d9400204 addi r5,sp,8 -8111b1c0: 01000544 movi r4,21 -8111b1c4: 11443e40 call 811443e4 +8111b1c0: 01000504 movi r4,20 +8111b1c4: 11444240 call 81144424 8111b1c8: 10803fcc andi r2,r2,255 -8111b1cc: 1000b51e bne r2,zero,8111b4a4 +8111b1cc: 1000cc1e bne r2,zero,8111b500 8111b1d0: d9c00217 ldw r7,8(sp) 8111b1d4: d8800317 ldw r2,12(sp) 8111b1d8: d1207917 ldw r4,-32284(gp) 8111b1dc: 01a04574 movhi r6,33045 8111b1e0: 01604574 movhi r5,33045 8111b1e4: d9c00015 stw r7,0(sp) -8111b1e8: 31b08f04 addi r6,r6,-15812 -8111b1ec: 29701304 addi r5,r5,-16308 +8111b1e8: 31b09304 addi r6,r6,-15796 +8111b1ec: 29702304 addi r5,r5,-16244 8111b1f0: d8800115 stw r2,4(sp) 8111b1f4: 388f883a add r7,r7,r2 -8111b1f8: 112b5e00 call 8112b5e0 +8111b1f8: 112b6200 call 8112b620 8111b1fc: d9400204 addi r5,sp,8 -8111b200: 01000584 movi r4,22 -8111b204: 11443e40 call 811443e4 +8111b200: 01000544 movi r4,21 +8111b204: 11444240 call 81144424 8111b208: 10803fcc andi r2,r2,255 -8111b20c: 10009e1e bne r2,zero,8111b488 +8111b20c: 1000b51e bne r2,zero,8111b4e4 8111b210: d9c00217 ldw r7,8(sp) 8111b214: d8800317 ldw r2,12(sp) 8111b218: d1207917 ldw r4,-32284(gp) 8111b21c: 01a04574 movhi r6,33045 8111b220: 01604574 movhi r5,33045 8111b224: d9c00015 stw r7,0(sp) -8111b228: 31b09b04 addi r6,r6,-15764 -8111b22c: 29701304 addi r5,r5,-16308 +8111b228: 31b09f04 addi r6,r6,-15748 +8111b22c: 29702304 addi r5,r5,-16244 8111b230: d8800115 stw r2,4(sp) 8111b234: 388f883a add r7,r7,r2 -8111b238: 112b5e00 call 8112b5e0 +8111b238: 112b6200 call 8112b620 8111b23c: d9400204 addi r5,sp,8 -8111b240: 010005c4 movi r4,23 -8111b244: 11443e40 call 811443e4 +8111b240: 01000584 movi r4,22 +8111b244: 11444240 call 81144424 8111b248: 10803fcc andi r2,r2,255 -8111b24c: 1000871e bne r2,zero,8111b46c +8111b24c: 10009e1e bne r2,zero,8111b4c8 8111b250: d9c00217 ldw r7,8(sp) 8111b254: d8800317 ldw r2,12(sp) 8111b258: d1207917 ldw r4,-32284(gp) 8111b25c: 01a04574 movhi r6,33045 8111b260: 01604574 movhi r5,33045 8111b264: d9c00015 stw r7,0(sp) -8111b268: 31b0a704 addi r6,r6,-15716 -8111b26c: 29701304 addi r5,r5,-16308 +8111b268: 31b0ab04 addi r6,r6,-15700 +8111b26c: 29702304 addi r5,r5,-16244 8111b270: d8800115 stw r2,4(sp) 8111b274: 388f883a add r7,r7,r2 -8111b278: 112b5e00 call 8112b5e0 +8111b278: 112b6200 call 8112b620 8111b27c: d9400204 addi r5,sp,8 -8111b280: 01000604 movi r4,24 -8111b284: 11443e40 call 811443e4 +8111b280: 010005c4 movi r4,23 +8111b284: 11444240 call 81144424 8111b288: 10803fcc andi r2,r2,255 -8111b28c: 1000701e bne r2,zero,8111b450 +8111b28c: 1000871e bne r2,zero,8111b4ac 8111b290: d9c00217 ldw r7,8(sp) 8111b294: d8800317 ldw r2,12(sp) 8111b298: d1207917 ldw r4,-32284(gp) 8111b29c: 01a04574 movhi r6,33045 8111b2a0: 01604574 movhi r5,33045 8111b2a4: d9c00015 stw r7,0(sp) -8111b2a8: 31b0b304 addi r6,r6,-15668 -8111b2ac: 29701304 addi r5,r5,-16308 +8111b2a8: 31b0b704 addi r6,r6,-15652 +8111b2ac: 29702304 addi r5,r5,-16244 8111b2b0: d8800115 stw r2,4(sp) 8111b2b4: 388f883a add r7,r7,r2 -8111b2b8: 112b5e00 call 8112b5e0 +8111b2b8: 112b6200 call 8112b620 8111b2bc: d9400204 addi r5,sp,8 -8111b2c0: 01000484 movi r4,18 -8111b2c4: 11443e40 call 811443e4 +8111b2c0: 01000604 movi r4,24 +8111b2c4: 11444240 call 81144424 8111b2c8: 10803fcc andi r2,r2,255 -8111b2cc: 1000591e bne r2,zero,8111b434 +8111b2cc: 1000701e bne r2,zero,8111b490 8111b2d0: d9c00217 ldw r7,8(sp) 8111b2d4: d8800317 ldw r2,12(sp) 8111b2d8: d1207917 ldw r4,-32284(gp) 8111b2dc: 01a04574 movhi r6,33045 8111b2e0: 01604574 movhi r5,33045 8111b2e4: d9c00015 stw r7,0(sp) -8111b2e8: 31b0bf04 addi r6,r6,-15620 -8111b2ec: 29701304 addi r5,r5,-16308 +8111b2e8: 31b0c304 addi r6,r6,-15604 +8111b2ec: 29702304 addi r5,r5,-16244 8111b2f0: d8800115 stw r2,4(sp) 8111b2f4: 388f883a add r7,r7,r2 -8111b2f8: 112b5e00 call 8112b5e0 +8111b2f8: 112b6200 call 8112b620 8111b2fc: d9400204 addi r5,sp,8 -8111b300: 01000444 movi r4,17 -8111b304: 11443e40 call 811443e4 +8111b300: 01000484 movi r4,18 +8111b304: 11444240 call 81144424 8111b308: 10803fcc andi r2,r2,255 -8111b30c: 1000421e bne r2,zero,8111b418 +8111b30c: 1000591e bne r2,zero,8111b474 8111b310: d9c00217 ldw r7,8(sp) 8111b314: d8800317 ldw r2,12(sp) 8111b318: d1207917 ldw r4,-32284(gp) 8111b31c: 01a04574 movhi r6,33045 8111b320: 01604574 movhi r5,33045 8111b324: d9c00015 stw r7,0(sp) -8111b328: 31b0cb04 addi r6,r6,-15572 -8111b32c: 29701304 addi r5,r5,-16308 +8111b328: 31b0cf04 addi r6,r6,-15556 +8111b32c: 29702304 addi r5,r5,-16244 8111b330: d8800115 stw r2,4(sp) 8111b334: 388f883a add r7,r7,r2 -8111b338: 112b5e00 call 8112b5e0 +8111b338: 112b6200 call 8112b620 8111b33c: d9400204 addi r5,sp,8 -8111b340: 01000404 movi r4,16 -8111b344: 11443e40 call 811443e4 +8111b340: 01000444 movi r4,17 +8111b344: 11444240 call 81144424 8111b348: 10803fcc andi r2,r2,255 -8111b34c: 10002b1e bne r2,zero,8111b3fc +8111b34c: 1000421e bne r2,zero,8111b458 8111b350: d9c00217 ldw r7,8(sp) 8111b354: d8800317 ldw r2,12(sp) 8111b358: d1207917 ldw r4,-32284(gp) 8111b35c: 01a04574 movhi r6,33045 8111b360: 01604574 movhi r5,33045 8111b364: d9c00015 stw r7,0(sp) -8111b368: 31b0d704 addi r6,r6,-15524 -8111b36c: 29701304 addi r5,r5,-16308 +8111b368: 31b0db04 addi r6,r6,-15508 +8111b36c: 29702304 addi r5,r5,-16244 8111b370: d8800115 stw r2,4(sp) 8111b374: 388f883a add r7,r7,r2 -8111b378: 112b5e00 call 8112b5e0 -8111b37c: d1607917 ldw r5,-32284(gp) -8111b380: 01000284 movi r4,10 -8111b384: 112b6480 call 8112b648 -8111b388: 000f883a mov r7,zero -8111b38c: 01800284 movi r6,10 -8111b390: 000b883a mov r5,zero -8111b394: 0009883a mov r4,zero -8111b398: 114478c0 call 8114478c -8111b39c: d1e07917 ldw r7,-32284(gp) -8111b3a0: 01204574 movhi r4,33045 -8111b3a4: 212ff304 addi r4,r4,-16436 -8111b3a8: 01800b04 movi r6,44 -8111b3ac: 01400044 movi r5,1 -8111b3b0: 112bc4c0 call 8112bc4c -8111b3b4: d1e07917 ldw r7,-32284(gp) -8111b3b8: 01204574 movhi r4,33045 -8111b3bc: 212fff04 addi r4,r4,-16388 -8111b3c0: 01800f84 movi r6,62 -8111b3c4: 01400044 movi r5,1 -8111b3c8: 112bc4c0 call 8112bc4c -8111b3cc: d9400204 addi r5,sp,8 -8111b3d0: 01000904 movi r4,36 -8111b3d4: 11443e40 call 811443e4 -8111b3d8: 10803fcc andi r2,r2,255 -8111b3dc: 103eec26 beq r2,zero,8111af90 <__reset+0xfb0faf90> -8111b3e0: d1e07917 ldw r7,-32284(gp) -8111b3e4: 01204574 movhi r4,33045 -8111b3e8: 21302204 addi r4,r4,-16248 -8111b3ec: 01800904 movi r6,36 -8111b3f0: 01400044 movi r5,1 -8111b3f4: 112bc4c0 call 8112bc4c -8111b3f8: 003ef006 br 8111afbc <__reset+0xfb0fafbc> -8111b3fc: d1e07917 ldw r7,-32284(gp) -8111b400: 01204574 movhi r4,33045 -8111b404: 2130da04 addi r4,r4,-15512 -8111b408: 018007c4 movi r6,31 -8111b40c: 01400044 movi r5,1 -8111b410: 112bc4c0 call 8112bc4c -8111b414: 003fd906 br 8111b37c <__reset+0xfb0fb37c> -8111b418: d1e07917 ldw r7,-32284(gp) -8111b41c: 01204574 movhi r4,33045 -8111b420: 2130ce04 addi r4,r4,-15560 -8111b424: 01800844 movi r6,33 -8111b428: 01400044 movi r5,1 -8111b42c: 112bc4c0 call 8112bc4c -8111b430: 003fc206 br 8111b33c <__reset+0xfb0fb33c> -8111b434: d1e07917 ldw r7,-32284(gp) -8111b438: 01204574 movhi r4,33045 -8111b43c: 2130c204 addi r4,r4,-15608 -8111b440: 01800884 movi r6,34 -8111b444: 01400044 movi r5,1 -8111b448: 112bc4c0 call 8112bc4c -8111b44c: 003fab06 br 8111b2fc <__reset+0xfb0fb2fc> -8111b450: d1e07917 ldw r7,-32284(gp) -8111b454: 01204574 movhi r4,33045 -8111b458: 2130b604 addi r4,r4,-15656 -8111b45c: 018008c4 movi r6,35 -8111b460: 01400044 movi r5,1 -8111b464: 112bc4c0 call 8112bc4c -8111b468: 003f9406 br 8111b2bc <__reset+0xfb0fb2bc> -8111b46c: d1e07917 ldw r7,-32284(gp) -8111b470: 01204574 movhi r4,33045 -8111b474: 2130aa04 addi r4,r4,-15704 -8111b478: 018008c4 movi r6,35 -8111b47c: 01400044 movi r5,1 -8111b480: 112bc4c0 call 8112bc4c -8111b484: 003f7d06 br 8111b27c <__reset+0xfb0fb27c> -8111b488: d1e07917 ldw r7,-32284(gp) -8111b48c: 01204574 movhi r4,33045 -8111b490: 21309e04 addi r4,r4,-15752 -8111b494: 018008c4 movi r6,35 -8111b498: 01400044 movi r5,1 -8111b49c: 112bc4c0 call 8112bc4c -8111b4a0: 003f6606 br 8111b23c <__reset+0xfb0fb23c> -8111b4a4: d1e07917 ldw r7,-32284(gp) -8111b4a8: 01204574 movhi r4,33045 -8111b4ac: 21309204 addi r4,r4,-15800 -8111b4b0: 018008c4 movi r6,35 -8111b4b4: 01400044 movi r5,1 -8111b4b8: 112bc4c0 call 8112bc4c -8111b4bc: 003f4f06 br 8111b1fc <__reset+0xfb0fb1fc> -8111b4c0: d1e07917 ldw r7,-32284(gp) -8111b4c4: 01204574 movhi r4,33045 -8111b4c8: 21308604 addi r4,r4,-15848 -8111b4cc: 018008c4 movi r6,35 -8111b4d0: 01400044 movi r5,1 -8111b4d4: 112bc4c0 call 8112bc4c -8111b4d8: 003f3806 br 8111b1bc <__reset+0xfb0fb1bc> -8111b4dc: d1e07917 ldw r7,-32284(gp) -8111b4e0: 01204574 movhi r4,33045 -8111b4e4: 21307a04 addi r4,r4,-15896 -8111b4e8: 018008c4 movi r6,35 -8111b4ec: 01400044 movi r5,1 -8111b4f0: 112bc4c0 call 8112bc4c -8111b4f4: 003f2106 br 8111b17c <__reset+0xfb0fb17c> -8111b4f8: d1e07917 ldw r7,-32284(gp) -8111b4fc: 01204574 movhi r4,33045 -8111b500: 21306e04 addi r4,r4,-15944 -8111b504: 01800804 movi r6,32 -8111b508: 01400044 movi r5,1 -8111b50c: 112bc4c0 call 8112bc4c -8111b510: 003f0a06 br 8111b13c <__reset+0xfb0fb13c> -8111b514: d1e07917 ldw r7,-32284(gp) -8111b518: 01204574 movhi r4,33045 -8111b51c: 21306204 addi r4,r4,-15992 -8111b520: 01800884 movi r6,34 -8111b524: 01400044 movi r5,1 -8111b528: 112bc4c0 call 8112bc4c -8111b52c: 003ef306 br 8111b0fc <__reset+0xfb0fb0fc> -8111b530: d1e07917 ldw r7,-32284(gp) -8111b534: 01204574 movhi r4,33045 -8111b538: 21305604 addi r4,r4,-16040 -8111b53c: 01800884 movi r6,34 -8111b540: 01400044 movi r5,1 -8111b544: 112bc4c0 call 8112bc4c -8111b548: 003edc06 br 8111b0bc <__reset+0xfb0fb0bc> -8111b54c: d1e07917 ldw r7,-32284(gp) -8111b550: 01204574 movhi r4,33045 -8111b554: 21304a04 addi r4,r4,-16088 -8111b558: 018008c4 movi r6,35 -8111b55c: 01400044 movi r5,1 -8111b560: 112bc4c0 call 8112bc4c -8111b564: 003ec506 br 8111b07c <__reset+0xfb0fb07c> -8111b568: d1e07917 ldw r7,-32284(gp) -8111b56c: 01204574 movhi r4,33045 -8111b570: 21303d04 addi r4,r4,-16140 -8111b574: 01800884 movi r6,34 -8111b578: 01400044 movi r5,1 -8111b57c: 112bc4c0 call 8112bc4c -8111b580: 003eae06 br 8111b03c <__reset+0xfb0fb03c> -8111b584: d1e07917 ldw r7,-32284(gp) -8111b588: 01204574 movhi r4,33045 -8111b58c: 21303004 addi r4,r4,-16192 -8111b590: 01800984 movi r6,38 -8111b594: 01400044 movi r5,1 -8111b598: 112bc4c0 call 8112bc4c -8111b59c: 003e9706 br 8111affc <__reset+0xfb0faffc> - -8111b5a0 : -8111b5a0: defff704 addi sp,sp,-36 -8111b5a4: dc800315 stw r18,12(sp) -8111b5a8: 04a04634 movhi r18,33048 -8111b5ac: dcc00415 stw r19,16(sp) -8111b5b0: 948f0804 addi r18,r18,15392 -8111b5b4: 04e04634 movhi r19,33048 -8111b5b8: dd800715 stw r22,28(sp) -8111b5bc: dd400615 stw r21,24(sp) -8111b5c0: dc000115 stw r16,4(sp) -8111b5c4: dfc00815 stw ra,32(sp) -8111b5c8: dd000515 stw r20,20(sp) -8111b5cc: dc400215 stw r17,8(sp) -8111b5d0: 9ccee304 addi r19,r19,15244 -8111b5d4: 2021883a mov r16,r4 -8111b5d8: d8000045 stb zero,1(sp) -8111b5dc: 05400044 movi r21,1 -8111b5e0: 902d883a mov r22,r18 -8111b5e4: 01000084 movi r4,2 -8111b5e8: 11444f00 call 811444f0 -8111b5ec: d1208117 ldw r4,-32252(gp) -8111b5f0: d9800044 addi r6,sp,1 -8111b5f4: 01403204 movi r5,200 -8111b5f8: 9d400015 stw r21,0(r19) -8111b5fc: 1142cd40 call 81142cd4 -8111b600: 1029883a mov r20,r2 -8111b604: d8800043 ldbu r2,1(sp) -8111b608: 1000591e bne r2,zero,8111b770 -8111b60c: 11267b40 call 811267b4 -8111b610: 11267b80 call 811267b8 -8111b614: 11071300 call 81107130 -8111b618: 90800803 ldbu r2,32(r18) -8111b61c: 04400104 movi r17,4 -8111b620: 8880642e bgeu r17,r2,8111b7b4 -8111b624: 000d883a mov r6,zero -8111b628: 000b883a mov r5,zero -8111b62c: 010028c4 movi r4,163 -8111b630: 11263540 call 81126354 -8111b634: 000d883a mov r6,zero -8111b638: 000b883a mov r5,zero -8111b63c: 01002844 movi r4,161 -8111b640: 11264900 call 81126490 -8111b644: 01c00c84 movi r7,50 -8111b648: 000d883a mov r6,zero -8111b64c: 000b883a mov r5,zero -8111b650: 0009883a mov r4,zero -8111b654: 114478c0 call 8114478c +8111b378: 112b6200 call 8112b620 +8111b37c: d9400204 addi r5,sp,8 +8111b380: 01000404 movi r4,16 +8111b384: 11444240 call 81144424 +8111b388: 10803fcc andi r2,r2,255 +8111b38c: 10002b1e bne r2,zero,8111b43c +8111b390: d9c00217 ldw r7,8(sp) +8111b394: d8800317 ldw r2,12(sp) +8111b398: d1207917 ldw r4,-32284(gp) +8111b39c: 01a04574 movhi r6,33045 +8111b3a0: 01604574 movhi r5,33045 +8111b3a4: d9c00015 stw r7,0(sp) +8111b3a8: 31b0e704 addi r6,r6,-15460 +8111b3ac: 29702304 addi r5,r5,-16244 +8111b3b0: d8800115 stw r2,4(sp) +8111b3b4: 388f883a add r7,r7,r2 +8111b3b8: 112b6200 call 8112b620 +8111b3bc: d1607917 ldw r5,-32284(gp) +8111b3c0: 01000284 movi r4,10 +8111b3c4: 112b6880 call 8112b688 +8111b3c8: 000f883a mov r7,zero +8111b3cc: 01800284 movi r6,10 +8111b3d0: 000b883a mov r5,zero +8111b3d4: 0009883a mov r4,zero +8111b3d8: 11447cc0 call 811447cc +8111b3dc: d1e07917 ldw r7,-32284(gp) +8111b3e0: 01204574 movhi r4,33045 +8111b3e4: 21300304 addi r4,r4,-16372 +8111b3e8: 01800b04 movi r6,44 +8111b3ec: 01400044 movi r5,1 +8111b3f0: 112bc8c0 call 8112bc8c +8111b3f4: d1e07917 ldw r7,-32284(gp) +8111b3f8: 01204574 movhi r4,33045 +8111b3fc: 21300f04 addi r4,r4,-16324 +8111b400: 01800f84 movi r6,62 +8111b404: 01400044 movi r5,1 +8111b408: 112bc8c0 call 8112bc8c +8111b40c: d9400204 addi r5,sp,8 +8111b410: 01000904 movi r4,36 +8111b414: 11444240 call 81144424 +8111b418: 10803fcc andi r2,r2,255 +8111b41c: 103eec26 beq r2,zero,8111afd0 <__reset+0xfb0fafd0> +8111b420: d1e07917 ldw r7,-32284(gp) +8111b424: 01204574 movhi r4,33045 +8111b428: 21303204 addi r4,r4,-16184 +8111b42c: 01800904 movi r6,36 +8111b430: 01400044 movi r5,1 +8111b434: 112bc8c0 call 8112bc8c +8111b438: 003ef006 br 8111affc <__reset+0xfb0faffc> +8111b43c: d1e07917 ldw r7,-32284(gp) +8111b440: 01204574 movhi r4,33045 +8111b444: 2130ea04 addi r4,r4,-15448 +8111b448: 018007c4 movi r6,31 +8111b44c: 01400044 movi r5,1 +8111b450: 112bc8c0 call 8112bc8c +8111b454: 003fd906 br 8111b3bc <__reset+0xfb0fb3bc> +8111b458: d1e07917 ldw r7,-32284(gp) +8111b45c: 01204574 movhi r4,33045 +8111b460: 2130de04 addi r4,r4,-15496 +8111b464: 01800844 movi r6,33 +8111b468: 01400044 movi r5,1 +8111b46c: 112bc8c0 call 8112bc8c +8111b470: 003fc206 br 8111b37c <__reset+0xfb0fb37c> +8111b474: d1e07917 ldw r7,-32284(gp) +8111b478: 01204574 movhi r4,33045 +8111b47c: 2130d204 addi r4,r4,-15544 +8111b480: 01800884 movi r6,34 +8111b484: 01400044 movi r5,1 +8111b488: 112bc8c0 call 8112bc8c +8111b48c: 003fab06 br 8111b33c <__reset+0xfb0fb33c> +8111b490: d1e07917 ldw r7,-32284(gp) +8111b494: 01204574 movhi r4,33045 +8111b498: 2130c604 addi r4,r4,-15592 +8111b49c: 018008c4 movi r6,35 +8111b4a0: 01400044 movi r5,1 +8111b4a4: 112bc8c0 call 8112bc8c +8111b4a8: 003f9406 br 8111b2fc <__reset+0xfb0fb2fc> +8111b4ac: d1e07917 ldw r7,-32284(gp) +8111b4b0: 01204574 movhi r4,33045 +8111b4b4: 2130ba04 addi r4,r4,-15640 +8111b4b8: 018008c4 movi r6,35 +8111b4bc: 01400044 movi r5,1 +8111b4c0: 112bc8c0 call 8112bc8c +8111b4c4: 003f7d06 br 8111b2bc <__reset+0xfb0fb2bc> +8111b4c8: d1e07917 ldw r7,-32284(gp) +8111b4cc: 01204574 movhi r4,33045 +8111b4d0: 2130ae04 addi r4,r4,-15688 +8111b4d4: 018008c4 movi r6,35 +8111b4d8: 01400044 movi r5,1 +8111b4dc: 112bc8c0 call 8112bc8c +8111b4e0: 003f6606 br 8111b27c <__reset+0xfb0fb27c> +8111b4e4: d1e07917 ldw r7,-32284(gp) +8111b4e8: 01204574 movhi r4,33045 +8111b4ec: 2130a204 addi r4,r4,-15736 +8111b4f0: 018008c4 movi r6,35 +8111b4f4: 01400044 movi r5,1 +8111b4f8: 112bc8c0 call 8112bc8c +8111b4fc: 003f4f06 br 8111b23c <__reset+0xfb0fb23c> +8111b500: d1e07917 ldw r7,-32284(gp) +8111b504: 01204574 movhi r4,33045 +8111b508: 21309604 addi r4,r4,-15784 +8111b50c: 018008c4 movi r6,35 +8111b510: 01400044 movi r5,1 +8111b514: 112bc8c0 call 8112bc8c +8111b518: 003f3806 br 8111b1fc <__reset+0xfb0fb1fc> +8111b51c: d1e07917 ldw r7,-32284(gp) +8111b520: 01204574 movhi r4,33045 +8111b524: 21308a04 addi r4,r4,-15832 +8111b528: 018008c4 movi r6,35 +8111b52c: 01400044 movi r5,1 +8111b530: 112bc8c0 call 8112bc8c +8111b534: 003f2106 br 8111b1bc <__reset+0xfb0fb1bc> +8111b538: d1e07917 ldw r7,-32284(gp) +8111b53c: 01204574 movhi r4,33045 +8111b540: 21307e04 addi r4,r4,-15880 +8111b544: 01800804 movi r6,32 +8111b548: 01400044 movi r5,1 +8111b54c: 112bc8c0 call 8112bc8c +8111b550: 003f0a06 br 8111b17c <__reset+0xfb0fb17c> +8111b554: d1e07917 ldw r7,-32284(gp) +8111b558: 01204574 movhi r4,33045 +8111b55c: 21307204 addi r4,r4,-15928 +8111b560: 01800884 movi r6,34 +8111b564: 01400044 movi r5,1 +8111b568: 112bc8c0 call 8112bc8c +8111b56c: 003ef306 br 8111b13c <__reset+0xfb0fb13c> +8111b570: d1e07917 ldw r7,-32284(gp) +8111b574: 01204574 movhi r4,33045 +8111b578: 21306604 addi r4,r4,-15976 +8111b57c: 01800884 movi r6,34 +8111b580: 01400044 movi r5,1 +8111b584: 112bc8c0 call 8112bc8c +8111b588: 003edc06 br 8111b0fc <__reset+0xfb0fb0fc> +8111b58c: d1e07917 ldw r7,-32284(gp) +8111b590: 01204574 movhi r4,33045 +8111b594: 21305a04 addi r4,r4,-16024 +8111b598: 018008c4 movi r6,35 +8111b59c: 01400044 movi r5,1 +8111b5a0: 112bc8c0 call 8112bc8c +8111b5a4: 003ec506 br 8111b0bc <__reset+0xfb0fb0bc> +8111b5a8: d1e07917 ldw r7,-32284(gp) +8111b5ac: 01204574 movhi r4,33045 +8111b5b0: 21304d04 addi r4,r4,-16076 +8111b5b4: 01800884 movi r6,34 +8111b5b8: 01400044 movi r5,1 +8111b5bc: 112bc8c0 call 8112bc8c +8111b5c0: 003eae06 br 8111b07c <__reset+0xfb0fb07c> +8111b5c4: d1e07917 ldw r7,-32284(gp) +8111b5c8: 01204574 movhi r4,33045 +8111b5cc: 21304004 addi r4,r4,-16128 +8111b5d0: 01800984 movi r6,38 +8111b5d4: 01400044 movi r5,1 +8111b5d8: 112bc8c0 call 8112bc8c +8111b5dc: 003e9706 br 8111b03c <__reset+0xfb0fb03c> + +8111b5e0 : +8111b5e0: defff704 addi sp,sp,-36 +8111b5e4: dc800315 stw r18,12(sp) +8111b5e8: 04a04634 movhi r18,33048 +8111b5ec: dcc00415 stw r19,16(sp) +8111b5f0: 948f1904 addi r18,r18,15460 +8111b5f4: 04e04634 movhi r19,33048 +8111b5f8: dd800715 stw r22,28(sp) +8111b5fc: dd400615 stw r21,24(sp) +8111b600: dc000115 stw r16,4(sp) +8111b604: dfc00815 stw ra,32(sp) +8111b608: dd000515 stw r20,20(sp) +8111b60c: dc400215 stw r17,8(sp) +8111b610: 9ccef404 addi r19,r19,15312 +8111b614: 2021883a mov r16,r4 +8111b618: d8000045 stb zero,1(sp) +8111b61c: 05400044 movi r21,1 +8111b620: 902d883a mov r22,r18 +8111b624: 01000084 movi r4,2 +8111b628: 11445300 call 81144530 +8111b62c: d1208117 ldw r4,-32252(gp) +8111b630: d9800044 addi r6,sp,1 +8111b634: 01403204 movi r5,200 +8111b638: 9d400015 stw r21,0(r19) +8111b63c: 1142d140 call 81142d14 +8111b640: 1029883a mov r20,r2 +8111b644: d8800043 ldbu r2,1(sp) +8111b648: 1000591e bne r2,zero,8111b7b0 +8111b64c: 11267f40 call 811267f4 +8111b650: 11267f80 call 811267f8 +8111b654: 11071640 call 81107164 8111b658: 90800803 ldbu r2,32(r18) -8111b65c: 00c00104 movi r3,4 -8111b660: 18804d2e bgeu r3,r2,8111b798 -8111b664: d8000005 stb zero,0(sp) -8111b668: d8800003 ldbu r2,0(sp) -8111b66c: 04400144 movi r17,5 -8111b670: 10803fcc andi r2,r2,255 -8111b674: 88801836 bltu r17,r2,8111b6d8 -8111b678: d9000003 ldbu r4,0(sp) -8111b67c: d9c00003 ldbu r7,0(sp) -8111b680: 000d883a mov r6,zero -8111b684: 014028c4 movi r5,163 -8111b688: 39c03fcc andi r7,r7,255 -8111b68c: 21003fcc andi r4,r4,255 -8111b690: 11263d00 call 811263d0 -8111b694: d9000003 ldbu r4,0(sp) -8111b698: 21003fcc andi r4,r4,255 -8111b69c: 21042f24 muli r4,r4,4284 -8111b6a0: 21199404 addi r4,r4,26192 -8111b6a4: 8109883a add r4,r16,r4 -8111b6a8: 11051000 call 81105100 -8111b6ac: d8800003 ldbu r2,0(sp) -8111b6b0: d8c00003 ldbu r3,0(sp) -8111b6b4: 10803fcc andi r2,r2,255 -8111b6b8: 18c00044 addi r3,r3,1 -8111b6bc: 10842f24 muli r2,r2,4284 -8111b6c0: d8c00005 stb r3,0(sp) -8111b6c4: d8c00003 ldbu r3,0(sp) -8111b6c8: 8085883a add r2,r16,r2 -8111b6cc: 10197305 stb zero,26060(r2) -8111b6d0: 18803fcc andi r2,r3,255 -8111b6d4: 88bfe82e bgeu r17,r2,8111b678 <__reset+0xfb0fb678> -8111b6d8: 1106bb40 call 81106bb4 -8111b6dc: 01c01904 movi r7,100 -8111b6e0: 000d883a mov r6,zero -8111b6e4: 000b883a mov r5,zero -8111b6e8: 0009883a mov r4,zero -8111b6ec: 114478c0 call 8114478c -8111b6f0: 000d883a mov r6,zero -8111b6f4: 000b883a mov r5,zero -8111b6f8: 01002884 movi r4,162 -8111b6fc: 11263540 call 81126354 -8111b700: 90800803 ldbu r2,32(r18) -8111b704: 00c00104 movi r3,4 -8111b708: 1880392e bgeu r3,r2,8111b7f0 -8111b70c: 00800044 movi r2,1 -8111b710: 80800305 stb r2,12(r16) -8111b714: 80000345 stb zero,13(r16) -8111b718: 000d883a mov r6,zero -8111b71c: 000b883a mov r5,zero -8111b720: 01002884 movi r4,162 -8111b724: 11264900 call 81126490 -8111b728: 01c00c84 movi r7,50 -8111b72c: 000d883a mov r6,zero -8111b730: 000b883a mov r5,zero -8111b734: 0009883a mov r4,zero -8111b738: 114478c0 call 8114478c -8111b73c: a13fce04 addi r4,r20,-200 -8111b740: 0140fa04 movi r5,1000 -8111b744: 112b4380 call 8112b438
-8111b748: 19ffffcc andi r7,r3,65535 -8111b74c: 11803fcc andi r6,r2,255 -8111b750: 000b883a mov r5,zero -8111b754: 0009883a mov r4,zero -8111b758: 114478c0 call 8114478c -8111b75c: 98000015 stw zero,0(r19) -8111b760: 11072b80 call 811072b8 -8111b764: 11071300 call 81107130 -8111b768: 112679c0 call 8112679c -8111b76c: 003f9d06 br 8111b5e4 <__reset+0xfb0fb5e4> -8111b770: 90800803 ldbu r2,32(r18) -8111b774: 00c001c4 movi r3,7 -8111b778: 18bf9a36 bltu r3,r2,8111b5e4 <__reset+0xfb0fb5e4> -8111b77c: d1e07917 ldw r7,-32284(gp) -8111b780: 01204574 movhi r4,33045 -8111b784: 21310004 addi r4,r4,-15360 -8111b788: 018007c4 movi r6,31 -8111b78c: 01400044 movi r5,1 -8111b790: 112bc4c0 call 8112bc4c -8111b794: 003f9306 br 8111b5e4 <__reset+0xfb0fb5e4> -8111b798: d1e07917 ldw r7,-32284(gp) -8111b79c: 01204574 movhi r4,33045 -8111b7a0: 2130ee04 addi r4,r4,-15432 -8111b7a4: 01800844 movi r6,33 -8111b7a8: 01400044 movi r5,1 -8111b7ac: 112bc4c0 call 8112bc4c -8111b7b0: 003fac06 br 8111b664 <__reset+0xfb0fb664> -8111b7b4: d1e07917 ldw r7,-32284(gp) -8111b7b8: 01204574 movhi r4,33045 -8111b7bc: 2130e204 addi r4,r4,-15480 -8111b7c0: 01800484 movi r6,18 -8111b7c4: 01400044 movi r5,1 -8111b7c8: 112bc4c0 call 8112bc4c -8111b7cc: b0800803 ldbu r2,32(r22) -8111b7d0: 88bf9436 bltu r17,r2,8111b624 <__reset+0xfb0fb624> -8111b7d4: d1e07917 ldw r7,-32284(gp) -8111b7d8: 01204574 movhi r4,33045 -8111b7dc: 2130e704 addi r4,r4,-15460 -8111b7e0: 018006c4 movi r6,27 -8111b7e4: 01400044 movi r5,1 -8111b7e8: 112bc4c0 call 8112bc4c -8111b7ec: 003f8d06 br 8111b624 <__reset+0xfb0fb624> -8111b7f0: d1e07917 ldw r7,-32284(gp) -8111b7f4: 01204574 movhi r4,33045 -8111b7f8: 2130f704 addi r4,r4,-15396 -8111b7fc: 01800804 movi r6,32 -8111b800: 01400044 movi r5,1 -8111b804: 112bc4c0 call 8112bc4c -8111b808: 003fc006 br 8111b70c <__reset+0xfb0fb70c> - -8111b80c : -8111b80c: d0e08503 ldbu r3,-32236(gp) -8111b810: d0a08083 ldbu r2,-32254(gp) -8111b814: d1209003 ldbu r4,-32192(gp) -8111b818: 18c03fcc andi r3,r3,255 -8111b81c: d1609043 ldbu r5,-32191(gp) -8111b820: 18c00420 cmpeqi r3,r3,16 -8111b824: 10803fcc andi r2,r2,255 -8111b828: 180690fa slli r3,r3,3 -8111b82c: 10800820 cmpeqi r2,r2,32 -8111b830: 21003fcc andi r4,r4,255 -8111b834: 100490ba slli r2,r2,2 -8111b838: 21000820 cmpeqi r4,r4,32 -8111b83c: 29403fcc andi r5,r5,255 -8111b840: 2008907a slli r4,r4,1 -8111b844: 29400820 cmpeqi r5,r5,32 -8111b848: 28c6b03a or r3,r5,r3 -8111b84c: defff604 addi sp,sp,-40 -8111b850: 1884b03a or r2,r3,r2 -8111b854: dc400215 stw r17,8(sp) -8111b858: dfc00915 stw ra,36(sp) -8111b85c: 1122b03a or r17,r2,r4 -8111b860: ddc00815 stw r23,32(sp) -8111b864: dd800715 stw r22,28(sp) -8111b868: dd400615 stw r21,24(sp) -8111b86c: dd000515 stw r20,20(sp) -8111b870: dcc00415 stw r19,16(sp) -8111b874: dc800315 stw r18,12(sp) -8111b878: dc000115 stw r16,4(sp) -8111b87c: d8000045 stb zero,1(sp) -8111b880: 008003c4 movi r2,15 -8111b884: 88800b26 beq r17,r2,8111b8b4 -8111b888: d1208f17 ldw r4,-32196(gp) -8111b88c: d9800044 addi r6,sp,1 -8111b890: 000b883a mov r5,zero -8111b894: 11424480 call 81142448 -8111b898: d8800043 ldbu r2,1(sp) -8111b89c: 10001026 beq r2,zero,8111b8e0 -8111b8a0: 00a04634 movhi r2,33048 -8111b8a4: 108f0804 addi r2,r2,15392 -8111b8a8: 10800803 ldbu r2,32(r2) -8111b8ac: 00c001c4 movi r3,7 -8111b8b0: 1880782e bgeu r3,r2,8111ba94 -8111b8b4: dfc00917 ldw ra,36(sp) -8111b8b8: ddc00817 ldw r23,32(sp) -8111b8bc: dd800717 ldw r22,28(sp) -8111b8c0: dd400617 ldw r21,24(sp) -8111b8c4: dd000517 ldw r20,20(sp) -8111b8c8: dcc00417 ldw r19,16(sp) -8111b8cc: dc800317 ldw r18,12(sp) -8111b8d0: dc400217 ldw r17,8(sp) -8111b8d4: dc000117 ldw r16,4(sp) -8111b8d8: dec00a04 addi sp,sp,40 -8111b8dc: f800283a ret -8111b8e0: 8880004c andi r2,r17,1 -8111b8e4: 10003926 beq r2,zero,8111b9cc -8111b8e8: 012045f4 movhi r4,33047 -8111b8ec: 2116d504 addi r4,r4,23380 -8111b8f0: 01802004 movi r6,128 -8111b8f4: 000b883a mov r5,zero -8111b8f8: 112c7900 call 8112c790 -8111b8fc: 8880008c andi r2,r17,2 -8111b900: 1000811e bne r2,zero,8111bb08 -8111b904: d1208c17 ldw r4,-32208(gp) -8111b908: d80b883a mov r5,sp -8111b90c: d8000005 stb zero,0(sp) -8111b910: 114207c0 call 8114207c -8111b914: d8c00003 ldbu r3,0(sp) -8111b918: 1800bb1e bne r3,zero,8111bc08 -8111b91c: 10803fcc andi r2,r2,255 -8111b920: 1000b926 beq r2,zero,8111bc08 -8111b924: 04204574 movhi r16,33045 -8111b928: 8415e704 addi r16,r16,22428 -8111b92c: 04a045f4 movhi r18,33047 -8111b930: 9496f504 addi r18,r18,23508 -8111b934: 05400044 movi r21,1 -8111b938: 84c00184 addi r19,r16,6 -8111b93c: 85000204 addi r20,r16,8 -8111b940: 85826004 addi r22,r16,2432 -8111b944: 00000506 br 8111b95c -8111b948: 84001304 addi r16,r16,76 -8111b94c: 94800104 addi r18,r18,4 -8111b950: 9cc01304 addi r19,r19,76 -8111b954: a5001304 addi r20,r20,76 -8111b958: 8580ab26 beq r16,r22,8111bc08 -8111b95c: 90800017 ldw r2,0(r18) -8111b960: 157ff91e bne r2,r21,8111b948 <__reset+0xfb0fb948> -8111b964: 80800017 ldw r2,0(r16) -8111b968: 15405126 beq r2,r21,8111bab0 -8111b96c: 103ff61e bne r2,zero,8111b948 <__reset+0xfb0fb948> -8111b970: 813ff004 addi r4,r16,-64 -8111b974: 112cb400 call 8112cb40 -8111b978: 85400015 stw r21,0(r16) -8111b97c: 9800000d sth zero,0(r19) -8111b980: a0800003 ldbu r2,0(r20) -8111b984: 10800044 addi r2,r2,1 -8111b988: a0800005 stb r2,0(r20) -8111b98c: 10803fcc andi r2,r2,255 -8111b990: a8bfed2e bgeu r21,r2,8111b948 <__reset+0xfb0fb948> -8111b994: d0a09003 ldbu r2,-32192(gp) -8111b998: d1207517 ldw r4,-32300(gp) -8111b99c: 90000015 stw zero,0(r18) -8111b9a0: 10800044 addi r2,r2,1 -8111b9a4: d0a09005 stb r2,-32192(gp) -8111b9a8: 114379c0 call 8114379c -8111b9ac: d8800005 stb r2,0(sp) -8111b9b0: 10803fcc andi r2,r2,255 -8111b9b4: 103fe426 beq r2,zero,8111b948 <__reset+0xfb0fb948> -8111b9b8: d0a09003 ldbu r2,-32192(gp) -8111b9bc: 10bfffc4 addi r2,r2,-1 -8111b9c0: d0a09005 stb r2,-32192(gp) -8111b9c4: 11242e40 call 811242e4 -8111b9c8: 003fdf06 br 8111b948 <__reset+0xfb0fb948> -8111b9cc: d1208817 ldw r4,-32224(gp) -8111b9d0: d80b883a mov r5,sp -8111b9d4: d8000005 stb zero,0(sp) -8111b9d8: 114207c0 call 8114207c -8111b9dc: d8c00003 ldbu r3,0(sp) -8111b9e0: 1800451e bne r3,zero,8111baf8 -8111b9e4: 10803fcc andi r2,r2,255 -8111b9e8: 10004326 beq r2,zero,8111baf8 -8111b9ec: 042045f4 movhi r16,33047 -8111b9f0: 84177504 addi r16,r16,24020 -8111b9f4: 04a045f4 movhi r18,33047 -8111b9f8: 9496d504 addi r18,r18,23380 -8111b9fc: 05400044 movi r21,1 -8111ba00: 84c00184 addi r19,r16,6 -8111ba04: 85000204 addi r20,r16,8 -8111ba08: 85846004 addi r22,r16,4480 -8111ba0c: 00000506 br 8111ba24 -8111ba10: 84002304 addi r16,r16,140 -8111ba14: 94800104 addi r18,r18,4 -8111ba18: 9cc02304 addi r19,r19,140 -8111ba1c: a5002304 addi r20,r20,140 -8111ba20: 85803526 beq r16,r22,8111baf8 -8111ba24: 90800017 ldw r2,0(r18) -8111ba28: 157ff91e bne r2,r21,8111ba10 <__reset+0xfb0fba10> -8111ba2c: 80800017 ldw r2,0(r16) -8111ba30: 15402826 beq r2,r21,8111bad4 -8111ba34: 103ff61e bne r2,zero,8111ba10 <__reset+0xfb0fba10> -8111ba38: 813fe004 addi r4,r16,-128 -8111ba3c: 112cb400 call 8112cb40 -8111ba40: 85400015 stw r21,0(r16) -8111ba44: 9800000d sth zero,0(r19) -8111ba48: a0800003 ldbu r2,0(r20) -8111ba4c: 10800044 addi r2,r2,1 -8111ba50: a0800005 stb r2,0(r20) -8111ba54: 10803fcc andi r2,r2,255 -8111ba58: a8bfed2e bgeu r21,r2,8111ba10 <__reset+0xfb0fba10> -8111ba5c: d0a09043 ldbu r2,-32191(gp) -8111ba60: d1207a17 ldw r4,-32280(gp) -8111ba64: 90000015 stw zero,0(r18) -8111ba68: 10800044 addi r2,r2,1 -8111ba6c: d0a09045 stb r2,-32191(gp) -8111ba70: 114379c0 call 8114379c -8111ba74: d8800005 stb r2,0(sp) -8111ba78: 10803fcc andi r2,r2,255 -8111ba7c: 103fe426 beq r2,zero,8111ba10 <__reset+0xfb0fba10> -8111ba80: d0a09043 ldbu r2,-32191(gp) -8111ba84: 10bfffc4 addi r2,r2,-1 -8111ba88: d0a09045 stb r2,-32191(gp) -8111ba8c: 11243940 call 81124394 -8111ba90: 003fdf06 br 8111ba10 <__reset+0xfb0fba10> -8111ba94: d1e07917 ldw r7,-32284(gp) -8111ba98: 01204574 movhi r4,33045 -8111ba9c: 21310804 addi r4,r4,-15328 -8111baa0: 01800f04 movi r6,60 -8111baa4: 01400044 movi r5,1 -8111baa8: 112bc4c0 call 8112bc4c -8111baac: 003f8106 br 8111b8b4 <__reset+0xfb0fb8b4> -8111bab0: 9880000b ldhu r2,0(r19) -8111bab4: 10800044 addi r2,r2,1 -8111bab8: 9880000d sth r2,0(r19) -8111babc: 10bfffcc andi r2,r2,65535 -8111bac0: 10a0001c xori r2,r2,32768 -8111bac4: 10a00004 addi r2,r2,-32768 -8111bac8: a8bf9f0e bge r21,r2,8111b948 <__reset+0xfb0fb948> -8111bacc: 80000015 stw zero,0(r16) -8111bad0: 003fa706 br 8111b970 <__reset+0xfb0fb970> -8111bad4: 9880000b ldhu r2,0(r19) -8111bad8: 10800044 addi r2,r2,1 -8111badc: 9880000d sth r2,0(r19) -8111bae0: 10bfffcc andi r2,r2,65535 -8111bae4: 10a0001c xori r2,r2,32768 -8111bae8: 10a00004 addi r2,r2,-32768 -8111baec: a8bfc80e bge r21,r2,8111ba10 <__reset+0xfb0fba10> -8111baf0: 80000015 stw zero,0(r16) -8111baf4: 003fd006 br 8111ba38 <__reset+0xfb0fba38> -8111baf8: d1208817 ldw r4,-32224(gp) -8111bafc: 114274c0 call 8114274c -8111bb00: 8880008c andi r2,r17,2 -8111bb04: 103f7f26 beq r2,zero,8111b904 <__reset+0xfb0fb904> -8111bb08: 012045f4 movhi r4,33047 -8111bb0c: 2116f504 addi r4,r4,23508 -8111bb10: 01802004 movi r6,128 -8111bb14: 000b883a mov r5,zero -8111bb18: 112c7900 call 8112c790 -8111bb1c: 8880010c andi r2,r17,4 -8111bb20: 10003d26 beq r2,zero,8111bc18 -8111bb24: 012045f4 movhi r4,33047 -8111bb28: 21171504 addi r4,r4,23636 -8111bb2c: 01802004 movi r6,128 -8111bb30: 000b883a mov r5,zero -8111bb34: 112c7900 call 8112c790 -8111bb38: 8880020c andi r2,r17,8 -8111bb3c: 10007c1e bne r2,zero,8111bd30 -8111bb40: d1208817 ldw r4,-32224(gp) -8111bb44: d80b883a mov r5,sp -8111bb48: d8000005 stb zero,0(sp) -8111bb4c: 114207c0 call 8114207c -8111bb50: d8c00003 ldbu r3,0(sp) -8111bb54: 1800711e bne r3,zero,8111bd1c -8111bb58: 10803fcc andi r2,r2,255 -8111bb5c: 10006f26 beq r2,zero,8111bd1c -8111bb60: 042045f4 movhi r16,33047 -8111bb64: 8432bd04 addi r16,r16,-13580 -8111bb68: 046045f4 movhi r17,33047 -8111bb6c: 8c56c504 addi r17,r17,23316 -8111bb70: 05000044 movi r20,1 -8111bb74: 84800184 addi r18,r16,6 -8111bb78: 84c00204 addi r19,r16,8 -8111bb7c: 85483004 addi r21,r16,8384 -8111bb80: 00000506 br 8111bb98 -8111bb84: 84008304 addi r16,r16,524 -8111bb88: 8c400104 addi r17,r17,4 -8111bb8c: 94808304 addi r18,r18,524 -8111bb90: 9cc08304 addi r19,r19,524 -8111bb94: 85406126 beq r16,r21,8111bd1c -8111bb98: 88800017 ldw r2,0(r17) -8111bb9c: 153ff91e bne r2,r20,8111bb84 <__reset+0xfb0fbb84> -8111bba0: 80800017 ldw r2,0(r16) -8111bba4: 15006b26 beq r2,r20,8111bd54 -8111bba8: 103ff61e bne r2,zero,8111bb84 <__reset+0xfb0fbb84> -8111bbac: 813f8004 addi r4,r16,-512 -8111bbb0: 112cb400 call 8112cb40 -8111bbb4: 85000015 stw r20,0(r16) -8111bbb8: 9000000d sth zero,0(r18) -8111bbbc: 98800003 ldbu r2,0(r19) -8111bbc0: 10800044 addi r2,r2,1 -8111bbc4: 98800005 stb r2,0(r19) -8111bbc8: 10803fcc andi r2,r2,255 -8111bbcc: a0bfed2e bgeu r20,r2,8111bb84 <__reset+0xfb0fbb84> -8111bbd0: d0a08503 ldbu r2,-32236(gp) -8111bbd4: d1207e17 ldw r4,-32264(gp) -8111bbd8: 88000015 stw zero,0(r17) -8111bbdc: 10800044 addi r2,r2,1 -8111bbe0: d0a08505 stb r2,-32236(gp) -8111bbe4: 114379c0 call 8114379c -8111bbe8: d8800005 stb r2,0(sp) -8111bbec: 10803fcc andi r2,r2,255 -8111bbf0: 103fe426 beq r2,zero,8111bb84 <__reset+0xfb0fbb84> -8111bbf4: d0a08503 ldbu r2,-32236(gp) -8111bbf8: 10bfffc4 addi r2,r2,-1 -8111bbfc: d0a08505 stb r2,-32236(gp) -8111bc00: 112433c0 call 8112433c -8111bc04: 003fdf06 br 8111bb84 <__reset+0xfb0fbb84> -8111bc08: d1208c17 ldw r4,-32208(gp) -8111bc0c: 114274c0 call 8114274c -8111bc10: 8880010c andi r2,r17,4 -8111bc14: 103fc31e bne r2,zero,8111bb24 <__reset+0xfb0fbb24> -8111bc18: d1208417 ldw r4,-32240(gp) -8111bc1c: d80b883a mov r5,sp -8111bc20: d8000005 stb zero,0(sp) -8111bc24: 114207c0 call 8114207c -8111bc28: d8c00003 ldbu r3,0(sp) -8111bc2c: 1800461e bne r3,zero,8111bd48 -8111bc30: 10803fcc andi r2,r2,255 -8111bc34: 10004426 beq r2,zero,8111bd48 -8111bc38: 04e045f4 movhi r19,33047 -8111bc3c: 9cf0e704 addi r19,r19,-15460 -8111bc40: 04a045f4 movhi r18,33047 -8111bc44: 94971504 addi r18,r18,23636 -8111bc48: 05800044 movi r22,1 -8111bc4c: 9c3ffe04 addi r16,r19,-8 -8111bc50: 9d3fff84 addi r20,r19,-2 -8111bc54: 9d7fff04 addi r21,r19,-4 -8111bc58: 9dc15e04 addi r23,r19,1400 -8111bc5c: 00000606 br 8111bc78 -8111bc60: 84000b04 addi r16,r16,44 -8111bc64: 94800104 addi r18,r18,4 -8111bc68: 9cc00b04 addi r19,r19,44 -8111bc6c: a5000b04 addi r20,r20,44 -8111bc70: ad400b04 addi r21,r21,44 -8111bc74: 85c03426 beq r16,r23,8111bd48 -8111bc78: 90800017 ldw r2,0(r18) -8111bc7c: 15bff81e bne r2,r22,8111bc60 <__reset+0xfb0fbc60> -8111bc80: 80800017 ldw r2,0(r16) -8111bc84: 15801c26 beq r2,r22,8111bcf8 -8111bc88: 103ff51e bne r2,zero,8111bc60 <__reset+0xfb0fbc60> -8111bc8c: 813ff804 addi r4,r16,-32 -8111bc90: 112cb400 call 8112cb40 -8111bc94: 85800015 stw r22,0(r16) -8111bc98: a000000d sth zero,0(r20) -8111bc9c: a880000b ldhu r2,0(r21) -8111bca0: 15803526 beq r2,r22,8111bd78 -8111bca4: 00c00044 movi r3,1 -8111bca8: 98800003 ldbu r2,0(r19) -8111bcac: 18c03fcc andi r3,r3,255 -8111bcb0: 10800044 addi r2,r2,1 -8111bcb4: 98800005 stb r2,0(r19) -8111bcb8: 10803fcc andi r2,r2,255 -8111bcbc: 18bfe82e bgeu r3,r2,8111bc60 <__reset+0xfb0fbc60> -8111bcc0: d0a08083 ldbu r2,-32254(gp) -8111bcc4: d1208a17 ldw r4,-32216(gp) -8111bcc8: 90000015 stw zero,0(r18) -8111bccc: 10800044 addi r2,r2,1 -8111bcd0: d0a08085 stb r2,-32254(gp) -8111bcd4: 114379c0 call 8114379c -8111bcd8: d8800005 stb r2,0(sp) -8111bcdc: 10803fcc andi r2,r2,255 -8111bce0: 103fdf26 beq r2,zero,8111bc60 <__reset+0xfb0fbc60> -8111bce4: d0a08083 ldbu r2,-32254(gp) -8111bce8: 10bfffc4 addi r2,r2,-1 -8111bcec: d0a08085 stb r2,-32254(gp) -8111bcf0: 112428c0 call 8112428c -8111bcf4: 003fda06 br 8111bc60 <__reset+0xfb0fbc60> -8111bcf8: a080000b ldhu r2,0(r20) -8111bcfc: 10800044 addi r2,r2,1 -8111bd00: a080000d sth r2,0(r20) -8111bd04: 10bfffcc andi r2,r2,65535 -8111bd08: 10a0001c xori r2,r2,32768 -8111bd0c: 10a00004 addi r2,r2,-32768 -8111bd10: b0bfd30e bge r22,r2,8111bc60 <__reset+0xfb0fbc60> -8111bd14: 80000015 stw zero,0(r16) -8111bd18: 003fdc06 br 8111bc8c <__reset+0xfb0fbc8c> -8111bd1c: d1208817 ldw r4,-32224(gp) -8111bd20: 114274c0 call 8114274c -8111bd24: d1208f17 ldw r4,-32196(gp) -8111bd28: 114274c0 call 8114274c -8111bd2c: 003ee106 br 8111b8b4 <__reset+0xfb0fb8b4> -8111bd30: 012045f4 movhi r4,33047 -8111bd34: 2116c504 addi r4,r4,23316 -8111bd38: 01801004 movi r6,64 -8111bd3c: 000b883a mov r5,zero -8111bd40: 112c7900 call 8112c790 -8111bd44: 003ff706 br 8111bd24 <__reset+0xfb0fbd24> -8111bd48: d1208417 ldw r4,-32240(gp) -8111bd4c: 114274c0 call 8114274c -8111bd50: 003f7906 br 8111bb38 <__reset+0xfb0fbb38> -8111bd54: 9080000b ldhu r2,0(r18) -8111bd58: 10800044 addi r2,r2,1 -8111bd5c: 9080000d sth r2,0(r18) -8111bd60: 10bfffcc andi r2,r2,65535 -8111bd64: 10a0001c xori r2,r2,32768 -8111bd68: 10a00004 addi r2,r2,-32768 -8111bd6c: a0bf850e bge r20,r2,8111bb84 <__reset+0xfb0fbb84> -8111bd70: 80000015 stw zero,0(r16) -8111bd74: 003f8d06 br 8111bbac <__reset+0xfb0fbbac> -8111bd78: 00fffe84 movi r3,-6 -8111bd7c: 003fca06 br 8111bca8 <__reset+0xfb0fbca8> - -8111bd80 : -8111bd80: defffe04 addi sp,sp,-8 -8111bd84: 00a04634 movhi r2,33048 -8111bd88: dfc00115 stw ra,4(sp) -8111bd8c: 108f0804 addi r2,r2,15392 -8111bd90: 10800803 ldbu r2,32(r2) -8111bd94: d8000005 stb zero,0(sp) -8111bd98: 00c00104 movi r3,4 -8111bd9c: 18800a2e bgeu r3,r2,8111bdc8 -8111bda0: d1207f17 ldw r4,-32260(gp) -8111bda4: d80d883a mov r6,sp -8111bda8: 000b883a mov r5,zero -8111bdac: 11435640 call 81143564 -8111bdb0: d8800003 ldbu r2,0(sp) -8111bdb4: 1000021e bne r2,zero,8111bdc0 -8111bdb8: 111b80c0 call 8111b80c -8111bdbc: 003ff806 br 8111bda0 <__reset+0xfb0fbda0> -8111bdc0: 1124a600 call 81124a60 -8111bdc4: 003ff606 br 8111bda0 <__reset+0xfb0fbda0> -8111bdc8: d1e07917 ldw r7,-32284(gp) -8111bdcc: 01204574 movhi r4,33045 -8111bdd0: 21311804 addi r4,r4,-15264 -8111bdd4: 01800844 movi r6,33 -8111bdd8: 01400044 movi r5,1 -8111bddc: 112bc4c0 call 8112bc4c -8111bde0: 003fef06 br 8111bda0 <__reset+0xfb0fbda0> - -8111bde4 : -8111bde4: d1208817 ldw r4,-32224(gp) -8111bde8: defff804 addi sp,sp,-32 -8111bdec: d80b883a mov r5,sp -8111bdf0: dfc00715 stw ra,28(sp) -8111bdf4: dd400615 stw r21,24(sp) -8111bdf8: dd000515 stw r20,20(sp) -8111bdfc: dcc00415 stw r19,16(sp) -8111be00: dc800315 stw r18,12(sp) -8111be04: dc400215 stw r17,8(sp) -8111be08: dc000115 stw r16,4(sp) -8111be0c: d8000005 stb zero,0(sp) -8111be10: 114207c0 call 8114207c -8111be14: d8c00003 ldbu r3,0(sp) -8111be18: 18002c1e bne r3,zero,8111becc -8111be1c: 10803fcc andi r2,r2,255 -8111be20: 10002a26 beq r2,zero,8111becc -8111be24: 042045f4 movhi r16,33047 -8111be28: 8432bd04 addi r16,r16,-13580 -8111be2c: 046045f4 movhi r17,33047 -8111be30: 8c56c504 addi r17,r17,23316 -8111be34: 05000044 movi r20,1 -8111be38: 84800184 addi r18,r16,6 -8111be3c: 84c00204 addi r19,r16,8 -8111be40: 85483004 addi r21,r16,8384 -8111be44: 00000506 br 8111be5c -8111be48: 84008304 addi r16,r16,524 -8111be4c: 8c400104 addi r17,r17,4 -8111be50: 94808304 addi r18,r18,524 -8111be54: 9cc08304 addi r19,r19,524 -8111be58: 85401c26 beq r16,r21,8111becc -8111be5c: 88800017 ldw r2,0(r17) -8111be60: 153ff91e bne r2,r20,8111be48 <__reset+0xfb0fbe48> -8111be64: 80800017 ldw r2,0(r16) -8111be68: 15002326 beq r2,r20,8111bef8 -8111be6c: 103ff61e bne r2,zero,8111be48 <__reset+0xfb0fbe48> -8111be70: 813f8004 addi r4,r16,-512 -8111be74: 112cb400 call 8112cb40 -8111be78: 85000015 stw r20,0(r16) -8111be7c: 9000000d sth zero,0(r18) -8111be80: 98800003 ldbu r2,0(r19) -8111be84: 10800044 addi r2,r2,1 -8111be88: 98800005 stb r2,0(r19) -8111be8c: 10803fcc andi r2,r2,255 -8111be90: a0bfed2e bgeu r20,r2,8111be48 <__reset+0xfb0fbe48> -8111be94: d0a08503 ldbu r2,-32236(gp) -8111be98: d1207e17 ldw r4,-32264(gp) -8111be9c: 88000015 stw zero,0(r17) -8111bea0: 10800044 addi r2,r2,1 -8111bea4: d0a08505 stb r2,-32236(gp) -8111bea8: 114379c0 call 8114379c -8111beac: d8800005 stb r2,0(sp) -8111beb0: 10803fcc andi r2,r2,255 -8111beb4: 103fe426 beq r2,zero,8111be48 <__reset+0xfb0fbe48> -8111beb8: d0a08503 ldbu r2,-32236(gp) -8111bebc: 10bfffc4 addi r2,r2,-1 -8111bec0: d0a08505 stb r2,-32236(gp) -8111bec4: 112433c0 call 8112433c -8111bec8: 003fdf06 br 8111be48 <__reset+0xfb0fbe48> -8111becc: d1208817 ldw r4,-32224(gp) -8111bed0: 114274c0 call 8114274c -8111bed4: dfc00717 ldw ra,28(sp) -8111bed8: dd400617 ldw r21,24(sp) -8111bedc: dd000517 ldw r20,20(sp) -8111bee0: dcc00417 ldw r19,16(sp) -8111bee4: dc800317 ldw r18,12(sp) -8111bee8: dc400217 ldw r17,8(sp) -8111beec: dc000117 ldw r16,4(sp) -8111bef0: dec00804 addi sp,sp,32 -8111bef4: f800283a ret -8111bef8: 9080000b ldhu r2,0(r18) -8111befc: 10800044 addi r2,r2,1 -8111bf00: 9080000d sth r2,0(r18) -8111bf04: 10bfffcc andi r2,r2,65535 -8111bf08: 10a0001c xori r2,r2,32768 -8111bf0c: 10a00004 addi r2,r2,-32768 -8111bf10: a0bfcd0e bge r20,r2,8111be48 <__reset+0xfb0fbe48> -8111bf14: 80000015 stw zero,0(r16) -8111bf18: 003fd506 br 8111be70 <__reset+0xfb0fbe70> - -8111bf1c : -8111bf1c: d1208817 ldw r4,-32224(gp) -8111bf20: defff804 addi sp,sp,-32 -8111bf24: d80b883a mov r5,sp -8111bf28: dfc00715 stw ra,28(sp) -8111bf2c: dd400615 stw r21,24(sp) -8111bf30: dd000515 stw r20,20(sp) -8111bf34: dcc00415 stw r19,16(sp) -8111bf38: dc800315 stw r18,12(sp) -8111bf3c: dc400215 stw r17,8(sp) -8111bf40: dc000115 stw r16,4(sp) -8111bf44: d8000005 stb zero,0(sp) -8111bf48: 114207c0 call 8114207c -8111bf4c: d8c00003 ldbu r3,0(sp) -8111bf50: 18002c1e bne r3,zero,8111c004 -8111bf54: 10803fcc andi r2,r2,255 -8111bf58: 10002a26 beq r2,zero,8111c004 -8111bf5c: 042045f4 movhi r16,33047 -8111bf60: 84177504 addi r16,r16,24020 -8111bf64: 046045f4 movhi r17,33047 -8111bf68: 8c56d504 addi r17,r17,23380 -8111bf6c: 05000044 movi r20,1 -8111bf70: 84800184 addi r18,r16,6 -8111bf74: 84c00204 addi r19,r16,8 -8111bf78: 85446004 addi r21,r16,4480 -8111bf7c: 00000506 br 8111bf94 -8111bf80: 84002304 addi r16,r16,140 -8111bf84: 8c400104 addi r17,r17,4 -8111bf88: 94802304 addi r18,r18,140 -8111bf8c: 9cc02304 addi r19,r19,140 -8111bf90: 85401c26 beq r16,r21,8111c004 -8111bf94: 88800017 ldw r2,0(r17) -8111bf98: 153ff91e bne r2,r20,8111bf80 <__reset+0xfb0fbf80> -8111bf9c: 80800017 ldw r2,0(r16) -8111bfa0: 15002326 beq r2,r20,8111c030 -8111bfa4: 103ff61e bne r2,zero,8111bf80 <__reset+0xfb0fbf80> -8111bfa8: 813fe004 addi r4,r16,-128 -8111bfac: 112cb400 call 8112cb40 -8111bfb0: 85000015 stw r20,0(r16) -8111bfb4: 9000000d sth zero,0(r18) -8111bfb8: 98800003 ldbu r2,0(r19) -8111bfbc: 10800044 addi r2,r2,1 -8111bfc0: 98800005 stb r2,0(r19) -8111bfc4: 10803fcc andi r2,r2,255 -8111bfc8: a0bfed2e bgeu r20,r2,8111bf80 <__reset+0xfb0fbf80> -8111bfcc: d0a09043 ldbu r2,-32191(gp) -8111bfd0: d1207a17 ldw r4,-32280(gp) -8111bfd4: 88000015 stw zero,0(r17) -8111bfd8: 10800044 addi r2,r2,1 -8111bfdc: d0a09045 stb r2,-32191(gp) -8111bfe0: 114379c0 call 8114379c -8111bfe4: d8800005 stb r2,0(sp) -8111bfe8: 10803fcc andi r2,r2,255 -8111bfec: 103fe426 beq r2,zero,8111bf80 <__reset+0xfb0fbf80> -8111bff0: d0a09043 ldbu r2,-32191(gp) -8111bff4: 10bfffc4 addi r2,r2,-1 -8111bff8: d0a09045 stb r2,-32191(gp) -8111bffc: 11243940 call 81124394 -8111c000: 003fdf06 br 8111bf80 <__reset+0xfb0fbf80> -8111c004: d1208817 ldw r4,-32224(gp) -8111c008: 114274c0 call 8114274c -8111c00c: dfc00717 ldw ra,28(sp) -8111c010: dd400617 ldw r21,24(sp) -8111c014: dd000517 ldw r20,20(sp) -8111c018: dcc00417 ldw r19,16(sp) -8111c01c: dc800317 ldw r18,12(sp) -8111c020: dc400217 ldw r17,8(sp) -8111c024: dc000117 ldw r16,4(sp) -8111c028: dec00804 addi sp,sp,32 -8111c02c: f800283a ret -8111c030: 9080000b ldhu r2,0(r18) -8111c034: 10800044 addi r2,r2,1 -8111c038: 9080000d sth r2,0(r18) -8111c03c: 10bfffcc andi r2,r2,65535 -8111c040: 10a0001c xori r2,r2,32768 -8111c044: 10a00004 addi r2,r2,-32768 -8111c048: a0bfcd0e bge r20,r2,8111bf80 <__reset+0xfb0fbf80> -8111c04c: 80000015 stw zero,0(r16) -8111c050: 003fd506 br 8111bfa8 <__reset+0xfb0fbfa8> - -8111c054 : -8111c054: d1208c17 ldw r4,-32208(gp) -8111c058: defff804 addi sp,sp,-32 -8111c05c: d80b883a mov r5,sp -8111c060: dfc00715 stw ra,28(sp) -8111c064: dd400615 stw r21,24(sp) -8111c068: dd000515 stw r20,20(sp) -8111c06c: dcc00415 stw r19,16(sp) -8111c070: dc800315 stw r18,12(sp) -8111c074: dc400215 stw r17,8(sp) -8111c078: dc000115 stw r16,4(sp) -8111c07c: d8000005 stb zero,0(sp) -8111c080: 114207c0 call 8114207c -8111c084: d8c00003 ldbu r3,0(sp) -8111c088: 18002c1e bne r3,zero,8111c13c -8111c08c: 10803fcc andi r2,r2,255 -8111c090: 10002a26 beq r2,zero,8111c13c -8111c094: 04204574 movhi r16,33045 -8111c098: 8415e704 addi r16,r16,22428 -8111c09c: 046045f4 movhi r17,33047 -8111c0a0: 8c56f504 addi r17,r17,23508 -8111c0a4: 05000044 movi r20,1 -8111c0a8: 84800184 addi r18,r16,6 -8111c0ac: 84c00204 addi r19,r16,8 -8111c0b0: 85426004 addi r21,r16,2432 -8111c0b4: 00000506 br 8111c0cc -8111c0b8: 84001304 addi r16,r16,76 -8111c0bc: 8c400104 addi r17,r17,4 -8111c0c0: 94801304 addi r18,r18,76 -8111c0c4: 9cc01304 addi r19,r19,76 -8111c0c8: 85401c26 beq r16,r21,8111c13c -8111c0cc: 88800017 ldw r2,0(r17) -8111c0d0: 153ff91e bne r2,r20,8111c0b8 <__reset+0xfb0fc0b8> -8111c0d4: 80800017 ldw r2,0(r16) -8111c0d8: 15002326 beq r2,r20,8111c168 -8111c0dc: 103ff61e bne r2,zero,8111c0b8 <__reset+0xfb0fc0b8> -8111c0e0: 813ff004 addi r4,r16,-64 -8111c0e4: 112cb400 call 8112cb40 -8111c0e8: 85000015 stw r20,0(r16) -8111c0ec: 9000000d sth zero,0(r18) -8111c0f0: 98800003 ldbu r2,0(r19) -8111c0f4: 10800044 addi r2,r2,1 -8111c0f8: 98800005 stb r2,0(r19) -8111c0fc: 10803fcc andi r2,r2,255 -8111c100: a0bfed2e bgeu r20,r2,8111c0b8 <__reset+0xfb0fc0b8> -8111c104: d0a09003 ldbu r2,-32192(gp) -8111c108: d1207517 ldw r4,-32300(gp) -8111c10c: 88000015 stw zero,0(r17) -8111c110: 10800044 addi r2,r2,1 -8111c114: d0a09005 stb r2,-32192(gp) -8111c118: 114379c0 call 8114379c -8111c11c: d8800005 stb r2,0(sp) -8111c120: 10803fcc andi r2,r2,255 -8111c124: 103fe426 beq r2,zero,8111c0b8 <__reset+0xfb0fc0b8> -8111c128: d0a09003 ldbu r2,-32192(gp) -8111c12c: 10bfffc4 addi r2,r2,-1 -8111c130: d0a09005 stb r2,-32192(gp) -8111c134: 11242e40 call 811242e4 -8111c138: 003fdf06 br 8111c0b8 <__reset+0xfb0fc0b8> -8111c13c: d1208c17 ldw r4,-32208(gp) -8111c140: 114274c0 call 8114274c -8111c144: dfc00717 ldw ra,28(sp) -8111c148: dd400617 ldw r21,24(sp) -8111c14c: dd000517 ldw r20,20(sp) -8111c150: dcc00417 ldw r19,16(sp) -8111c154: dc800317 ldw r18,12(sp) -8111c158: dc400217 ldw r17,8(sp) -8111c15c: dc000117 ldw r16,4(sp) -8111c160: dec00804 addi sp,sp,32 -8111c164: f800283a ret -8111c168: 9080000b ldhu r2,0(r18) -8111c16c: 10800044 addi r2,r2,1 -8111c170: 9080000d sth r2,0(r18) -8111c174: 10bfffcc andi r2,r2,65535 -8111c178: 10a0001c xori r2,r2,32768 -8111c17c: 10a00004 addi r2,r2,-32768 -8111c180: a0bfcd0e bge r20,r2,8111c0b8 <__reset+0xfb0fc0b8> -8111c184: 80000015 stw zero,0(r16) -8111c188: 003fd506 br 8111c0e0 <__reset+0xfb0fc0e0> - -8111c18c : -8111c18c: d1208417 ldw r4,-32240(gp) -8111c190: defff704 addi sp,sp,-36 -8111c194: d80b883a mov r5,sp -8111c198: dfc00815 stw ra,32(sp) -8111c19c: dd800715 stw r22,28(sp) -8111c1a0: dd400615 stw r21,24(sp) -8111c1a4: dd000515 stw r20,20(sp) -8111c1a8: dcc00415 stw r19,16(sp) -8111c1ac: dc800315 stw r18,12(sp) -8111c1b0: dc400215 stw r17,8(sp) -8111c1b4: dc000115 stw r16,4(sp) -8111c1b8: d8000005 stb zero,0(sp) -8111c1bc: 114207c0 call 8114207c -8111c1c0: d8c00003 ldbu r3,0(sp) -8111c1c4: 1800321e bne r3,zero,8111c290 -8111c1c8: 10803fcc andi r2,r2,255 -8111c1cc: 10003026 beq r2,zero,8111c290 -8111c1d0: 04a045f4 movhi r18,33047 -8111c1d4: 94b0e704 addi r18,r18,-15460 -8111c1d8: 046045f4 movhi r17,33047 -8111c1dc: 8c571504 addi r17,r17,23636 -8111c1e0: 05400044 movi r21,1 -8111c1e4: 943ffe04 addi r16,r18,-8 -8111c1e8: 94ffff84 addi r19,r18,-2 -8111c1ec: 953fff04 addi r20,r18,-4 -8111c1f0: 95815e04 addi r22,r18,1400 -8111c1f4: 00000606 br 8111c210 -8111c1f8: 84000b04 addi r16,r16,44 -8111c1fc: 8c400104 addi r17,r17,4 -8111c200: 94800b04 addi r18,r18,44 -8111c204: 9cc00b04 addi r19,r19,44 -8111c208: a5000b04 addi r20,r20,44 -8111c20c: 85802026 beq r16,r22,8111c290 -8111c210: 88800017 ldw r2,0(r17) -8111c214: 157ff81e bne r2,r21,8111c1f8 <__reset+0xfb0fc1f8> -8111c218: 80800017 ldw r2,0(r16) -8111c21c: 15402826 beq r2,r21,8111c2c0 -8111c220: 103ff51e bne r2,zero,8111c1f8 <__reset+0xfb0fc1f8> -8111c224: 813ff804 addi r4,r16,-32 -8111c228: 112cb400 call 8112cb40 -8111c22c: 85400015 stw r21,0(r16) -8111c230: 9800000d sth zero,0(r19) -8111c234: a080000b ldhu r2,0(r20) -8111c238: 15402a26 beq r2,r21,8111c2e4 -8111c23c: 00c00044 movi r3,1 -8111c240: 90800003 ldbu r2,0(r18) -8111c244: 18c03fcc andi r3,r3,255 -8111c248: 10800044 addi r2,r2,1 -8111c24c: 90800005 stb r2,0(r18) -8111c250: 10803fcc andi r2,r2,255 -8111c254: 18bfe82e bgeu r3,r2,8111c1f8 <__reset+0xfb0fc1f8> -8111c258: d0a08083 ldbu r2,-32254(gp) -8111c25c: d1208a17 ldw r4,-32216(gp) -8111c260: 88000015 stw zero,0(r17) -8111c264: 10800044 addi r2,r2,1 -8111c268: d0a08085 stb r2,-32254(gp) -8111c26c: 114379c0 call 8114379c -8111c270: d8800005 stb r2,0(sp) -8111c274: 10803fcc andi r2,r2,255 -8111c278: 103fdf26 beq r2,zero,8111c1f8 <__reset+0xfb0fc1f8> -8111c27c: d0a08083 ldbu r2,-32254(gp) -8111c280: 10bfffc4 addi r2,r2,-1 -8111c284: d0a08085 stb r2,-32254(gp) -8111c288: 112428c0 call 8112428c -8111c28c: 003fda06 br 8111c1f8 <__reset+0xfb0fc1f8> -8111c290: d1208417 ldw r4,-32240(gp) -8111c294: 114274c0 call 8114274c -8111c298: dfc00817 ldw ra,32(sp) -8111c29c: dd800717 ldw r22,28(sp) -8111c2a0: dd400617 ldw r21,24(sp) -8111c2a4: dd000517 ldw r20,20(sp) -8111c2a8: dcc00417 ldw r19,16(sp) -8111c2ac: dc800317 ldw r18,12(sp) -8111c2b0: dc400217 ldw r17,8(sp) -8111c2b4: dc000117 ldw r16,4(sp) -8111c2b8: dec00904 addi sp,sp,36 -8111c2bc: f800283a ret -8111c2c0: 9880000b ldhu r2,0(r19) -8111c2c4: 10800044 addi r2,r2,1 -8111c2c8: 9880000d sth r2,0(r19) -8111c2cc: 10bfffcc andi r2,r2,65535 -8111c2d0: 10a0001c xori r2,r2,32768 -8111c2d4: 10a00004 addi r2,r2,-32768 -8111c2d8: a8bfc70e bge r21,r2,8111c1f8 <__reset+0xfb0fc1f8> -8111c2dc: 80000015 stw zero,0(r16) -8111c2e0: 003fd006 br 8111c224 <__reset+0xfb0fc224> -8111c2e4: 00fffe84 movi r3,-6 -8111c2e8: 003fd506 br 8111c240 <__reset+0xfb0fc240> - -8111c2ec : -8111c2ec: defff904 addi sp,sp,-28 -8111c2f0: 0009883a mov r4,zero -8111c2f4: dfc00615 stw ra,24(sp) -8111c2f8: dc400515 stw r17,20(sp) -8111c2fc: dc000415 stw r16,16(sp) -8111c300: 11433700 call 81143370 -8111c304: d0a07715 stw r2,-32292(gp) -8111c308: 10011a26 beq r2,zero,8111c774 -8111c30c: 04400044 movi r17,1 -8111c310: 01000184 movi r4,6 -8111c314: d9400304 addi r5,sp,12 -8111c318: 11421540 call 81142154 -8111c31c: d9000303 ldbu r4,12(sp) -8111c320: d0a08f15 stw r2,-32196(gp) -8111c324: 2000bd1e bne r4,zero,8111c61c -8111c328: 01000284 movi r4,10 -8111c32c: d9400304 addi r5,sp,12 -8111c330: 11421540 call 81142154 -8111c334: d9000303 ldbu r4,12(sp) -8111c338: d0a08815 stw r2,-32224(gp) -8111c33c: 2000d51e bne r4,zero,8111c694 -8111c340: 010002c4 movi r4,11 -8111c344: d9400304 addi r5,sp,12 -8111c348: 11421540 call 81142154 -8111c34c: d9000303 ldbu r4,12(sp) -8111c350: d0a08c15 stw r2,-32208(gp) -8111c354: 2000cc1e bne r4,zero,8111c688 -8111c358: 01000304 movi r4,12 -8111c35c: d9400304 addi r5,sp,12 -8111c360: 11421540 call 81142154 -8111c364: d9000303 ldbu r4,12(sp) -8111c368: d0a08415 stw r2,-32240(gp) -8111c36c: 2000c31e bne r4,zero,8111c67c -8111c370: 00800404 movi r2,16 -8111c374: 01000404 movi r4,16 -8111c378: d0a08505 stb r2,-32236(gp) -8111c37c: 11433700 call 81143370 -8111c380: d0a07e15 stw r2,-32264(gp) -8111c384: 1000fe26 beq r2,zero,8111c780 -8111c388: 00800804 movi r2,32 -8111c38c: 01000804 movi r4,32 -8111c390: d0a09045 stb r2,-32191(gp) -8111c394: 11433700 call 81143370 -8111c398: d0a07a15 stw r2,-32280(gp) -8111c39c: 1000fc26 beq r2,zero,8111c790 -8111c3a0: 00800804 movi r2,32 -8111c3a4: 01000804 movi r4,32 -8111c3a8: d0a09005 stb r2,-32192(gp) -8111c3ac: 11433700 call 81143370 -8111c3b0: d0a07515 stw r2,-32300(gp) -8111c3b4: 1000fa26 beq r2,zero,8111c7a0 -8111c3b8: 00800804 movi r2,32 -8111c3bc: 01000804 movi r4,32 -8111c3c0: d0a08085 stb r2,-32254(gp) -8111c3c4: 11433700 call 81143370 -8111c3c8: d0a08a15 stw r2,-32216(gp) -8111c3cc: 1000f826 beq r2,zero,8111c7b0 -8111c3d0: 01000204 movi r4,8 -8111c3d4: d9400304 addi r5,sp,12 -8111c3d8: 11421540 call 81142154 -8111c3dc: d9000303 ldbu r4,12(sp) -8111c3e0: d0a07c15 stw r2,-32272(gp) -8111c3e4: 2000a21e bne r4,zero,8111c670 -8111c3e8: 01000344 movi r4,13 -8111c3ec: d9400304 addi r5,sp,12 -8111c3f0: 11421540 call 81142154 -8111c3f4: d9000303 ldbu r4,12(sp) -8111c3f8: d0a08315 stw r2,-32244(gp) -8111c3fc: 2000991e bne r4,zero,8111c664 -8111c400: 0009883a mov r4,zero -8111c404: 11433700 call 81143370 -8111c408: d0a07d15 stw r2,-32268(gp) -8111c40c: 1000a626 beq r2,zero,8111c6a8 -8111c410: 0009883a mov r4,zero -8111c414: 11433700 call 81143370 -8111c418: d0a07b15 stw r2,-32276(gp) -8111c41c: 1000c326 beq r2,zero,8111c72c -8111c420: 0009883a mov r4,zero -8111c424: 11433700 call 81143370 -8111c428: d0a07815 stw r2,-32288(gp) -8111c42c: 1000c226 beq r2,zero,8111c738 -8111c430: 010001c4 movi r4,7 -8111c434: d9400304 addi r5,sp,12 -8111c438: 11421540 call 81142154 -8111c43c: d9000303 ldbu r4,12(sp) -8111c440: d0a08d15 stw r2,-32204(gp) -8111c444: 2000841e bne r4,zero,8111c658 -8111c448: 01000244 movi r4,9 -8111c44c: d9400304 addi r5,sp,12 -8111c450: 11421540 call 81142154 -8111c454: d9000303 ldbu r4,12(sp) -8111c458: d0a04015 stw r2,-32512(gp) -8111c45c: 20007b1e bne r4,zero,8111c64c +8111b65c: 04400104 movi r17,4 +8111b660: 8880642e bgeu r17,r2,8111b7f4 +8111b664: 000d883a mov r6,zero +8111b668: 000b883a mov r5,zero +8111b66c: 010028c4 movi r4,163 +8111b670: 11263940 call 81126394 +8111b674: 000d883a mov r6,zero +8111b678: 000b883a mov r5,zero +8111b67c: 01002844 movi r4,161 +8111b680: 11264d00 call 811264d0 +8111b684: 01c00c84 movi r7,50 +8111b688: 000d883a mov r6,zero +8111b68c: 000b883a mov r5,zero +8111b690: 0009883a mov r4,zero +8111b694: 11447cc0 call 811447cc +8111b698: 90800803 ldbu r2,32(r18) +8111b69c: 00c00104 movi r3,4 +8111b6a0: 18804d2e bgeu r3,r2,8111b7d8 +8111b6a4: d8000005 stb zero,0(sp) +8111b6a8: d8800003 ldbu r2,0(sp) +8111b6ac: 04400144 movi r17,5 +8111b6b0: 10803fcc andi r2,r2,255 +8111b6b4: 88801836 bltu r17,r2,8111b718 +8111b6b8: d9000003 ldbu r4,0(sp) +8111b6bc: d9c00003 ldbu r7,0(sp) +8111b6c0: 000d883a mov r6,zero +8111b6c4: 014028c4 movi r5,163 +8111b6c8: 39c03fcc andi r7,r7,255 +8111b6cc: 21003fcc andi r4,r4,255 +8111b6d0: 11264100 call 81126410 +8111b6d4: d9000003 ldbu r4,0(sp) +8111b6d8: 21003fcc andi r4,r4,255 +8111b6dc: 21042f24 muli r4,r4,4284 +8111b6e0: 21199404 addi r4,r4,26192 +8111b6e4: 8109883a add r4,r16,r4 +8111b6e8: 11051340 call 81105134 +8111b6ec: d8800003 ldbu r2,0(sp) +8111b6f0: d8c00003 ldbu r3,0(sp) +8111b6f4: 10803fcc andi r2,r2,255 +8111b6f8: 18c00044 addi r3,r3,1 +8111b6fc: 10842f24 muli r2,r2,4284 +8111b700: d8c00005 stb r3,0(sp) +8111b704: d8c00003 ldbu r3,0(sp) +8111b708: 8085883a add r2,r16,r2 +8111b70c: 10197305 stb zero,26060(r2) +8111b710: 18803fcc andi r2,r3,255 +8111b714: 88bfe82e bgeu r17,r2,8111b6b8 <__reset+0xfb0fb6b8> +8111b718: 1106be80 call 81106be8 +8111b71c: 01c01904 movi r7,100 +8111b720: 000d883a mov r6,zero +8111b724: 000b883a mov r5,zero +8111b728: 0009883a mov r4,zero +8111b72c: 11447cc0 call 811447cc +8111b730: 000d883a mov r6,zero +8111b734: 000b883a mov r5,zero +8111b738: 01002884 movi r4,162 +8111b73c: 11263940 call 81126394 +8111b740: 90800803 ldbu r2,32(r18) +8111b744: 00c00104 movi r3,4 +8111b748: 1880392e bgeu r3,r2,8111b830 +8111b74c: 00800044 movi r2,1 +8111b750: 80800305 stb r2,12(r16) +8111b754: 80000345 stb zero,13(r16) +8111b758: 000d883a mov r6,zero +8111b75c: 000b883a mov r5,zero +8111b760: 01002884 movi r4,162 +8111b764: 11264d00 call 811264d0 +8111b768: 01c00c84 movi r7,50 +8111b76c: 000d883a mov r6,zero +8111b770: 000b883a mov r5,zero +8111b774: 0009883a mov r4,zero +8111b778: 11447cc0 call 811447cc +8111b77c: a13fce04 addi r4,r20,-200 +8111b780: 0140fa04 movi r5,1000 +8111b784: 112b4780 call 8112b478
+8111b788: 19ffffcc andi r7,r3,65535 +8111b78c: 11803fcc andi r6,r2,255 +8111b790: 000b883a mov r5,zero +8111b794: 0009883a mov r4,zero +8111b798: 11447cc0 call 811447cc +8111b79c: 98000015 stw zero,0(r19) +8111b7a0: 11072ec0 call 811072ec +8111b7a4: 11071640 call 81107164 +8111b7a8: 11267dc0 call 811267dc +8111b7ac: 003f9d06 br 8111b624 <__reset+0xfb0fb624> +8111b7b0: 90800803 ldbu r2,32(r18) +8111b7b4: 00c001c4 movi r3,7 +8111b7b8: 18bf9a36 bltu r3,r2,8111b624 <__reset+0xfb0fb624> +8111b7bc: d1e07917 ldw r7,-32284(gp) +8111b7c0: 01204574 movhi r4,33045 +8111b7c4: 21311004 addi r4,r4,-15296 +8111b7c8: 018007c4 movi r6,31 +8111b7cc: 01400044 movi r5,1 +8111b7d0: 112bc8c0 call 8112bc8c +8111b7d4: 003f9306 br 8111b624 <__reset+0xfb0fb624> +8111b7d8: d1e07917 ldw r7,-32284(gp) +8111b7dc: 01204574 movhi r4,33045 +8111b7e0: 2130fe04 addi r4,r4,-15368 +8111b7e4: 01800844 movi r6,33 +8111b7e8: 01400044 movi r5,1 +8111b7ec: 112bc8c0 call 8112bc8c +8111b7f0: 003fac06 br 8111b6a4 <__reset+0xfb0fb6a4> +8111b7f4: d1e07917 ldw r7,-32284(gp) +8111b7f8: 01204574 movhi r4,33045 +8111b7fc: 2130f204 addi r4,r4,-15416 +8111b800: 01800484 movi r6,18 +8111b804: 01400044 movi r5,1 +8111b808: 112bc8c0 call 8112bc8c +8111b80c: b0800803 ldbu r2,32(r22) +8111b810: 88bf9436 bltu r17,r2,8111b664 <__reset+0xfb0fb664> +8111b814: d1e07917 ldw r7,-32284(gp) +8111b818: 01204574 movhi r4,33045 +8111b81c: 2130f704 addi r4,r4,-15396 +8111b820: 018006c4 movi r6,27 +8111b824: 01400044 movi r5,1 +8111b828: 112bc8c0 call 8112bc8c +8111b82c: 003f8d06 br 8111b664 <__reset+0xfb0fb664> +8111b830: d1e07917 ldw r7,-32284(gp) +8111b834: 01204574 movhi r4,33045 +8111b838: 21310704 addi r4,r4,-15332 +8111b83c: 01800804 movi r6,32 +8111b840: 01400044 movi r5,1 +8111b844: 112bc8c0 call 8112bc8c +8111b848: 003fc006 br 8111b74c <__reset+0xfb0fb74c> + +8111b84c : +8111b84c: d0e08503 ldbu r3,-32236(gp) +8111b850: d0a08083 ldbu r2,-32254(gp) +8111b854: d1209003 ldbu r4,-32192(gp) +8111b858: 18c03fcc andi r3,r3,255 +8111b85c: d1609043 ldbu r5,-32191(gp) +8111b860: 18c00420 cmpeqi r3,r3,16 +8111b864: 10803fcc andi r2,r2,255 +8111b868: 180690fa slli r3,r3,3 +8111b86c: 10800820 cmpeqi r2,r2,32 +8111b870: 21003fcc andi r4,r4,255 +8111b874: 100490ba slli r2,r2,2 +8111b878: 21000820 cmpeqi r4,r4,32 +8111b87c: 29403fcc andi r5,r5,255 +8111b880: 2008907a slli r4,r4,1 +8111b884: 29400820 cmpeqi r5,r5,32 +8111b888: 28c6b03a or r3,r5,r3 +8111b88c: defff604 addi sp,sp,-40 +8111b890: 1884b03a or r2,r3,r2 +8111b894: dc400215 stw r17,8(sp) +8111b898: dfc00915 stw ra,36(sp) +8111b89c: 1122b03a or r17,r2,r4 +8111b8a0: ddc00815 stw r23,32(sp) +8111b8a4: dd800715 stw r22,28(sp) +8111b8a8: dd400615 stw r21,24(sp) +8111b8ac: dd000515 stw r20,20(sp) +8111b8b0: dcc00415 stw r19,16(sp) +8111b8b4: dc800315 stw r18,12(sp) +8111b8b8: dc000115 stw r16,4(sp) +8111b8bc: d8000045 stb zero,1(sp) +8111b8c0: 008003c4 movi r2,15 +8111b8c4: 88800b26 beq r17,r2,8111b8f4 +8111b8c8: d1208f17 ldw r4,-32196(gp) +8111b8cc: d9800044 addi r6,sp,1 +8111b8d0: 000b883a mov r5,zero +8111b8d4: 11424880 call 81142488 +8111b8d8: d8800043 ldbu r2,1(sp) +8111b8dc: 10001026 beq r2,zero,8111b920 +8111b8e0: 00a04634 movhi r2,33048 +8111b8e4: 108f1904 addi r2,r2,15460 +8111b8e8: 10800803 ldbu r2,32(r2) +8111b8ec: 00c001c4 movi r3,7 +8111b8f0: 1880782e bgeu r3,r2,8111bad4 +8111b8f4: dfc00917 ldw ra,36(sp) +8111b8f8: ddc00817 ldw r23,32(sp) +8111b8fc: dd800717 ldw r22,28(sp) +8111b900: dd400617 ldw r21,24(sp) +8111b904: dd000517 ldw r20,20(sp) +8111b908: dcc00417 ldw r19,16(sp) +8111b90c: dc800317 ldw r18,12(sp) +8111b910: dc400217 ldw r17,8(sp) +8111b914: dc000117 ldw r16,4(sp) +8111b918: dec00a04 addi sp,sp,40 +8111b91c: f800283a ret +8111b920: 8880004c andi r2,r17,1 +8111b924: 10003926 beq r2,zero,8111ba0c +8111b928: 012045f4 movhi r4,33047 +8111b92c: 2116e604 addi r4,r4,23448 +8111b930: 01802004 movi r6,128 +8111b934: 000b883a mov r5,zero +8111b938: 112c7d00 call 8112c7d0 +8111b93c: 8880008c andi r2,r17,2 +8111b940: 1000811e bne r2,zero,8111bb48 +8111b944: d1208c17 ldw r4,-32208(gp) +8111b948: d80b883a mov r5,sp +8111b94c: d8000005 stb zero,0(sp) +8111b950: 11420bc0 call 811420bc +8111b954: d8c00003 ldbu r3,0(sp) +8111b958: 1800bb1e bne r3,zero,8111bc48 +8111b95c: 10803fcc andi r2,r2,255 +8111b960: 1000b926 beq r2,zero,8111bc48 +8111b964: 04204574 movhi r16,33045 +8111b968: 8415f804 addi r16,r16,22496 +8111b96c: 04a045f4 movhi r18,33047 +8111b970: 94970604 addi r18,r18,23576 +8111b974: 05400044 movi r21,1 +8111b978: 84c00184 addi r19,r16,6 +8111b97c: 85000204 addi r20,r16,8 +8111b980: 85826004 addi r22,r16,2432 +8111b984: 00000506 br 8111b99c +8111b988: 84001304 addi r16,r16,76 +8111b98c: 94800104 addi r18,r18,4 +8111b990: 9cc01304 addi r19,r19,76 +8111b994: a5001304 addi r20,r20,76 +8111b998: 8580ab26 beq r16,r22,8111bc48 +8111b99c: 90800017 ldw r2,0(r18) +8111b9a0: 157ff91e bne r2,r21,8111b988 <__reset+0xfb0fb988> +8111b9a4: 80800017 ldw r2,0(r16) +8111b9a8: 15405126 beq r2,r21,8111baf0 +8111b9ac: 103ff61e bne r2,zero,8111b988 <__reset+0xfb0fb988> +8111b9b0: 813ff004 addi r4,r16,-64 +8111b9b4: 112cb800 call 8112cb80 +8111b9b8: 85400015 stw r21,0(r16) +8111b9bc: 9800000d sth zero,0(r19) +8111b9c0: a0800003 ldbu r2,0(r20) +8111b9c4: 10800044 addi r2,r2,1 +8111b9c8: a0800005 stb r2,0(r20) +8111b9cc: 10803fcc andi r2,r2,255 +8111b9d0: a8bfed2e bgeu r21,r2,8111b988 <__reset+0xfb0fb988> +8111b9d4: d0a09003 ldbu r2,-32192(gp) +8111b9d8: d1207517 ldw r4,-32300(gp) +8111b9dc: 90000015 stw zero,0(r18) +8111b9e0: 10800044 addi r2,r2,1 +8111b9e4: d0a09005 stb r2,-32192(gp) +8111b9e8: 11437dc0 call 811437dc +8111b9ec: d8800005 stb r2,0(sp) +8111b9f0: 10803fcc andi r2,r2,255 +8111b9f4: 103fe426 beq r2,zero,8111b988 <__reset+0xfb0fb988> +8111b9f8: d0a09003 ldbu r2,-32192(gp) +8111b9fc: 10bfffc4 addi r2,r2,-1 +8111ba00: d0a09005 stb r2,-32192(gp) +8111ba04: 11243240 call 81124324 +8111ba08: 003fdf06 br 8111b988 <__reset+0xfb0fb988> +8111ba0c: d1208817 ldw r4,-32224(gp) +8111ba10: d80b883a mov r5,sp +8111ba14: d8000005 stb zero,0(sp) +8111ba18: 11420bc0 call 811420bc +8111ba1c: d8c00003 ldbu r3,0(sp) +8111ba20: 1800451e bne r3,zero,8111bb38 +8111ba24: 10803fcc andi r2,r2,255 +8111ba28: 10004326 beq r2,zero,8111bb38 +8111ba2c: 042045f4 movhi r16,33047 +8111ba30: 84178604 addi r16,r16,24088 +8111ba34: 04a045f4 movhi r18,33047 +8111ba38: 9496e604 addi r18,r18,23448 +8111ba3c: 05400044 movi r21,1 +8111ba40: 84c00184 addi r19,r16,6 +8111ba44: 85000204 addi r20,r16,8 +8111ba48: 85846004 addi r22,r16,4480 +8111ba4c: 00000506 br 8111ba64 +8111ba50: 84002304 addi r16,r16,140 +8111ba54: 94800104 addi r18,r18,4 +8111ba58: 9cc02304 addi r19,r19,140 +8111ba5c: a5002304 addi r20,r20,140 +8111ba60: 85803526 beq r16,r22,8111bb38 +8111ba64: 90800017 ldw r2,0(r18) +8111ba68: 157ff91e bne r2,r21,8111ba50 <__reset+0xfb0fba50> +8111ba6c: 80800017 ldw r2,0(r16) +8111ba70: 15402826 beq r2,r21,8111bb14 +8111ba74: 103ff61e bne r2,zero,8111ba50 <__reset+0xfb0fba50> +8111ba78: 813fe004 addi r4,r16,-128 +8111ba7c: 112cb800 call 8112cb80 +8111ba80: 85400015 stw r21,0(r16) +8111ba84: 9800000d sth zero,0(r19) +8111ba88: a0800003 ldbu r2,0(r20) +8111ba8c: 10800044 addi r2,r2,1 +8111ba90: a0800005 stb r2,0(r20) +8111ba94: 10803fcc andi r2,r2,255 +8111ba98: a8bfed2e bgeu r21,r2,8111ba50 <__reset+0xfb0fba50> +8111ba9c: d0a09043 ldbu r2,-32191(gp) +8111baa0: d1207a17 ldw r4,-32280(gp) +8111baa4: 90000015 stw zero,0(r18) +8111baa8: 10800044 addi r2,r2,1 +8111baac: d0a09045 stb r2,-32191(gp) +8111bab0: 11437dc0 call 811437dc +8111bab4: d8800005 stb r2,0(sp) +8111bab8: 10803fcc andi r2,r2,255 +8111babc: 103fe426 beq r2,zero,8111ba50 <__reset+0xfb0fba50> +8111bac0: d0a09043 ldbu r2,-32191(gp) +8111bac4: 10bfffc4 addi r2,r2,-1 +8111bac8: d0a09045 stb r2,-32191(gp) +8111bacc: 11243d40 call 811243d4 +8111bad0: 003fdf06 br 8111ba50 <__reset+0xfb0fba50> +8111bad4: d1e07917 ldw r7,-32284(gp) +8111bad8: 01204574 movhi r4,33045 +8111badc: 21311804 addi r4,r4,-15264 +8111bae0: 01800f04 movi r6,60 +8111bae4: 01400044 movi r5,1 +8111bae8: 112bc8c0 call 8112bc8c +8111baec: 003f8106 br 8111b8f4 <__reset+0xfb0fb8f4> +8111baf0: 9880000b ldhu r2,0(r19) +8111baf4: 10800044 addi r2,r2,1 +8111baf8: 9880000d sth r2,0(r19) +8111bafc: 10bfffcc andi r2,r2,65535 +8111bb00: 10a0001c xori r2,r2,32768 +8111bb04: 10a00004 addi r2,r2,-32768 +8111bb08: a8bf9f0e bge r21,r2,8111b988 <__reset+0xfb0fb988> +8111bb0c: 80000015 stw zero,0(r16) +8111bb10: 003fa706 br 8111b9b0 <__reset+0xfb0fb9b0> +8111bb14: 9880000b ldhu r2,0(r19) +8111bb18: 10800044 addi r2,r2,1 +8111bb1c: 9880000d sth r2,0(r19) +8111bb20: 10bfffcc andi r2,r2,65535 +8111bb24: 10a0001c xori r2,r2,32768 +8111bb28: 10a00004 addi r2,r2,-32768 +8111bb2c: a8bfc80e bge r21,r2,8111ba50 <__reset+0xfb0fba50> +8111bb30: 80000015 stw zero,0(r16) +8111bb34: 003fd006 br 8111ba78 <__reset+0xfb0fba78> +8111bb38: d1208817 ldw r4,-32224(gp) +8111bb3c: 114278c0 call 8114278c +8111bb40: 8880008c andi r2,r17,2 +8111bb44: 103f7f26 beq r2,zero,8111b944 <__reset+0xfb0fb944> +8111bb48: 012045f4 movhi r4,33047 +8111bb4c: 21170604 addi r4,r4,23576 +8111bb50: 01802004 movi r6,128 +8111bb54: 000b883a mov r5,zero +8111bb58: 112c7d00 call 8112c7d0 +8111bb5c: 8880010c andi r2,r17,4 +8111bb60: 10003d26 beq r2,zero,8111bc58 +8111bb64: 012045f4 movhi r4,33047 +8111bb68: 21172604 addi r4,r4,23704 +8111bb6c: 01802004 movi r6,128 +8111bb70: 000b883a mov r5,zero +8111bb74: 112c7d00 call 8112c7d0 +8111bb78: 8880020c andi r2,r17,8 +8111bb7c: 10007c1e bne r2,zero,8111bd70 +8111bb80: d1208817 ldw r4,-32224(gp) +8111bb84: d80b883a mov r5,sp +8111bb88: d8000005 stb zero,0(sp) +8111bb8c: 11420bc0 call 811420bc +8111bb90: d8c00003 ldbu r3,0(sp) +8111bb94: 1800711e bne r3,zero,8111bd5c +8111bb98: 10803fcc andi r2,r2,255 +8111bb9c: 10006f26 beq r2,zero,8111bd5c +8111bba0: 042045f4 movhi r16,33047 +8111bba4: 8432ce04 addi r16,r16,-13512 +8111bba8: 046045f4 movhi r17,33047 +8111bbac: 8c56d604 addi r17,r17,23384 +8111bbb0: 05000044 movi r20,1 +8111bbb4: 84800184 addi r18,r16,6 +8111bbb8: 84c00204 addi r19,r16,8 +8111bbbc: 85483004 addi r21,r16,8384 +8111bbc0: 00000506 br 8111bbd8 +8111bbc4: 84008304 addi r16,r16,524 +8111bbc8: 8c400104 addi r17,r17,4 +8111bbcc: 94808304 addi r18,r18,524 +8111bbd0: 9cc08304 addi r19,r19,524 +8111bbd4: 85406126 beq r16,r21,8111bd5c +8111bbd8: 88800017 ldw r2,0(r17) +8111bbdc: 153ff91e bne r2,r20,8111bbc4 <__reset+0xfb0fbbc4> +8111bbe0: 80800017 ldw r2,0(r16) +8111bbe4: 15006b26 beq r2,r20,8111bd94 +8111bbe8: 103ff61e bne r2,zero,8111bbc4 <__reset+0xfb0fbbc4> +8111bbec: 813f8004 addi r4,r16,-512 +8111bbf0: 112cb800 call 8112cb80 +8111bbf4: 85000015 stw r20,0(r16) +8111bbf8: 9000000d sth zero,0(r18) +8111bbfc: 98800003 ldbu r2,0(r19) +8111bc00: 10800044 addi r2,r2,1 +8111bc04: 98800005 stb r2,0(r19) +8111bc08: 10803fcc andi r2,r2,255 +8111bc0c: a0bfed2e bgeu r20,r2,8111bbc4 <__reset+0xfb0fbbc4> +8111bc10: d0a08503 ldbu r2,-32236(gp) +8111bc14: d1207e17 ldw r4,-32264(gp) +8111bc18: 88000015 stw zero,0(r17) +8111bc1c: 10800044 addi r2,r2,1 +8111bc20: d0a08505 stb r2,-32236(gp) +8111bc24: 11437dc0 call 811437dc +8111bc28: d8800005 stb r2,0(sp) +8111bc2c: 10803fcc andi r2,r2,255 +8111bc30: 103fe426 beq r2,zero,8111bbc4 <__reset+0xfb0fbbc4> +8111bc34: d0a08503 ldbu r2,-32236(gp) +8111bc38: 10bfffc4 addi r2,r2,-1 +8111bc3c: d0a08505 stb r2,-32236(gp) +8111bc40: 112437c0 call 8112437c +8111bc44: 003fdf06 br 8111bbc4 <__reset+0xfb0fbbc4> +8111bc48: d1208c17 ldw r4,-32208(gp) +8111bc4c: 114278c0 call 8114278c +8111bc50: 8880010c andi r2,r17,4 +8111bc54: 103fc31e bne r2,zero,8111bb64 <__reset+0xfb0fbb64> +8111bc58: d1208417 ldw r4,-32240(gp) +8111bc5c: d80b883a mov r5,sp +8111bc60: d8000005 stb zero,0(sp) +8111bc64: 11420bc0 call 811420bc +8111bc68: d8c00003 ldbu r3,0(sp) +8111bc6c: 1800461e bne r3,zero,8111bd88 +8111bc70: 10803fcc andi r2,r2,255 +8111bc74: 10004426 beq r2,zero,8111bd88 +8111bc78: 04e045f4 movhi r19,33047 +8111bc7c: 9cf0f804 addi r19,r19,-15392 +8111bc80: 04a045f4 movhi r18,33047 +8111bc84: 94972604 addi r18,r18,23704 +8111bc88: 05800044 movi r22,1 +8111bc8c: 9c3ffe04 addi r16,r19,-8 +8111bc90: 9d3fff84 addi r20,r19,-2 +8111bc94: 9d7fff04 addi r21,r19,-4 +8111bc98: 9dc15e04 addi r23,r19,1400 +8111bc9c: 00000606 br 8111bcb8 +8111bca0: 84000b04 addi r16,r16,44 +8111bca4: 94800104 addi r18,r18,4 +8111bca8: 9cc00b04 addi r19,r19,44 +8111bcac: a5000b04 addi r20,r20,44 +8111bcb0: ad400b04 addi r21,r21,44 +8111bcb4: 85c03426 beq r16,r23,8111bd88 +8111bcb8: 90800017 ldw r2,0(r18) +8111bcbc: 15bff81e bne r2,r22,8111bca0 <__reset+0xfb0fbca0> +8111bcc0: 80800017 ldw r2,0(r16) +8111bcc4: 15801c26 beq r2,r22,8111bd38 +8111bcc8: 103ff51e bne r2,zero,8111bca0 <__reset+0xfb0fbca0> +8111bccc: 813ff804 addi r4,r16,-32 +8111bcd0: 112cb800 call 8112cb80 +8111bcd4: 85800015 stw r22,0(r16) +8111bcd8: a000000d sth zero,0(r20) +8111bcdc: a880000b ldhu r2,0(r21) +8111bce0: 15803526 beq r2,r22,8111bdb8 +8111bce4: 00c00044 movi r3,1 +8111bce8: 98800003 ldbu r2,0(r19) +8111bcec: 18c03fcc andi r3,r3,255 +8111bcf0: 10800044 addi r2,r2,1 +8111bcf4: 98800005 stb r2,0(r19) +8111bcf8: 10803fcc andi r2,r2,255 +8111bcfc: 18bfe82e bgeu r3,r2,8111bca0 <__reset+0xfb0fbca0> +8111bd00: d0a08083 ldbu r2,-32254(gp) +8111bd04: d1208a17 ldw r4,-32216(gp) +8111bd08: 90000015 stw zero,0(r18) +8111bd0c: 10800044 addi r2,r2,1 +8111bd10: d0a08085 stb r2,-32254(gp) +8111bd14: 11437dc0 call 811437dc +8111bd18: d8800005 stb r2,0(sp) +8111bd1c: 10803fcc andi r2,r2,255 +8111bd20: 103fdf26 beq r2,zero,8111bca0 <__reset+0xfb0fbca0> +8111bd24: d0a08083 ldbu r2,-32254(gp) +8111bd28: 10bfffc4 addi r2,r2,-1 +8111bd2c: d0a08085 stb r2,-32254(gp) +8111bd30: 11242cc0 call 811242cc +8111bd34: 003fda06 br 8111bca0 <__reset+0xfb0fbca0> +8111bd38: a080000b ldhu r2,0(r20) +8111bd3c: 10800044 addi r2,r2,1 +8111bd40: a080000d sth r2,0(r20) +8111bd44: 10bfffcc andi r2,r2,65535 +8111bd48: 10a0001c xori r2,r2,32768 +8111bd4c: 10a00004 addi r2,r2,-32768 +8111bd50: b0bfd30e bge r22,r2,8111bca0 <__reset+0xfb0fbca0> +8111bd54: 80000015 stw zero,0(r16) +8111bd58: 003fdc06 br 8111bccc <__reset+0xfb0fbccc> +8111bd5c: d1208817 ldw r4,-32224(gp) +8111bd60: 114278c0 call 8114278c +8111bd64: d1208f17 ldw r4,-32196(gp) +8111bd68: 114278c0 call 8114278c +8111bd6c: 003ee106 br 8111b8f4 <__reset+0xfb0fb8f4> +8111bd70: 012045f4 movhi r4,33047 +8111bd74: 2116d604 addi r4,r4,23384 +8111bd78: 01801004 movi r6,64 +8111bd7c: 000b883a mov r5,zero +8111bd80: 112c7d00 call 8112c7d0 +8111bd84: 003ff706 br 8111bd64 <__reset+0xfb0fbd64> +8111bd88: d1208417 ldw r4,-32240(gp) +8111bd8c: 114278c0 call 8114278c +8111bd90: 003f7906 br 8111bb78 <__reset+0xfb0fbb78> +8111bd94: 9080000b ldhu r2,0(r18) +8111bd98: 10800044 addi r2,r2,1 +8111bd9c: 9080000d sth r2,0(r18) +8111bda0: 10bfffcc andi r2,r2,65535 +8111bda4: 10a0001c xori r2,r2,32768 +8111bda8: 10a00004 addi r2,r2,-32768 +8111bdac: a0bf850e bge r20,r2,8111bbc4 <__reset+0xfb0fbbc4> +8111bdb0: 80000015 stw zero,0(r16) +8111bdb4: 003f8d06 br 8111bbec <__reset+0xfb0fbbec> +8111bdb8: 00fffe84 movi r3,-6 +8111bdbc: 003fca06 br 8111bce8 <__reset+0xfb0fbce8> + +8111bdc0 : +8111bdc0: defffe04 addi sp,sp,-8 +8111bdc4: 00a04634 movhi r2,33048 +8111bdc8: dfc00115 stw ra,4(sp) +8111bdcc: 108f1904 addi r2,r2,15460 +8111bdd0: 10800803 ldbu r2,32(r2) +8111bdd4: d8000005 stb zero,0(sp) +8111bdd8: 00c00104 movi r3,4 +8111bddc: 18800a2e bgeu r3,r2,8111be08 +8111bde0: d1207f17 ldw r4,-32260(gp) +8111bde4: d80d883a mov r6,sp +8111bde8: 000b883a mov r5,zero +8111bdec: 11435a40 call 811435a4 +8111bdf0: d8800003 ldbu r2,0(sp) +8111bdf4: 1000021e bne r2,zero,8111be00 +8111bdf8: 111b84c0 call 8111b84c +8111bdfc: 003ff806 br 8111bde0 <__reset+0xfb0fbde0> +8111be00: 1124aa00 call 81124aa0 +8111be04: 003ff606 br 8111bde0 <__reset+0xfb0fbde0> +8111be08: d1e07917 ldw r7,-32284(gp) +8111be0c: 01204574 movhi r4,33045 +8111be10: 21312804 addi r4,r4,-15200 +8111be14: 01800844 movi r6,33 +8111be18: 01400044 movi r5,1 +8111be1c: 112bc8c0 call 8112bc8c +8111be20: 003fef06 br 8111bde0 <__reset+0xfb0fbde0> + +8111be24 : +8111be24: d1208817 ldw r4,-32224(gp) +8111be28: defff804 addi sp,sp,-32 +8111be2c: d80b883a mov r5,sp +8111be30: dfc00715 stw ra,28(sp) +8111be34: dd400615 stw r21,24(sp) +8111be38: dd000515 stw r20,20(sp) +8111be3c: dcc00415 stw r19,16(sp) +8111be40: dc800315 stw r18,12(sp) +8111be44: dc400215 stw r17,8(sp) +8111be48: dc000115 stw r16,4(sp) +8111be4c: d8000005 stb zero,0(sp) +8111be50: 11420bc0 call 811420bc +8111be54: d8c00003 ldbu r3,0(sp) +8111be58: 18002c1e bne r3,zero,8111bf0c +8111be5c: 10803fcc andi r2,r2,255 +8111be60: 10002a26 beq r2,zero,8111bf0c +8111be64: 042045f4 movhi r16,33047 +8111be68: 8432ce04 addi r16,r16,-13512 +8111be6c: 046045f4 movhi r17,33047 +8111be70: 8c56d604 addi r17,r17,23384 +8111be74: 05000044 movi r20,1 +8111be78: 84800184 addi r18,r16,6 +8111be7c: 84c00204 addi r19,r16,8 +8111be80: 85483004 addi r21,r16,8384 +8111be84: 00000506 br 8111be9c +8111be88: 84008304 addi r16,r16,524 +8111be8c: 8c400104 addi r17,r17,4 +8111be90: 94808304 addi r18,r18,524 +8111be94: 9cc08304 addi r19,r19,524 +8111be98: 85401c26 beq r16,r21,8111bf0c +8111be9c: 88800017 ldw r2,0(r17) +8111bea0: 153ff91e bne r2,r20,8111be88 <__reset+0xfb0fbe88> +8111bea4: 80800017 ldw r2,0(r16) +8111bea8: 15002326 beq r2,r20,8111bf38 +8111beac: 103ff61e bne r2,zero,8111be88 <__reset+0xfb0fbe88> +8111beb0: 813f8004 addi r4,r16,-512 +8111beb4: 112cb800 call 8112cb80 +8111beb8: 85000015 stw r20,0(r16) +8111bebc: 9000000d sth zero,0(r18) +8111bec0: 98800003 ldbu r2,0(r19) +8111bec4: 10800044 addi r2,r2,1 +8111bec8: 98800005 stb r2,0(r19) +8111becc: 10803fcc andi r2,r2,255 +8111bed0: a0bfed2e bgeu r20,r2,8111be88 <__reset+0xfb0fbe88> +8111bed4: d0a08503 ldbu r2,-32236(gp) +8111bed8: d1207e17 ldw r4,-32264(gp) +8111bedc: 88000015 stw zero,0(r17) +8111bee0: 10800044 addi r2,r2,1 +8111bee4: d0a08505 stb r2,-32236(gp) +8111bee8: 11437dc0 call 811437dc +8111beec: d8800005 stb r2,0(sp) +8111bef0: 10803fcc andi r2,r2,255 +8111bef4: 103fe426 beq r2,zero,8111be88 <__reset+0xfb0fbe88> +8111bef8: d0a08503 ldbu r2,-32236(gp) +8111befc: 10bfffc4 addi r2,r2,-1 +8111bf00: d0a08505 stb r2,-32236(gp) +8111bf04: 112437c0 call 8112437c +8111bf08: 003fdf06 br 8111be88 <__reset+0xfb0fbe88> +8111bf0c: d1208817 ldw r4,-32224(gp) +8111bf10: 114278c0 call 8114278c +8111bf14: dfc00717 ldw ra,28(sp) +8111bf18: dd400617 ldw r21,24(sp) +8111bf1c: dd000517 ldw r20,20(sp) +8111bf20: dcc00417 ldw r19,16(sp) +8111bf24: dc800317 ldw r18,12(sp) +8111bf28: dc400217 ldw r17,8(sp) +8111bf2c: dc000117 ldw r16,4(sp) +8111bf30: dec00804 addi sp,sp,32 +8111bf34: f800283a ret +8111bf38: 9080000b ldhu r2,0(r18) +8111bf3c: 10800044 addi r2,r2,1 +8111bf40: 9080000d sth r2,0(r18) +8111bf44: 10bfffcc andi r2,r2,65535 +8111bf48: 10a0001c xori r2,r2,32768 +8111bf4c: 10a00004 addi r2,r2,-32768 +8111bf50: a0bfcd0e bge r20,r2,8111be88 <__reset+0xfb0fbe88> +8111bf54: 80000015 stw zero,0(r16) +8111bf58: 003fd506 br 8111beb0 <__reset+0xfb0fbeb0> + +8111bf5c : +8111bf5c: d1208817 ldw r4,-32224(gp) +8111bf60: defff804 addi sp,sp,-32 +8111bf64: d80b883a mov r5,sp +8111bf68: dfc00715 stw ra,28(sp) +8111bf6c: dd400615 stw r21,24(sp) +8111bf70: dd000515 stw r20,20(sp) +8111bf74: dcc00415 stw r19,16(sp) +8111bf78: dc800315 stw r18,12(sp) +8111bf7c: dc400215 stw r17,8(sp) +8111bf80: dc000115 stw r16,4(sp) +8111bf84: d8000005 stb zero,0(sp) +8111bf88: 11420bc0 call 811420bc +8111bf8c: d8c00003 ldbu r3,0(sp) +8111bf90: 18002c1e bne r3,zero,8111c044 +8111bf94: 10803fcc andi r2,r2,255 +8111bf98: 10002a26 beq r2,zero,8111c044 +8111bf9c: 042045f4 movhi r16,33047 +8111bfa0: 84178604 addi r16,r16,24088 +8111bfa4: 046045f4 movhi r17,33047 +8111bfa8: 8c56e604 addi r17,r17,23448 +8111bfac: 05000044 movi r20,1 +8111bfb0: 84800184 addi r18,r16,6 +8111bfb4: 84c00204 addi r19,r16,8 +8111bfb8: 85446004 addi r21,r16,4480 +8111bfbc: 00000506 br 8111bfd4 +8111bfc0: 84002304 addi r16,r16,140 +8111bfc4: 8c400104 addi r17,r17,4 +8111bfc8: 94802304 addi r18,r18,140 +8111bfcc: 9cc02304 addi r19,r19,140 +8111bfd0: 85401c26 beq r16,r21,8111c044 +8111bfd4: 88800017 ldw r2,0(r17) +8111bfd8: 153ff91e bne r2,r20,8111bfc0 <__reset+0xfb0fbfc0> +8111bfdc: 80800017 ldw r2,0(r16) +8111bfe0: 15002326 beq r2,r20,8111c070 +8111bfe4: 103ff61e bne r2,zero,8111bfc0 <__reset+0xfb0fbfc0> +8111bfe8: 813fe004 addi r4,r16,-128 +8111bfec: 112cb800 call 8112cb80 +8111bff0: 85000015 stw r20,0(r16) +8111bff4: 9000000d sth zero,0(r18) +8111bff8: 98800003 ldbu r2,0(r19) +8111bffc: 10800044 addi r2,r2,1 +8111c000: 98800005 stb r2,0(r19) +8111c004: 10803fcc andi r2,r2,255 +8111c008: a0bfed2e bgeu r20,r2,8111bfc0 <__reset+0xfb0fbfc0> +8111c00c: d0a09043 ldbu r2,-32191(gp) +8111c010: d1207a17 ldw r4,-32280(gp) +8111c014: 88000015 stw zero,0(r17) +8111c018: 10800044 addi r2,r2,1 +8111c01c: d0a09045 stb r2,-32191(gp) +8111c020: 11437dc0 call 811437dc +8111c024: d8800005 stb r2,0(sp) +8111c028: 10803fcc andi r2,r2,255 +8111c02c: 103fe426 beq r2,zero,8111bfc0 <__reset+0xfb0fbfc0> +8111c030: d0a09043 ldbu r2,-32191(gp) +8111c034: 10bfffc4 addi r2,r2,-1 +8111c038: d0a09045 stb r2,-32191(gp) +8111c03c: 11243d40 call 811243d4 +8111c040: 003fdf06 br 8111bfc0 <__reset+0xfb0fbfc0> +8111c044: d1208817 ldw r4,-32224(gp) +8111c048: 114278c0 call 8114278c +8111c04c: dfc00717 ldw ra,28(sp) +8111c050: dd400617 ldw r21,24(sp) +8111c054: dd000517 ldw r20,20(sp) +8111c058: dcc00417 ldw r19,16(sp) +8111c05c: dc800317 ldw r18,12(sp) +8111c060: dc400217 ldw r17,8(sp) +8111c064: dc000117 ldw r16,4(sp) +8111c068: dec00804 addi sp,sp,32 +8111c06c: f800283a ret +8111c070: 9080000b ldhu r2,0(r18) +8111c074: 10800044 addi r2,r2,1 +8111c078: 9080000d sth r2,0(r18) +8111c07c: 10bfffcc andi r2,r2,65535 +8111c080: 10a0001c xori r2,r2,32768 +8111c084: 10a00004 addi r2,r2,-32768 +8111c088: a0bfcd0e bge r20,r2,8111bfc0 <__reset+0xfb0fbfc0> +8111c08c: 80000015 stw zero,0(r16) +8111c090: 003fd506 br 8111bfe8 <__reset+0xfb0fbfe8> + +8111c094 : +8111c094: d1208c17 ldw r4,-32208(gp) +8111c098: defff804 addi sp,sp,-32 +8111c09c: d80b883a mov r5,sp +8111c0a0: dfc00715 stw ra,28(sp) +8111c0a4: dd400615 stw r21,24(sp) +8111c0a8: dd000515 stw r20,20(sp) +8111c0ac: dcc00415 stw r19,16(sp) +8111c0b0: dc800315 stw r18,12(sp) +8111c0b4: dc400215 stw r17,8(sp) +8111c0b8: dc000115 stw r16,4(sp) +8111c0bc: d8000005 stb zero,0(sp) +8111c0c0: 11420bc0 call 811420bc +8111c0c4: d8c00003 ldbu r3,0(sp) +8111c0c8: 18002c1e bne r3,zero,8111c17c +8111c0cc: 10803fcc andi r2,r2,255 +8111c0d0: 10002a26 beq r2,zero,8111c17c +8111c0d4: 04204574 movhi r16,33045 +8111c0d8: 8415f804 addi r16,r16,22496 +8111c0dc: 046045f4 movhi r17,33047 +8111c0e0: 8c570604 addi r17,r17,23576 +8111c0e4: 05000044 movi r20,1 +8111c0e8: 84800184 addi r18,r16,6 +8111c0ec: 84c00204 addi r19,r16,8 +8111c0f0: 85426004 addi r21,r16,2432 +8111c0f4: 00000506 br 8111c10c +8111c0f8: 84001304 addi r16,r16,76 +8111c0fc: 8c400104 addi r17,r17,4 +8111c100: 94801304 addi r18,r18,76 +8111c104: 9cc01304 addi r19,r19,76 +8111c108: 85401c26 beq r16,r21,8111c17c +8111c10c: 88800017 ldw r2,0(r17) +8111c110: 153ff91e bne r2,r20,8111c0f8 <__reset+0xfb0fc0f8> +8111c114: 80800017 ldw r2,0(r16) +8111c118: 15002326 beq r2,r20,8111c1a8 +8111c11c: 103ff61e bne r2,zero,8111c0f8 <__reset+0xfb0fc0f8> +8111c120: 813ff004 addi r4,r16,-64 +8111c124: 112cb800 call 8112cb80 +8111c128: 85000015 stw r20,0(r16) +8111c12c: 9000000d sth zero,0(r18) +8111c130: 98800003 ldbu r2,0(r19) +8111c134: 10800044 addi r2,r2,1 +8111c138: 98800005 stb r2,0(r19) +8111c13c: 10803fcc andi r2,r2,255 +8111c140: a0bfed2e bgeu r20,r2,8111c0f8 <__reset+0xfb0fc0f8> +8111c144: d0a09003 ldbu r2,-32192(gp) +8111c148: d1207517 ldw r4,-32300(gp) +8111c14c: 88000015 stw zero,0(r17) +8111c150: 10800044 addi r2,r2,1 +8111c154: d0a09005 stb r2,-32192(gp) +8111c158: 11437dc0 call 811437dc +8111c15c: d8800005 stb r2,0(sp) +8111c160: 10803fcc andi r2,r2,255 +8111c164: 103fe426 beq r2,zero,8111c0f8 <__reset+0xfb0fc0f8> +8111c168: d0a09003 ldbu r2,-32192(gp) +8111c16c: 10bfffc4 addi r2,r2,-1 +8111c170: d0a09005 stb r2,-32192(gp) +8111c174: 11243240 call 81124324 +8111c178: 003fdf06 br 8111c0f8 <__reset+0xfb0fc0f8> +8111c17c: d1208c17 ldw r4,-32208(gp) +8111c180: 114278c0 call 8114278c +8111c184: dfc00717 ldw ra,28(sp) +8111c188: dd400617 ldw r21,24(sp) +8111c18c: dd000517 ldw r20,20(sp) +8111c190: dcc00417 ldw r19,16(sp) +8111c194: dc800317 ldw r18,12(sp) +8111c198: dc400217 ldw r17,8(sp) +8111c19c: dc000117 ldw r16,4(sp) +8111c1a0: dec00804 addi sp,sp,32 +8111c1a4: f800283a ret +8111c1a8: 9080000b ldhu r2,0(r18) +8111c1ac: 10800044 addi r2,r2,1 +8111c1b0: 9080000d sth r2,0(r18) +8111c1b4: 10bfffcc andi r2,r2,65535 +8111c1b8: 10a0001c xori r2,r2,32768 +8111c1bc: 10a00004 addi r2,r2,-32768 +8111c1c0: a0bfcd0e bge r20,r2,8111c0f8 <__reset+0xfb0fc0f8> +8111c1c4: 80000015 stw zero,0(r16) +8111c1c8: 003fd506 br 8111c120 <__reset+0xfb0fc120> + +8111c1cc : +8111c1cc: d1208417 ldw r4,-32240(gp) +8111c1d0: defff704 addi sp,sp,-36 +8111c1d4: d80b883a mov r5,sp +8111c1d8: dfc00815 stw ra,32(sp) +8111c1dc: dd800715 stw r22,28(sp) +8111c1e0: dd400615 stw r21,24(sp) +8111c1e4: dd000515 stw r20,20(sp) +8111c1e8: dcc00415 stw r19,16(sp) +8111c1ec: dc800315 stw r18,12(sp) +8111c1f0: dc400215 stw r17,8(sp) +8111c1f4: dc000115 stw r16,4(sp) +8111c1f8: d8000005 stb zero,0(sp) +8111c1fc: 11420bc0 call 811420bc +8111c200: d8c00003 ldbu r3,0(sp) +8111c204: 1800321e bne r3,zero,8111c2d0 +8111c208: 10803fcc andi r2,r2,255 +8111c20c: 10003026 beq r2,zero,8111c2d0 +8111c210: 04a045f4 movhi r18,33047 +8111c214: 94b0f804 addi r18,r18,-15392 +8111c218: 046045f4 movhi r17,33047 +8111c21c: 8c572604 addi r17,r17,23704 +8111c220: 05400044 movi r21,1 +8111c224: 943ffe04 addi r16,r18,-8 +8111c228: 94ffff84 addi r19,r18,-2 +8111c22c: 953fff04 addi r20,r18,-4 +8111c230: 95815e04 addi r22,r18,1400 +8111c234: 00000606 br 8111c250 +8111c238: 84000b04 addi r16,r16,44 +8111c23c: 8c400104 addi r17,r17,4 +8111c240: 94800b04 addi r18,r18,44 +8111c244: 9cc00b04 addi r19,r19,44 +8111c248: a5000b04 addi r20,r20,44 +8111c24c: 85802026 beq r16,r22,8111c2d0 +8111c250: 88800017 ldw r2,0(r17) +8111c254: 157ff81e bne r2,r21,8111c238 <__reset+0xfb0fc238> +8111c258: 80800017 ldw r2,0(r16) +8111c25c: 15402826 beq r2,r21,8111c300 +8111c260: 103ff51e bne r2,zero,8111c238 <__reset+0xfb0fc238> +8111c264: 813ff804 addi r4,r16,-32 +8111c268: 112cb800 call 8112cb80 +8111c26c: 85400015 stw r21,0(r16) +8111c270: 9800000d sth zero,0(r19) +8111c274: a080000b ldhu r2,0(r20) +8111c278: 15402a26 beq r2,r21,8111c324 +8111c27c: 00c00044 movi r3,1 +8111c280: 90800003 ldbu r2,0(r18) +8111c284: 18c03fcc andi r3,r3,255 +8111c288: 10800044 addi r2,r2,1 +8111c28c: 90800005 stb r2,0(r18) +8111c290: 10803fcc andi r2,r2,255 +8111c294: 18bfe82e bgeu r3,r2,8111c238 <__reset+0xfb0fc238> +8111c298: d0a08083 ldbu r2,-32254(gp) +8111c29c: d1208a17 ldw r4,-32216(gp) +8111c2a0: 88000015 stw zero,0(r17) +8111c2a4: 10800044 addi r2,r2,1 +8111c2a8: d0a08085 stb r2,-32254(gp) +8111c2ac: 11437dc0 call 811437dc +8111c2b0: d8800005 stb r2,0(sp) +8111c2b4: 10803fcc andi r2,r2,255 +8111c2b8: 103fdf26 beq r2,zero,8111c238 <__reset+0xfb0fc238> +8111c2bc: d0a08083 ldbu r2,-32254(gp) +8111c2c0: 10bfffc4 addi r2,r2,-1 +8111c2c4: d0a08085 stb r2,-32254(gp) +8111c2c8: 11242cc0 call 811242cc +8111c2cc: 003fda06 br 8111c238 <__reset+0xfb0fc238> +8111c2d0: d1208417 ldw r4,-32240(gp) +8111c2d4: 114278c0 call 8114278c +8111c2d8: dfc00817 ldw ra,32(sp) +8111c2dc: dd800717 ldw r22,28(sp) +8111c2e0: dd400617 ldw r21,24(sp) +8111c2e4: dd000517 ldw r20,20(sp) +8111c2e8: dcc00417 ldw r19,16(sp) +8111c2ec: dc800317 ldw r18,12(sp) +8111c2f0: dc400217 ldw r17,8(sp) +8111c2f4: dc000117 ldw r16,4(sp) +8111c2f8: dec00904 addi sp,sp,36 +8111c2fc: f800283a ret +8111c300: 9880000b ldhu r2,0(r19) +8111c304: 10800044 addi r2,r2,1 +8111c308: 9880000d sth r2,0(r19) +8111c30c: 10bfffcc andi r2,r2,65535 +8111c310: 10a0001c xori r2,r2,32768 +8111c314: 10a00004 addi r2,r2,-32768 +8111c318: a8bfc70e bge r21,r2,8111c238 <__reset+0xfb0fc238> +8111c31c: 80000015 stw zero,0(r16) +8111c320: 003fd006 br 8111c264 <__reset+0xfb0fc264> +8111c324: 00fffe84 movi r3,-6 +8111c328: 003fd506 br 8111c280 <__reset+0xfb0fc280> + +8111c32c : +8111c32c: defff904 addi sp,sp,-28 +8111c330: 0009883a mov r4,zero +8111c334: dfc00615 stw ra,24(sp) +8111c338: dc400515 stw r17,20(sp) +8111c33c: dc000415 stw r16,16(sp) +8111c340: 11433b00 call 811433b0 +8111c344: d0a07715 stw r2,-32292(gp) +8111c348: 10011a26 beq r2,zero,8111c7b4 +8111c34c: 04400044 movi r17,1 +8111c350: 01000184 movi r4,6 +8111c354: d9400304 addi r5,sp,12 +8111c358: 11421940 call 81142194 +8111c35c: d9000303 ldbu r4,12(sp) +8111c360: d0a08f15 stw r2,-32196(gp) +8111c364: 2000bd1e bne r4,zero,8111c65c +8111c368: 01000284 movi r4,10 +8111c36c: d9400304 addi r5,sp,12 +8111c370: 11421940 call 81142194 +8111c374: d9000303 ldbu r4,12(sp) +8111c378: d0a08815 stw r2,-32224(gp) +8111c37c: 2000d51e bne r4,zero,8111c6d4 +8111c380: 010002c4 movi r4,11 +8111c384: d9400304 addi r5,sp,12 +8111c388: 11421940 call 81142194 +8111c38c: d9000303 ldbu r4,12(sp) +8111c390: d0a08c15 stw r2,-32208(gp) +8111c394: 2000cc1e bne r4,zero,8111c6c8 +8111c398: 01000304 movi r4,12 +8111c39c: d9400304 addi r5,sp,12 +8111c3a0: 11421940 call 81142194 +8111c3a4: d9000303 ldbu r4,12(sp) +8111c3a8: d0a08415 stw r2,-32240(gp) +8111c3ac: 2000c31e bne r4,zero,8111c6bc +8111c3b0: 00800404 movi r2,16 +8111c3b4: 01000404 movi r4,16 +8111c3b8: d0a08505 stb r2,-32236(gp) +8111c3bc: 11433b00 call 811433b0 +8111c3c0: d0a07e15 stw r2,-32264(gp) +8111c3c4: 1000fe26 beq r2,zero,8111c7c0 +8111c3c8: 00800804 movi r2,32 +8111c3cc: 01000804 movi r4,32 +8111c3d0: d0a09045 stb r2,-32191(gp) +8111c3d4: 11433b00 call 811433b0 +8111c3d8: d0a07a15 stw r2,-32280(gp) +8111c3dc: 1000fc26 beq r2,zero,8111c7d0 +8111c3e0: 00800804 movi r2,32 +8111c3e4: 01000804 movi r4,32 +8111c3e8: d0a09005 stb r2,-32192(gp) +8111c3ec: 11433b00 call 811433b0 +8111c3f0: d0a07515 stw r2,-32300(gp) +8111c3f4: 1000fa26 beq r2,zero,8111c7e0 +8111c3f8: 00800804 movi r2,32 +8111c3fc: 01000804 movi r4,32 +8111c400: d0a08085 stb r2,-32254(gp) +8111c404: 11433b00 call 811433b0 +8111c408: d0a08a15 stw r2,-32216(gp) +8111c40c: 1000f826 beq r2,zero,8111c7f0 +8111c410: 01000204 movi r4,8 +8111c414: d9400304 addi r5,sp,12 +8111c418: 11421940 call 81142194 +8111c41c: d9000303 ldbu r4,12(sp) +8111c420: d0a07c15 stw r2,-32272(gp) +8111c424: 2000a21e bne r4,zero,8111c6b0 +8111c428: 01000344 movi r4,13 +8111c42c: d9400304 addi r5,sp,12 +8111c430: 11421940 call 81142194 +8111c434: d9000303 ldbu r4,12(sp) +8111c438: d0a08315 stw r2,-32244(gp) +8111c43c: 2000991e bne r4,zero,8111c6a4 +8111c440: 0009883a mov r4,zero +8111c444: 11433b00 call 811433b0 +8111c448: d0a07d15 stw r2,-32268(gp) +8111c44c: 1000a626 beq r2,zero,8111c6e8 +8111c450: 0009883a mov r4,zero +8111c454: 11433b00 call 811433b0 +8111c458: d0a07b15 stw r2,-32276(gp) +8111c45c: 1000c326 beq r2,zero,8111c76c 8111c460: 0009883a mov r4,zero -8111c464: 11433700 call 81143370 -8111c468: d0a07f15 stw r2,-32260(gp) -8111c46c: 1000b526 beq r2,zero,8111c744 -8111c470: d8800304 addi r2,sp,12 -8111c474: d8800215 stw r2,8(sp) -8111c478: 00a04574 movhi r2,33045 -8111c47c: 10b12104 addi r2,r2,-15228 -8111c480: 01e044b4 movhi r7,33042 -8111c484: 39f8cc04 addi r7,r7,-7376 -8111c488: d8800115 stw r2,4(sp) -8111c48c: d8000015 stw zero,0(sp) -8111c490: 01800084 movi r6,2 -8111c494: 01400104 movi r5,4 -8111c498: 01000284 movi r4,10 -8111c49c: 1144bc80 call 81144bc8 -8111c4a0: d8c00303 ldbu r3,12(sp) -8111c4a4: d0a08715 stw r2,-32228(gp) -8111c4a8: 1800651e bne r3,zero,8111c640 -8111c4ac: 012045b4 movhi r4,33046 -8111c4b0: 21229f04 addi r4,r4,-30084 -8111c4b4: 01401004 movi r5,64 -8111c4b8: 1142a140 call 81142a14 -8111c4bc: d0a07415 stw r2,-32304(gp) -8111c4c0: 10007c26 beq r2,zero,8111c6b4 -8111c4c4: 01204634 movhi r4,33048 -8111c4c8: 210ecf04 addi r4,r4,15164 -8111c4cc: 04204634 movhi r16,33048 -8111c4d0: 01400404 movi r5,16 -8111c4d4: 84240504 addi r16,r16,-28652 -8111c4d8: 1142a140 call 81142a14 -8111c4dc: 80800015 stw r2,0(r16) -8111c4e0: 10007726 beq r2,zero,8111c6c0 -8111c4e4: 01204634 movhi r4,33048 -8111c4e8: 210c3f04 addi r4,r4,12540 -8111c4ec: 01400404 movi r5,16 -8111c4f0: 1142a140 call 81142a14 -8111c4f4: 80800115 stw r2,4(r16) -8111c4f8: 10007526 beq r2,zero,8111c6d0 -8111c4fc: 01204634 movhi r4,33048 -8111c500: 21240b04 addi r4,r4,-28628 -8111c504: 01400404 movi r5,16 -8111c508: 1142a140 call 81142a14 -8111c50c: 80800215 stw r2,8(r16) -8111c510: 10007326 beq r2,zero,8111c6e0 -8111c514: 01204574 movhi r4,33045 -8111c518: 211c8704 addi r4,r4,29212 -8111c51c: 01400404 movi r5,16 -8111c520: 1142a140 call 81142a14 -8111c524: 80800315 stw r2,12(r16) -8111c528: 10007126 beq r2,zero,8111c6f0 -8111c52c: 012045f4 movhi r4,33047 -8111c530: 210aad04 addi r4,r4,10932 -8111c534: 01400404 movi r5,16 -8111c538: 1142a140 call 81142a14 -8111c53c: 80800415 stw r2,16(r16) -8111c540: 10006f26 beq r2,zero,8111c700 -8111c544: 012045f4 movhi r4,33047 -8111c548: 211bf504 addi r4,r4,28628 -8111c54c: 01400404 movi r5,16 -8111c550: 1142a140 call 81142a14 -8111c554: 80800515 stw r2,20(r16) -8111c558: 10006d26 beq r2,zero,8111c710 -8111c55c: 012045f4 movhi r4,33047 -8111c560: 21173504 addi r4,r4,23764 -8111c564: 01400804 movi r5,32 -8111c568: 1142a140 call 81142a14 -8111c56c: d0a08215 stw r2,-32248(gp) -8111c570: 10006b26 beq r2,zero,8111c720 -8111c574: 01204574 movhi r4,33045 -8111c578: 211c9704 addi r4,r4,29276 -8111c57c: 01400204 movi r5,8 -8111c580: 1142a140 call 81142a14 -8111c584: d0a08615 stw r2,-32232(gp) -8111c588: 10007126 beq r2,zero,8111c750 -8111c58c: 010003c4 movi r4,15 -8111c590: d9400304 addi r5,sp,12 -8111c594: 11421540 call 81142154 -8111c598: d9000303 ldbu r4,12(sp) -8111c59c: d0a08e15 stw r2,-32200(gp) -8111c5a0: 2000241e bne r4,zero,8111c634 -8111c5a4: 012045f4 movhi r4,33047 -8111c5a8: 211bb504 addi r4,r4,28372 -8111c5ac: 01401004 movi r5,64 -8111c5b0: 1142a140 call 81142a14 -8111c5b4: d0a08b15 stw r2,-32212(gp) -8111c5b8: 10006826 beq r2,zero,8111c75c -8111c5bc: 012045f4 movhi r4,33047 -8111c5c0: 21026d04 addi r4,r4,2484 -8111c5c4: 01401004 movi r5,64 -8111c5c8: 1142a140 call 81142a14 -8111c5cc: d0a07615 stw r2,-32296(gp) -8111c5d0: 10006526 beq r2,zero,8111c768 -8111c5d4: d9400304 addi r5,sp,12 -8111c5d8: 01000144 movi r4,5 -8111c5dc: 11421540 call 81142154 -8111c5e0: d8c00303 ldbu r3,12(sp) -8111c5e4: d0a08915 stw r2,-32220(gp) -8111c5e8: 18000f1e bne r3,zero,8111c628 -8111c5ec: 012045f4 movhi r4,33047 -8111c5f0: 210abd04 addi r4,r4,10996 -8111c5f4: 01400204 movi r5,8 -8111c5f8: 1142a140 call 81142a14 -8111c5fc: d0a08115 stw r2,-32252(gp) -8111c600: 10002726 beq r2,zero,8111c6a0 -8111c604: 8805883a mov r2,r17 -8111c608: dfc00617 ldw ra,24(sp) -8111c60c: dc400517 ldw r17,20(sp) -8111c610: dc000417 ldw r16,16(sp) -8111c614: dec00704 addi sp,sp,28 -8111c618: f800283a ret -8111c61c: 1123d340 call 81123d34 -8111c620: 0023883a mov r17,zero -8111c624: 003f4006 br 8111c328 <__reset+0xfb0fc328> -8111c628: 1123d880 call 81123d88 -8111c62c: 0023883a mov r17,zero -8111c630: 003fee06 br 8111c5ec <__reset+0xfb0fc5ec> -8111c634: 11253a80 call 811253a8 -8111c638: 0023883a mov r17,zero -8111c63c: 003fd906 br 8111c5a4 <__reset+0xfb0fc5a4> -8111c640: 11249d00 call 811249d0 -8111c644: 0023883a mov r17,zero -8111c648: 003f9806 br 8111c4ac <__reset+0xfb0fc4ac> -8111c64c: 1123d340 call 81123d34 -8111c650: 0023883a mov r17,zero -8111c654: 003f8206 br 8111c460 <__reset+0xfb0fc460> -8111c658: 1123d340 call 81123d34 -8111c65c: 0023883a mov r17,zero -8111c660: 003f7906 br 8111c448 <__reset+0xfb0fc448> -8111c664: 1123d340 call 81123d34 -8111c668: 0023883a mov r17,zero -8111c66c: 003f6406 br 8111c400 <__reset+0xfb0fc400> -8111c670: 1123d340 call 81123d34 -8111c674: 0023883a mov r17,zero -8111c678: 003f5b06 br 8111c3e8 <__reset+0xfb0fc3e8> -8111c67c: 1123d340 call 81123d34 -8111c680: 0023883a mov r17,zero -8111c684: 003f3a06 br 8111c370 <__reset+0xfb0fc370> -8111c688: 1123d340 call 81123d34 -8111c68c: 0023883a mov r17,zero -8111c690: 003f3106 br 8111c358 <__reset+0xfb0fc358> -8111c694: 1123d340 call 81123d34 -8111c698: 0023883a mov r17,zero -8111c69c: 003f2806 br 8111c340 <__reset+0xfb0fc340> -8111c6a0: 0023883a mov r17,zero -8111c6a4: 003fd706 br 8111c604 <__reset+0xfb0fc604> -8111c6a8: 1123de80 call 81123de8 -8111c6ac: 0023883a mov r17,zero -8111c6b0: 003f5706 br 8111c410 <__reset+0xfb0fc410> -8111c6b4: 1124ec80 call 81124ec8 -8111c6b8: 0023883a mov r17,zero -8111c6bc: 003f8106 br 8111c4c4 <__reset+0xfb0fc4c4> -8111c6c0: 0009883a mov r4,zero -8111c6c4: 1124f200 call 81124f20 -8111c6c8: 0023883a mov r17,zero -8111c6cc: 003f8506 br 8111c4e4 <__reset+0xfb0fc4e4> -8111c6d0: 01000044 movi r4,1 -8111c6d4: 1124f200 call 81124f20 +8111c464: 11433b00 call 811433b0 +8111c468: d0a07815 stw r2,-32288(gp) +8111c46c: 1000c226 beq r2,zero,8111c778 +8111c470: 010001c4 movi r4,7 +8111c474: d9400304 addi r5,sp,12 +8111c478: 11421940 call 81142194 +8111c47c: d9000303 ldbu r4,12(sp) +8111c480: d0a08d15 stw r2,-32204(gp) +8111c484: 2000841e bne r4,zero,8111c698 +8111c488: 01000244 movi r4,9 +8111c48c: d9400304 addi r5,sp,12 +8111c490: 11421940 call 81142194 +8111c494: d9000303 ldbu r4,12(sp) +8111c498: d0a04015 stw r2,-32512(gp) +8111c49c: 20007b1e bne r4,zero,8111c68c +8111c4a0: 0009883a mov r4,zero +8111c4a4: 11433b00 call 811433b0 +8111c4a8: d0a07f15 stw r2,-32260(gp) +8111c4ac: 1000b526 beq r2,zero,8111c784 +8111c4b0: d8800304 addi r2,sp,12 +8111c4b4: d8800215 stw r2,8(sp) +8111c4b8: 00a04574 movhi r2,33045 +8111c4bc: 10b13104 addi r2,r2,-15164 +8111c4c0: 01e044b4 movhi r7,33042 +8111c4c4: 39f8dc04 addi r7,r7,-7312 +8111c4c8: d8800115 stw r2,4(sp) +8111c4cc: d8000015 stw zero,0(sp) +8111c4d0: 01800084 movi r6,2 +8111c4d4: 01400104 movi r5,4 +8111c4d8: 01000284 movi r4,10 +8111c4dc: 1144c080 call 81144c08 +8111c4e0: d8c00303 ldbu r3,12(sp) +8111c4e4: d0a08715 stw r2,-32228(gp) +8111c4e8: 1800651e bne r3,zero,8111c680 +8111c4ec: 012045b4 movhi r4,33046 +8111c4f0: 2122b004 addi r4,r4,-30016 +8111c4f4: 01401004 movi r5,64 +8111c4f8: 1142a540 call 81142a54 +8111c4fc: d0a07415 stw r2,-32304(gp) +8111c500: 10007c26 beq r2,zero,8111c6f4 +8111c504: 01204634 movhi r4,33048 +8111c508: 210ee004 addi r4,r4,15232 +8111c50c: 04204634 movhi r16,33048 +8111c510: 01400404 movi r5,16 +8111c514: 84241604 addi r16,r16,-28584 +8111c518: 1142a540 call 81142a54 +8111c51c: 80800015 stw r2,0(r16) +8111c520: 10007726 beq r2,zero,8111c700 +8111c524: 01204634 movhi r4,33048 +8111c528: 210c5004 addi r4,r4,12608 +8111c52c: 01400404 movi r5,16 +8111c530: 1142a540 call 81142a54 +8111c534: 80800115 stw r2,4(r16) +8111c538: 10007526 beq r2,zero,8111c710 +8111c53c: 01204634 movhi r4,33048 +8111c540: 21241c04 addi r4,r4,-28560 +8111c544: 01400404 movi r5,16 +8111c548: 1142a540 call 81142a54 +8111c54c: 80800215 stw r2,8(r16) +8111c550: 10007326 beq r2,zero,8111c720 +8111c554: 01204574 movhi r4,33045 +8111c558: 211c9804 addi r4,r4,29280 +8111c55c: 01400404 movi r5,16 +8111c560: 1142a540 call 81142a54 +8111c564: 80800315 stw r2,12(r16) +8111c568: 10007126 beq r2,zero,8111c730 +8111c56c: 012045f4 movhi r4,33047 +8111c570: 210abe04 addi r4,r4,11000 +8111c574: 01400404 movi r5,16 +8111c578: 1142a540 call 81142a54 +8111c57c: 80800415 stw r2,16(r16) +8111c580: 10006f26 beq r2,zero,8111c740 +8111c584: 012045f4 movhi r4,33047 +8111c588: 211c0604 addi r4,r4,28696 +8111c58c: 01400404 movi r5,16 +8111c590: 1142a540 call 81142a54 +8111c594: 80800515 stw r2,20(r16) +8111c598: 10006d26 beq r2,zero,8111c750 +8111c59c: 012045f4 movhi r4,33047 +8111c5a0: 21174604 addi r4,r4,23832 +8111c5a4: 01400804 movi r5,32 +8111c5a8: 1142a540 call 81142a54 +8111c5ac: d0a08215 stw r2,-32248(gp) +8111c5b0: 10006b26 beq r2,zero,8111c760 +8111c5b4: 01204574 movhi r4,33045 +8111c5b8: 211ca804 addi r4,r4,29344 +8111c5bc: 01400204 movi r5,8 +8111c5c0: 1142a540 call 81142a54 +8111c5c4: d0a08615 stw r2,-32232(gp) +8111c5c8: 10007126 beq r2,zero,8111c790 +8111c5cc: 010003c4 movi r4,15 +8111c5d0: d9400304 addi r5,sp,12 +8111c5d4: 11421940 call 81142194 +8111c5d8: d9000303 ldbu r4,12(sp) +8111c5dc: d0a08e15 stw r2,-32200(gp) +8111c5e0: 2000241e bne r4,zero,8111c674 +8111c5e4: 012045f4 movhi r4,33047 +8111c5e8: 211bc604 addi r4,r4,28440 +8111c5ec: 01401004 movi r5,64 +8111c5f0: 1142a540 call 81142a54 +8111c5f4: d0a08b15 stw r2,-32212(gp) +8111c5f8: 10006826 beq r2,zero,8111c79c +8111c5fc: 012045f4 movhi r4,33047 +8111c600: 21027e04 addi r4,r4,2552 +8111c604: 01401004 movi r5,64 +8111c608: 1142a540 call 81142a54 +8111c60c: d0a07615 stw r2,-32296(gp) +8111c610: 10006526 beq r2,zero,8111c7a8 +8111c614: d9400304 addi r5,sp,12 +8111c618: 01000144 movi r4,5 +8111c61c: 11421940 call 81142194 +8111c620: d8c00303 ldbu r3,12(sp) +8111c624: d0a08915 stw r2,-32220(gp) +8111c628: 18000f1e bne r3,zero,8111c668 +8111c62c: 012045f4 movhi r4,33047 +8111c630: 210ace04 addi r4,r4,11064 +8111c634: 01400204 movi r5,8 +8111c638: 1142a540 call 81142a54 +8111c63c: d0a08115 stw r2,-32252(gp) +8111c640: 10002726 beq r2,zero,8111c6e0 +8111c644: 8805883a mov r2,r17 +8111c648: dfc00617 ldw ra,24(sp) +8111c64c: dc400517 ldw r17,20(sp) +8111c650: dc000417 ldw r16,16(sp) +8111c654: dec00704 addi sp,sp,28 +8111c658: f800283a ret +8111c65c: 1123d740 call 81123d74 +8111c660: 0023883a mov r17,zero +8111c664: 003f4006 br 8111c368 <__reset+0xfb0fc368> +8111c668: 1123dc80 call 81123dc8 +8111c66c: 0023883a mov r17,zero +8111c670: 003fee06 br 8111c62c <__reset+0xfb0fc62c> +8111c674: 11253e80 call 811253e8 +8111c678: 0023883a mov r17,zero +8111c67c: 003fd906 br 8111c5e4 <__reset+0xfb0fc5e4> +8111c680: 1124a100 call 81124a10 +8111c684: 0023883a mov r17,zero +8111c688: 003f9806 br 8111c4ec <__reset+0xfb0fc4ec> +8111c68c: 1123d740 call 81123d74 +8111c690: 0023883a mov r17,zero +8111c694: 003f8206 br 8111c4a0 <__reset+0xfb0fc4a0> +8111c698: 1123d740 call 81123d74 +8111c69c: 0023883a mov r17,zero +8111c6a0: 003f7906 br 8111c488 <__reset+0xfb0fc488> +8111c6a4: 1123d740 call 81123d74 +8111c6a8: 0023883a mov r17,zero +8111c6ac: 003f6406 br 8111c440 <__reset+0xfb0fc440> +8111c6b0: 1123d740 call 81123d74 +8111c6b4: 0023883a mov r17,zero +8111c6b8: 003f5b06 br 8111c428 <__reset+0xfb0fc428> +8111c6bc: 1123d740 call 81123d74 +8111c6c0: 0023883a mov r17,zero +8111c6c4: 003f3a06 br 8111c3b0 <__reset+0xfb0fc3b0> +8111c6c8: 1123d740 call 81123d74 +8111c6cc: 0023883a mov r17,zero +8111c6d0: 003f3106 br 8111c398 <__reset+0xfb0fc398> +8111c6d4: 1123d740 call 81123d74 8111c6d8: 0023883a mov r17,zero -8111c6dc: 003f8706 br 8111c4fc <__reset+0xfb0fc4fc> -8111c6e0: 01000084 movi r4,2 -8111c6e4: 1124f200 call 81124f20 -8111c6e8: 0023883a mov r17,zero -8111c6ec: 003f8906 br 8111c514 <__reset+0xfb0fc514> -8111c6f0: 010000c4 movi r4,3 -8111c6f4: 1124f200 call 81124f20 +8111c6dc: 003f2806 br 8111c380 <__reset+0xfb0fc380> +8111c6e0: 0023883a mov r17,zero +8111c6e4: 003fd706 br 8111c644 <__reset+0xfb0fc644> +8111c6e8: 1123e280 call 81123e28 +8111c6ec: 0023883a mov r17,zero +8111c6f0: 003f5706 br 8111c450 <__reset+0xfb0fc450> +8111c6f4: 1124f080 call 81124f08 8111c6f8: 0023883a mov r17,zero -8111c6fc: 003f8b06 br 8111c52c <__reset+0xfb0fc52c> -8111c700: 01000104 movi r4,4 -8111c704: 1124f200 call 81124f20 +8111c6fc: 003f8106 br 8111c504 <__reset+0xfb0fc504> +8111c700: 0009883a mov r4,zero +8111c704: 1124f600 call 81124f60 8111c708: 0023883a mov r17,zero -8111c70c: 003f8d06 br 8111c544 <__reset+0xfb0fc544> -8111c710: 01000144 movi r4,5 -8111c714: 1124f200 call 81124f20 +8111c70c: 003f8506 br 8111c524 <__reset+0xfb0fc524> +8111c710: 01000044 movi r4,1 +8111c714: 1124f600 call 81124f60 8111c718: 0023883a mov r17,zero -8111c71c: 003f8f06 br 8111c55c <__reset+0xfb0fc55c> -8111c720: 1124f800 call 81124f80 -8111c724: 0023883a mov r17,zero -8111c728: 003f9206 br 8111c574 <__reset+0xfb0fc574> -8111c72c: 1123de80 call 81123de8 -8111c730: 0023883a mov r17,zero -8111c734: 003f3a06 br 8111c420 <__reset+0xfb0fc420> -8111c738: 1123de80 call 81123de8 -8111c73c: 0023883a mov r17,zero -8111c740: 003f3b06 br 8111c430 <__reset+0xfb0fc430> -8111c744: 1123de80 call 81123de8 +8111c71c: 003f8706 br 8111c53c <__reset+0xfb0fc53c> +8111c720: 01000084 movi r4,2 +8111c724: 1124f600 call 81124f60 +8111c728: 0023883a mov r17,zero +8111c72c: 003f8906 br 8111c554 <__reset+0xfb0fc554> +8111c730: 010000c4 movi r4,3 +8111c734: 1124f600 call 81124f60 +8111c738: 0023883a mov r17,zero +8111c73c: 003f8b06 br 8111c56c <__reset+0xfb0fc56c> +8111c740: 01000104 movi r4,4 +8111c744: 1124f600 call 81124f60 8111c748: 0023883a mov r17,zero -8111c74c: 003f4806 br 8111c470 <__reset+0xfb0fc470> -8111c750: 1124fd80 call 81124fd8 -8111c754: 0023883a mov r17,zero -8111c758: 003f8c06 br 8111c58c <__reset+0xfb0fc58c> -8111c75c: 11254b40 call 811254b4 -8111c760: 0023883a mov r17,zero -8111c764: 003f9506 br 8111c5bc <__reset+0xfb0fc5bc> -8111c768: 112550c0 call 8112550c -8111c76c: 0023883a mov r17,zero -8111c770: 003f9806 br 8111c5d4 <__reset+0xfb0fc5d4> -8111c774: 1123de80 call 81123de8 -8111c778: 0023883a mov r17,zero -8111c77c: 003ee406 br 8111c310 <__reset+0xfb0fc310> -8111c780: 0023883a mov r17,zero -8111c784: d0208505 stb zero,-32236(gp) -8111c788: 1123de80 call 81123de8 -8111c78c: 003efe06 br 8111c388 <__reset+0xfb0fc388> -8111c790: 0023883a mov r17,zero -8111c794: d0209045 stb zero,-32191(gp) -8111c798: 1123de80 call 81123de8 -8111c79c: 003f0006 br 8111c3a0 <__reset+0xfb0fc3a0> +8111c74c: 003f8d06 br 8111c584 <__reset+0xfb0fc584> +8111c750: 01000144 movi r4,5 +8111c754: 1124f600 call 81124f60 +8111c758: 0023883a mov r17,zero +8111c75c: 003f8f06 br 8111c59c <__reset+0xfb0fc59c> +8111c760: 1124fc00 call 81124fc0 +8111c764: 0023883a mov r17,zero +8111c768: 003f9206 br 8111c5b4 <__reset+0xfb0fc5b4> +8111c76c: 1123e280 call 81123e28 +8111c770: 0023883a mov r17,zero +8111c774: 003f3a06 br 8111c460 <__reset+0xfb0fc460> +8111c778: 1123e280 call 81123e28 +8111c77c: 0023883a mov r17,zero +8111c780: 003f3b06 br 8111c470 <__reset+0xfb0fc470> +8111c784: 1123e280 call 81123e28 +8111c788: 0023883a mov r17,zero +8111c78c: 003f4806 br 8111c4b0 <__reset+0xfb0fc4b0> +8111c790: 11250180 call 81125018 +8111c794: 0023883a mov r17,zero +8111c798: 003f8c06 br 8111c5cc <__reset+0xfb0fc5cc> +8111c79c: 11254f40 call 811254f4 8111c7a0: 0023883a mov r17,zero -8111c7a4: d0209005 stb zero,-32192(gp) -8111c7a8: 1123de80 call 81123de8 -8111c7ac: 003f0206 br 8111c3b8 <__reset+0xfb0fc3b8> -8111c7b0: 0023883a mov r17,zero -8111c7b4: d0208085 stb zero,-32254(gp) -8111c7b8: 1123de80 call 81123de8 -8111c7bc: 003f0406 br 8111c3d0 <__reset+0xfb0fc3d0> - -8111c7c0 : -8111c7c0: 012045f4 movhi r4,33047 -8111c7c4: defff804 addi sp,sp,-32 -8111c7c8: 2116c504 addi r4,r4,23316 -8111c7cc: 01801004 movi r6,64 -8111c7d0: 000b883a mov r5,zero -8111c7d4: 00800084 movi r2,2 -8111c7d8: dfc00715 stw ra,28(sp) -8111c7dc: dd800615 stw r22,24(sp) -8111c7e0: dd400515 stw r21,20(sp) -8111c7e4: dd000415 stw r20,16(sp) -8111c7e8: dcc00315 stw r19,12(sp) -8111c7ec: dc800215 stw r18,8(sp) -8111c7f0: dc400115 stw r17,4(sp) -8111c7f4: dc000015 stw r16,0(sp) -8111c7f8: d0a0800d sth r2,-32256(gp) -8111c7fc: 112c7900 call 8112c790 +8111c7a4: 003f9506 br 8111c5fc <__reset+0xfb0fc5fc> +8111c7a8: 112554c0 call 8112554c +8111c7ac: 0023883a mov r17,zero +8111c7b0: 003f9806 br 8111c614 <__reset+0xfb0fc614> +8111c7b4: 1123e280 call 81123e28 +8111c7b8: 0023883a mov r17,zero +8111c7bc: 003ee406 br 8111c350 <__reset+0xfb0fc350> +8111c7c0: 0023883a mov r17,zero +8111c7c4: d0208505 stb zero,-32236(gp) +8111c7c8: 1123e280 call 81123e28 +8111c7cc: 003efe06 br 8111c3c8 <__reset+0xfb0fc3c8> +8111c7d0: 0023883a mov r17,zero +8111c7d4: d0209045 stb zero,-32191(gp) +8111c7d8: 1123e280 call 81123e28 +8111c7dc: 003f0006 br 8111c3e0 <__reset+0xfb0fc3e0> +8111c7e0: 0023883a mov r17,zero +8111c7e4: d0209005 stb zero,-32192(gp) +8111c7e8: 1123e280 call 81123e28 +8111c7ec: 003f0206 br 8111c3f8 <__reset+0xfb0fc3f8> +8111c7f0: 0023883a mov r17,zero +8111c7f4: d0208085 stb zero,-32254(gp) +8111c7f8: 1123e280 call 81123e28 +8111c7fc: 003f0406 br 8111c410 <__reset+0xfb0fc410> + +8111c800 : 8111c800: 012045f4 movhi r4,33047 -8111c804: 2116d504 addi r4,r4,23380 -8111c808: 01802004 movi r6,128 -8111c80c: 000b883a mov r5,zero -8111c810: 112c7900 call 8112c790 -8111c814: 012045f4 movhi r4,33047 -8111c818: 2116f504 addi r4,r4,23508 -8111c81c: 01802004 movi r6,128 -8111c820: 000b883a mov r5,zero -8111c824: 112c7900 call 8112c790 -8111c828: 042045f4 movhi r16,33047 -8111c82c: 012045f4 movhi r4,33047 -8111c830: 84276604 addi r16,r16,-25192 -8111c834: 21171504 addi r4,r4,23636 -8111c838: 01802004 movi r6,128 -8111c83c: 000b883a mov r5,zero -8111c840: 847fff04 addi r17,r16,-4 -8111c844: 112c7900 call 8112c790 -8111c848: 85400384 addi r21,r16,14 -8111c84c: 85000184 addi r20,r16,6 -8111c850: 84c00104 addi r19,r16,4 -8111c854: 84800284 addi r18,r16,10 -8111c858: 85897804 addi r22,r16,9696 -8111c85c: 80000015 stw zero,0(r16) -8111c860: 88000015 stw zero,0(r17) -8111c864: a8000005 stb zero,0(r21) -8111c868: a000000d sth zero,0(r20) -8111c86c: 9800000d sth zero,0(r19) -8111c870: 9000000d sth zero,0(r18) -8111c874: 8000020d sth zero,8(r16) -8111c878: 810003c4 addi r4,r16,15 -8111c87c: 01802004 movi r6,128 -8111c880: 000b883a mov r5,zero -8111c884: 84006504 addi r16,r16,404 -8111c888: 112c7900 call 8112c790 -8111c88c: 8c406504 addi r17,r17,404 -8111c890: ad406504 addi r21,r21,404 -8111c894: a5006504 addi r20,r20,404 -8111c898: 9cc06504 addi r19,r19,404 -8111c89c: 94806504 addi r18,r18,404 -8111c8a0: 85bfee1e bne r16,r22,8111c85c <__reset+0xfb0fc85c> -8111c8a4: 042045f4 movhi r16,33047 -8111c8a8: 84177504 addi r16,r16,24020 -8111c8ac: 84c00104 addi r19,r16,4 -8111c8b0: 84800184 addi r18,r16,6 -8111c8b4: 84400204 addi r17,r16,8 -8111c8b8: 85046004 addi r20,r16,4480 -8111c8bc: 813fe004 addi r4,r16,-128 -8111c8c0: 01802004 movi r6,128 -8111c8c4: 000b883a mov r5,zero -8111c8c8: 112c7900 call 8112c790 -8111c8cc: 80000015 stw zero,0(r16) -8111c8d0: 9800000d sth zero,0(r19) -8111c8d4: 9000000d sth zero,0(r18) -8111c8d8: 88000005 stb zero,0(r17) -8111c8dc: 84002304 addi r16,r16,140 -8111c8e0: 9cc02304 addi r19,r19,140 -8111c8e4: 94802304 addi r18,r18,140 -8111c8e8: 8c402304 addi r17,r17,140 -8111c8ec: 853ff31e bne r16,r20,8111c8bc <__reset+0xfb0fc8bc> -8111c8f0: 04204574 movhi r16,33045 -8111c8f4: 8415e704 addi r16,r16,22428 -8111c8f8: 84c00104 addi r19,r16,4 -8111c8fc: 84800184 addi r18,r16,6 -8111c900: 84400204 addi r17,r16,8 -8111c904: 85026004 addi r20,r16,2432 -8111c908: 813ff004 addi r4,r16,-64 -8111c90c: 01801004 movi r6,64 -8111c910: 000b883a mov r5,zero -8111c914: 112c7900 call 8112c790 -8111c918: 80000015 stw zero,0(r16) -8111c91c: 9800000d sth zero,0(r19) -8111c920: 9000000d sth zero,0(r18) -8111c924: 88000005 stb zero,0(r17) -8111c928: 84001304 addi r16,r16,76 -8111c92c: 9cc01304 addi r19,r19,76 -8111c930: 94801304 addi r18,r18,76 -8111c934: 8c401304 addi r17,r17,76 -8111c938: 853ff31e bne r16,r20,8111c908 <__reset+0xfb0fc908> -8111c93c: 042045f4 movhi r16,33047 -8111c940: 8430e504 addi r16,r16,-15468 -8111c944: 84c00104 addi r19,r16,4 -8111c948: 84800184 addi r18,r16,6 -8111c94c: 84400204 addi r17,r16,8 -8111c950: 85016004 addi r20,r16,1408 -8111c954: 813ff804 addi r4,r16,-32 -8111c958: 01800804 movi r6,32 -8111c95c: 000b883a mov r5,zero -8111c960: 112c7900 call 8112c790 -8111c964: 80000015 stw zero,0(r16) -8111c968: 9800000d sth zero,0(r19) -8111c96c: 9000000d sth zero,0(r18) -8111c970: 88000005 stb zero,0(r17) -8111c974: 84000b04 addi r16,r16,44 -8111c978: 9cc00b04 addi r19,r19,44 -8111c97c: 94800b04 addi r18,r18,44 -8111c980: 8c400b04 addi r17,r17,44 -8111c984: a43ff31e bne r20,r16,8111c954 <__reset+0xfb0fc954> -8111c988: 04604574 movhi r17,33045 -8111c98c: 8c583c04 addi r17,r17,24816 -8111c990: 0021883a mov r16,zero -8111c994: 04c00404 movi r19,16 -8111c998: 8cbffb04 addi r18,r17,-20 -8111c99c: 80804524 muli r2,r16,276 -8111c9a0: 8809883a mov r4,r17 -8111c9a4: 84000044 addi r16,r16,1 -8111c9a8: 9085883a add r2,r18,r2 -8111c9ac: 10c00104 addi r3,r2,4 -8111c9b0: 18000015 stw zero,0(r3) -8111c9b4: 10800484 addi r2,r2,18 -8111c9b8: 01804004 movi r6,256 -8111c9bc: 000b883a mov r5,zero -8111c9c0: 10000005 stb zero,0(r2) -8111c9c4: 8c404504 addi r17,r17,276 -8111c9c8: 112c7900 call 8112c790 -8111c9cc: 84fff31e bne r16,r19,8111c99c <__reset+0xfb0fc99c> -8111c9d0: 04604634 movhi r17,33048 -8111c9d4: 8c4c7104 addi r17,r17,12740 -8111c9d8: 0021883a mov r16,zero -8111c9dc: 04c00804 movi r19,32 -8111c9e0: 8cbffe04 addi r18,r17,-8 -8111c9e4: 80801324 muli r2,r16,76 -8111c9e8: 8809883a mov r4,r17 -8111c9ec: 84000044 addi r16,r16,1 -8111c9f0: 9085883a add r2,r18,r2 -8111c9f4: 10c00144 addi r3,r2,5 -8111c9f8: 18000005 stb zero,0(r3) -8111c9fc: 10c00104 addi r3,r2,4 -8111ca00: 18000005 stb zero,0(r3) -8111ca04: 10c01204 addi r3,r2,72 -8111ca08: 18000005 stb zero,0(r3) -8111ca0c: 10000015 stw zero,0(r2) -8111ca10: 10c01244 addi r3,r2,73 -8111ca14: 18000005 stb zero,0(r3) -8111ca18: 10800184 addi r2,r2,6 -8111ca1c: 01801004 movi r6,64 -8111ca20: 000b883a mov r5,zero -8111ca24: 10000005 stb zero,0(r2) -8111ca28: 8c401304 addi r17,r17,76 -8111ca2c: 112c7900 call 8112c790 -8111ca30: 84ffec1e bne r16,r19,8111c9e4 <__reset+0xfb0fc9e4> -8111ca34: 01a04634 movhi r6,33048 -8111ca38: 31aa1b04 addi r6,r6,-22420 -8111ca3c: 0007883a mov r3,zero -8111ca40: 01400804 movi r5,32 -8111ca44: 18c5883a add r2,r3,r3 -8111ca48: 1085883a add r2,r2,r2 -8111ca4c: 3085883a add r2,r6,r2 -8111ca50: 11000044 addi r4,r2,1 -8111ca54: 20000005 stb zero,0(r4) -8111ca58: 10000005 stb zero,0(r2) -8111ca5c: 10800084 addi r2,r2,2 -8111ca60: 1000000d sth zero,0(r2) -8111ca64: 18c00044 addi r3,r3,1 -8111ca68: 197ff61e bne r3,r5,8111ca44 <__reset+0xfb0fca44> -8111ca6c: 01a04634 movhi r6,33048 -8111ca70: 318c4f04 addi r6,r6,12604 -8111ca74: 0007883a mov r3,zero -8111ca78: 01400804 movi r5,32 -8111ca7c: 18c5883a add r2,r3,r3 -8111ca80: 1085883a add r2,r2,r2 -8111ca84: 3085883a add r2,r6,r2 -8111ca88: 11000044 addi r4,r2,1 -8111ca8c: 20000005 stb zero,0(r4) -8111ca90: 10000005 stb zero,0(r2) -8111ca94: 10800084 addi r2,r2,2 -8111ca98: 1000000d sth zero,0(r2) -8111ca9c: 18c00044 addi r3,r3,1 -8111caa0: 197ff61e bne r3,r5,8111ca7c <__reset+0xfb0fca7c> -8111caa4: dfc00717 ldw ra,28(sp) -8111caa8: dd800617 ldw r22,24(sp) -8111caac: dd400517 ldw r21,20(sp) -8111cab0: dd000417 ldw r20,16(sp) -8111cab4: dcc00317 ldw r19,12(sp) -8111cab8: dc800217 ldw r18,8(sp) -8111cabc: dc400117 ldw r17,4(sp) -8111cac0: dc000017 ldw r16,0(sp) -8111cac4: dec00804 addi sp,sp,32 -8111cac8: f800283a ret - -8111cacc : -8111cacc: defff104 addi sp,sp,-60 -8111cad0: dd000915 stw r20,36(sp) -8111cad4: 05204634 movhi r20,33048 -8111cad8: a50f0804 addi r20,r20,15392 -8111cadc: a0800803 ldbu r2,32(r20) -8111cae0: dc000515 stw r16,20(sp) -8111cae4: dfc00e15 stw ra,56(sp) -8111cae8: df000d15 stw fp,52(sp) -8111caec: ddc00c15 stw r23,48(sp) -8111caf0: dd800b15 stw r22,44(sp) -8111caf4: dd400a15 stw r21,40(sp) -8111caf8: dcc00815 stw r19,32(sp) -8111cafc: dc800715 stw r18,28(sp) -8111cb00: dc400615 stw r17,24(sp) -8111cb04: 04000104 movi r16,4 -8111cb08: d9000215 stw r4,8(sp) -8111cb0c: 80805f2e bgeu r16,r2,8111cc8c -8111cb10: d8000315 stw zero,12(sp) -8111cb14: 04c00104 movi r19,4 -8111cb18: 9880552e bgeu r19,r2,8111cc70 -8111cb1c: d8c00317 ldw r3,12(sp) -8111cb20: d9000217 ldw r4,8(sp) -8111cb24: 0021883a mov r16,zero -8111cb28: 1f042f24 muli fp,r3,4284 -8111cb2c: 2707883a add r3,r4,fp -8111cb30: 1d99908b ldhu r22,26178(r3) -8111cb34: 19198f8b ldhu r4,26174(r3) -8111cb38: 1dd9910b ldhu r23,26180(r3) -8111cb3c: 1999900b ldhu r6,26176(r3) -8111cb40: 19598f0b ldhu r5,26172(r3) -8111cb44: b107883a add r3,r22,r4 -8111cb48: b9af883a add r23,r23,r6 -8111cb4c: 196d883a add r22,r3,r5 -8111cb50: 9880212e bgeu r19,r2,8111cbd8 -8111cb54: 014007f4 movhi r5,31 -8111cb58: 0009883a mov r4,zero -8111cb5c: 11065dc0 call 811065dc -8111cb60: 008000b4 movhi r2,2 -8111cb64: 140a983a sll r5,r2,r16 -8111cb68: 01000044 movi r4,1 -8111cb6c: 04800044 movi r18,1 -8111cb70: 11065dc0 call 811065dc -8111cb74: 80800624 muli r2,r16,24 -8111cb78: d8c00217 ldw r3,8(sp) -8111cb7c: 0023883a mov r17,zero -8111cb80: 1705883a add r2,r2,fp -8111cb84: 1885883a add r2,r3,r2 -8111cb88: 15595504 addi r21,r2,25940 -8111cb8c: 10995204 addi r2,r2,25928 -8111cb90: d8800415 stw r2,16(sp) -8111cb94: a0800803 ldbu r2,32(r20) -8111cb98: 88001526 beq r17,zero,8111cbf0 -8111cb9c: 9880262e bgeu r19,r2,8111cc38 -8111cba0: ddc00115 stw r23,4(sp) -8111cba4: dd800015 stw r22,0(sp) -8111cba8: a9400017 ldw r5,0(r21) -8111cbac: 01c00044 movi r7,1 -8111cbb0: 800d883a mov r6,r16 -8111cbb4: 0009883a mov r4,zero -8111cbb8: 11264e00 call 811264e0 -8111cbbc: 90803fcc andi r2,r18,255 -8111cbc0: 00c00084 movi r3,2 -8111cbc4: 10c0191e bne r2,r3,8111cc2c -8111cbc8: 84000044 addi r16,r16,1 -8111cbcc: 84c02126 beq r16,r19,8111cc54 -8111cbd0: a0800803 ldbu r2,32(r20) -8111cbd4: 98bfdf36 bltu r19,r2,8111cb54 <__reset+0xfb0fcb54> -8111cbd8: d1207917 ldw r4,-32284(gp) -8111cbdc: 01604574 movhi r5,33045 -8111cbe0: 29721804 addi r5,r5,-14240 -8111cbe4: 800d883a mov r6,r16 -8111cbe8: 112b5e00 call 8112b5e0 -8111cbec: 003fd906 br 8111cb54 <__reset+0xfb0fcb54> -8111cbf0: 98800636 bltu r19,r2,8111cc0c -8111cbf4: d1e07917 ldw r7,-32284(gp) -8111cbf8: 01204574 movhi r4,33045 -8111cbfc: 21321c04 addi r4,r4,-14224 -8111cc00: 01800404 movi r6,16 -8111cc04: 01400044 movi r5,1 -8111cc08: 112bc4c0 call 8112bc4c -8111cc0c: d8c00417 ldw r3,16(sp) -8111cc10: ddc00115 stw r23,4(sp) -8111cc14: dd800015 stw r22,0(sp) -8111cc18: 19400017 ldw r5,0(r3) -8111cc1c: 000f883a mov r7,zero -8111cc20: 800d883a mov r6,r16 -8111cc24: 0009883a mov r4,zero -8111cc28: 11264e00 call 811264e0 -8111cc2c: 8c400044 addi r17,r17,1 -8111cc30: 94800044 addi r18,r18,1 -8111cc34: 003fd706 br 8111cb94 <__reset+0xfb0fcb94> -8111cc38: d1e07917 ldw r7,-32284(gp) -8111cc3c: 01204574 movhi r4,33045 -8111cc40: 21322104 addi r4,r4,-14204 -8111cc44: 01800444 movi r6,17 -8111cc48: 01400044 movi r5,1 -8111cc4c: 112bc4c0 call 8112bc4c -8111cc50: 003fd306 br 8111cba0 <__reset+0xfb0fcba0> -8111cc54: d8c00317 ldw r3,12(sp) -8111cc58: 00800184 movi r2,6 -8111cc5c: 18c00044 addi r3,r3,1 -8111cc60: d8c00315 stw r3,12(sp) -8111cc64: 18801826 beq r3,r2,8111ccc8 -8111cc68: a0800803 ldbu r2,32(r20) -8111cc6c: 98bfab36 bltu r19,r2,8111cb1c <__reset+0xfb0fcb1c> -8111cc70: d1207917 ldw r4,-32284(gp) -8111cc74: d9800317 ldw r6,12(sp) -8111cc78: 01604574 movhi r5,33045 -8111cc7c: 29721504 addi r5,r5,-14252 -8111cc80: 112b5e00 call 8112b5e0 -8111cc84: a0800803 ldbu r2,32(r20) -8111cc88: 003fa406 br 8111cb1c <__reset+0xfb0fcb1c> -8111cc8c: d1e07917 ldw r7,-32284(gp) -8111cc90: 01204574 movhi r4,33045 -8111cc94: 21320704 addi r4,r4,-14308 -8111cc98: 01800a04 movi r6,40 -8111cc9c: 01400044 movi r5,1 -8111cca0: 112bc4c0 call 8112bc4c -8111cca4: a0800803 ldbu r2,32(r20) -8111cca8: 80bf9936 bltu r16,r2,8111cb10 <__reset+0xfb0fcb10> -8111ccac: d1207917 ldw r4,-32284(gp) -8111ccb0: 01604574 movhi r5,33045 -8111ccb4: 29721204 addi r5,r5,-14264 -8111ccb8: 000d883a mov r6,zero -8111ccbc: 112b5e00 call 8112b5e0 -8111ccc0: a0800803 ldbu r2,32(r20) -8111ccc4: 003f9206 br 8111cb10 <__reset+0xfb0fcb10> -8111ccc8: a0800803 ldbu r2,32(r20) -8111cccc: 80800c2e bgeu r16,r2,8111cd00 -8111ccd0: dfc00e17 ldw ra,56(sp) -8111ccd4: df000d17 ldw fp,52(sp) -8111ccd8: ddc00c17 ldw r23,48(sp) -8111ccdc: dd800b17 ldw r22,44(sp) -8111cce0: dd400a17 ldw r21,40(sp) -8111cce4: dd000917 ldw r20,36(sp) -8111cce8: dcc00817 ldw r19,32(sp) -8111ccec: dc800717 ldw r18,28(sp) -8111ccf0: dc400617 ldw r17,24(sp) -8111ccf4: dc000517 ldw r16,20(sp) -8111ccf8: dec00f04 addi sp,sp,60 -8111ccfc: f800283a ret -8111cd00: d1e07917 ldw r7,-32284(gp) -8111cd04: 01204574 movhi r4,33045 -8111cd08: 21322604 addi r4,r4,-14184 -8111cd0c: 018003c4 movi r6,15 -8111cd10: 01400044 movi r5,1 -8111cd14: dfc00e17 ldw ra,56(sp) -8111cd18: df000d17 ldw fp,52(sp) -8111cd1c: ddc00c17 ldw r23,48(sp) -8111cd20: dd800b17 ldw r22,44(sp) -8111cd24: dd400a17 ldw r21,40(sp) -8111cd28: dd000917 ldw r20,36(sp) -8111cd2c: dcc00817 ldw r19,32(sp) -8111cd30: dc800717 ldw r18,28(sp) -8111cd34: dc400617 ldw r17,24(sp) -8111cd38: dc000517 ldw r16,20(sp) -8111cd3c: dec00f04 addi sp,sp,60 -8111cd40: 112bc4c1 jmpi 8112bc4c - -8111cd44
: -8111cd44: 01604574 movhi r5,33045 -8111cd48: 01204574 movhi r4,33045 -8111cd4c: defff804 addi sp,sp,-32 -8111cd50: 29712504 addi r5,r5,-15212 -8111cd54: 21312604 addi r4,r4,-15208 -8111cd58: dfc00715 stw ra,28(sp) -8111cd5c: dc000515 stw r16,20(sp) -8111cd60: dc400615 stw r17,24(sp) -8111cd64: 112b5a00 call 8112b5a0 -8111cd68: 04000044 movi r16,1 -8111cd6c: 01204574 movhi r4,33045 -8111cd70: 21312b04 addi r4,r4,-15188 -8111cd74: 800b883a mov r5,r16 -8111cd78: 100f883a mov r7,r2 -8111cd7c: 01800484 movi r6,18 -8111cd80: d0a07915 stw r2,-32284(gp) -8111cd84: 112bc4c0 call 8112bc4c -8111cd88: 1125fa00 call 81125fa0 -8111cd8c: d1607917 ldw r5,-32284(gp) -8111cd90: 01000284 movi r4,10 -8111cd94: 10004126 beq r2,zero,8111ce9c -8111cd98: 112b6480 call 8112b648 -8111cd9c: d1207917 ldw r4,-32284(gp) -8111cda0: 01a04574 movhi r6,33045 -8111cda4: 01604574 movhi r5,33045 -8111cda8: 31b13004 addi r6,r6,-15168 -8111cdac: 29713104 addi r5,r5,-15164 -8111cdb0: 112b5e00 call 8112b5e0 -8111cdb4: d1207917 ldw r4,-32284(gp) -8111cdb8: 01e04574 movhi r7,33045 -8111cdbc: 01a04574 movhi r6,33045 -8111cdc0: 01604574 movhi r5,33045 -8111cdc4: 39f13704 addi r7,r7,-15140 -8111cdc8: 31b13004 addi r6,r6,-15168 -8111cdcc: 29713804 addi r5,r5,-15136 -8111cdd0: 112b5e00 call 8112b5e0 -8111cdd4: d1207917 ldw r4,-32284(gp) -8111cdd8: 00a04574 movhi r2,33045 -8111cddc: 10b14704 addi r2,r2,-15076 -8111cde0: 01e04574 movhi r7,33045 -8111cde4: 01a04574 movhi r6,33045 -8111cde8: 01604574 movhi r5,33045 -8111cdec: 39f13704 addi r7,r7,-15140 -8111cdf0: 31b13004 addi r6,r6,-15168 -8111cdf4: 29713f04 addi r5,r5,-15108 -8111cdf8: d8800015 stw r2,0(sp) -8111cdfc: 112b5e00 call 8112b5e0 -8111ce00: d1607917 ldw r5,-32284(gp) -8111ce04: 01000284 movi r4,10 -8111ce08: 112b6480 call 8112b648 -8111ce0c: 113fed40 call 8113fed4 -8111ce10: 11273600 call 81127360 -8111ce14: 10003a1e bne r2,zero,8111cf00 -8111ce18: d1607917 ldw r5,-32284(gp) -8111ce1c: 01000284 movi r4,10 -8111ce20: 112b6480 call 8112b648 -8111ce24: d1e07917 ldw r7,-32284(gp) -8111ce28: 01204574 movhi r4,33045 -8111ce2c: 21317c04 addi r4,r4,-14864 -8111ce30: 800b883a mov r5,r16 -8111ce34: 01800ac4 movi r6,43 -8111ce38: 112bc4c0 call 8112bc4c -8111ce3c: d4607917 ldw r17,-32284(gp) -8111ce40: 1106ba40 call 81106ba4 -8111ce44: 01604574 movhi r5,33045 -8111ce48: 100d883a mov r6,r2 -8111ce4c: 29718704 addi r5,r5,-14820 -8111ce50: 8809883a mov r4,r17 -8111ce54: 112b5e00 call 8112b5e0 -8111ce58: 1106ba40 call 81106ba4 -8111ce5c: 00c00084 movi r3,2 -8111ce60: d1e07917 ldw r7,-32284(gp) -8111ce64: 18809436 bltu r3,r2,8111d0b8 +8111c804: defff804 addi sp,sp,-32 +8111c808: 2116d604 addi r4,r4,23384 +8111c80c: 01801004 movi r6,64 +8111c810: 000b883a mov r5,zero +8111c814: 00800084 movi r2,2 +8111c818: dfc00715 stw ra,28(sp) +8111c81c: dd800615 stw r22,24(sp) +8111c820: dd400515 stw r21,20(sp) +8111c824: dd000415 stw r20,16(sp) +8111c828: dcc00315 stw r19,12(sp) +8111c82c: dc800215 stw r18,8(sp) +8111c830: dc400115 stw r17,4(sp) +8111c834: dc000015 stw r16,0(sp) +8111c838: d0a0800d sth r2,-32256(gp) +8111c83c: 112c7d00 call 8112c7d0 +8111c840: 012045f4 movhi r4,33047 +8111c844: 2116e604 addi r4,r4,23448 +8111c848: 01802004 movi r6,128 +8111c84c: 000b883a mov r5,zero +8111c850: 112c7d00 call 8112c7d0 +8111c854: 012045f4 movhi r4,33047 +8111c858: 21170604 addi r4,r4,23576 +8111c85c: 01802004 movi r6,128 +8111c860: 000b883a mov r5,zero +8111c864: 112c7d00 call 8112c7d0 +8111c868: 042045f4 movhi r16,33047 +8111c86c: 012045f4 movhi r4,33047 +8111c870: 84277704 addi r16,r16,-25124 +8111c874: 21172604 addi r4,r4,23704 +8111c878: 01802004 movi r6,128 +8111c87c: 000b883a mov r5,zero +8111c880: 847fff04 addi r17,r16,-4 +8111c884: 112c7d00 call 8112c7d0 +8111c888: 85400384 addi r21,r16,14 +8111c88c: 85000184 addi r20,r16,6 +8111c890: 84c00104 addi r19,r16,4 +8111c894: 84800284 addi r18,r16,10 +8111c898: 85897804 addi r22,r16,9696 +8111c89c: 80000015 stw zero,0(r16) +8111c8a0: 88000015 stw zero,0(r17) +8111c8a4: a8000005 stb zero,0(r21) +8111c8a8: a000000d sth zero,0(r20) +8111c8ac: 9800000d sth zero,0(r19) +8111c8b0: 9000000d sth zero,0(r18) +8111c8b4: 8000020d sth zero,8(r16) +8111c8b8: 810003c4 addi r4,r16,15 +8111c8bc: 01802004 movi r6,128 +8111c8c0: 000b883a mov r5,zero +8111c8c4: 84006504 addi r16,r16,404 +8111c8c8: 112c7d00 call 8112c7d0 +8111c8cc: 8c406504 addi r17,r17,404 +8111c8d0: ad406504 addi r21,r21,404 +8111c8d4: a5006504 addi r20,r20,404 +8111c8d8: 9cc06504 addi r19,r19,404 +8111c8dc: 94806504 addi r18,r18,404 +8111c8e0: 85bfee1e bne r16,r22,8111c89c <__reset+0xfb0fc89c> +8111c8e4: 042045f4 movhi r16,33047 +8111c8e8: 84178604 addi r16,r16,24088 +8111c8ec: 84c00104 addi r19,r16,4 +8111c8f0: 84800184 addi r18,r16,6 +8111c8f4: 84400204 addi r17,r16,8 +8111c8f8: 85046004 addi r20,r16,4480 +8111c8fc: 813fe004 addi r4,r16,-128 +8111c900: 01802004 movi r6,128 +8111c904: 000b883a mov r5,zero +8111c908: 112c7d00 call 8112c7d0 +8111c90c: 80000015 stw zero,0(r16) +8111c910: 9800000d sth zero,0(r19) +8111c914: 9000000d sth zero,0(r18) +8111c918: 88000005 stb zero,0(r17) +8111c91c: 84002304 addi r16,r16,140 +8111c920: 9cc02304 addi r19,r19,140 +8111c924: 94802304 addi r18,r18,140 +8111c928: 8c402304 addi r17,r17,140 +8111c92c: 853ff31e bne r16,r20,8111c8fc <__reset+0xfb0fc8fc> +8111c930: 04204574 movhi r16,33045 +8111c934: 8415f804 addi r16,r16,22496 +8111c938: 84c00104 addi r19,r16,4 +8111c93c: 84800184 addi r18,r16,6 +8111c940: 84400204 addi r17,r16,8 +8111c944: 85026004 addi r20,r16,2432 +8111c948: 813ff004 addi r4,r16,-64 +8111c94c: 01801004 movi r6,64 +8111c950: 000b883a mov r5,zero +8111c954: 112c7d00 call 8112c7d0 +8111c958: 80000015 stw zero,0(r16) +8111c95c: 9800000d sth zero,0(r19) +8111c960: 9000000d sth zero,0(r18) +8111c964: 88000005 stb zero,0(r17) +8111c968: 84001304 addi r16,r16,76 +8111c96c: 9cc01304 addi r19,r19,76 +8111c970: 94801304 addi r18,r18,76 +8111c974: 8c401304 addi r17,r17,76 +8111c978: 853ff31e bne r16,r20,8111c948 <__reset+0xfb0fc948> +8111c97c: 042045f4 movhi r16,33047 +8111c980: 8430f604 addi r16,r16,-15400 +8111c984: 84c00104 addi r19,r16,4 +8111c988: 84800184 addi r18,r16,6 +8111c98c: 84400204 addi r17,r16,8 +8111c990: 85016004 addi r20,r16,1408 +8111c994: 813ff804 addi r4,r16,-32 +8111c998: 01800804 movi r6,32 +8111c99c: 000b883a mov r5,zero +8111c9a0: 112c7d00 call 8112c7d0 +8111c9a4: 80000015 stw zero,0(r16) +8111c9a8: 9800000d sth zero,0(r19) +8111c9ac: 9000000d sth zero,0(r18) +8111c9b0: 88000005 stb zero,0(r17) +8111c9b4: 84000b04 addi r16,r16,44 +8111c9b8: 9cc00b04 addi r19,r19,44 +8111c9bc: 94800b04 addi r18,r18,44 +8111c9c0: 8c400b04 addi r17,r17,44 +8111c9c4: a43ff31e bne r20,r16,8111c994 <__reset+0xfb0fc994> +8111c9c8: 04604574 movhi r17,33045 +8111c9cc: 8c584d04 addi r17,r17,24884 +8111c9d0: 0021883a mov r16,zero +8111c9d4: 04c00404 movi r19,16 +8111c9d8: 8cbffb04 addi r18,r17,-20 +8111c9dc: 80804524 muli r2,r16,276 +8111c9e0: 8809883a mov r4,r17 +8111c9e4: 84000044 addi r16,r16,1 +8111c9e8: 9085883a add r2,r18,r2 +8111c9ec: 10c00104 addi r3,r2,4 +8111c9f0: 18000015 stw zero,0(r3) +8111c9f4: 10800484 addi r2,r2,18 +8111c9f8: 01804004 movi r6,256 +8111c9fc: 000b883a mov r5,zero +8111ca00: 10000005 stb zero,0(r2) +8111ca04: 8c404504 addi r17,r17,276 +8111ca08: 112c7d00 call 8112c7d0 +8111ca0c: 84fff31e bne r16,r19,8111c9dc <__reset+0xfb0fc9dc> +8111ca10: 04604634 movhi r17,33048 +8111ca14: 8c4c8204 addi r17,r17,12808 +8111ca18: 0021883a mov r16,zero +8111ca1c: 04c00804 movi r19,32 +8111ca20: 8cbffe04 addi r18,r17,-8 +8111ca24: 80801324 muli r2,r16,76 +8111ca28: 8809883a mov r4,r17 +8111ca2c: 84000044 addi r16,r16,1 +8111ca30: 9085883a add r2,r18,r2 +8111ca34: 10c00144 addi r3,r2,5 +8111ca38: 18000005 stb zero,0(r3) +8111ca3c: 10c00104 addi r3,r2,4 +8111ca40: 18000005 stb zero,0(r3) +8111ca44: 10c01204 addi r3,r2,72 +8111ca48: 18000005 stb zero,0(r3) +8111ca4c: 10000015 stw zero,0(r2) +8111ca50: 10c01244 addi r3,r2,73 +8111ca54: 18000005 stb zero,0(r3) +8111ca58: 10800184 addi r2,r2,6 +8111ca5c: 01801004 movi r6,64 +8111ca60: 000b883a mov r5,zero +8111ca64: 10000005 stb zero,0(r2) +8111ca68: 8c401304 addi r17,r17,76 +8111ca6c: 112c7d00 call 8112c7d0 +8111ca70: 84ffec1e bne r16,r19,8111ca24 <__reset+0xfb0fca24> +8111ca74: 01a04634 movhi r6,33048 +8111ca78: 31aa2c04 addi r6,r6,-22352 +8111ca7c: 0007883a mov r3,zero +8111ca80: 01400804 movi r5,32 +8111ca84: 18c5883a add r2,r3,r3 +8111ca88: 1085883a add r2,r2,r2 +8111ca8c: 3085883a add r2,r6,r2 +8111ca90: 11000044 addi r4,r2,1 +8111ca94: 20000005 stb zero,0(r4) +8111ca98: 10000005 stb zero,0(r2) +8111ca9c: 10800084 addi r2,r2,2 +8111caa0: 1000000d sth zero,0(r2) +8111caa4: 18c00044 addi r3,r3,1 +8111caa8: 197ff61e bne r3,r5,8111ca84 <__reset+0xfb0fca84> +8111caac: 01a04634 movhi r6,33048 +8111cab0: 318c6004 addi r6,r6,12672 +8111cab4: 0007883a mov r3,zero +8111cab8: 01400804 movi r5,32 +8111cabc: 18c5883a add r2,r3,r3 +8111cac0: 1085883a add r2,r2,r2 +8111cac4: 3085883a add r2,r6,r2 +8111cac8: 11000044 addi r4,r2,1 +8111cacc: 20000005 stb zero,0(r4) +8111cad0: 10000005 stb zero,0(r2) +8111cad4: 10800084 addi r2,r2,2 +8111cad8: 1000000d sth zero,0(r2) +8111cadc: 18c00044 addi r3,r3,1 +8111cae0: 197ff61e bne r3,r5,8111cabc <__reset+0xfb0fcabc> +8111cae4: dfc00717 ldw ra,28(sp) +8111cae8: dd800617 ldw r22,24(sp) +8111caec: dd400517 ldw r21,20(sp) +8111caf0: dd000417 ldw r20,16(sp) +8111caf4: dcc00317 ldw r19,12(sp) +8111caf8: dc800217 ldw r18,8(sp) +8111cafc: dc400117 ldw r17,4(sp) +8111cb00: dc000017 ldw r16,0(sp) +8111cb04: dec00804 addi sp,sp,32 +8111cb08: f800283a ret + +8111cb0c : +8111cb0c: defff104 addi sp,sp,-60 +8111cb10: dd000915 stw r20,36(sp) +8111cb14: 05204634 movhi r20,33048 +8111cb18: a50f1904 addi r20,r20,15460 +8111cb1c: a0800803 ldbu r2,32(r20) +8111cb20: dc000515 stw r16,20(sp) +8111cb24: dfc00e15 stw ra,56(sp) +8111cb28: df000d15 stw fp,52(sp) +8111cb2c: ddc00c15 stw r23,48(sp) +8111cb30: dd800b15 stw r22,44(sp) +8111cb34: dd400a15 stw r21,40(sp) +8111cb38: dcc00815 stw r19,32(sp) +8111cb3c: dc800715 stw r18,28(sp) +8111cb40: dc400615 stw r17,24(sp) +8111cb44: 04000104 movi r16,4 +8111cb48: d9000215 stw r4,8(sp) +8111cb4c: 80805f2e bgeu r16,r2,8111cccc +8111cb50: d8000315 stw zero,12(sp) +8111cb54: 04c00104 movi r19,4 +8111cb58: 9880552e bgeu r19,r2,8111ccb0 +8111cb5c: d8c00317 ldw r3,12(sp) +8111cb60: d9000217 ldw r4,8(sp) +8111cb64: 0021883a mov r16,zero +8111cb68: 1f042f24 muli fp,r3,4284 +8111cb6c: 2707883a add r3,r4,fp +8111cb70: 1d99908b ldhu r22,26178(r3) +8111cb74: 19198f8b ldhu r4,26174(r3) +8111cb78: 1dd9910b ldhu r23,26180(r3) +8111cb7c: 1999900b ldhu r6,26176(r3) +8111cb80: 19598f0b ldhu r5,26172(r3) +8111cb84: b107883a add r3,r22,r4 +8111cb88: b9af883a add r23,r23,r6 +8111cb8c: 196d883a add r22,r3,r5 +8111cb90: 9880212e bgeu r19,r2,8111cc18 +8111cb94: 014007f4 movhi r5,31 +8111cb98: 0009883a mov r4,zero +8111cb9c: 11066100 call 81106610 +8111cba0: 008000b4 movhi r2,2 +8111cba4: 140a983a sll r5,r2,r16 +8111cba8: 01000044 movi r4,1 +8111cbac: 04800044 movi r18,1 +8111cbb0: 11066100 call 81106610 +8111cbb4: 80800624 muli r2,r16,24 +8111cbb8: d8c00217 ldw r3,8(sp) +8111cbbc: 0023883a mov r17,zero +8111cbc0: 1705883a add r2,r2,fp +8111cbc4: 1885883a add r2,r3,r2 +8111cbc8: 15595504 addi r21,r2,25940 +8111cbcc: 10995204 addi r2,r2,25928 +8111cbd0: d8800415 stw r2,16(sp) +8111cbd4: a0800803 ldbu r2,32(r20) +8111cbd8: 88001526 beq r17,zero,8111cc30 +8111cbdc: 9880262e bgeu r19,r2,8111cc78 +8111cbe0: ddc00115 stw r23,4(sp) +8111cbe4: dd800015 stw r22,0(sp) +8111cbe8: a9400017 ldw r5,0(r21) +8111cbec: 01c00044 movi r7,1 +8111cbf0: 800d883a mov r6,r16 +8111cbf4: 0009883a mov r4,zero +8111cbf8: 11265200 call 81126520 +8111cbfc: 90803fcc andi r2,r18,255 +8111cc00: 00c00084 movi r3,2 +8111cc04: 10c0191e bne r2,r3,8111cc6c +8111cc08: 84000044 addi r16,r16,1 +8111cc0c: 84c02126 beq r16,r19,8111cc94 +8111cc10: a0800803 ldbu r2,32(r20) +8111cc14: 98bfdf36 bltu r19,r2,8111cb94 <__reset+0xfb0fcb94> +8111cc18: d1207917 ldw r4,-32284(gp) +8111cc1c: 01604574 movhi r5,33045 +8111cc20: 29722904 addi r5,r5,-14172 +8111cc24: 800d883a mov r6,r16 +8111cc28: 112b6200 call 8112b620 +8111cc2c: 003fd906 br 8111cb94 <__reset+0xfb0fcb94> +8111cc30: 98800636 bltu r19,r2,8111cc4c +8111cc34: d1e07917 ldw r7,-32284(gp) +8111cc38: 01204574 movhi r4,33045 +8111cc3c: 21322d04 addi r4,r4,-14156 +8111cc40: 01800404 movi r6,16 +8111cc44: 01400044 movi r5,1 +8111cc48: 112bc8c0 call 8112bc8c +8111cc4c: d8c00417 ldw r3,16(sp) +8111cc50: ddc00115 stw r23,4(sp) +8111cc54: dd800015 stw r22,0(sp) +8111cc58: 19400017 ldw r5,0(r3) +8111cc5c: 000f883a mov r7,zero +8111cc60: 800d883a mov r6,r16 +8111cc64: 0009883a mov r4,zero +8111cc68: 11265200 call 81126520 +8111cc6c: 8c400044 addi r17,r17,1 +8111cc70: 94800044 addi r18,r18,1 +8111cc74: 003fd706 br 8111cbd4 <__reset+0xfb0fcbd4> +8111cc78: d1e07917 ldw r7,-32284(gp) +8111cc7c: 01204574 movhi r4,33045 +8111cc80: 21323204 addi r4,r4,-14136 +8111cc84: 01800444 movi r6,17 +8111cc88: 01400044 movi r5,1 +8111cc8c: 112bc8c0 call 8112bc8c +8111cc90: 003fd306 br 8111cbe0 <__reset+0xfb0fcbe0> +8111cc94: d8c00317 ldw r3,12(sp) +8111cc98: 00800184 movi r2,6 +8111cc9c: 18c00044 addi r3,r3,1 +8111cca0: d8c00315 stw r3,12(sp) +8111cca4: 18801826 beq r3,r2,8111cd08 +8111cca8: a0800803 ldbu r2,32(r20) +8111ccac: 98bfab36 bltu r19,r2,8111cb5c <__reset+0xfb0fcb5c> +8111ccb0: d1207917 ldw r4,-32284(gp) +8111ccb4: d9800317 ldw r6,12(sp) +8111ccb8: 01604574 movhi r5,33045 +8111ccbc: 29722604 addi r5,r5,-14184 +8111ccc0: 112b6200 call 8112b620 +8111ccc4: a0800803 ldbu r2,32(r20) +8111ccc8: 003fa406 br 8111cb5c <__reset+0xfb0fcb5c> +8111cccc: d1e07917 ldw r7,-32284(gp) +8111ccd0: 01204574 movhi r4,33045 +8111ccd4: 21321804 addi r4,r4,-14240 +8111ccd8: 01800a04 movi r6,40 +8111ccdc: 01400044 movi r5,1 +8111cce0: 112bc8c0 call 8112bc8c +8111cce4: a0800803 ldbu r2,32(r20) +8111cce8: 80bf9936 bltu r16,r2,8111cb50 <__reset+0xfb0fcb50> +8111ccec: d1207917 ldw r4,-32284(gp) +8111ccf0: 01604574 movhi r5,33045 +8111ccf4: 29722304 addi r5,r5,-14196 +8111ccf8: 000d883a mov r6,zero +8111ccfc: 112b6200 call 8112b620 +8111cd00: a0800803 ldbu r2,32(r20) +8111cd04: 003f9206 br 8111cb50 <__reset+0xfb0fcb50> +8111cd08: a0800803 ldbu r2,32(r20) +8111cd0c: 80800c2e bgeu r16,r2,8111cd40 +8111cd10: dfc00e17 ldw ra,56(sp) +8111cd14: df000d17 ldw fp,52(sp) +8111cd18: ddc00c17 ldw r23,48(sp) +8111cd1c: dd800b17 ldw r22,44(sp) +8111cd20: dd400a17 ldw r21,40(sp) +8111cd24: dd000917 ldw r20,36(sp) +8111cd28: dcc00817 ldw r19,32(sp) +8111cd2c: dc800717 ldw r18,28(sp) +8111cd30: dc400617 ldw r17,24(sp) +8111cd34: dc000517 ldw r16,20(sp) +8111cd38: dec00f04 addi sp,sp,60 +8111cd3c: f800283a ret +8111cd40: d1e07917 ldw r7,-32284(gp) +8111cd44: 01204574 movhi r4,33045 +8111cd48: 21323704 addi r4,r4,-14116 +8111cd4c: 018003c4 movi r6,15 +8111cd50: 01400044 movi r5,1 +8111cd54: dfc00e17 ldw ra,56(sp) +8111cd58: df000d17 ldw fp,52(sp) +8111cd5c: ddc00c17 ldw r23,48(sp) +8111cd60: dd800b17 ldw r22,44(sp) +8111cd64: dd400a17 ldw r21,40(sp) +8111cd68: dd000917 ldw r20,36(sp) +8111cd6c: dcc00817 ldw r19,32(sp) +8111cd70: dc800717 ldw r18,28(sp) +8111cd74: dc400617 ldw r17,24(sp) +8111cd78: dc000517 ldw r16,20(sp) +8111cd7c: dec00f04 addi sp,sp,60 +8111cd80: 112bc8c1 jmpi 8112bc8c + +8111cd84
: +8111cd84: 01604574 movhi r5,33045 +8111cd88: 01204574 movhi r4,33045 +8111cd8c: defff804 addi sp,sp,-32 +8111cd90: 29713504 addi r5,r5,-15148 +8111cd94: 21313604 addi r4,r4,-15144 +8111cd98: dfc00715 stw ra,28(sp) +8111cd9c: dc000515 stw r16,20(sp) +8111cda0: dc400615 stw r17,24(sp) +8111cda4: 112b5e00 call 8112b5e0 +8111cda8: 04000044 movi r16,1 +8111cdac: 01204574 movhi r4,33045 +8111cdb0: 21313b04 addi r4,r4,-15124 +8111cdb4: 800b883a mov r5,r16 +8111cdb8: 100f883a mov r7,r2 +8111cdbc: 01800484 movi r6,18 +8111cdc0: d0a07915 stw r2,-32284(gp) +8111cdc4: 112bc8c0 call 8112bc8c +8111cdc8: 1125fe00 call 81125fe0 +8111cdcc: d1607917 ldw r5,-32284(gp) +8111cdd0: 01000284 movi r4,10 +8111cdd4: 10004126 beq r2,zero,8111cedc +8111cdd8: 112b6880 call 8112b688 +8111cddc: d1207917 ldw r4,-32284(gp) +8111cde0: 01a04574 movhi r6,33045 +8111cde4: 01604574 movhi r5,33045 +8111cde8: 31b14004 addi r6,r6,-15104 +8111cdec: 29714104 addi r5,r5,-15100 +8111cdf0: 112b6200 call 8112b620 +8111cdf4: d1207917 ldw r4,-32284(gp) +8111cdf8: 01e04574 movhi r7,33045 +8111cdfc: 01a04574 movhi r6,33045 +8111ce00: 01604574 movhi r5,33045 +8111ce04: 39f14704 addi r7,r7,-15076 +8111ce08: 31b14004 addi r6,r6,-15104 +8111ce0c: 29714904 addi r5,r5,-15068 +8111ce10: 112b6200 call 8112b620 +8111ce14: d1207917 ldw r4,-32284(gp) +8111ce18: 00a04574 movhi r2,33045 +8111ce1c: 10b15804 addi r2,r2,-15008 +8111ce20: 01e04574 movhi r7,33045 +8111ce24: 01a04574 movhi r6,33045 +8111ce28: 01604574 movhi r5,33045 +8111ce2c: 39f14704 addi r7,r7,-15076 +8111ce30: 31b14004 addi r6,r6,-15104 +8111ce34: 29715004 addi r5,r5,-15040 +8111ce38: d8800015 stw r2,0(sp) +8111ce3c: 112b6200 call 8112b620 +8111ce40: d1607917 ldw r5,-32284(gp) +8111ce44: 01000284 movi r4,10 +8111ce48: 112b6880 call 8112b688 +8111ce4c: 113ff140 call 8113ff14 +8111ce50: 11273a00 call 811273a0 +8111ce54: 10003a1e bne r2,zero,8111cf40 +8111ce58: d1607917 ldw r5,-32284(gp) +8111ce5c: 01000284 movi r4,10 +8111ce60: 112b6880 call 8112b688 +8111ce64: d1e07917 ldw r7,-32284(gp) 8111ce68: 01204574 movhi r4,33045 -8111ce6c: 21318f04 addi r4,r4,-14788 -8111ce70: 01800744 movi r6,29 -8111ce74: 800b883a mov r5,r16 -8111ce78: 112bc4c0 call 8112bc4c -8111ce7c: 0009883a mov r4,zero -8111ce80: 1106b380 call 81106b38 -8111ce84: 00bfffc4 movi r2,-1 -8111ce88: dfc00717 ldw ra,28(sp) -8111ce8c: dc400617 ldw r17,24(sp) -8111ce90: dc000517 ldw r16,20(sp) -8111ce94: dec00804 addi sp,sp,32 -8111ce98: f800283a ret -8111ce9c: 112b6480 call 8112b648 +8111ce6c: 21318d04 addi r4,r4,-14796 +8111ce70: 800b883a mov r5,r16 +8111ce74: 01800ac4 movi r6,43 +8111ce78: 112bc8c0 call 8112bc8c +8111ce7c: d4607917 ldw r17,-32284(gp) +8111ce80: 1106bd80 call 81106bd8 +8111ce84: 01604574 movhi r5,33045 +8111ce88: 100d883a mov r6,r2 +8111ce8c: 29719804 addi r5,r5,-14752 +8111ce90: 8809883a mov r4,r17 +8111ce94: 112b6200 call 8112b620 +8111ce98: 1106bd80 call 81106bd8 +8111ce9c: 00c00084 movi r3,2 8111cea0: d1e07917 ldw r7,-32284(gp) -8111cea4: 01204574 movhi r4,33045 -8111cea8: 21314804 addi r4,r4,-15072 -8111ceac: 01801a84 movi r6,106 -8111ceb0: 800b883a mov r5,r16 -8111ceb4: 112bc4c0 call 8112bc4c -8111ceb8: d1207917 ldw r4,-32284(gp) -8111cebc: 01e04574 movhi r7,33045 -8111cec0: 01a04574 movhi r6,33045 -8111cec4: 01604574 movhi r5,33045 -8111cec8: 39f13704 addi r7,r7,-15140 -8111cecc: 31b13004 addi r6,r6,-15168 -8111ced0: 29716304 addi r5,r5,-14964 -8111ced4: 112b5e00 call 8112b5e0 -8111ced8: d1e07917 ldw r7,-32284(gp) -8111cedc: 01204574 movhi r4,33045 -8111cee0: 21317004 addi r4,r4,-14912 -8111cee4: 800b883a mov r5,r16 -8111cee8: 01800b44 movi r6,45 -8111ceec: 112bc4c0 call 8112bc4c -8111cef0: d1607917 ldw r5,-32284(gp) -8111cef4: 01000284 movi r4,10 -8111cef8: 112b6480 call 8112b648 -8111cefc: 003fff06 br 8111cefc <__reset+0xfb0fcefc> -8111cf00: d1607917 ldw r5,-32284(gp) -8111cf04: 01000284 movi r4,10 -8111cf08: 112b6480 call 8112b648 -8111cf0c: 1125ff00 call 81125ff0 -8111cf10: 11268ac0 call 811268ac -8111cf14: d1607917 ldw r5,-32284(gp) -8111cf18: 01000284 movi r4,10 -8111cf1c: 112b6480 call 8112b648 -8111cf20: 11266440 call 81126644 -8111cf24: 14004326 beq r2,r16,8111d034 -8111cf28: 1000141e bne r2,zero,8111cf7c -8111cf2c: 00a04634 movhi r2,33048 -8111cf30: 108f0804 addi r2,r2,15392 -8111cf34: 10800803 ldbu r2,32(r2) -8111cf38: 00c001c4 movi r3,7 -8111cf3c: 18800636 bltu r3,r2,8111cf58 -8111cf40: d1e07917 ldw r7,-32284(gp) -8111cf44: 01204574 movhi r4,33045 -8111cf48: 2131f004 addi r4,r4,-14400 -8111cf4c: 01800b04 movi r6,44 -8111cf50: 800b883a mov r5,r16 -8111cf54: 112bc4c0 call 8112bc4c -8111cf58: 11200900 call 81120090 -8111cf5c: 0021883a mov r16,zero -8111cf60: 111e7dc0 call 8111e7dc -8111cf64: 04400184 movi r17,6 -8111cf68: 8009883a mov r4,r16 -8111cf6c: 84000044 addi r16,r16,1 -8111cf70: 11201040 call 81120104 -8111cf74: 847ffc1e bne r16,r17,8111cf68 <__reset+0xfb0fcf68> -8111cf78: 111f8a00 call 8111f8a0 -8111cf7c: 111c2ec0 call 8111c2ec -8111cf80: 10001e26 beq r2,zero,8111cffc -8111cf84: 111c7c00 call 8111c7c0 -8111cf88: 014007f4 movhi r5,31 -8111cf8c: 0009883a mov r4,zero -8111cf90: 11065dc0 call 811065dc -8111cf94: 04000044 movi r16,1 -8111cf98: 01400074 movhi r5,1 -8111cf9c: 8009883a mov r4,r16 -8111cfa0: 11065dc0 call 811065dc -8111cfa4: 01a045f4 movhi r6,33047 -8111cfa8: 318ac504 addi r6,r6,11028 -8111cfac: 00818004 movi r2,1536 -8111cfb0: 01204474 movhi r4,33041 -8111cfb4: d9800115 stw r6,4(sp) -8111cfb8: 210c5904 addi r4,r4,12644 -8111cfbc: d8000415 stw zero,16(sp) -8111cfc0: d8000315 stw zero,12(sp) -8111cfc4: d8800215 stw r2,8(sp) -8111cfc8: dc000015 stw r16,0(sp) -8111cfcc: 800f883a mov r7,r16 -8111cfd0: 3185ff04 addi r6,r6,6140 -8111cfd4: 000b883a mov r5,zero -8111cfd8: 1143c800 call 81143c80 -8111cfdc: 10803fcc andi r2,r2,255 -8111cfe0: 1000031e bne r2,zero,8111cff0 -8111cfe4: 11402580 call 81140258 -8111cfe8: 0005883a mov r2,zero -8111cfec: 003fa606 br 8111ce88 <__reset+0xfb0fce88> -8111cff0: 11241a00 call 811241a0 -8111cff4: 00bfffc4 movi r2,-1 -8111cff8: 003fa306 br 8111ce88 <__reset+0xfb0fce88> -8111cffc: 00a04634 movhi r2,33048 -8111d000: 108f0804 addi r2,r2,15392 -8111d004: 10800803 ldbu r2,32(r2) -8111d008: 00c001c4 movi r3,7 -8111d00c: 18800636 bltu r3,r2,8111d028 -8111d010: d1e07917 ldw r7,-32284(gp) -8111d014: 01204574 movhi r4,33045 -8111d018: 2131fc04 addi r4,r4,-14352 -8111d01c: 01800ac4 movi r6,43 -8111d020: 01400044 movi r5,1 -8111d024: 112bc4c0 call 8112bc4c -8111d028: 1123c440 call 81123c44 -8111d02c: 00bfffc4 movi r2,-1 -8111d030: 003f9506 br 8111ce88 <__reset+0xfb0fce88> -8111d034: 04204634 movhi r16,33048 -8111d038: 840f0804 addi r16,r16,15392 -8111d03c: 80c00803 ldbu r3,32(r16) -8111d040: 010001c4 movi r4,7 -8111d044: 20c00636 bltu r4,r3,8111d060 -8111d048: d1e07917 ldw r7,-32284(gp) -8111d04c: 01204574 movhi r4,33045 -8111d050: 21319f04 addi r4,r4,-14724 -8111d054: 01800b84 movi r6,46 -8111d058: 100b883a mov r5,r2 -8111d05c: 112bc4c0 call 8112bc4c -8111d060: 111f04c0 call 8111f04c -8111d064: 80c00803 ldbu r3,32(r16) -8111d068: 1023883a mov r17,r2 -8111d06c: 00800204 movi r2,8 -8111d070: 10c02d36 bltu r2,r3,8111d128 -8111d074: 88002426 beq r17,zero,8111d108 -8111d078: 111e8440 call 8111e844 -8111d07c: 10001626 beq r2,zero,8111d0d8 -8111d080: 111f9240 call 8111f924 -8111d084: 103fbd1e bne r2,zero,8111cf7c <__reset+0xfb0fcf7c> -8111d088: 80800803 ldbu r2,32(r16) -8111d08c: 00c001c4 movi r3,7 -8111d090: 18800636 bltu r3,r2,8111d0ac -8111d094: d1e07917 ldw r7,-32284(gp) -8111d098: 01204574 movhi r4,33045 -8111d09c: 2131e404 addi r4,r4,-14448 -8111d0a0: 01800b04 movi r6,44 -8111d0a4: 01400044 movi r5,1 -8111d0a8: 112bc4c0 call 8112bc4c -8111d0ac: 1123db80 call 81123db8 -8111d0b0: 00bfffc4 movi r2,-1 -8111d0b4: 003f7406 br 8111ce88 <__reset+0xfb0fce88> -8111d0b8: 01204574 movhi r4,33045 -8111d0bc: 21319704 addi r4,r4,-14756 -8111d0c0: 01800704 movi r6,28 -8111d0c4: 800b883a mov r5,r16 -8111d0c8: 112bc4c0 call 8112bc4c -8111d0cc: 1123e180 call 81123e18 -8111d0d0: 00bfffc4 movi r2,-1 -8111d0d4: 003f6c06 br 8111ce88 <__reset+0xfb0fce88> -8111d0d8: 80800803 ldbu r2,32(r16) -8111d0dc: 00c001c4 movi r3,7 -8111d0e0: 18800636 bltu r3,r2,8111d0fc -8111d0e4: d1e07917 ldw r7,-32284(gp) -8111d0e8: 01204574 movhi r4,33045 -8111d0ec: 2131d704 addi r4,r4,-14500 -8111d0f0: 01800c44 movi r6,49 -8111d0f4: 01400044 movi r5,1 -8111d0f8: 112bc4c0 call 8112bc4c -8111d0fc: 1123c040 call 81123c04 -8111d100: 00bfffc4 movi r2,-1 -8111d104: 003f6006 br 8111ce88 <__reset+0xfb0fce88> -8111d108: 18bffc26 beq r3,r2,8111d0fc <__reset+0xfb0fd0fc> -8111d10c: d1e07917 ldw r7,-32284(gp) -8111d110: 01204574 movhi r4,33045 -8111d114: 2131c104 addi r4,r4,-14588 -8111d118: 01801504 movi r6,84 -8111d11c: 01400044 movi r5,1 -8111d120: 112bc4c0 call 8112bc4c -8111d124: 003ff506 br 8111d0fc <__reset+0xfb0fd0fc> -8111d128: d1e07917 ldw r7,-32284(gp) -8111d12c: 01204574 movhi r4,33045 -8111d130: 2131ab04 addi r4,r4,-14676 -8111d134: 01801504 movi r6,84 -8111d138: 01400044 movi r5,1 -8111d13c: 112bc4c0 call 8112bc4c -8111d140: 00a04634 movhi r2,33048 -8111d144: 00c00104 movi r3,4 -8111d148: 108f0804 addi r2,r2,15392 -8111d14c: 10c00805 stb r3,32(r2) -8111d150: 883fc91e bne r17,zero,8111d078 <__reset+0xfb0fd078> -8111d154: 003fed06 br 8111d10c <__reset+0xfb0fd10c> - -8111d158 : -8111d158: 00a04634 movhi r2,33048 -8111d15c: 108f0804 addi r2,r2,15392 -8111d160: 1180020b ldhu r6,8(r2) -8111d164: 11c0018b ldhu r7,6(r2) -8111d168: 1200010b ldhu r8,4(r2) -8111d16c: 10c0008b ldhu r3,2(r2) -8111d170: 1140000b ldhu r5,0(r2) -8111d174: 2180020d sth r6,8(r4) -8111d178: 20c0000d sth r3,0(r4) -8111d17c: 2200010d sth r8,4(r4) -8111d180: 21c0018d sth r7,6(r4) -8111d184: 2140008d sth r5,2(r4) -8111d188: 10800803 ldbu r2,32(r2) -8111d18c: 00c00084 movi r3,2 -8111d190: 1880012e bgeu r3,r2,8111d198 -8111d194: f800283a ret -8111d198: defffe04 addi sp,sp,-8 -8111d19c: dc000015 stw r16,0(sp) -8111d1a0: 2021883a mov r16,r4 -8111d1a4: d1207917 ldw r4,-32284(gp) -8111d1a8: 01604574 movhi r5,33045 -8111d1ac: 29722a04 addi r5,r5,-14168 -8111d1b0: 31bfffcc andi r6,r6,65535 -8111d1b4: dfc00115 stw ra,4(sp) -8111d1b8: 112b5e00 call 8112b5e0 -8111d1bc: 8180010b ldhu r6,4(r16) -8111d1c0: d1207917 ldw r4,-32284(gp) -8111d1c4: 01604574 movhi r5,33045 -8111d1c8: 29722e04 addi r5,r5,-14152 -8111d1cc: 112b5e00 call 8112b5e0 -8111d1d0: 8180018b ldhu r6,6(r16) -8111d1d4: d1207917 ldw r4,-32284(gp) -8111d1d8: 01604574 movhi r5,33045 -8111d1dc: 29723104 addi r5,r5,-14140 -8111d1e0: 112b5e00 call 8112b5e0 -8111d1e4: 8180008b ldhu r6,2(r16) -8111d1e8: d1207917 ldw r4,-32284(gp) -8111d1ec: 01604574 movhi r5,33045 -8111d1f0: 29723604 addi r5,r5,-14120 -8111d1f4: 112b5e00 call 8112b5e0 -8111d1f8: 8180000b ldhu r6,0(r16) -8111d1fc: d1207917 ldw r4,-32284(gp) -8111d200: 01604574 movhi r5,33045 -8111d204: 29723b04 addi r5,r5,-14100 -8111d208: dfc00117 ldw ra,4(sp) -8111d20c: dc000017 ldw r16,0(sp) -8111d210: dec00204 addi sp,sp,8 -8111d214: 112b5e01 jmpi 8112b5e0 - -8111d218 : -8111d218: d8c00017 ldw r3,0(sp) -8111d21c: d8800117 ldw r2,4(sp) -8111d220: 2140020d sth r5,8(r4) -8111d224: 2180010d sth r6,4(r4) -8111d228: 21c0018d sth r7,6(r4) -8111d22c: 20c0008d sth r3,2(r4) -8111d230: 2080000d sth r2,0(r4) -8111d234: f800283a ret - -8111d238 : -8111d238: defff904 addi sp,sp,-28 -8111d23c: dc400215 stw r17,8(sp) -8111d240: 2023883a mov r17,r4 -8111d244: d1207e17 ldw r4,-32264(gp) -8111d248: dc800315 stw r18,12(sp) -8111d24c: d80d883a mov r6,sp -8111d250: 2825883a mov r18,r5 -8111d254: 01400504 movi r5,20 -8111d258: dfc00615 stw ra,24(sp) -8111d25c: dd000515 stw r20,20(sp) -8111d260: dcc00415 stw r19,16(sp) -8111d264: dc000115 stw r16,4(sp) -8111d268: d8000005 stb zero,0(sp) -8111d26c: 11435640 call 81143564 -8111d270: d8800003 ldbu r2,0(sp) -8111d274: 1000291e bne r2,zero,8111d31c -8111d278: d1208817 ldw r4,-32224(gp) -8111d27c: d80d883a mov r6,sp -8111d280: 01400c84 movi r5,50 -8111d284: 11424480 call 81142448 -8111d288: d8800003 ldbu r2,0(sp) -8111d28c: 10001326 beq r2,zero,8111d2dc -8111d290: 00a04634 movhi r2,33048 -8111d294: 108f0804 addi r2,r2,15392 -8111d298: 10800803 ldbu r2,32(r2) -8111d29c: 00c001c4 movi r3,7 -8111d2a0: 1880522e bgeu r3,r2,8111d3ec -8111d2a4: d1207e17 ldw r4,-32264(gp) -8111d2a8: 114379c0 call 8114379c -8111d2ac: d8800005 stb r2,0(sp) -8111d2b0: 10803fcc andi r2,r2,255 -8111d2b4: 10004a1e bne r2,zero,8111d3e0 -8111d2b8: 0005883a mov r2,zero -8111d2bc: dfc00617 ldw ra,24(sp) -8111d2c0: dd000517 ldw r20,20(sp) -8111d2c4: dcc00417 ldw r19,16(sp) -8111d2c8: dc800317 ldw r18,12(sp) -8111d2cc: dc400217 ldw r17,8(sp) -8111d2d0: dc000117 ldw r16,4(sp) -8111d2d4: dec00704 addi sp,sp,28 -8111d2d8: f800283a ret -8111d2dc: 052045f4 movhi r20,33047 -8111d2e0: a516c504 addi r20,r20,23316 -8111d2e4: 0021883a mov r16,zero -8111d2e8: 01000404 movi r4,16 -8111d2ec: a005883a mov r2,r20 -8111d2f0: 10c00017 ldw r3,0(r2) -8111d2f4: 10800104 addi r2,r2,4 -8111d2f8: 18000b26 beq r3,zero,8111d328 -8111d2fc: 84000044 addi r16,r16,1 -8111d300: 813ffb1e bne r16,r4,8111d2f0 <__reset+0xfb0fd2f0> -8111d304: d1207e17 ldw r4,-32264(gp) -8111d308: 114379c0 call 8114379c -8111d30c: d1208817 ldw r4,-32224(gp) -8111d310: d8800005 stb r2,0(sp) -8111d314: 114274c0 call 8114274c -8111d318: 003fe706 br 8111d2b8 <__reset+0xfb0fd2b8> -8111d31c: 11243ec0 call 811243ec -8111d320: 0005883a mov r2,zero -8111d324: 003fe506 br 8111d2bc <__reset+0xfb0fd2bc> -8111d328: 84c08324 muli r19,r16,524 -8111d32c: 00a045f4 movhi r2,33047 -8111d330: 10b23d04 addi r2,r2,-14092 -8111d334: 98a7883a add r19,r19,r2 -8111d338: 01808004 movi r6,512 -8111d33c: 000b883a mov r5,zero -8111d340: 9809883a mov r4,r19 -8111d344: 112c7900 call 8112c790 -8111d348: 880b883a mov r5,r17 -8111d34c: 01807fc4 movi r6,511 -8111d350: 9809883a mov r4,r19 -8111d354: 112c6480 call 8112c648 -8111d358: d0a08503 ldbu r2,-32236(gp) -8111d35c: 8421883a add r16,r16,r16 -8111d360: d1208f17 ldw r4,-32196(gp) -8111d364: 8421883a add r16,r16,r16 -8111d368: a421883a add r16,r20,r16 -8111d36c: 9d008004 addi r20,r19,512 -8111d370: 10bfffc4 addi r2,r2,-1 -8111d374: 04400044 movi r17,1 -8111d378: d80d883a mov r6,sp -8111d37c: 01400504 movi r5,20 -8111d380: 9c80810d sth r18,516(r19) -8111d384: 98008205 stb zero,520(r19) -8111d388: 9800818d sth zero,518(r19) -8111d38c: a0000015 stw zero,0(r20) -8111d390: d0a08505 stb r2,-32236(gp) -8111d394: 84400015 stw r17,0(r16) -8111d398: 11424480 call 81142448 -8111d39c: d8800003 ldbu r2,0(sp) -8111d3a0: 10001926 beq r2,zero,8111d408 -8111d3a4: 00a04634 movhi r2,33048 -8111d3a8: 108f0804 addi r2,r2,15392 -8111d3ac: 10800803 ldbu r2,32(r2) -8111d3b0: 00c001c4 movi r3,7 -8111d3b4: 18800636 bltu r3,r2,8111d3d0 -8111d3b8: d1e07917 ldw r7,-32284(gp) -8111d3bc: 01204574 movhi r4,33045 -8111d3c0: 21325804 addi r4,r4,-13984 -8111d3c4: 01801c04 movi r6,112 -8111d3c8: 880b883a mov r5,r17 -8111d3cc: 112bc4c0 call 8112bc4c -8111d3d0: d1208817 ldw r4,-32224(gp) -8111d3d4: 114274c0 call 8114274c -8111d3d8: 00800044 movi r2,1 -8111d3dc: 003fb706 br 8111d2bc <__reset+0xfb0fd2bc> -8111d3e0: 112433c0 call 8112433c -8111d3e4: 0005883a mov r2,zero -8111d3e8: 003fb406 br 8111d2bc <__reset+0xfb0fd2bc> -8111d3ec: d1e07917 ldw r7,-32284(gp) -8111d3f0: 01204574 movhi r4,33045 -8111d3f4: 21324004 addi r4,r4,-14080 -8111d3f8: 01801704 movi r6,92 -8111d3fc: 01400044 movi r5,1 -8111d400: 112bc4c0 call 8112bc4c -8111d404: 003fa706 br 8111d2a4 <__reset+0xfb0fd2a4> -8111d408: 9809883a mov r4,r19 -8111d40c: 112cb400 call 8112cb40 -8111d410: d1208f17 ldw r4,-32196(gp) -8111d414: a4400015 stw r17,0(r20) -8111d418: 114274c0 call 8114274c -8111d41c: d1208817 ldw r4,-32224(gp) -8111d420: 114274c0 call 8114274c -8111d424: 8805883a mov r2,r17 -8111d428: 003fa406 br 8111d2bc <__reset+0xfb0fd2bc> - -8111d42c : -8111d42c: defff904 addi sp,sp,-28 -8111d430: dc800315 stw r18,12(sp) -8111d434: 2025883a mov r18,r4 -8111d438: d1207a17 ldw r4,-32280(gp) -8111d43c: dc400215 stw r17,8(sp) -8111d440: d80d883a mov r6,sp -8111d444: 2823883a mov r17,r5 -8111d448: 01400504 movi r5,20 -8111d44c: dfc00615 stw ra,24(sp) -8111d450: dd000515 stw r20,20(sp) -8111d454: dcc00415 stw r19,16(sp) -8111d458: dc000115 stw r16,4(sp) -8111d45c: d8000005 stb zero,0(sp) -8111d460: 11435640 call 81143564 -8111d464: d8800003 ldbu r2,0(sp) -8111d468: 1000541e bne r2,zero,8111d5bc -8111d46c: d1208817 ldw r4,-32224(gp) -8111d470: d80d883a mov r6,sp -8111d474: 01400c84 movi r5,50 -8111d478: 11424480 call 81142448 -8111d47c: d8800003 ldbu r2,0(sp) -8111d480: 10001326 beq r2,zero,8111d4d0 -8111d484: 00a04634 movhi r2,33048 -8111d488: 108f0804 addi r2,r2,15392 -8111d48c: 10800803 ldbu r2,32(r2) -8111d490: 00c001c4 movi r3,7 -8111d494: 1880522e bgeu r3,r2,8111d5e0 -8111d498: d1207a17 ldw r4,-32280(gp) -8111d49c: 114379c0 call 8114379c -8111d4a0: d8800005 stb r2,0(sp) -8111d4a4: 10803fcc andi r2,r2,255 -8111d4a8: 1000541e bne r2,zero,8111d5fc -8111d4ac: 0005883a mov r2,zero -8111d4b0: dfc00617 ldw ra,24(sp) -8111d4b4: dd000517 ldw r20,20(sp) -8111d4b8: dcc00417 ldw r19,16(sp) -8111d4bc: dc800317 ldw r18,12(sp) -8111d4c0: dc400217 ldw r17,8(sp) -8111d4c4: dc000117 ldw r16,4(sp) -8111d4c8: dec00704 addi sp,sp,28 -8111d4cc: f800283a ret -8111d4d0: 00a045f4 movhi r2,33047 -8111d4d4: 1096d504 addi r2,r2,23380 -8111d4d8: 0021883a mov r16,zero -8111d4dc: 01000804 movi r4,32 -8111d4e0: 00000206 br 8111d4ec -8111d4e4: 84000044 addi r16,r16,1 -8111d4e8: 81003726 beq r16,r4,8111d5c8 -8111d4ec: 10c00017 ldw r3,0(r2) -8111d4f0: 10800104 addi r2,r2,4 -8111d4f4: 183ffb1e bne r3,zero,8111d4e4 <__reset+0xfb0fd4e4> -8111d4f8: 84c02324 muli r19,r16,140 -8111d4fc: 00a045f4 movhi r2,33047 -8111d500: 10975504 addi r2,r2,23892 -8111d504: 98a7883a add r19,r19,r2 -8111d508: 01802004 movi r6,128 -8111d50c: 000b883a mov r5,zero -8111d510: 9809883a mov r4,r19 -8111d514: 112c7900 call 8112c790 -8111d518: 900b883a mov r5,r18 -8111d51c: 01801fc4 movi r6,127 -8111d520: 9809883a mov r4,r19 -8111d524: 112c6480 call 8112c648 -8111d528: d0a09043 ldbu r2,-32191(gp) -8111d52c: 84000404 addi r16,r16,16 -8111d530: 8421883a add r16,r16,r16 -8111d534: d1208f17 ldw r4,-32196(gp) -8111d538: 00e045f4 movhi r3,33047 -8111d53c: 18d6c504 addi r3,r3,23316 -8111d540: 8421883a add r16,r16,r16 -8111d544: 9d002004 addi r20,r19,128 -8111d548: 10bfffc4 addi r2,r2,-1 -8111d54c: 1c21883a add r16,r3,r16 -8111d550: 04800044 movi r18,1 -8111d554: d80d883a mov r6,sp -8111d558: 01400504 movi r5,20 -8111d55c: 9c40210d sth r17,132(r19) -8111d560: 98002205 stb zero,136(r19) -8111d564: 9800218d sth zero,134(r19) -8111d568: a0000015 stw zero,0(r20) -8111d56c: d0a09045 stb r2,-32191(gp) -8111d570: 84800015 stw r18,0(r16) -8111d574: 11424480 call 81142448 -8111d578: d8800003 ldbu r2,0(sp) -8111d57c: 10002226 beq r2,zero,8111d608 -8111d580: 00a04634 movhi r2,33048 -8111d584: 108f0804 addi r2,r2,15392 -8111d588: 10800803 ldbu r2,32(r2) -8111d58c: 00c001c4 movi r3,7 -8111d590: 18800636 bltu r3,r2,8111d5ac -8111d594: d1e07917 ldw r7,-32284(gp) -8111d598: 01204574 movhi r4,33045 -8111d59c: 21325804 addi r4,r4,-13984 -8111d5a0: 01801c04 movi r6,112 -8111d5a4: 900b883a mov r5,r18 -8111d5a8: 112bc4c0 call 8112bc4c -8111d5ac: d1208817 ldw r4,-32224(gp) -8111d5b0: 114274c0 call 8114274c -8111d5b4: 00800044 movi r2,1 -8111d5b8: 003fbd06 br 8111d4b0 <__reset+0xfb0fd4b0> -8111d5bc: 11244440 call 81124444 -8111d5c0: 0005883a mov r2,zero -8111d5c4: 003fba06 br 8111d4b0 <__reset+0xfb0fd4b0> -8111d5c8: d1207a17 ldw r4,-32280(gp) -8111d5cc: 114379c0 call 8114379c -8111d5d0: d1208817 ldw r4,-32224(gp) -8111d5d4: d8800005 stb r2,0(sp) -8111d5d8: 114274c0 call 8114274c -8111d5dc: 003fb306 br 8111d4ac <__reset+0xfb0fd4ac> -8111d5e0: d1e07917 ldw r7,-32284(gp) -8111d5e4: 01204574 movhi r4,33045 -8111d5e8: 21327504 addi r4,r4,-13868 -8111d5ec: 01801484 movi r6,82 -8111d5f0: 01400044 movi r5,1 -8111d5f4: 112bc4c0 call 8112bc4c -8111d5f8: 003fa706 br 8111d498 <__reset+0xfb0fd498> -8111d5fc: 11243940 call 81124394 +8111cea4: 18809436 bltu r3,r2,8111d0f8 +8111cea8: 01204574 movhi r4,33045 +8111ceac: 2131a004 addi r4,r4,-14720 +8111ceb0: 01800744 movi r6,29 +8111ceb4: 800b883a mov r5,r16 +8111ceb8: 112bc8c0 call 8112bc8c +8111cebc: 0009883a mov r4,zero +8111cec0: 1106b6c0 call 81106b6c +8111cec4: 00bfffc4 movi r2,-1 +8111cec8: dfc00717 ldw ra,28(sp) +8111cecc: dc400617 ldw r17,24(sp) +8111ced0: dc000517 ldw r16,20(sp) +8111ced4: dec00804 addi sp,sp,32 +8111ced8: f800283a ret +8111cedc: 112b6880 call 8112b688 +8111cee0: d1e07917 ldw r7,-32284(gp) +8111cee4: 01204574 movhi r4,33045 +8111cee8: 21315904 addi r4,r4,-15004 +8111ceec: 01801a84 movi r6,106 +8111cef0: 800b883a mov r5,r16 +8111cef4: 112bc8c0 call 8112bc8c +8111cef8: d1207917 ldw r4,-32284(gp) +8111cefc: 01e04574 movhi r7,33045 +8111cf00: 01a04574 movhi r6,33045 +8111cf04: 01604574 movhi r5,33045 +8111cf08: 39f14704 addi r7,r7,-15076 +8111cf0c: 31b14004 addi r6,r6,-15104 +8111cf10: 29717404 addi r5,r5,-14896 +8111cf14: 112b6200 call 8112b620 +8111cf18: d1e07917 ldw r7,-32284(gp) +8111cf1c: 01204574 movhi r4,33045 +8111cf20: 21318104 addi r4,r4,-14844 +8111cf24: 800b883a mov r5,r16 +8111cf28: 01800b44 movi r6,45 +8111cf2c: 112bc8c0 call 8112bc8c +8111cf30: d1607917 ldw r5,-32284(gp) +8111cf34: 01000284 movi r4,10 +8111cf38: 112b6880 call 8112b688 +8111cf3c: 003fff06 br 8111cf3c <__reset+0xfb0fcf3c> +8111cf40: d1607917 ldw r5,-32284(gp) +8111cf44: 01000284 movi r4,10 +8111cf48: 112b6880 call 8112b688 +8111cf4c: 11260300 call 81126030 +8111cf50: 11268ec0 call 811268ec +8111cf54: d1607917 ldw r5,-32284(gp) +8111cf58: 01000284 movi r4,10 +8111cf5c: 112b6880 call 8112b688 +8111cf60: 11266840 call 81126684 +8111cf64: 14004326 beq r2,r16,8111d074 +8111cf68: 1000141e bne r2,zero,8111cfbc +8111cf6c: 00a04634 movhi r2,33048 +8111cf70: 108f1904 addi r2,r2,15460 +8111cf74: 10800803 ldbu r2,32(r2) +8111cf78: 00c001c4 movi r3,7 +8111cf7c: 18800636 bltu r3,r2,8111cf98 +8111cf80: d1e07917 ldw r7,-32284(gp) +8111cf84: 01204574 movhi r4,33045 +8111cf88: 21320104 addi r4,r4,-14332 +8111cf8c: 01800b04 movi r6,44 +8111cf90: 800b883a mov r5,r16 +8111cf94: 112bc8c0 call 8112bc8c +8111cf98: 11200d00 call 811200d0 +8111cf9c: 0021883a mov r16,zero +8111cfa0: 111e81c0 call 8111e81c +8111cfa4: 04400184 movi r17,6 +8111cfa8: 8009883a mov r4,r16 +8111cfac: 84000044 addi r16,r16,1 +8111cfb0: 11201440 call 81120144 +8111cfb4: 847ffc1e bne r16,r17,8111cfa8 <__reset+0xfb0fcfa8> +8111cfb8: 111f8e00 call 8111f8e0 +8111cfbc: 111c32c0 call 8111c32c +8111cfc0: 10001e26 beq r2,zero,8111d03c +8111cfc4: 111c8000 call 8111c800 +8111cfc8: 014007f4 movhi r5,31 +8111cfcc: 0009883a mov r4,zero +8111cfd0: 11066100 call 81106610 +8111cfd4: 04000044 movi r16,1 +8111cfd8: 01400074 movhi r5,1 +8111cfdc: 8009883a mov r4,r16 +8111cfe0: 11066100 call 81106610 +8111cfe4: 01a045f4 movhi r6,33047 +8111cfe8: 318ad604 addi r6,r6,11096 +8111cfec: 00818004 movi r2,1536 +8111cff0: 01204474 movhi r4,33041 +8111cff4: d9800115 stw r6,4(sp) +8111cff8: 210c6604 addi r4,r4,12696 +8111cffc: d8000415 stw zero,16(sp) +8111d000: d8000315 stw zero,12(sp) +8111d004: d8800215 stw r2,8(sp) +8111d008: dc000015 stw r16,0(sp) +8111d00c: 800f883a mov r7,r16 +8111d010: 3185ff04 addi r6,r6,6140 +8111d014: 000b883a mov r5,zero +8111d018: 1143cc00 call 81143cc0 +8111d01c: 10803fcc andi r2,r2,255 +8111d020: 1000031e bne r2,zero,8111d030 +8111d024: 11402980 call 81140298 +8111d028: 0005883a mov r2,zero +8111d02c: 003fa606 br 8111cec8 <__reset+0xfb0fcec8> +8111d030: 11241e00 call 811241e0 +8111d034: 00bfffc4 movi r2,-1 +8111d038: 003fa306 br 8111cec8 <__reset+0xfb0fcec8> +8111d03c: 00a04634 movhi r2,33048 +8111d040: 108f1904 addi r2,r2,15460 +8111d044: 10800803 ldbu r2,32(r2) +8111d048: 00c001c4 movi r3,7 +8111d04c: 18800636 bltu r3,r2,8111d068 +8111d050: d1e07917 ldw r7,-32284(gp) +8111d054: 01204574 movhi r4,33045 +8111d058: 21320d04 addi r4,r4,-14284 +8111d05c: 01800ac4 movi r6,43 +8111d060: 01400044 movi r5,1 +8111d064: 112bc8c0 call 8112bc8c +8111d068: 1123c840 call 81123c84 +8111d06c: 00bfffc4 movi r2,-1 +8111d070: 003f9506 br 8111cec8 <__reset+0xfb0fcec8> +8111d074: 04204634 movhi r16,33048 +8111d078: 840f1904 addi r16,r16,15460 +8111d07c: 80c00803 ldbu r3,32(r16) +8111d080: 010001c4 movi r4,7 +8111d084: 20c00636 bltu r4,r3,8111d0a0 +8111d088: d1e07917 ldw r7,-32284(gp) +8111d08c: 01204574 movhi r4,33045 +8111d090: 2131b004 addi r4,r4,-14656 +8111d094: 01800b84 movi r6,46 +8111d098: 100b883a mov r5,r2 +8111d09c: 112bc8c0 call 8112bc8c +8111d0a0: 111f08c0 call 8111f08c +8111d0a4: 80c00803 ldbu r3,32(r16) +8111d0a8: 1023883a mov r17,r2 +8111d0ac: 00800204 movi r2,8 +8111d0b0: 10c02d36 bltu r2,r3,8111d168 +8111d0b4: 88002426 beq r17,zero,8111d148 +8111d0b8: 111e8840 call 8111e884 +8111d0bc: 10001626 beq r2,zero,8111d118 +8111d0c0: 111f9640 call 8111f964 +8111d0c4: 103fbd1e bne r2,zero,8111cfbc <__reset+0xfb0fcfbc> +8111d0c8: 80800803 ldbu r2,32(r16) +8111d0cc: 00c001c4 movi r3,7 +8111d0d0: 18800636 bltu r3,r2,8111d0ec +8111d0d4: d1e07917 ldw r7,-32284(gp) +8111d0d8: 01204574 movhi r4,33045 +8111d0dc: 2131f504 addi r4,r4,-14380 +8111d0e0: 01800b04 movi r6,44 +8111d0e4: 01400044 movi r5,1 +8111d0e8: 112bc8c0 call 8112bc8c +8111d0ec: 1123df80 call 81123df8 +8111d0f0: 00bfffc4 movi r2,-1 +8111d0f4: 003f7406 br 8111cec8 <__reset+0xfb0fcec8> +8111d0f8: 01204574 movhi r4,33045 +8111d0fc: 2131a804 addi r4,r4,-14688 +8111d100: 01800704 movi r6,28 +8111d104: 800b883a mov r5,r16 +8111d108: 112bc8c0 call 8112bc8c +8111d10c: 1123e580 call 81123e58 +8111d110: 00bfffc4 movi r2,-1 +8111d114: 003f6c06 br 8111cec8 <__reset+0xfb0fcec8> +8111d118: 80800803 ldbu r2,32(r16) +8111d11c: 00c001c4 movi r3,7 +8111d120: 18800636 bltu r3,r2,8111d13c +8111d124: d1e07917 ldw r7,-32284(gp) +8111d128: 01204574 movhi r4,33045 +8111d12c: 2131e804 addi r4,r4,-14432 +8111d130: 01800c44 movi r6,49 +8111d134: 01400044 movi r5,1 +8111d138: 112bc8c0 call 8112bc8c +8111d13c: 1123c440 call 81123c44 +8111d140: 00bfffc4 movi r2,-1 +8111d144: 003f6006 br 8111cec8 <__reset+0xfb0fcec8> +8111d148: 18bffc26 beq r3,r2,8111d13c <__reset+0xfb0fd13c> +8111d14c: d1e07917 ldw r7,-32284(gp) +8111d150: 01204574 movhi r4,33045 +8111d154: 2131d204 addi r4,r4,-14520 +8111d158: 01801504 movi r6,84 +8111d15c: 01400044 movi r5,1 +8111d160: 112bc8c0 call 8112bc8c +8111d164: 003ff506 br 8111d13c <__reset+0xfb0fd13c> +8111d168: d1e07917 ldw r7,-32284(gp) +8111d16c: 01204574 movhi r4,33045 +8111d170: 2131bc04 addi r4,r4,-14608 +8111d174: 01801504 movi r6,84 +8111d178: 01400044 movi r5,1 +8111d17c: 112bc8c0 call 8112bc8c +8111d180: 00a04634 movhi r2,33048 +8111d184: 00c00104 movi r3,4 +8111d188: 108f1904 addi r2,r2,15460 +8111d18c: 10c00805 stb r3,32(r2) +8111d190: 883fc91e bne r17,zero,8111d0b8 <__reset+0xfb0fd0b8> +8111d194: 003fed06 br 8111d14c <__reset+0xfb0fd14c> + +8111d198 : +8111d198: 00a04634 movhi r2,33048 +8111d19c: 108f1904 addi r2,r2,15460 +8111d1a0: 1180020b ldhu r6,8(r2) +8111d1a4: 11c0018b ldhu r7,6(r2) +8111d1a8: 1200010b ldhu r8,4(r2) +8111d1ac: 10c0008b ldhu r3,2(r2) +8111d1b0: 1140000b ldhu r5,0(r2) +8111d1b4: 2180020d sth r6,8(r4) +8111d1b8: 20c0000d sth r3,0(r4) +8111d1bc: 2200010d sth r8,4(r4) +8111d1c0: 21c0018d sth r7,6(r4) +8111d1c4: 2140008d sth r5,2(r4) +8111d1c8: 10800803 ldbu r2,32(r2) +8111d1cc: 00c00084 movi r3,2 +8111d1d0: 1880012e bgeu r3,r2,8111d1d8 +8111d1d4: f800283a ret +8111d1d8: defffe04 addi sp,sp,-8 +8111d1dc: dc000015 stw r16,0(sp) +8111d1e0: 2021883a mov r16,r4 +8111d1e4: d1207917 ldw r4,-32284(gp) +8111d1e8: 01604574 movhi r5,33045 +8111d1ec: 29723b04 addi r5,r5,-14100 +8111d1f0: 31bfffcc andi r6,r6,65535 +8111d1f4: dfc00115 stw ra,4(sp) +8111d1f8: 112b6200 call 8112b620 +8111d1fc: 8180010b ldhu r6,4(r16) +8111d200: d1207917 ldw r4,-32284(gp) +8111d204: 01604574 movhi r5,33045 +8111d208: 29723f04 addi r5,r5,-14084 +8111d20c: 112b6200 call 8112b620 +8111d210: 8180018b ldhu r6,6(r16) +8111d214: d1207917 ldw r4,-32284(gp) +8111d218: 01604574 movhi r5,33045 +8111d21c: 29724204 addi r5,r5,-14072 +8111d220: 112b6200 call 8112b620 +8111d224: 8180008b ldhu r6,2(r16) +8111d228: d1207917 ldw r4,-32284(gp) +8111d22c: 01604574 movhi r5,33045 +8111d230: 29724704 addi r5,r5,-14052 +8111d234: 112b6200 call 8112b620 +8111d238: 8180000b ldhu r6,0(r16) +8111d23c: d1207917 ldw r4,-32284(gp) +8111d240: 01604574 movhi r5,33045 +8111d244: 29724c04 addi r5,r5,-14032 +8111d248: dfc00117 ldw ra,4(sp) +8111d24c: dc000017 ldw r16,0(sp) +8111d250: dec00204 addi sp,sp,8 +8111d254: 112b6201 jmpi 8112b620 + +8111d258 : +8111d258: d8c00017 ldw r3,0(sp) +8111d25c: d8800117 ldw r2,4(sp) +8111d260: 2140020d sth r5,8(r4) +8111d264: 2180010d sth r6,4(r4) +8111d268: 21c0018d sth r7,6(r4) +8111d26c: 20c0008d sth r3,2(r4) +8111d270: 2080000d sth r2,0(r4) +8111d274: f800283a ret + +8111d278 : +8111d278: defff904 addi sp,sp,-28 +8111d27c: dc400215 stw r17,8(sp) +8111d280: 2023883a mov r17,r4 +8111d284: d1207e17 ldw r4,-32264(gp) +8111d288: dc800315 stw r18,12(sp) +8111d28c: d80d883a mov r6,sp +8111d290: 2825883a mov r18,r5 +8111d294: 01400504 movi r5,20 +8111d298: dfc00615 stw ra,24(sp) +8111d29c: dd000515 stw r20,20(sp) +8111d2a0: dcc00415 stw r19,16(sp) +8111d2a4: dc000115 stw r16,4(sp) +8111d2a8: d8000005 stb zero,0(sp) +8111d2ac: 11435a40 call 811435a4 +8111d2b0: d8800003 ldbu r2,0(sp) +8111d2b4: 1000291e bne r2,zero,8111d35c +8111d2b8: d1208817 ldw r4,-32224(gp) +8111d2bc: d80d883a mov r6,sp +8111d2c0: 01400c84 movi r5,50 +8111d2c4: 11424880 call 81142488 +8111d2c8: d8800003 ldbu r2,0(sp) +8111d2cc: 10001326 beq r2,zero,8111d31c +8111d2d0: 00a04634 movhi r2,33048 +8111d2d4: 108f1904 addi r2,r2,15460 +8111d2d8: 10800803 ldbu r2,32(r2) +8111d2dc: 00c001c4 movi r3,7 +8111d2e0: 1880522e bgeu r3,r2,8111d42c +8111d2e4: d1207e17 ldw r4,-32264(gp) +8111d2e8: 11437dc0 call 811437dc +8111d2ec: d8800005 stb r2,0(sp) +8111d2f0: 10803fcc andi r2,r2,255 +8111d2f4: 10004a1e bne r2,zero,8111d420 +8111d2f8: 0005883a mov r2,zero +8111d2fc: dfc00617 ldw ra,24(sp) +8111d300: dd000517 ldw r20,20(sp) +8111d304: dcc00417 ldw r19,16(sp) +8111d308: dc800317 ldw r18,12(sp) +8111d30c: dc400217 ldw r17,8(sp) +8111d310: dc000117 ldw r16,4(sp) +8111d314: dec00704 addi sp,sp,28 +8111d318: f800283a ret +8111d31c: 052045f4 movhi r20,33047 +8111d320: a516d604 addi r20,r20,23384 +8111d324: 0021883a mov r16,zero +8111d328: 01000404 movi r4,16 +8111d32c: a005883a mov r2,r20 +8111d330: 10c00017 ldw r3,0(r2) +8111d334: 10800104 addi r2,r2,4 +8111d338: 18000b26 beq r3,zero,8111d368 +8111d33c: 84000044 addi r16,r16,1 +8111d340: 813ffb1e bne r16,r4,8111d330 <__reset+0xfb0fd330> +8111d344: d1207e17 ldw r4,-32264(gp) +8111d348: 11437dc0 call 811437dc +8111d34c: d1208817 ldw r4,-32224(gp) +8111d350: d8800005 stb r2,0(sp) +8111d354: 114278c0 call 8114278c +8111d358: 003fe706 br 8111d2f8 <__reset+0xfb0fd2f8> +8111d35c: 112442c0 call 8112442c +8111d360: 0005883a mov r2,zero +8111d364: 003fe506 br 8111d2fc <__reset+0xfb0fd2fc> +8111d368: 84c08324 muli r19,r16,524 +8111d36c: 00a045f4 movhi r2,33047 +8111d370: 10b24e04 addi r2,r2,-14024 +8111d374: 98a7883a add r19,r19,r2 +8111d378: 01808004 movi r6,512 +8111d37c: 000b883a mov r5,zero +8111d380: 9809883a mov r4,r19 +8111d384: 112c7d00 call 8112c7d0 +8111d388: 880b883a mov r5,r17 +8111d38c: 01807fc4 movi r6,511 +8111d390: 9809883a mov r4,r19 +8111d394: 112c6880 call 8112c688 +8111d398: d0a08503 ldbu r2,-32236(gp) +8111d39c: 8421883a add r16,r16,r16 +8111d3a0: d1208f17 ldw r4,-32196(gp) +8111d3a4: 8421883a add r16,r16,r16 +8111d3a8: a421883a add r16,r20,r16 +8111d3ac: 9d008004 addi r20,r19,512 +8111d3b0: 10bfffc4 addi r2,r2,-1 +8111d3b4: 04400044 movi r17,1 +8111d3b8: d80d883a mov r6,sp +8111d3bc: 01400504 movi r5,20 +8111d3c0: 9c80810d sth r18,516(r19) +8111d3c4: 98008205 stb zero,520(r19) +8111d3c8: 9800818d sth zero,518(r19) +8111d3cc: a0000015 stw zero,0(r20) +8111d3d0: d0a08505 stb r2,-32236(gp) +8111d3d4: 84400015 stw r17,0(r16) +8111d3d8: 11424880 call 81142488 +8111d3dc: d8800003 ldbu r2,0(sp) +8111d3e0: 10001926 beq r2,zero,8111d448 +8111d3e4: 00a04634 movhi r2,33048 +8111d3e8: 108f1904 addi r2,r2,15460 +8111d3ec: 10800803 ldbu r2,32(r2) +8111d3f0: 00c001c4 movi r3,7 +8111d3f4: 18800636 bltu r3,r2,8111d410 +8111d3f8: d1e07917 ldw r7,-32284(gp) +8111d3fc: 01204574 movhi r4,33045 +8111d400: 21326904 addi r4,r4,-13916 +8111d404: 01801c04 movi r6,112 +8111d408: 880b883a mov r5,r17 +8111d40c: 112bc8c0 call 8112bc8c +8111d410: d1208817 ldw r4,-32224(gp) +8111d414: 114278c0 call 8114278c +8111d418: 00800044 movi r2,1 +8111d41c: 003fb706 br 8111d2fc <__reset+0xfb0fd2fc> +8111d420: 112437c0 call 8112437c +8111d424: 0005883a mov r2,zero +8111d428: 003fb406 br 8111d2fc <__reset+0xfb0fd2fc> +8111d42c: d1e07917 ldw r7,-32284(gp) +8111d430: 01204574 movhi r4,33045 +8111d434: 21325104 addi r4,r4,-14012 +8111d438: 01801704 movi r6,92 +8111d43c: 01400044 movi r5,1 +8111d440: 112bc8c0 call 8112bc8c +8111d444: 003fa706 br 8111d2e4 <__reset+0xfb0fd2e4> +8111d448: 9809883a mov r4,r19 +8111d44c: 112cb800 call 8112cb80 +8111d450: d1208f17 ldw r4,-32196(gp) +8111d454: a4400015 stw r17,0(r20) +8111d458: 114278c0 call 8114278c +8111d45c: d1208817 ldw r4,-32224(gp) +8111d460: 114278c0 call 8114278c +8111d464: 8805883a mov r2,r17 +8111d468: 003fa406 br 8111d2fc <__reset+0xfb0fd2fc> + +8111d46c : +8111d46c: defff904 addi sp,sp,-28 +8111d470: dc800315 stw r18,12(sp) +8111d474: 2025883a mov r18,r4 +8111d478: d1207a17 ldw r4,-32280(gp) +8111d47c: dc400215 stw r17,8(sp) +8111d480: d80d883a mov r6,sp +8111d484: 2823883a mov r17,r5 +8111d488: 01400504 movi r5,20 +8111d48c: dfc00615 stw ra,24(sp) +8111d490: dd000515 stw r20,20(sp) +8111d494: dcc00415 stw r19,16(sp) +8111d498: dc000115 stw r16,4(sp) +8111d49c: d8000005 stb zero,0(sp) +8111d4a0: 11435a40 call 811435a4 +8111d4a4: d8800003 ldbu r2,0(sp) +8111d4a8: 1000541e bne r2,zero,8111d5fc +8111d4ac: d1208817 ldw r4,-32224(gp) +8111d4b0: d80d883a mov r6,sp +8111d4b4: 01400c84 movi r5,50 +8111d4b8: 11424880 call 81142488 +8111d4bc: d8800003 ldbu r2,0(sp) +8111d4c0: 10001326 beq r2,zero,8111d510 +8111d4c4: 00a04634 movhi r2,33048 +8111d4c8: 108f1904 addi r2,r2,15460 +8111d4cc: 10800803 ldbu r2,32(r2) +8111d4d0: 00c001c4 movi r3,7 +8111d4d4: 1880522e bgeu r3,r2,8111d620 +8111d4d8: d1207a17 ldw r4,-32280(gp) +8111d4dc: 11437dc0 call 811437dc +8111d4e0: d8800005 stb r2,0(sp) +8111d4e4: 10803fcc andi r2,r2,255 +8111d4e8: 1000541e bne r2,zero,8111d63c +8111d4ec: 0005883a mov r2,zero +8111d4f0: dfc00617 ldw ra,24(sp) +8111d4f4: dd000517 ldw r20,20(sp) +8111d4f8: dcc00417 ldw r19,16(sp) +8111d4fc: dc800317 ldw r18,12(sp) +8111d500: dc400217 ldw r17,8(sp) +8111d504: dc000117 ldw r16,4(sp) +8111d508: dec00704 addi sp,sp,28 +8111d50c: f800283a ret +8111d510: 00a045f4 movhi r2,33047 +8111d514: 1096e604 addi r2,r2,23448 +8111d518: 0021883a mov r16,zero +8111d51c: 01000804 movi r4,32 +8111d520: 00000206 br 8111d52c +8111d524: 84000044 addi r16,r16,1 +8111d528: 81003726 beq r16,r4,8111d608 +8111d52c: 10c00017 ldw r3,0(r2) +8111d530: 10800104 addi r2,r2,4 +8111d534: 183ffb1e bne r3,zero,8111d524 <__reset+0xfb0fd524> +8111d538: 84c02324 muli r19,r16,140 +8111d53c: 00a045f4 movhi r2,33047 +8111d540: 10976604 addi r2,r2,23960 +8111d544: 98a7883a add r19,r19,r2 +8111d548: 01802004 movi r6,128 +8111d54c: 000b883a mov r5,zero +8111d550: 9809883a mov r4,r19 +8111d554: 112c7d00 call 8112c7d0 +8111d558: 900b883a mov r5,r18 +8111d55c: 01801fc4 movi r6,127 +8111d560: 9809883a mov r4,r19 +8111d564: 112c6880 call 8112c688 +8111d568: d0a09043 ldbu r2,-32191(gp) +8111d56c: 84000404 addi r16,r16,16 +8111d570: 8421883a add r16,r16,r16 +8111d574: d1208f17 ldw r4,-32196(gp) +8111d578: 00e045f4 movhi r3,33047 +8111d57c: 18d6d604 addi r3,r3,23384 +8111d580: 8421883a add r16,r16,r16 +8111d584: 9d002004 addi r20,r19,128 +8111d588: 10bfffc4 addi r2,r2,-1 +8111d58c: 1c21883a add r16,r3,r16 +8111d590: 04800044 movi r18,1 +8111d594: d80d883a mov r6,sp +8111d598: 01400504 movi r5,20 +8111d59c: 9c40210d sth r17,132(r19) +8111d5a0: 98002205 stb zero,136(r19) +8111d5a4: 9800218d sth zero,134(r19) +8111d5a8: a0000015 stw zero,0(r20) +8111d5ac: d0a09045 stb r2,-32191(gp) +8111d5b0: 84800015 stw r18,0(r16) +8111d5b4: 11424880 call 81142488 +8111d5b8: d8800003 ldbu r2,0(sp) +8111d5bc: 10002226 beq r2,zero,8111d648 +8111d5c0: 00a04634 movhi r2,33048 +8111d5c4: 108f1904 addi r2,r2,15460 +8111d5c8: 10800803 ldbu r2,32(r2) +8111d5cc: 00c001c4 movi r3,7 +8111d5d0: 18800636 bltu r3,r2,8111d5ec +8111d5d4: d1e07917 ldw r7,-32284(gp) +8111d5d8: 01204574 movhi r4,33045 +8111d5dc: 21326904 addi r4,r4,-13916 +8111d5e0: 01801c04 movi r6,112 +8111d5e4: 900b883a mov r5,r18 +8111d5e8: 112bc8c0 call 8112bc8c +8111d5ec: d1208817 ldw r4,-32224(gp) +8111d5f0: 114278c0 call 8114278c +8111d5f4: 00800044 movi r2,1 +8111d5f8: 003fbd06 br 8111d4f0 <__reset+0xfb0fd4f0> +8111d5fc: 11244840 call 81124484 8111d600: 0005883a mov r2,zero -8111d604: 003faa06 br 8111d4b0 <__reset+0xfb0fd4b0> -8111d608: 9809883a mov r4,r19 -8111d60c: 112cb400 call 8112cb40 -8111d610: d1208f17 ldw r4,-32196(gp) -8111d614: a4800015 stw r18,0(r20) -8111d618: 114274c0 call 8114274c -8111d61c: d1208817 ldw r4,-32224(gp) -8111d620: 114274c0 call 8114274c -8111d624: 9005883a mov r2,r18 -8111d628: 003fa106 br 8111d4b0 <__reset+0xfb0fd4b0> - -8111d62c : -8111d62c: defff904 addi sp,sp,-28 -8111d630: dc800315 stw r18,12(sp) -8111d634: 2025883a mov r18,r4 -8111d638: d1207517 ldw r4,-32300(gp) -8111d63c: dc400215 stw r17,8(sp) -8111d640: d80d883a mov r6,sp -8111d644: 2823883a mov r17,r5 -8111d648: 01400504 movi r5,20 -8111d64c: dfc00615 stw ra,24(sp) -8111d650: dd000515 stw r20,20(sp) -8111d654: dcc00415 stw r19,16(sp) -8111d658: dc000115 stw r16,4(sp) -8111d65c: d8000005 stb zero,0(sp) -8111d660: 11435640 call 81143564 -8111d664: d8800003 ldbu r2,0(sp) -8111d668: 1000541e bne r2,zero,8111d7bc -8111d66c: d1208c17 ldw r4,-32208(gp) -8111d670: d80d883a mov r6,sp -8111d674: 01400c84 movi r5,50 -8111d678: 11424480 call 81142448 -8111d67c: d8800003 ldbu r2,0(sp) -8111d680: 10001326 beq r2,zero,8111d6d0 -8111d684: 00a04634 movhi r2,33048 -8111d688: 108f0804 addi r2,r2,15392 -8111d68c: 10800803 ldbu r2,32(r2) -8111d690: 00c001c4 movi r3,7 -8111d694: 1880522e bgeu r3,r2,8111d7e0 -8111d698: d1207517 ldw r4,-32300(gp) -8111d69c: 114379c0 call 8114379c -8111d6a0: d8800005 stb r2,0(sp) -8111d6a4: 10803fcc andi r2,r2,255 -8111d6a8: 1000541e bne r2,zero,8111d7fc -8111d6ac: 0005883a mov r2,zero -8111d6b0: dfc00617 ldw ra,24(sp) -8111d6b4: dd000517 ldw r20,20(sp) -8111d6b8: dcc00417 ldw r19,16(sp) -8111d6bc: dc800317 ldw r18,12(sp) -8111d6c0: dc400217 ldw r17,8(sp) -8111d6c4: dc000117 ldw r16,4(sp) -8111d6c8: dec00704 addi sp,sp,28 -8111d6cc: f800283a ret -8111d6d0: 00a045f4 movhi r2,33047 -8111d6d4: 1096f504 addi r2,r2,23508 -8111d6d8: 0021883a mov r16,zero -8111d6dc: 01000804 movi r4,32 -8111d6e0: 00000206 br 8111d6ec -8111d6e4: 84000044 addi r16,r16,1 -8111d6e8: 81003726 beq r16,r4,8111d7c8 -8111d6ec: 10c00017 ldw r3,0(r2) -8111d6f0: 10800104 addi r2,r2,4 -8111d6f4: 183ffb1e bne r3,zero,8111d6e4 <__reset+0xfb0fd6e4> -8111d6f8: 84c01324 muli r19,r16,76 -8111d6fc: 00a04574 movhi r2,33045 -8111d700: 1095d704 addi r2,r2,22364 -8111d704: 98a7883a add r19,r19,r2 -8111d708: 01801004 movi r6,64 -8111d70c: 000b883a mov r5,zero -8111d710: 9809883a mov r4,r19 -8111d714: 112c7900 call 8112c790 -8111d718: 900b883a mov r5,r18 -8111d71c: 01800fc4 movi r6,63 -8111d720: 9809883a mov r4,r19 -8111d724: 112c6480 call 8112c648 -8111d728: d0a09003 ldbu r2,-32192(gp) -8111d72c: 84000c04 addi r16,r16,48 -8111d730: 8421883a add r16,r16,r16 -8111d734: d1208f17 ldw r4,-32196(gp) -8111d738: 00e045f4 movhi r3,33047 -8111d73c: 18d6c504 addi r3,r3,23316 -8111d740: 8421883a add r16,r16,r16 -8111d744: 9d001004 addi r20,r19,64 -8111d748: 10bfffc4 addi r2,r2,-1 -8111d74c: 1c21883a add r16,r3,r16 -8111d750: 04800044 movi r18,1 -8111d754: d80d883a mov r6,sp -8111d758: 01400504 movi r5,20 -8111d75c: 9c40110d sth r17,68(r19) -8111d760: 98001205 stb zero,72(r19) -8111d764: 9800118d sth zero,70(r19) -8111d768: a0000015 stw zero,0(r20) -8111d76c: d0a09005 stb r2,-32192(gp) -8111d770: 84800015 stw r18,0(r16) -8111d774: 11424480 call 81142448 -8111d778: d8800003 ldbu r2,0(sp) -8111d77c: 10002226 beq r2,zero,8111d808 -8111d780: 00a04634 movhi r2,33048 -8111d784: 108f0804 addi r2,r2,15392 -8111d788: 10800803 ldbu r2,32(r2) -8111d78c: 00c001c4 movi r3,7 -8111d790: 18800636 bltu r3,r2,8111d7ac -8111d794: d1e07917 ldw r7,-32284(gp) -8111d798: 01204574 movhi r4,33045 -8111d79c: 21329e04 addi r4,r4,-13704 -8111d7a0: 01801bc4 movi r6,111 -8111d7a4: 900b883a mov r5,r18 -8111d7a8: 112bc4c0 call 8112bc4c -8111d7ac: d1208c17 ldw r4,-32208(gp) -8111d7b0: 114274c0 call 8114274c -8111d7b4: 00800044 movi r2,1 -8111d7b8: 003fbd06 br 8111d6b0 <__reset+0xfb0fd6b0> -8111d7bc: 112449c0 call 8112449c -8111d7c0: 0005883a mov r2,zero -8111d7c4: 003fba06 br 8111d6b0 <__reset+0xfb0fd6b0> -8111d7c8: d1207517 ldw r4,-32300(gp) -8111d7cc: 114379c0 call 8114379c -8111d7d0: d1208c17 ldw r4,-32208(gp) -8111d7d4: d8800005 stb r2,0(sp) -8111d7d8: 114274c0 call 8114274c -8111d7dc: 003fb306 br 8111d6ac <__reset+0xfb0fd6ac> -8111d7e0: d1e07917 ldw r7,-32284(gp) -8111d7e4: 01204574 movhi r4,33045 -8111d7e8: 21328a04 addi r4,r4,-13784 -8111d7ec: 018013c4 movi r6,79 -8111d7f0: 01400044 movi r5,1 -8111d7f4: 112bc4c0 call 8112bc4c -8111d7f8: 003fa706 br 8111d698 <__reset+0xfb0fd698> -8111d7fc: 11242e40 call 811242e4 +8111d604: 003fba06 br 8111d4f0 <__reset+0xfb0fd4f0> +8111d608: d1207a17 ldw r4,-32280(gp) +8111d60c: 11437dc0 call 811437dc +8111d610: d1208817 ldw r4,-32224(gp) +8111d614: d8800005 stb r2,0(sp) +8111d618: 114278c0 call 8114278c +8111d61c: 003fb306 br 8111d4ec <__reset+0xfb0fd4ec> +8111d620: d1e07917 ldw r7,-32284(gp) +8111d624: 01204574 movhi r4,33045 +8111d628: 21328604 addi r4,r4,-13800 +8111d62c: 01801484 movi r6,82 +8111d630: 01400044 movi r5,1 +8111d634: 112bc8c0 call 8112bc8c +8111d638: 003fa706 br 8111d4d8 <__reset+0xfb0fd4d8> +8111d63c: 11243d40 call 811243d4 +8111d640: 0005883a mov r2,zero +8111d644: 003faa06 br 8111d4f0 <__reset+0xfb0fd4f0> +8111d648: 9809883a mov r4,r19 +8111d64c: 112cb800 call 8112cb80 +8111d650: d1208f17 ldw r4,-32196(gp) +8111d654: a4800015 stw r18,0(r20) +8111d658: 114278c0 call 8114278c +8111d65c: d1208817 ldw r4,-32224(gp) +8111d660: 114278c0 call 8114278c +8111d664: 9005883a mov r2,r18 +8111d668: 003fa106 br 8111d4f0 <__reset+0xfb0fd4f0> + +8111d66c : +8111d66c: defff904 addi sp,sp,-28 +8111d670: dc800315 stw r18,12(sp) +8111d674: 2025883a mov r18,r4 +8111d678: d1207517 ldw r4,-32300(gp) +8111d67c: dc400215 stw r17,8(sp) +8111d680: d80d883a mov r6,sp +8111d684: 2823883a mov r17,r5 +8111d688: 01400504 movi r5,20 +8111d68c: dfc00615 stw ra,24(sp) +8111d690: dd000515 stw r20,20(sp) +8111d694: dcc00415 stw r19,16(sp) +8111d698: dc000115 stw r16,4(sp) +8111d69c: d8000005 stb zero,0(sp) +8111d6a0: 11435a40 call 811435a4 +8111d6a4: d8800003 ldbu r2,0(sp) +8111d6a8: 1000541e bne r2,zero,8111d7fc +8111d6ac: d1208c17 ldw r4,-32208(gp) +8111d6b0: d80d883a mov r6,sp +8111d6b4: 01400c84 movi r5,50 +8111d6b8: 11424880 call 81142488 +8111d6bc: d8800003 ldbu r2,0(sp) +8111d6c0: 10001326 beq r2,zero,8111d710 +8111d6c4: 00a04634 movhi r2,33048 +8111d6c8: 108f1904 addi r2,r2,15460 +8111d6cc: 10800803 ldbu r2,32(r2) +8111d6d0: 00c001c4 movi r3,7 +8111d6d4: 1880522e bgeu r3,r2,8111d820 +8111d6d8: d1207517 ldw r4,-32300(gp) +8111d6dc: 11437dc0 call 811437dc +8111d6e0: d8800005 stb r2,0(sp) +8111d6e4: 10803fcc andi r2,r2,255 +8111d6e8: 1000541e bne r2,zero,8111d83c +8111d6ec: 0005883a mov r2,zero +8111d6f0: dfc00617 ldw ra,24(sp) +8111d6f4: dd000517 ldw r20,20(sp) +8111d6f8: dcc00417 ldw r19,16(sp) +8111d6fc: dc800317 ldw r18,12(sp) +8111d700: dc400217 ldw r17,8(sp) +8111d704: dc000117 ldw r16,4(sp) +8111d708: dec00704 addi sp,sp,28 +8111d70c: f800283a ret +8111d710: 00a045f4 movhi r2,33047 +8111d714: 10970604 addi r2,r2,23576 +8111d718: 0021883a mov r16,zero +8111d71c: 01000804 movi r4,32 +8111d720: 00000206 br 8111d72c +8111d724: 84000044 addi r16,r16,1 +8111d728: 81003726 beq r16,r4,8111d808 +8111d72c: 10c00017 ldw r3,0(r2) +8111d730: 10800104 addi r2,r2,4 +8111d734: 183ffb1e bne r3,zero,8111d724 <__reset+0xfb0fd724> +8111d738: 84c01324 muli r19,r16,76 +8111d73c: 00a04574 movhi r2,33045 +8111d740: 1095e804 addi r2,r2,22432 +8111d744: 98a7883a add r19,r19,r2 +8111d748: 01801004 movi r6,64 +8111d74c: 000b883a mov r5,zero +8111d750: 9809883a mov r4,r19 +8111d754: 112c7d00 call 8112c7d0 +8111d758: 900b883a mov r5,r18 +8111d75c: 01800fc4 movi r6,63 +8111d760: 9809883a mov r4,r19 +8111d764: 112c6880 call 8112c688 +8111d768: d0a09003 ldbu r2,-32192(gp) +8111d76c: 84000c04 addi r16,r16,48 +8111d770: 8421883a add r16,r16,r16 +8111d774: d1208f17 ldw r4,-32196(gp) +8111d778: 00e045f4 movhi r3,33047 +8111d77c: 18d6d604 addi r3,r3,23384 +8111d780: 8421883a add r16,r16,r16 +8111d784: 9d001004 addi r20,r19,64 +8111d788: 10bfffc4 addi r2,r2,-1 +8111d78c: 1c21883a add r16,r3,r16 +8111d790: 04800044 movi r18,1 +8111d794: d80d883a mov r6,sp +8111d798: 01400504 movi r5,20 +8111d79c: 9c40110d sth r17,68(r19) +8111d7a0: 98001205 stb zero,72(r19) +8111d7a4: 9800118d sth zero,70(r19) +8111d7a8: a0000015 stw zero,0(r20) +8111d7ac: d0a09005 stb r2,-32192(gp) +8111d7b0: 84800015 stw r18,0(r16) +8111d7b4: 11424880 call 81142488 +8111d7b8: d8800003 ldbu r2,0(sp) +8111d7bc: 10002226 beq r2,zero,8111d848 +8111d7c0: 00a04634 movhi r2,33048 +8111d7c4: 108f1904 addi r2,r2,15460 +8111d7c8: 10800803 ldbu r2,32(r2) +8111d7cc: 00c001c4 movi r3,7 +8111d7d0: 18800636 bltu r3,r2,8111d7ec +8111d7d4: d1e07917 ldw r7,-32284(gp) +8111d7d8: 01204574 movhi r4,33045 +8111d7dc: 2132af04 addi r4,r4,-13636 +8111d7e0: 01801bc4 movi r6,111 +8111d7e4: 900b883a mov r5,r18 +8111d7e8: 112bc8c0 call 8112bc8c +8111d7ec: d1208c17 ldw r4,-32208(gp) +8111d7f0: 114278c0 call 8114278c +8111d7f4: 00800044 movi r2,1 +8111d7f8: 003fbd06 br 8111d6f0 <__reset+0xfb0fd6f0> +8111d7fc: 11244dc0 call 811244dc 8111d800: 0005883a mov r2,zero -8111d804: 003faa06 br 8111d6b0 <__reset+0xfb0fd6b0> -8111d808: 9809883a mov r4,r19 -8111d80c: 112cb400 call 8112cb40 -8111d810: d1208f17 ldw r4,-32196(gp) -8111d814: a4800015 stw r18,0(r20) -8111d818: 114274c0 call 8114274c -8111d81c: d1208c17 ldw r4,-32208(gp) -8111d820: 114274c0 call 8114274c -8111d824: 9005883a mov r2,r18 -8111d828: 003fa106 br 8111d6b0 <__reset+0xfb0fd6b0> - -8111d82c : -8111d82c: defff904 addi sp,sp,-28 -8111d830: dcc00415 stw r19,16(sp) -8111d834: 2027883a mov r19,r4 -8111d838: d1208a17 ldw r4,-32216(gp) -8111d83c: dc400215 stw r17,8(sp) -8111d840: d80d883a mov r6,sp -8111d844: 2823883a mov r17,r5 -8111d848: 01400504 movi r5,20 -8111d84c: dfc00615 stw ra,24(sp) -8111d850: dd000515 stw r20,20(sp) -8111d854: dc800315 stw r18,12(sp) -8111d858: dc000115 stw r16,4(sp) -8111d85c: d8000005 stb zero,0(sp) -8111d860: 11435640 call 81143564 -8111d864: d8800003 ldbu r2,0(sp) -8111d868: 1000581e bne r2,zero,8111d9cc -8111d86c: d1208417 ldw r4,-32240(gp) -8111d870: d80d883a mov r6,sp -8111d874: 01400c84 movi r5,50 -8111d878: 11424480 call 81142448 -8111d87c: d8800003 ldbu r2,0(sp) -8111d880: 10001326 beq r2,zero,8111d8d0 -8111d884: 00a04634 movhi r2,33048 -8111d888: 108f0804 addi r2,r2,15392 -8111d88c: 10800803 ldbu r2,32(r2) -8111d890: 00c001c4 movi r3,7 -8111d894: 1880562e bgeu r3,r2,8111d9f0 -8111d898: d1208a17 ldw r4,-32216(gp) -8111d89c: 114379c0 call 8114379c -8111d8a0: d8800005 stb r2,0(sp) -8111d8a4: 10803fcc andi r2,r2,255 -8111d8a8: 1000581e bne r2,zero,8111da0c -8111d8ac: 0005883a mov r2,zero -8111d8b0: dfc00617 ldw ra,24(sp) -8111d8b4: dd000517 ldw r20,20(sp) -8111d8b8: dcc00417 ldw r19,16(sp) -8111d8bc: dc800317 ldw r18,12(sp) -8111d8c0: dc400217 ldw r17,8(sp) -8111d8c4: dc000117 ldw r16,4(sp) -8111d8c8: dec00704 addi sp,sp,28 -8111d8cc: f800283a ret -8111d8d0: 00a045f4 movhi r2,33047 -8111d8d4: 10971504 addi r2,r2,23636 -8111d8d8: 0021883a mov r16,zero -8111d8dc: 01000804 movi r4,32 -8111d8e0: 00000206 br 8111d8ec -8111d8e4: 84000044 addi r16,r16,1 -8111d8e8: 81003b26 beq r16,r4,8111d9d8 -8111d8ec: 10c00017 ldw r3,0(r2) -8111d8f0: 10800104 addi r2,r2,4 -8111d8f4: 183ffb1e bne r3,zero,8111d8e4 <__reset+0xfb0fd8e4> -8111d8f8: 84800b24 muli r18,r16,44 -8111d8fc: 00a045f4 movhi r2,33047 -8111d900: 10b0dd04 addi r2,r2,-15500 -8111d904: 90a5883a add r18,r18,r2 -8111d908: 980b883a mov r5,r19 -8111d90c: 018007c4 movi r6,31 -8111d910: 9009883a mov r4,r18 -8111d914: 90000015 stw zero,0(r18) -8111d918: 90000115 stw zero,4(r18) -8111d91c: 90000215 stw zero,8(r18) -8111d920: 90000315 stw zero,12(r18) -8111d924: 90000415 stw zero,16(r18) -8111d928: 90000515 stw zero,20(r18) -8111d92c: 90000615 stw zero,24(r18) -8111d930: 90000715 stw zero,28(r18) -8111d934: 112c6480 call 8112c648 -8111d938: d0a08083 ldbu r2,-32254(gp) -8111d93c: 84001404 addi r16,r16,80 -8111d940: 8421883a add r16,r16,r16 -8111d944: d1208f17 ldw r4,-32196(gp) -8111d948: 00e045f4 movhi r3,33047 -8111d94c: 18d6c504 addi r3,r3,23316 -8111d950: 8421883a add r16,r16,r16 -8111d954: 95000804 addi r20,r18,32 -8111d958: 10bfffc4 addi r2,r2,-1 -8111d95c: 1c21883a add r16,r3,r16 -8111d960: 04c00044 movi r19,1 -8111d964: d80d883a mov r6,sp -8111d968: 01400504 movi r5,20 -8111d96c: 9440090d sth r17,36(r18) -8111d970: 90000a05 stb zero,40(r18) -8111d974: 9000098d sth zero,38(r18) -8111d978: a0000015 stw zero,0(r20) -8111d97c: d0a08085 stb r2,-32254(gp) -8111d980: 84c00015 stw r19,0(r16) -8111d984: 11424480 call 81142448 -8111d988: d8800003 ldbu r2,0(sp) -8111d98c: 10002226 beq r2,zero,8111da18 -8111d990: 00a04634 movhi r2,33048 -8111d994: 108f0804 addi r2,r2,15392 -8111d998: 10800803 ldbu r2,32(r2) -8111d99c: 00c001c4 movi r3,7 -8111d9a0: 18800636 bltu r3,r2,8111d9bc -8111d9a4: d1e07917 ldw r7,-32284(gp) -8111d9a8: 01204574 movhi r4,33045 -8111d9ac: 2132ce04 addi r4,r4,-13512 -8111d9b0: 01801bc4 movi r6,111 -8111d9b4: 980b883a mov r5,r19 -8111d9b8: 112bc4c0 call 8112bc4c -8111d9bc: d1208417 ldw r4,-32240(gp) -8111d9c0: 114274c0 call 8114274c -8111d9c4: 00800044 movi r2,1 -8111d9c8: 003fb906 br 8111d8b0 <__reset+0xfb0fd8b0> -8111d9cc: 11244f40 call 811244f4 -8111d9d0: 0005883a mov r2,zero -8111d9d4: 003fb606 br 8111d8b0 <__reset+0xfb0fd8b0> -8111d9d8: d1208a17 ldw r4,-32216(gp) -8111d9dc: 114379c0 call 8114379c -8111d9e0: d1208417 ldw r4,-32240(gp) -8111d9e4: d8800005 stb r2,0(sp) -8111d9e8: 114274c0 call 8114274c -8111d9ec: 003faf06 br 8111d8ac <__reset+0xfb0fd8ac> -8111d9f0: d1e07917 ldw r7,-32284(gp) -8111d9f4: 01204574 movhi r4,33045 -8111d9f8: 2132ba04 addi r4,r4,-13592 -8111d9fc: 018013c4 movi r6,79 -8111da00: 01400044 movi r5,1 -8111da04: 112bc4c0 call 8112bc4c -8111da08: 003fa306 br 8111d898 <__reset+0xfb0fd898> -8111da0c: 112428c0 call 8112428c +8111d804: 003fba06 br 8111d6f0 <__reset+0xfb0fd6f0> +8111d808: d1207517 ldw r4,-32300(gp) +8111d80c: 11437dc0 call 811437dc +8111d810: d1208c17 ldw r4,-32208(gp) +8111d814: d8800005 stb r2,0(sp) +8111d818: 114278c0 call 8114278c +8111d81c: 003fb306 br 8111d6ec <__reset+0xfb0fd6ec> +8111d820: d1e07917 ldw r7,-32284(gp) +8111d824: 01204574 movhi r4,33045 +8111d828: 21329b04 addi r4,r4,-13716 +8111d82c: 018013c4 movi r6,79 +8111d830: 01400044 movi r5,1 +8111d834: 112bc8c0 call 8112bc8c +8111d838: 003fa706 br 8111d6d8 <__reset+0xfb0fd6d8> +8111d83c: 11243240 call 81124324 +8111d840: 0005883a mov r2,zero +8111d844: 003faa06 br 8111d6f0 <__reset+0xfb0fd6f0> +8111d848: 9809883a mov r4,r19 +8111d84c: 112cb800 call 8112cb80 +8111d850: d1208f17 ldw r4,-32196(gp) +8111d854: a4800015 stw r18,0(r20) +8111d858: 114278c0 call 8114278c +8111d85c: d1208c17 ldw r4,-32208(gp) +8111d860: 114278c0 call 8114278c +8111d864: 9005883a mov r2,r18 +8111d868: 003fa106 br 8111d6f0 <__reset+0xfb0fd6f0> + +8111d86c : +8111d86c: defff904 addi sp,sp,-28 +8111d870: dcc00415 stw r19,16(sp) +8111d874: 2027883a mov r19,r4 +8111d878: d1208a17 ldw r4,-32216(gp) +8111d87c: dc400215 stw r17,8(sp) +8111d880: d80d883a mov r6,sp +8111d884: 2823883a mov r17,r5 +8111d888: 01400504 movi r5,20 +8111d88c: dfc00615 stw ra,24(sp) +8111d890: dd000515 stw r20,20(sp) +8111d894: dc800315 stw r18,12(sp) +8111d898: dc000115 stw r16,4(sp) +8111d89c: d8000005 stb zero,0(sp) +8111d8a0: 11435a40 call 811435a4 +8111d8a4: d8800003 ldbu r2,0(sp) +8111d8a8: 1000581e bne r2,zero,8111da0c +8111d8ac: d1208417 ldw r4,-32240(gp) +8111d8b0: d80d883a mov r6,sp +8111d8b4: 01400c84 movi r5,50 +8111d8b8: 11424880 call 81142488 +8111d8bc: d8800003 ldbu r2,0(sp) +8111d8c0: 10001326 beq r2,zero,8111d910 +8111d8c4: 00a04634 movhi r2,33048 +8111d8c8: 108f1904 addi r2,r2,15460 +8111d8cc: 10800803 ldbu r2,32(r2) +8111d8d0: 00c001c4 movi r3,7 +8111d8d4: 1880562e bgeu r3,r2,8111da30 +8111d8d8: d1208a17 ldw r4,-32216(gp) +8111d8dc: 11437dc0 call 811437dc +8111d8e0: d8800005 stb r2,0(sp) +8111d8e4: 10803fcc andi r2,r2,255 +8111d8e8: 1000581e bne r2,zero,8111da4c +8111d8ec: 0005883a mov r2,zero +8111d8f0: dfc00617 ldw ra,24(sp) +8111d8f4: dd000517 ldw r20,20(sp) +8111d8f8: dcc00417 ldw r19,16(sp) +8111d8fc: dc800317 ldw r18,12(sp) +8111d900: dc400217 ldw r17,8(sp) +8111d904: dc000117 ldw r16,4(sp) +8111d908: dec00704 addi sp,sp,28 +8111d90c: f800283a ret +8111d910: 00a045f4 movhi r2,33047 +8111d914: 10972604 addi r2,r2,23704 +8111d918: 0021883a mov r16,zero +8111d91c: 01000804 movi r4,32 +8111d920: 00000206 br 8111d92c +8111d924: 84000044 addi r16,r16,1 +8111d928: 81003b26 beq r16,r4,8111da18 +8111d92c: 10c00017 ldw r3,0(r2) +8111d930: 10800104 addi r2,r2,4 +8111d934: 183ffb1e bne r3,zero,8111d924 <__reset+0xfb0fd924> +8111d938: 84800b24 muli r18,r16,44 +8111d93c: 00a045f4 movhi r2,33047 +8111d940: 10b0ee04 addi r2,r2,-15432 +8111d944: 90a5883a add r18,r18,r2 +8111d948: 980b883a mov r5,r19 +8111d94c: 018007c4 movi r6,31 +8111d950: 9009883a mov r4,r18 +8111d954: 90000015 stw zero,0(r18) +8111d958: 90000115 stw zero,4(r18) +8111d95c: 90000215 stw zero,8(r18) +8111d960: 90000315 stw zero,12(r18) +8111d964: 90000415 stw zero,16(r18) +8111d968: 90000515 stw zero,20(r18) +8111d96c: 90000615 stw zero,24(r18) +8111d970: 90000715 stw zero,28(r18) +8111d974: 112c6880 call 8112c688 +8111d978: d0a08083 ldbu r2,-32254(gp) +8111d97c: 84001404 addi r16,r16,80 +8111d980: 8421883a add r16,r16,r16 +8111d984: d1208f17 ldw r4,-32196(gp) +8111d988: 00e045f4 movhi r3,33047 +8111d98c: 18d6d604 addi r3,r3,23384 +8111d990: 8421883a add r16,r16,r16 +8111d994: 95000804 addi r20,r18,32 +8111d998: 10bfffc4 addi r2,r2,-1 +8111d99c: 1c21883a add r16,r3,r16 +8111d9a0: 04c00044 movi r19,1 +8111d9a4: d80d883a mov r6,sp +8111d9a8: 01400504 movi r5,20 +8111d9ac: 9440090d sth r17,36(r18) +8111d9b0: 90000a05 stb zero,40(r18) +8111d9b4: 9000098d sth zero,38(r18) +8111d9b8: a0000015 stw zero,0(r20) +8111d9bc: d0a08085 stb r2,-32254(gp) +8111d9c0: 84c00015 stw r19,0(r16) +8111d9c4: 11424880 call 81142488 +8111d9c8: d8800003 ldbu r2,0(sp) +8111d9cc: 10002226 beq r2,zero,8111da58 +8111d9d0: 00a04634 movhi r2,33048 +8111d9d4: 108f1904 addi r2,r2,15460 +8111d9d8: 10800803 ldbu r2,32(r2) +8111d9dc: 00c001c4 movi r3,7 +8111d9e0: 18800636 bltu r3,r2,8111d9fc +8111d9e4: d1e07917 ldw r7,-32284(gp) +8111d9e8: 01204574 movhi r4,33045 +8111d9ec: 2132df04 addi r4,r4,-13444 +8111d9f0: 01801bc4 movi r6,111 +8111d9f4: 980b883a mov r5,r19 +8111d9f8: 112bc8c0 call 8112bc8c +8111d9fc: d1208417 ldw r4,-32240(gp) +8111da00: 114278c0 call 8114278c +8111da04: 00800044 movi r2,1 +8111da08: 003fb906 br 8111d8f0 <__reset+0xfb0fd8f0> +8111da0c: 11245340 call 81124534 8111da10: 0005883a mov r2,zero -8111da14: 003fa606 br 8111d8b0 <__reset+0xfb0fd8b0> -8111da18: 9009883a mov r4,r18 -8111da1c: 112cb400 call 8112cb40 -8111da20: d1208f17 ldw r4,-32196(gp) -8111da24: a4c00015 stw r19,0(r20) -8111da28: 114274c0 call 8114274c -8111da2c: d1208417 ldw r4,-32240(gp) -8111da30: 114274c0 call 8114274c -8111da34: 9805883a mov r2,r19 -8111da38: 003f9d06 br 8111d8b0 <__reset+0xfb0fd8b0> - -8111da3c : -8111da3c: deffc804 addi sp,sp,-224 -8111da40: 01801f04 movi r6,124 -8111da44: 000b883a mov r5,zero -8111da48: d9001304 addi r4,sp,76 -8111da4c: dfc03715 stw ra,220(sp) -8111da50: dd003615 stw r20,216(sp) -8111da54: dcc03515 stw r19,212(sp) -8111da58: dc803415 stw r18,208(sp) -8111da5c: dc403315 stw r17,204(sp) -8111da60: dc003215 stw r16,200(sp) -8111da64: d8001215 stw zero,72(sp) -8111da68: 112c7900 call 8112c790 -8111da6c: 0007303a rdctl r3,status -8111da70: 00bfff84 movi r2,-2 -8111da74: 1884703a and r2,r3,r2 -8111da78: 1001703a wrctl status,r2 -8111da7c: d0a0800b ldhu r2,-32256(gp) -8111da80: 013fffd4 movui r4,65535 -8111da84: 10bfffcc andi r2,r2,65535 -8111da88: 11004c26 beq r2,r4,8111dbbc -8111da8c: d0a0800b ldhu r2,-32256(gp) -8111da90: 10800044 addi r2,r2,1 -8111da94: d0a0800d sth r2,-32256(gp) -8111da98: d420800b ldhu r16,-32256(gp) -8111da9c: 1801703a wrctl status,r3 -8111daa0: 00a04634 movhi r2,33048 -8111daa4: 108f1204 addi r2,r2,15432 -8111daa8: 17c00503 ldbu ra,20(r2) -8111daac: 13c004c3 ldbu r15,19(r2) -8111dab0: 13800483 ldbu r14,18(r2) -8111dab4: 13400443 ldbu r13,17(r2) -8111dab8: 13000403 ldbu r12,16(r2) -8111dabc: 12c003c3 ldbu r11,15(r2) -8111dac0: 12800383 ldbu r10,14(r2) -8111dac4: 12400343 ldbu r9,13(r2) -8111dac8: 12000303 ldbu r8,12(r2) -8111dacc: 118002c3 ldbu r6,11(r2) -8111dad0: 11000283 ldbu r4,10(r2) -8111dad4: 1500000b ldhu r20,0(r2) -8111dad8: 14c005c3 ldbu r19,23(r2) -8111dadc: 14800583 ldbu r18,22(r2) -8111dae0: 14400543 ldbu r17,21(r2) -8111dae4: 10c00243 ldbu r3,9(r2) -8111dae8: dfc00d15 stw ra,52(sp) -8111daec: dbc00c15 stw r15,48(sp) -8111daf0: db800b15 stw r14,44(sp) -8111daf4: db400a15 stw r13,40(sp) -8111daf8: db000915 stw r12,36(sp) -8111dafc: dac00815 stw r11,32(sp) -8111db00: da800715 stw r10,28(sp) -8111db04: da400615 stw r9,24(sp) -8111db08: da000515 stw r8,20(sp) -8111db0c: d9800415 stw r6,16(sp) -8111db10: d9000315 stw r4,12(sp) -8111db14: dd001115 stw r20,68(sp) -8111db18: dcc01015 stw r19,64(sp) -8111db1c: dc800f15 stw r18,60(sp) -8111db20: dc400e15 stw r17,56(sp) -8111db24: d8c00215 stw r3,8(sp) -8111db28: 10c00203 ldbu r3,8(r2) -8111db2c: 10800117 ldw r2,4(r2) -8111db30: 01604574 movhi r5,33045 -8111db34: d8c00115 stw r3,4(sp) -8111db38: 81ffffcc andi r7,r16,65535 -8111db3c: 018010c4 movi r6,67 -8111db40: 2972ea04 addi r5,r5,-13400 -8111db44: d9001204 addi r4,sp,72 -8111db48: d8800015 stw r2,0(sp) -8111db4c: 112d6780 call 8112d678 -8111db50: d9001204 addi r4,sp,72 -8111db54: 112d86c0 call 8112d86c -8111db58: 100b883a mov r5,r2 -8111db5c: d9001204 addi r4,sp,72 -8111db60: 11205400 call 81120540 -8111db64: d9801204 addi r6,sp,72 -8111db68: 01604574 movhi r5,33045 -8111db6c: 3009883a mov r4,r6 -8111db70: 29673b04 addi r5,r5,-25364 -8111db74: 11c03fcc andi r7,r2,255 -8111db78: 112d6780 call 8112d678 -8111db7c: 817fffcc andi r5,r16,65535 -8111db80: 2960001c xori r5,r5,32768 -8111db84: 29600004 addi r5,r5,-32768 -8111db88: d9001204 addi r4,sp,72 -8111db8c: 111d42c0 call 8111d42c -8111db90: 00c00044 movi r3,1 -8111db94: 10c00126 beq r2,r3,8111db9c -8111db98: 11247b40 call 811247b4 -8111db9c: dfc03717 ldw ra,220(sp) -8111dba0: dd003617 ldw r20,216(sp) -8111dba4: dcc03517 ldw r19,212(sp) -8111dba8: dc803417 ldw r18,208(sp) -8111dbac: dc403317 ldw r17,204(sp) -8111dbb0: dc003217 ldw r16,200(sp) -8111dbb4: dec03804 addi sp,sp,224 -8111dbb8: f800283a ret -8111dbbc: 00800084 movi r2,2 -8111dbc0: d0a0800d sth r2,-32256(gp) -8111dbc4: 003fb406 br 8111da98 <__reset+0xfb0fda98> - -8111dbc8 : -8111dbc8: defff604 addi sp,sp,-40 -8111dbcc: dfc00915 stw ra,36(sp) -8111dbd0: dc000815 stw r16,32(sp) -8111dbd4: d8000015 stw zero,0(sp) -8111dbd8: d8000115 stw zero,4(sp) -8111dbdc: d8000215 stw zero,8(sp) -8111dbe0: d8000315 stw zero,12(sp) -8111dbe4: d8000415 stw zero,16(sp) -8111dbe8: d8000515 stw zero,20(sp) -8111dbec: d8000615 stw zero,24(sp) -8111dbf0: d8000715 stw zero,28(sp) -8111dbf4: 0007303a rdctl r3,status -8111dbf8: 00bfff84 movi r2,-2 -8111dbfc: 1884703a and r2,r3,r2 -8111dc00: 1001703a wrctl status,r2 -8111dc04: d0a0800b ldhu r2,-32256(gp) -8111dc08: 013fffd4 movui r4,65535 -8111dc0c: 10bfffcc andi r2,r2,65535 -8111dc10: 11002126 beq r2,r4,8111dc98 -8111dc14: d0a0800b ldhu r2,-32256(gp) -8111dc18: 10800044 addi r2,r2,1 -8111dc1c: d0a0800d sth r2,-32256(gp) -8111dc20: d420800b ldhu r16,-32256(gp) -8111dc24: 1801703a wrctl status,r3 -8111dc28: 01604574 movhi r5,33045 -8111dc2c: 81bfffcc andi r6,r16,65535 -8111dc30: 29730204 addi r5,r5,-13304 -8111dc34: d809883a mov r4,sp -8111dc38: 112d6780 call 8112d678 -8111dc3c: d809883a mov r4,sp -8111dc40: 112d86c0 call 8112d86c -8111dc44: 100b883a mov r5,r2 -8111dc48: d809883a mov r4,sp -8111dc4c: 11205400 call 81120540 -8111dc50: 01604574 movhi r5,33045 -8111dc54: 29673b04 addi r5,r5,-25364 -8111dc58: 11c03fcc andi r7,r2,255 -8111dc5c: d80d883a mov r6,sp -8111dc60: d809883a mov r4,sp -8111dc64: 112d6780 call 8112d678 -8111dc68: 817fffcc andi r5,r16,65535 -8111dc6c: 2960001c xori r5,r5,32768 -8111dc70: 29600004 addi r5,r5,-32768 +8111da14: 003fb606 br 8111d8f0 <__reset+0xfb0fd8f0> +8111da18: d1208a17 ldw r4,-32216(gp) +8111da1c: 11437dc0 call 811437dc +8111da20: d1208417 ldw r4,-32240(gp) +8111da24: d8800005 stb r2,0(sp) +8111da28: 114278c0 call 8114278c +8111da2c: 003faf06 br 8111d8ec <__reset+0xfb0fd8ec> +8111da30: d1e07917 ldw r7,-32284(gp) +8111da34: 01204574 movhi r4,33045 +8111da38: 2132cb04 addi r4,r4,-13524 +8111da3c: 018013c4 movi r6,79 +8111da40: 01400044 movi r5,1 +8111da44: 112bc8c0 call 8112bc8c +8111da48: 003fa306 br 8111d8d8 <__reset+0xfb0fd8d8> +8111da4c: 11242cc0 call 811242cc +8111da50: 0005883a mov r2,zero +8111da54: 003fa606 br 8111d8f0 <__reset+0xfb0fd8f0> +8111da58: 9009883a mov r4,r18 +8111da5c: 112cb800 call 8112cb80 +8111da60: d1208f17 ldw r4,-32196(gp) +8111da64: a4c00015 stw r19,0(r20) +8111da68: 114278c0 call 8114278c +8111da6c: d1208417 ldw r4,-32240(gp) +8111da70: 114278c0 call 8114278c +8111da74: 9805883a mov r2,r19 +8111da78: 003f9d06 br 8111d8f0 <__reset+0xfb0fd8f0> + +8111da7c : +8111da7c: deffc804 addi sp,sp,-224 +8111da80: 01801f04 movi r6,124 +8111da84: 000b883a mov r5,zero +8111da88: d9001304 addi r4,sp,76 +8111da8c: dfc03715 stw ra,220(sp) +8111da90: dd003615 stw r20,216(sp) +8111da94: dcc03515 stw r19,212(sp) +8111da98: dc803415 stw r18,208(sp) +8111da9c: dc403315 stw r17,204(sp) +8111daa0: dc003215 stw r16,200(sp) +8111daa4: d8001215 stw zero,72(sp) +8111daa8: 112c7d00 call 8112c7d0 +8111daac: 0007303a rdctl r3,status +8111dab0: 00bfff84 movi r2,-2 +8111dab4: 1884703a and r2,r3,r2 +8111dab8: 1001703a wrctl status,r2 +8111dabc: d0a0800b ldhu r2,-32256(gp) +8111dac0: 013fffd4 movui r4,65535 +8111dac4: 10bfffcc andi r2,r2,65535 +8111dac8: 11004c26 beq r2,r4,8111dbfc +8111dacc: d0a0800b ldhu r2,-32256(gp) +8111dad0: 10800044 addi r2,r2,1 +8111dad4: d0a0800d sth r2,-32256(gp) +8111dad8: d420800b ldhu r16,-32256(gp) +8111dadc: 1801703a wrctl status,r3 +8111dae0: 00a04634 movhi r2,33048 +8111dae4: 108f2304 addi r2,r2,15500 +8111dae8: 17c00503 ldbu ra,20(r2) +8111daec: 13c004c3 ldbu r15,19(r2) +8111daf0: 13800483 ldbu r14,18(r2) +8111daf4: 13400443 ldbu r13,17(r2) +8111daf8: 13000403 ldbu r12,16(r2) +8111dafc: 12c003c3 ldbu r11,15(r2) +8111db00: 12800383 ldbu r10,14(r2) +8111db04: 12400343 ldbu r9,13(r2) +8111db08: 12000303 ldbu r8,12(r2) +8111db0c: 118002c3 ldbu r6,11(r2) +8111db10: 11000283 ldbu r4,10(r2) +8111db14: 1500000b ldhu r20,0(r2) +8111db18: 14c005c3 ldbu r19,23(r2) +8111db1c: 14800583 ldbu r18,22(r2) +8111db20: 14400543 ldbu r17,21(r2) +8111db24: 10c00243 ldbu r3,9(r2) +8111db28: dfc00d15 stw ra,52(sp) +8111db2c: dbc00c15 stw r15,48(sp) +8111db30: db800b15 stw r14,44(sp) +8111db34: db400a15 stw r13,40(sp) +8111db38: db000915 stw r12,36(sp) +8111db3c: dac00815 stw r11,32(sp) +8111db40: da800715 stw r10,28(sp) +8111db44: da400615 stw r9,24(sp) +8111db48: da000515 stw r8,20(sp) +8111db4c: d9800415 stw r6,16(sp) +8111db50: d9000315 stw r4,12(sp) +8111db54: dd001115 stw r20,68(sp) +8111db58: dcc01015 stw r19,64(sp) +8111db5c: dc800f15 stw r18,60(sp) +8111db60: dc400e15 stw r17,56(sp) +8111db64: d8c00215 stw r3,8(sp) +8111db68: 10c00203 ldbu r3,8(r2) +8111db6c: 10800117 ldw r2,4(r2) +8111db70: 01604574 movhi r5,33045 +8111db74: d8c00115 stw r3,4(sp) +8111db78: 81ffffcc andi r7,r16,65535 +8111db7c: 018010c4 movi r6,67 +8111db80: 2972fb04 addi r5,r5,-13332 +8111db84: d9001204 addi r4,sp,72 +8111db88: d8800015 stw r2,0(sp) +8111db8c: 112d6b80 call 8112d6b8 +8111db90: d9001204 addi r4,sp,72 +8111db94: 112d8ac0 call 8112d8ac +8111db98: 100b883a mov r5,r2 +8111db9c: d9001204 addi r4,sp,72 +8111dba0: 11205800 call 81120580 +8111dba4: d9801204 addi r6,sp,72 +8111dba8: 01604574 movhi r5,33045 +8111dbac: 3009883a mov r4,r6 +8111dbb0: 29674b04 addi r5,r5,-25300 +8111dbb4: 11c03fcc andi r7,r2,255 +8111dbb8: 112d6b80 call 8112d6b8 +8111dbbc: 817fffcc andi r5,r16,65535 +8111dbc0: 2960001c xori r5,r5,32768 +8111dbc4: 29600004 addi r5,r5,-32768 +8111dbc8: d9001204 addi r4,sp,72 +8111dbcc: 111d46c0 call 8111d46c +8111dbd0: 00c00044 movi r3,1 +8111dbd4: 10c00126 beq r2,r3,8111dbdc +8111dbd8: 11247f40 call 811247f4 +8111dbdc: dfc03717 ldw ra,220(sp) +8111dbe0: dd003617 ldw r20,216(sp) +8111dbe4: dcc03517 ldw r19,212(sp) +8111dbe8: dc803417 ldw r18,208(sp) +8111dbec: dc403317 ldw r17,204(sp) +8111dbf0: dc003217 ldw r16,200(sp) +8111dbf4: dec03804 addi sp,sp,224 +8111dbf8: f800283a ret +8111dbfc: 00800084 movi r2,2 +8111dc00: d0a0800d sth r2,-32256(gp) +8111dc04: 003fb406 br 8111dad8 <__reset+0xfb0fdad8> + +8111dc08 : +8111dc08: defff604 addi sp,sp,-40 +8111dc0c: dfc00915 stw ra,36(sp) +8111dc10: dc000815 stw r16,32(sp) +8111dc14: d8000015 stw zero,0(sp) +8111dc18: d8000115 stw zero,4(sp) +8111dc1c: d8000215 stw zero,8(sp) +8111dc20: d8000315 stw zero,12(sp) +8111dc24: d8000415 stw zero,16(sp) +8111dc28: d8000515 stw zero,20(sp) +8111dc2c: d8000615 stw zero,24(sp) +8111dc30: d8000715 stw zero,28(sp) +8111dc34: 0007303a rdctl r3,status +8111dc38: 00bfff84 movi r2,-2 +8111dc3c: 1884703a and r2,r3,r2 +8111dc40: 1001703a wrctl status,r2 +8111dc44: d0a0800b ldhu r2,-32256(gp) +8111dc48: 013fffd4 movui r4,65535 +8111dc4c: 10bfffcc andi r2,r2,65535 +8111dc50: 11002126 beq r2,r4,8111dcd8 +8111dc54: d0a0800b ldhu r2,-32256(gp) +8111dc58: 10800044 addi r2,r2,1 +8111dc5c: d0a0800d sth r2,-32256(gp) +8111dc60: d420800b ldhu r16,-32256(gp) +8111dc64: 1801703a wrctl status,r3 +8111dc68: 01604574 movhi r5,33045 +8111dc6c: 81bfffcc andi r6,r16,65535 +8111dc70: 29731304 addi r5,r5,-13236 8111dc74: d809883a mov r4,sp -8111dc78: 111d82c0 call 8111d82c -8111dc7c: 00c00044 movi r3,1 -8111dc80: 10c00126 beq r2,r3,8111dc88 -8111dc84: 1124cc80 call 81124cc8 -8111dc88: dfc00917 ldw ra,36(sp) -8111dc8c: dc000817 ldw r16,32(sp) -8111dc90: dec00a04 addi sp,sp,40 -8111dc94: f800283a ret -8111dc98: 00800084 movi r2,2 -8111dc9c: d0a0800d sth r2,-32256(gp) -8111dca0: 003fdf06 br 8111dc20 <__reset+0xfb0fdc20> - -8111dca4 : -8111dca4: deffdd04 addi sp,sp,-140 -8111dca8: dc402115 stw r17,132(sp) -8111dcac: 01801f04 movi r6,124 -8111dcb0: 2023883a mov r17,r4 -8111dcb4: 000b883a mov r5,zero -8111dcb8: d9000104 addi r4,sp,4 -8111dcbc: dfc02215 stw ra,136(sp) -8111dcc0: dc002015 stw r16,128(sp) -8111dcc4: d8000015 stw zero,0(sp) -8111dcc8: 112c7900 call 8112c790 -8111dccc: 0007303a rdctl r3,status -8111dcd0: 00bfff84 movi r2,-2 -8111dcd4: 1884703a and r2,r3,r2 -8111dcd8: 1001703a wrctl status,r2 -8111dcdc: d0a0800b ldhu r2,-32256(gp) -8111dce0: 013fffd4 movui r4,65535 -8111dce4: 10bfffcc andi r2,r2,65535 -8111dce8: 11002126 beq r2,r4,8111dd70 -8111dcec: d0a0800b ldhu r2,-32256(gp) -8111dcf0: 10800044 addi r2,r2,1 -8111dcf4: d0a0800d sth r2,-32256(gp) -8111dcf8: d420800b ldhu r16,-32256(gp) -8111dcfc: 1801703a wrctl status,r3 -8111dd00: 81bfffcc andi r6,r16,65535 -8111dd04: 880b883a mov r5,r17 -8111dd08: d809883a mov r4,sp -8111dd0c: 112d6780 call 8112d678 -8111dd10: d809883a mov r4,sp -8111dd14: 112d86c0 call 8112d86c -8111dd18: 100b883a mov r5,r2 -8111dd1c: d809883a mov r4,sp -8111dd20: 11205400 call 81120540 -8111dd24: 01604574 movhi r5,33045 -8111dd28: 29673b04 addi r5,r5,-25364 -8111dd2c: 11c03fcc andi r7,r2,255 -8111dd30: d80d883a mov r6,sp -8111dd34: d809883a mov r4,sp -8111dd38: 112d6780 call 8112d678 -8111dd3c: 817fffcc andi r5,r16,65535 -8111dd40: 2960001c xori r5,r5,32768 -8111dd44: 29600004 addi r5,r5,-32768 +8111dc78: 112d6b80 call 8112d6b8 +8111dc7c: d809883a mov r4,sp +8111dc80: 112d8ac0 call 8112d8ac +8111dc84: 100b883a mov r5,r2 +8111dc88: d809883a mov r4,sp +8111dc8c: 11205800 call 81120580 +8111dc90: 01604574 movhi r5,33045 +8111dc94: 29674b04 addi r5,r5,-25300 +8111dc98: 11c03fcc andi r7,r2,255 +8111dc9c: d80d883a mov r6,sp +8111dca0: d809883a mov r4,sp +8111dca4: 112d6b80 call 8112d6b8 +8111dca8: 817fffcc andi r5,r16,65535 +8111dcac: 2960001c xori r5,r5,32768 +8111dcb0: 29600004 addi r5,r5,-32768 +8111dcb4: d809883a mov r4,sp +8111dcb8: 111d86c0 call 8111d86c +8111dcbc: 00c00044 movi r3,1 +8111dcc0: 10c00126 beq r2,r3,8111dcc8 +8111dcc4: 1124d080 call 81124d08 +8111dcc8: dfc00917 ldw ra,36(sp) +8111dccc: dc000817 ldw r16,32(sp) +8111dcd0: dec00a04 addi sp,sp,40 +8111dcd4: f800283a ret +8111dcd8: 00800084 movi r2,2 +8111dcdc: d0a0800d sth r2,-32256(gp) +8111dce0: 003fdf06 br 8111dc60 <__reset+0xfb0fdc60> + +8111dce4 : +8111dce4: deffdd04 addi sp,sp,-140 +8111dce8: dc402115 stw r17,132(sp) +8111dcec: 01801f04 movi r6,124 +8111dcf0: 2023883a mov r17,r4 +8111dcf4: 000b883a mov r5,zero +8111dcf8: d9000104 addi r4,sp,4 +8111dcfc: dfc02215 stw ra,136(sp) +8111dd00: dc002015 stw r16,128(sp) +8111dd04: d8000015 stw zero,0(sp) +8111dd08: 112c7d00 call 8112c7d0 +8111dd0c: 0007303a rdctl r3,status +8111dd10: 00bfff84 movi r2,-2 +8111dd14: 1884703a and r2,r3,r2 +8111dd18: 1001703a wrctl status,r2 +8111dd1c: d0a0800b ldhu r2,-32256(gp) +8111dd20: 013fffd4 movui r4,65535 +8111dd24: 10bfffcc andi r2,r2,65535 +8111dd28: 11002126 beq r2,r4,8111ddb0 +8111dd2c: d0a0800b ldhu r2,-32256(gp) +8111dd30: 10800044 addi r2,r2,1 +8111dd34: d0a0800d sth r2,-32256(gp) +8111dd38: d420800b ldhu r16,-32256(gp) +8111dd3c: 1801703a wrctl status,r3 +8111dd40: 81bfffcc andi r6,r16,65535 +8111dd44: 880b883a mov r5,r17 8111dd48: d809883a mov r4,sp -8111dd4c: 111d42c0 call 8111d42c -8111dd50: 00c00044 movi r3,1 -8111dd54: 10c00126 beq r2,r3,8111dd5c -8111dd58: 1124d200 call 81124d20 -8111dd5c: dfc02217 ldw ra,136(sp) -8111dd60: dc402117 ldw r17,132(sp) -8111dd64: dc002017 ldw r16,128(sp) -8111dd68: dec02304 addi sp,sp,140 -8111dd6c: f800283a ret -8111dd70: 00800084 movi r2,2 -8111dd74: d0a0800d sth r2,-32256(gp) -8111dd78: 003fdf06 br 8111dcf8 <__reset+0xfb0fdcf8> - -8111dd7c : -8111dd7c: defff604 addi sp,sp,-40 -8111dd80: dfc00915 stw ra,36(sp) -8111dd84: dc000815 stw r16,32(sp) -8111dd88: d8000015 stw zero,0(sp) -8111dd8c: d8000115 stw zero,4(sp) -8111dd90: d8000215 stw zero,8(sp) -8111dd94: d8000315 stw zero,12(sp) -8111dd98: d8000415 stw zero,16(sp) -8111dd9c: d8000515 stw zero,20(sp) -8111dda0: d8000615 stw zero,24(sp) -8111dda4: d8000715 stw zero,28(sp) -8111dda8: 0007303a rdctl r3,status -8111ddac: 00bfff84 movi r2,-2 -8111ddb0: 1884703a and r2,r3,r2 -8111ddb4: 1001703a wrctl status,r2 -8111ddb8: d0a0800b ldhu r2,-32256(gp) -8111ddbc: 013fffd4 movui r4,65535 -8111ddc0: 10bfffcc andi r2,r2,65535 -8111ddc4: 11002126 beq r2,r4,8111de4c -8111ddc8: d0a0800b ldhu r2,-32256(gp) -8111ddcc: 10800044 addi r2,r2,1 -8111ddd0: d0a0800d sth r2,-32256(gp) -8111ddd4: d420800b ldhu r16,-32256(gp) -8111ddd8: 1801703a wrctl status,r3 -8111dddc: 01604574 movhi r5,33045 -8111dde0: 81bfffcc andi r6,r16,65535 -8111dde4: 29730404 addi r5,r5,-13296 -8111dde8: d809883a mov r4,sp -8111ddec: 112d6780 call 8112d678 -8111ddf0: d809883a mov r4,sp -8111ddf4: 112d86c0 call 8112d86c -8111ddf8: 100b883a mov r5,r2 -8111ddfc: d809883a mov r4,sp -8111de00: 11205400 call 81120540 -8111de04: 01604574 movhi r5,33045 -8111de08: 29673b04 addi r5,r5,-25364 -8111de0c: 11c03fcc andi r7,r2,255 -8111de10: d80d883a mov r6,sp -8111de14: d809883a mov r4,sp -8111de18: 112d6780 call 8112d678 -8111de1c: 817fffcc andi r5,r16,65535 -8111de20: 2960001c xori r5,r5,32768 -8111de24: 29600004 addi r5,r5,-32768 +8111dd4c: 112d6b80 call 8112d6b8 +8111dd50: d809883a mov r4,sp +8111dd54: 112d8ac0 call 8112d8ac +8111dd58: 100b883a mov r5,r2 +8111dd5c: d809883a mov r4,sp +8111dd60: 11205800 call 81120580 +8111dd64: 01604574 movhi r5,33045 +8111dd68: 29674b04 addi r5,r5,-25300 +8111dd6c: 11c03fcc andi r7,r2,255 +8111dd70: d80d883a mov r6,sp +8111dd74: d809883a mov r4,sp +8111dd78: 112d6b80 call 8112d6b8 +8111dd7c: 817fffcc andi r5,r16,65535 +8111dd80: 2960001c xori r5,r5,32768 +8111dd84: 29600004 addi r5,r5,-32768 +8111dd88: d809883a mov r4,sp +8111dd8c: 111d46c0 call 8111d46c +8111dd90: 00c00044 movi r3,1 +8111dd94: 10c00126 beq r2,r3,8111dd9c +8111dd98: 1124d600 call 81124d60 +8111dd9c: dfc02217 ldw ra,136(sp) +8111dda0: dc402117 ldw r17,132(sp) +8111dda4: dc002017 ldw r16,128(sp) +8111dda8: dec02304 addi sp,sp,140 +8111ddac: f800283a ret +8111ddb0: 00800084 movi r2,2 +8111ddb4: d0a0800d sth r2,-32256(gp) +8111ddb8: 003fdf06 br 8111dd38 <__reset+0xfb0fdd38> + +8111ddbc : +8111ddbc: defff604 addi sp,sp,-40 +8111ddc0: dfc00915 stw ra,36(sp) +8111ddc4: dc000815 stw r16,32(sp) +8111ddc8: d8000015 stw zero,0(sp) +8111ddcc: d8000115 stw zero,4(sp) +8111ddd0: d8000215 stw zero,8(sp) +8111ddd4: d8000315 stw zero,12(sp) +8111ddd8: d8000415 stw zero,16(sp) +8111dddc: d8000515 stw zero,20(sp) +8111dde0: d8000615 stw zero,24(sp) +8111dde4: d8000715 stw zero,28(sp) +8111dde8: 0007303a rdctl r3,status +8111ddec: 00bfff84 movi r2,-2 +8111ddf0: 1884703a and r2,r3,r2 +8111ddf4: 1001703a wrctl status,r2 +8111ddf8: d0a0800b ldhu r2,-32256(gp) +8111ddfc: 013fffd4 movui r4,65535 +8111de00: 10bfffcc andi r2,r2,65535 +8111de04: 11002126 beq r2,r4,8111de8c +8111de08: d0a0800b ldhu r2,-32256(gp) +8111de0c: 10800044 addi r2,r2,1 +8111de10: d0a0800d sth r2,-32256(gp) +8111de14: d420800b ldhu r16,-32256(gp) +8111de18: 1801703a wrctl status,r3 +8111de1c: 01604574 movhi r5,33045 +8111de20: 81bfffcc andi r6,r16,65535 +8111de24: 29731504 addi r5,r5,-13228 8111de28: d809883a mov r4,sp -8111de2c: 111d82c0 call 8111d82c -8111de30: 00c00044 movi r3,1 -8111de34: 10c00126 beq r2,r3,8111de3c -8111de38: 1124cc80 call 81124cc8 -8111de3c: dfc00917 ldw ra,36(sp) -8111de40: dc000817 ldw r16,32(sp) -8111de44: dec00a04 addi sp,sp,40 -8111de48: f800283a ret -8111de4c: 00800084 movi r2,2 -8111de50: d0a0800d sth r2,-32256(gp) -8111de54: 003fdf06 br 8111ddd4 <__reset+0xfb0fddd4> - -8111de58 : -8111de58: deffdd04 addi sp,sp,-140 -8111de5c: dc402115 stw r17,132(sp) -8111de60: 01801f04 movi r6,124 -8111de64: 2023883a mov r17,r4 -8111de68: 000b883a mov r5,zero -8111de6c: d9000104 addi r4,sp,4 -8111de70: dfc02215 stw ra,136(sp) -8111de74: dc002015 stw r16,128(sp) -8111de78: d8000015 stw zero,0(sp) -8111de7c: 112c7900 call 8112c790 -8111de80: 0007303a rdctl r3,status -8111de84: 00bfff84 movi r2,-2 -8111de88: 1884703a and r2,r3,r2 -8111de8c: 1001703a wrctl status,r2 -8111de90: d0a0800b ldhu r2,-32256(gp) -8111de94: 013fffd4 movui r4,65535 -8111de98: 10bfffcc andi r2,r2,65535 -8111de9c: 11002326 beq r2,r4,8111df2c -8111dea0: d0a0800b ldhu r2,-32256(gp) -8111dea4: 10800044 addi r2,r2,1 -8111dea8: d0a0800d sth r2,-32256(gp) -8111deac: d420800b ldhu r16,-32256(gp) -8111deb0: 1801703a wrctl status,r3 -8111deb4: 01604574 movhi r5,33045 -8111deb8: 880f883a mov r7,r17 -8111debc: 81bfffcc andi r6,r16,65535 -8111dec0: 29730604 addi r5,r5,-13288 -8111dec4: d809883a mov r4,sp -8111dec8: 112d6780 call 8112d678 -8111decc: d809883a mov r4,sp -8111ded0: 112d86c0 call 8112d86c -8111ded4: 100b883a mov r5,r2 -8111ded8: d809883a mov r4,sp -8111dedc: 11205400 call 81120540 -8111dee0: 01604574 movhi r5,33045 -8111dee4: 29673b04 addi r5,r5,-25364 -8111dee8: 11c03fcc andi r7,r2,255 -8111deec: d80d883a mov r6,sp -8111def0: d809883a mov r4,sp -8111def4: 112d6780 call 8112d678 -8111def8: 817fffcc andi r5,r16,65535 -8111defc: 2960001c xori r5,r5,32768 -8111df00: 29600004 addi r5,r5,-32768 +8111de2c: 112d6b80 call 8112d6b8 +8111de30: d809883a mov r4,sp +8111de34: 112d8ac0 call 8112d8ac +8111de38: 100b883a mov r5,r2 +8111de3c: d809883a mov r4,sp +8111de40: 11205800 call 81120580 +8111de44: 01604574 movhi r5,33045 +8111de48: 29674b04 addi r5,r5,-25300 +8111de4c: 11c03fcc andi r7,r2,255 +8111de50: d80d883a mov r6,sp +8111de54: d809883a mov r4,sp +8111de58: 112d6b80 call 8112d6b8 +8111de5c: 817fffcc andi r5,r16,65535 +8111de60: 2960001c xori r5,r5,32768 +8111de64: 29600004 addi r5,r5,-32768 +8111de68: d809883a mov r4,sp +8111de6c: 111d86c0 call 8111d86c +8111de70: 00c00044 movi r3,1 +8111de74: 10c00126 beq r2,r3,8111de7c +8111de78: 1124d080 call 81124d08 +8111de7c: dfc00917 ldw ra,36(sp) +8111de80: dc000817 ldw r16,32(sp) +8111de84: dec00a04 addi sp,sp,40 +8111de88: f800283a ret +8111de8c: 00800084 movi r2,2 +8111de90: d0a0800d sth r2,-32256(gp) +8111de94: 003fdf06 br 8111de14 <__reset+0xfb0fde14> + +8111de98 : +8111de98: deffdd04 addi sp,sp,-140 +8111de9c: dc402115 stw r17,132(sp) +8111dea0: 01801f04 movi r6,124 +8111dea4: 2023883a mov r17,r4 +8111dea8: 000b883a mov r5,zero +8111deac: d9000104 addi r4,sp,4 +8111deb0: dfc02215 stw ra,136(sp) +8111deb4: dc002015 stw r16,128(sp) +8111deb8: d8000015 stw zero,0(sp) +8111debc: 112c7d00 call 8112c7d0 +8111dec0: 0007303a rdctl r3,status +8111dec4: 00bfff84 movi r2,-2 +8111dec8: 1884703a and r2,r3,r2 +8111decc: 1001703a wrctl status,r2 +8111ded0: d0a0800b ldhu r2,-32256(gp) +8111ded4: 013fffd4 movui r4,65535 +8111ded8: 10bfffcc andi r2,r2,65535 +8111dedc: 11002326 beq r2,r4,8111df6c +8111dee0: d0a0800b ldhu r2,-32256(gp) +8111dee4: 10800044 addi r2,r2,1 +8111dee8: d0a0800d sth r2,-32256(gp) +8111deec: d420800b ldhu r16,-32256(gp) +8111def0: 1801703a wrctl status,r3 +8111def4: 01604574 movhi r5,33045 +8111def8: 880f883a mov r7,r17 +8111defc: 81bfffcc andi r6,r16,65535 +8111df00: 29731704 addi r5,r5,-13220 8111df04: d809883a mov r4,sp -8111df08: 111d42c0 call 8111d42c -8111df0c: 00c00044 movi r3,1 -8111df10: 10c00126 beq r2,r3,8111df18 -8111df14: 1124da80 call 81124da8 -8111df18: dfc02217 ldw ra,136(sp) -8111df1c: dc402117 ldw r17,132(sp) -8111df20: dc002017 ldw r16,128(sp) -8111df24: dec02304 addi sp,sp,140 -8111df28: f800283a ret -8111df2c: 00800084 movi r2,2 -8111df30: d0a0800d sth r2,-32256(gp) -8111df34: 003fdd06 br 8111deac <__reset+0xfb0fdeac> - -8111df38 : -8111df38: defff604 addi sp,sp,-40 -8111df3c: dfc00915 stw ra,36(sp) -8111df40: dc000815 stw r16,32(sp) -8111df44: d8000015 stw zero,0(sp) -8111df48: d8000115 stw zero,4(sp) -8111df4c: d8000215 stw zero,8(sp) -8111df50: d8000315 stw zero,12(sp) -8111df54: d8000415 stw zero,16(sp) -8111df58: d8000515 stw zero,20(sp) -8111df5c: d8000615 stw zero,24(sp) -8111df60: d8000715 stw zero,28(sp) -8111df64: 0007303a rdctl r3,status -8111df68: 00bfff84 movi r2,-2 -8111df6c: 1884703a and r2,r3,r2 -8111df70: 1001703a wrctl status,r2 -8111df74: d0a0800b ldhu r2,-32256(gp) -8111df78: 017fffd4 movui r5,65535 -8111df7c: 10bfffcc andi r2,r2,65535 -8111df80: 11402226 beq r2,r5,8111e00c -8111df84: d0a0800b ldhu r2,-32256(gp) -8111df88: 10800044 addi r2,r2,1 -8111df8c: d0a0800d sth r2,-32256(gp) -8111df90: d420800b ldhu r16,-32256(gp) -8111df94: 1801703a wrctl status,r3 -8111df98: 01604574 movhi r5,33045 -8111df9c: 200f883a mov r7,r4 -8111dfa0: 81bfffcc andi r6,r16,65535 -8111dfa4: 29730904 addi r5,r5,-13276 -8111dfa8: d809883a mov r4,sp -8111dfac: 112d6780 call 8112d678 -8111dfb0: d809883a mov r4,sp -8111dfb4: 112d86c0 call 8112d86c -8111dfb8: 100b883a mov r5,r2 -8111dfbc: d809883a mov r4,sp -8111dfc0: 11205400 call 81120540 -8111dfc4: 01604574 movhi r5,33045 -8111dfc8: 29673b04 addi r5,r5,-25364 -8111dfcc: 11c03fcc andi r7,r2,255 -8111dfd0: d80d883a mov r6,sp -8111dfd4: d809883a mov r4,sp -8111dfd8: 112d6780 call 8112d678 -8111dfdc: 817fffcc andi r5,r16,65535 -8111dfe0: 2960001c xori r5,r5,32768 -8111dfe4: 29600004 addi r5,r5,-32768 +8111df08: 112d6b80 call 8112d6b8 +8111df0c: d809883a mov r4,sp +8111df10: 112d8ac0 call 8112d8ac +8111df14: 100b883a mov r5,r2 +8111df18: d809883a mov r4,sp +8111df1c: 11205800 call 81120580 +8111df20: 01604574 movhi r5,33045 +8111df24: 29674b04 addi r5,r5,-25300 +8111df28: 11c03fcc andi r7,r2,255 +8111df2c: d80d883a mov r6,sp +8111df30: d809883a mov r4,sp +8111df34: 112d6b80 call 8112d6b8 +8111df38: 817fffcc andi r5,r16,65535 +8111df3c: 2960001c xori r5,r5,32768 +8111df40: 29600004 addi r5,r5,-32768 +8111df44: d809883a mov r4,sp +8111df48: 111d46c0 call 8111d46c +8111df4c: 00c00044 movi r3,1 +8111df50: 10c00126 beq r2,r3,8111df58 +8111df54: 1124de80 call 81124de8 +8111df58: dfc02217 ldw ra,136(sp) +8111df5c: dc402117 ldw r17,132(sp) +8111df60: dc002017 ldw r16,128(sp) +8111df64: dec02304 addi sp,sp,140 +8111df68: f800283a ret +8111df6c: 00800084 movi r2,2 +8111df70: d0a0800d sth r2,-32256(gp) +8111df74: 003fdd06 br 8111deec <__reset+0xfb0fdeec> + +8111df78 : +8111df78: defff604 addi sp,sp,-40 +8111df7c: dfc00915 stw ra,36(sp) +8111df80: dc000815 stw r16,32(sp) +8111df84: d8000015 stw zero,0(sp) +8111df88: d8000115 stw zero,4(sp) +8111df8c: d8000215 stw zero,8(sp) +8111df90: d8000315 stw zero,12(sp) +8111df94: d8000415 stw zero,16(sp) +8111df98: d8000515 stw zero,20(sp) +8111df9c: d8000615 stw zero,24(sp) +8111dfa0: d8000715 stw zero,28(sp) +8111dfa4: 0007303a rdctl r3,status +8111dfa8: 00bfff84 movi r2,-2 +8111dfac: 1884703a and r2,r3,r2 +8111dfb0: 1001703a wrctl status,r2 +8111dfb4: d0a0800b ldhu r2,-32256(gp) +8111dfb8: 017fffd4 movui r5,65535 +8111dfbc: 10bfffcc andi r2,r2,65535 +8111dfc0: 11402226 beq r2,r5,8111e04c +8111dfc4: d0a0800b ldhu r2,-32256(gp) +8111dfc8: 10800044 addi r2,r2,1 +8111dfcc: d0a0800d sth r2,-32256(gp) +8111dfd0: d420800b ldhu r16,-32256(gp) +8111dfd4: 1801703a wrctl status,r3 +8111dfd8: 01604574 movhi r5,33045 +8111dfdc: 200f883a mov r7,r4 +8111dfe0: 81bfffcc andi r6,r16,65535 +8111dfe4: 29731a04 addi r5,r5,-13208 8111dfe8: d809883a mov r4,sp -8111dfec: 111d82c0 call 8111d82c -8111dff0: 00c00044 movi r3,1 -8111dff4: 10c00126 beq r2,r3,8111dffc -8111dff8: 1124da80 call 81124da8 -8111dffc: dfc00917 ldw ra,36(sp) -8111e000: dc000817 ldw r16,32(sp) -8111e004: dec00a04 addi sp,sp,40 -8111e008: f800283a ret -8111e00c: 00800084 movi r2,2 -8111e010: d0a0800d sth r2,-32256(gp) -8111e014: 003fde06 br 8111df90 <__reset+0xfb0fdf90> - -8111e018 : -8111e018: defff704 addi sp,sp,-36 -8111e01c: d8000115 stw zero,4(sp) -8111e020: 00800e84 movi r2,58 -8111e024: d9000005 stb r4,0(sp) +8111dfec: 112d6b80 call 8112d6b8 +8111dff0: d809883a mov r4,sp +8111dff4: 112d8ac0 call 8112d8ac +8111dff8: 100b883a mov r5,r2 +8111dffc: d809883a mov r4,sp +8111e000: 11205800 call 81120580 +8111e004: 01604574 movhi r5,33045 +8111e008: 29674b04 addi r5,r5,-25300 +8111e00c: 11c03fcc andi r7,r2,255 +8111e010: d80d883a mov r6,sp +8111e014: d809883a mov r4,sp +8111e018: 112d6b80 call 8112d6b8 +8111e01c: 817fffcc andi r5,r16,65535 +8111e020: 2960001c xori r5,r5,32768 +8111e024: 29600004 addi r5,r5,-32768 8111e028: d809883a mov r4,sp -8111e02c: dfc00815 stw ra,32(sp) -8111e030: d8000215 stw zero,8(sp) -8111e034: d8000315 stw zero,12(sp) -8111e038: d8000415 stw zero,16(sp) -8111e03c: d8000515 stw zero,20(sp) -8111e040: d8000615 stw zero,24(sp) -8111e044: d8000715 stw zero,28(sp) -8111e048: d8800045 stb r2,1(sp) -8111e04c: d9400085 stb r5,2(sp) -8111e050: d88000c5 stb r2,3(sp) -8111e054: d9800105 stb r6,4(sp) -8111e058: d8800145 stb r2,5(sp) -8111e05c: d9c00185 stb r7,6(sp) -8111e060: 111df380 call 8111df38 -8111e064: dfc00817 ldw ra,32(sp) -8111e068: dec00904 addi sp,sp,36 -8111e06c: f800283a ret - -8111e070 : -8111e070: defff204 addi sp,sp,-56 -8111e074: d8c00e17 ldw r3,56(sp) -8111e078: 2805883a mov r2,r5 -8111e07c: dfc00d15 stw ra,52(sp) -8111e080: dc000c15 stw r16,48(sp) -8111e084: d8000415 stw zero,16(sp) -8111e088: d8000515 stw zero,20(sp) -8111e08c: d8000615 stw zero,24(sp) -8111e090: d8000715 stw zero,28(sp) -8111e094: d8000815 stw zero,32(sp) -8111e098: d8000915 stw zero,36(sp) -8111e09c: d8000a15 stw zero,40(sp) -8111e0a0: d8000b15 stw zero,44(sp) -8111e0a4: 0011303a rdctl r8,status -8111e0a8: 017fff84 movi r5,-2 -8111e0ac: 414a703a and r5,r8,r5 -8111e0b0: 2801703a wrctl status,r5 -8111e0b4: d160800b ldhu r5,-32256(gp) -8111e0b8: 027fffd4 movui r9,65535 -8111e0bc: 297fffcc andi r5,r5,65535 -8111e0c0: 2a403426 beq r5,r9,8111e194 -8111e0c4: d160800b ldhu r5,-32256(gp) -8111e0c8: 29400044 addi r5,r5,1 -8111e0cc: d160800d sth r5,-32256(gp) -8111e0d0: d420800b ldhu r16,-32256(gp) -8111e0d4: 4001703a wrctl status,r8 -8111e0d8: 1a403fcc andi r9,r3,255 -8111e0dc: 3a003fcc andi r8,r7,255 -8111e0e0: 30c03fcc andi r3,r6,255 -8111e0e4: 10803fcc andi r2,r2,255 -8111e0e8: 21c03fcc andi r7,r4,255 -8111e0ec: 4a40201c xori r9,r9,128 -8111e0f0: 4200201c xori r8,r8,128 -8111e0f4: 18c0201c xori r3,r3,128 -8111e0f8: 1080201c xori r2,r2,128 -8111e0fc: 18ffe004 addi r3,r3,-128 -8111e100: 4a7fe004 addi r9,r9,-128 -8111e104: 423fe004 addi r8,r8,-128 -8111e108: 10bfe004 addi r2,r2,-128 -8111e10c: 01604574 movhi r5,33045 -8111e110: 39c0201c xori r7,r7,128 -8111e114: d8c00115 stw r3,4(sp) -8111e118: da400315 stw r9,12(sp) -8111e11c: da000215 stw r8,8(sp) -8111e120: 39ffe004 addi r7,r7,-128 -8111e124: 81bfffcc andi r6,r16,65535 -8111e128: 29730c04 addi r5,r5,-13264 -8111e12c: d9000404 addi r4,sp,16 -8111e130: d8800015 stw r2,0(sp) -8111e134: 112d6780 call 8112d678 -8111e138: d9000404 addi r4,sp,16 -8111e13c: 112d86c0 call 8112d86c -8111e140: 100b883a mov r5,r2 -8111e144: d9000404 addi r4,sp,16 -8111e148: 11205400 call 81120540 -8111e14c: d9800404 addi r6,sp,16 -8111e150: 01604574 movhi r5,33045 -8111e154: 3009883a mov r4,r6 -8111e158: 29673b04 addi r5,r5,-25364 -8111e15c: 11c03fcc andi r7,r2,255 -8111e160: 112d6780 call 8112d678 -8111e164: 817fffcc andi r5,r16,65535 -8111e168: 2960001c xori r5,r5,32768 -8111e16c: 29600004 addi r5,r5,-32768 -8111e170: d9000404 addi r4,sp,16 -8111e174: 111d82c0 call 8111d82c -8111e178: 00c00044 movi r3,1 -8111e17c: 10c00126 beq r2,r3,8111e184 -8111e180: 1124da80 call 81124da8 -8111e184: dfc00d17 ldw ra,52(sp) -8111e188: dc000c17 ldw r16,48(sp) -8111e18c: dec00e04 addi sp,sp,56 -8111e190: f800283a ret -8111e194: 01400084 movi r5,2 -8111e198: d160800d sth r5,-32256(gp) -8111e19c: 003fcc06 br 8111e0d0 <__reset+0xfb0fe0d0> - -8111e1a0 : -8111e1a0: defffe04 addi sp,sp,-8 -8111e1a4: dfc00115 stw ra,4(sp) -8111e1a8: 2805883a mov r2,r5 -8111e1ac: 108000c7 ldb r2,3(r2) -8111e1b0: 29c00087 ldb r7,2(r5) -8111e1b4: 29800047 ldb r6,1(r5) -8111e1b8: 21003fcc andi r4,r4,255 -8111e1bc: 29400007 ldb r5,0(r5) -8111e1c0: 2100201c xori r4,r4,128 -8111e1c4: d8800015 stw r2,0(sp) -8111e1c8: 213fe004 addi r4,r4,-128 -8111e1cc: 111e0700 call 8111e070 -8111e1d0: dfc00117 ldw ra,4(sp) -8111e1d4: dec00204 addi sp,sp,8 -8111e1d8: f800283a ret - -8111e1dc : -8111e1dc: defff504 addi sp,sp,-44 -8111e1e0: 2805883a mov r2,r5 -8111e1e4: dfc00a15 stw ra,40(sp) -8111e1e8: dc000915 stw r16,36(sp) -8111e1ec: d8000115 stw zero,4(sp) -8111e1f0: d8000215 stw zero,8(sp) -8111e1f4: d8000315 stw zero,12(sp) -8111e1f8: d8000415 stw zero,16(sp) -8111e1fc: d8000515 stw zero,20(sp) -8111e200: d8000615 stw zero,24(sp) -8111e204: d8000715 stw zero,28(sp) -8111e208: d8000815 stw zero,32(sp) -8111e20c: 000b303a rdctl r5,status -8111e210: 00ffff84 movi r3,-2 -8111e214: 28c6703a and r3,r5,r3 -8111e218: 1801703a wrctl status,r3 -8111e21c: d0e0800b ldhu r3,-32256(gp) -8111e220: 01bfffd4 movui r6,65535 -8111e224: 18ffffcc andi r3,r3,65535 -8111e228: 19802826 beq r3,r6,8111e2cc -8111e22c: d0e0800b ldhu r3,-32256(gp) -8111e230: 18c00044 addi r3,r3,1 -8111e234: d0e0800d sth r3,-32256(gp) -8111e238: d420800b ldhu r16,-32256(gp) -8111e23c: 2801703a wrctl status,r5 -8111e240: 10803fcc andi r2,r2,255 -8111e244: 21c03fcc andi r7,r4,255 -8111e248: 1080201c xori r2,r2,128 -8111e24c: 10bfe004 addi r2,r2,-128 -8111e250: 01604574 movhi r5,33045 -8111e254: 39c0201c xori r7,r7,128 -8111e258: 39ffe004 addi r7,r7,-128 -8111e25c: 81bfffcc andi r6,r16,65535 -8111e260: 29731304 addi r5,r5,-13236 -8111e264: d9000104 addi r4,sp,4 -8111e268: d8800015 stw r2,0(sp) -8111e26c: 112d6780 call 8112d678 -8111e270: d9000104 addi r4,sp,4 -8111e274: 112d86c0 call 8112d86c -8111e278: 100b883a mov r5,r2 -8111e27c: d9000104 addi r4,sp,4 -8111e280: 11205400 call 81120540 -8111e284: d9800104 addi r6,sp,4 -8111e288: 01604574 movhi r5,33045 -8111e28c: 3009883a mov r4,r6 -8111e290: 29673b04 addi r5,r5,-25364 -8111e294: 11c03fcc andi r7,r2,255 -8111e298: 112d6780 call 8112d678 -8111e29c: 817fffcc andi r5,r16,65535 -8111e2a0: 2960001c xori r5,r5,32768 -8111e2a4: 29600004 addi r5,r5,-32768 -8111e2a8: d9000104 addi r4,sp,4 -8111e2ac: 111d82c0 call 8111d82c -8111e2b0: 00c00044 movi r3,1 -8111e2b4: 10c00126 beq r2,r3,8111e2bc -8111e2b8: 1124da80 call 81124da8 -8111e2bc: dfc00a17 ldw ra,40(sp) -8111e2c0: dc000917 ldw r16,36(sp) -8111e2c4: dec00b04 addi sp,sp,44 -8111e2c8: f800283a ret -8111e2cc: 00c00084 movi r3,2 -8111e2d0: d0e0800d sth r3,-32256(gp) -8111e2d4: 003fd806 br 8111e238 <__reset+0xfb0fe238> - -8111e2d8 : -8111e2d8: d0a0800b ldhu r2,-32256(gp) -8111e2dc: 00ffffd4 movui r3,65535 -8111e2e0: 10bfffcc andi r2,r2,65535 -8111e2e4: 10c00526 beq r2,r3,8111e2fc -8111e2e8: d0a0800b ldhu r2,-32256(gp) -8111e2ec: 10800044 addi r2,r2,1 -8111e2f0: d0a0800d sth r2,-32256(gp) -8111e2f4: d0a0800b ldhu r2,-32256(gp) -8111e2f8: f800283a ret -8111e2fc: 00800084 movi r2,2 -8111e300: d0a0800d sth r2,-32256(gp) -8111e304: d0a0800b ldhu r2,-32256(gp) +8111e02c: 111d86c0 call 8111d86c +8111e030: 00c00044 movi r3,1 +8111e034: 10c00126 beq r2,r3,8111e03c +8111e038: 1124de80 call 81124de8 +8111e03c: dfc00917 ldw ra,36(sp) +8111e040: dc000817 ldw r16,32(sp) +8111e044: dec00a04 addi sp,sp,40 +8111e048: f800283a ret +8111e04c: 00800084 movi r2,2 +8111e050: d0a0800d sth r2,-32256(gp) +8111e054: 003fde06 br 8111dfd0 <__reset+0xfb0fdfd0> + +8111e058 : +8111e058: defff704 addi sp,sp,-36 +8111e05c: d8000115 stw zero,4(sp) +8111e060: 00800e84 movi r2,58 +8111e064: d9000005 stb r4,0(sp) +8111e068: d809883a mov r4,sp +8111e06c: dfc00815 stw ra,32(sp) +8111e070: d8000215 stw zero,8(sp) +8111e074: d8000315 stw zero,12(sp) +8111e078: d8000415 stw zero,16(sp) +8111e07c: d8000515 stw zero,20(sp) +8111e080: d8000615 stw zero,24(sp) +8111e084: d8000715 stw zero,28(sp) +8111e088: d8800045 stb r2,1(sp) +8111e08c: d9400085 stb r5,2(sp) +8111e090: d88000c5 stb r2,3(sp) +8111e094: d9800105 stb r6,4(sp) +8111e098: d8800145 stb r2,5(sp) +8111e09c: d9c00185 stb r7,6(sp) +8111e0a0: 111df780 call 8111df78 +8111e0a4: dfc00817 ldw ra,32(sp) +8111e0a8: dec00904 addi sp,sp,36 +8111e0ac: f800283a ret + +8111e0b0 : +8111e0b0: defff204 addi sp,sp,-56 +8111e0b4: d8c00e17 ldw r3,56(sp) +8111e0b8: 2805883a mov r2,r5 +8111e0bc: dfc00d15 stw ra,52(sp) +8111e0c0: dc000c15 stw r16,48(sp) +8111e0c4: d8000415 stw zero,16(sp) +8111e0c8: d8000515 stw zero,20(sp) +8111e0cc: d8000615 stw zero,24(sp) +8111e0d0: d8000715 stw zero,28(sp) +8111e0d4: d8000815 stw zero,32(sp) +8111e0d8: d8000915 stw zero,36(sp) +8111e0dc: d8000a15 stw zero,40(sp) +8111e0e0: d8000b15 stw zero,44(sp) +8111e0e4: 0011303a rdctl r8,status +8111e0e8: 017fff84 movi r5,-2 +8111e0ec: 414a703a and r5,r8,r5 +8111e0f0: 2801703a wrctl status,r5 +8111e0f4: d160800b ldhu r5,-32256(gp) +8111e0f8: 027fffd4 movui r9,65535 +8111e0fc: 297fffcc andi r5,r5,65535 +8111e100: 2a403426 beq r5,r9,8111e1d4 +8111e104: d160800b ldhu r5,-32256(gp) +8111e108: 29400044 addi r5,r5,1 +8111e10c: d160800d sth r5,-32256(gp) +8111e110: d420800b ldhu r16,-32256(gp) +8111e114: 4001703a wrctl status,r8 +8111e118: 1a403fcc andi r9,r3,255 +8111e11c: 3a003fcc andi r8,r7,255 +8111e120: 30c03fcc andi r3,r6,255 +8111e124: 10803fcc andi r2,r2,255 +8111e128: 21c03fcc andi r7,r4,255 +8111e12c: 4a40201c xori r9,r9,128 +8111e130: 4200201c xori r8,r8,128 +8111e134: 18c0201c xori r3,r3,128 +8111e138: 1080201c xori r2,r2,128 +8111e13c: 18ffe004 addi r3,r3,-128 +8111e140: 4a7fe004 addi r9,r9,-128 +8111e144: 423fe004 addi r8,r8,-128 +8111e148: 10bfe004 addi r2,r2,-128 +8111e14c: 01604574 movhi r5,33045 +8111e150: 39c0201c xori r7,r7,128 +8111e154: d8c00115 stw r3,4(sp) +8111e158: da400315 stw r9,12(sp) +8111e15c: da000215 stw r8,8(sp) +8111e160: 39ffe004 addi r7,r7,-128 +8111e164: 81bfffcc andi r6,r16,65535 +8111e168: 29731d04 addi r5,r5,-13196 +8111e16c: d9000404 addi r4,sp,16 +8111e170: d8800015 stw r2,0(sp) +8111e174: 112d6b80 call 8112d6b8 +8111e178: d9000404 addi r4,sp,16 +8111e17c: 112d8ac0 call 8112d8ac +8111e180: 100b883a mov r5,r2 +8111e184: d9000404 addi r4,sp,16 +8111e188: 11205800 call 81120580 +8111e18c: d9800404 addi r6,sp,16 +8111e190: 01604574 movhi r5,33045 +8111e194: 3009883a mov r4,r6 +8111e198: 29674b04 addi r5,r5,-25300 +8111e19c: 11c03fcc andi r7,r2,255 +8111e1a0: 112d6b80 call 8112d6b8 +8111e1a4: 817fffcc andi r5,r16,65535 +8111e1a8: 2960001c xori r5,r5,32768 +8111e1ac: 29600004 addi r5,r5,-32768 +8111e1b0: d9000404 addi r4,sp,16 +8111e1b4: 111d86c0 call 8111d86c +8111e1b8: 00c00044 movi r3,1 +8111e1bc: 10c00126 beq r2,r3,8111e1c4 +8111e1c0: 1124de80 call 81124de8 +8111e1c4: dfc00d17 ldw ra,52(sp) +8111e1c8: dc000c17 ldw r16,48(sp) +8111e1cc: dec00e04 addi sp,sp,56 +8111e1d0: f800283a ret +8111e1d4: 01400084 movi r5,2 +8111e1d8: d160800d sth r5,-32256(gp) +8111e1dc: 003fcc06 br 8111e110 <__reset+0xfb0fe110> + +8111e1e0 : +8111e1e0: defffe04 addi sp,sp,-8 +8111e1e4: dfc00115 stw ra,4(sp) +8111e1e8: 2805883a mov r2,r5 +8111e1ec: 108000c7 ldb r2,3(r2) +8111e1f0: 29c00087 ldb r7,2(r5) +8111e1f4: 29800047 ldb r6,1(r5) +8111e1f8: 21003fcc andi r4,r4,255 +8111e1fc: 29400007 ldb r5,0(r5) +8111e200: 2100201c xori r4,r4,128 +8111e204: d8800015 stw r2,0(sp) +8111e208: 213fe004 addi r4,r4,-128 +8111e20c: 111e0b00 call 8111e0b0 +8111e210: dfc00117 ldw ra,4(sp) +8111e214: dec00204 addi sp,sp,8 +8111e218: f800283a ret + +8111e21c : +8111e21c: defff504 addi sp,sp,-44 +8111e220: 2805883a mov r2,r5 +8111e224: dfc00a15 stw ra,40(sp) +8111e228: dc000915 stw r16,36(sp) +8111e22c: d8000115 stw zero,4(sp) +8111e230: d8000215 stw zero,8(sp) +8111e234: d8000315 stw zero,12(sp) +8111e238: d8000415 stw zero,16(sp) +8111e23c: d8000515 stw zero,20(sp) +8111e240: d8000615 stw zero,24(sp) +8111e244: d8000715 stw zero,28(sp) +8111e248: d8000815 stw zero,32(sp) +8111e24c: 000b303a rdctl r5,status +8111e250: 00ffff84 movi r3,-2 +8111e254: 28c6703a and r3,r5,r3 +8111e258: 1801703a wrctl status,r3 +8111e25c: d0e0800b ldhu r3,-32256(gp) +8111e260: 01bfffd4 movui r6,65535 +8111e264: 18ffffcc andi r3,r3,65535 +8111e268: 19802826 beq r3,r6,8111e30c +8111e26c: d0e0800b ldhu r3,-32256(gp) +8111e270: 18c00044 addi r3,r3,1 +8111e274: d0e0800d sth r3,-32256(gp) +8111e278: d420800b ldhu r16,-32256(gp) +8111e27c: 2801703a wrctl status,r5 +8111e280: 10803fcc andi r2,r2,255 +8111e284: 21c03fcc andi r7,r4,255 +8111e288: 1080201c xori r2,r2,128 +8111e28c: 10bfe004 addi r2,r2,-128 +8111e290: 01604574 movhi r5,33045 +8111e294: 39c0201c xori r7,r7,128 +8111e298: 39ffe004 addi r7,r7,-128 +8111e29c: 81bfffcc andi r6,r16,65535 +8111e2a0: 29732404 addi r5,r5,-13168 +8111e2a4: d9000104 addi r4,sp,4 +8111e2a8: d8800015 stw r2,0(sp) +8111e2ac: 112d6b80 call 8112d6b8 +8111e2b0: d9000104 addi r4,sp,4 +8111e2b4: 112d8ac0 call 8112d8ac +8111e2b8: 100b883a mov r5,r2 +8111e2bc: d9000104 addi r4,sp,4 +8111e2c0: 11205800 call 81120580 +8111e2c4: d9800104 addi r6,sp,4 +8111e2c8: 01604574 movhi r5,33045 +8111e2cc: 3009883a mov r4,r6 +8111e2d0: 29674b04 addi r5,r5,-25300 +8111e2d4: 11c03fcc andi r7,r2,255 +8111e2d8: 112d6b80 call 8112d6b8 +8111e2dc: 817fffcc andi r5,r16,65535 +8111e2e0: 2960001c xori r5,r5,32768 +8111e2e4: 29600004 addi r5,r5,-32768 +8111e2e8: d9000104 addi r4,sp,4 +8111e2ec: 111d86c0 call 8111d86c +8111e2f0: 00c00044 movi r3,1 +8111e2f4: 10c00126 beq r2,r3,8111e2fc +8111e2f8: 1124de80 call 81124de8 +8111e2fc: dfc00a17 ldw ra,40(sp) +8111e300: dc000917 ldw r16,36(sp) +8111e304: dec00b04 addi sp,sp,44 8111e308: f800283a ret - -8111e30c : -8111e30c: defffe04 addi sp,sp,-8 -8111e310: d800000d sth zero,0(sp) -8111e314: d9400005 stb r5,0(sp) -8111e318: d80b883a mov r5,sp -8111e31c: dfc00115 stw ra,4(sp) -8111e320: 112d8200 call 8112d820 -8111e324: dfc00117 ldw ra,4(sp) -8111e328: dec00204 addi sp,sp,8 -8111e32c: f800283a ret - -8111e330 : -8111e330: d1207f17 ldw r4,-32260(gp) -8111e334: deffff04 addi sp,sp,-4 -8111e338: dfc00015 stw ra,0(sp) -8111e33c: 114379c0 call 8114379c -8111e340: 10803fcc andi r2,r2,255 -8111e344: 1000031e bne r2,zero,8111e354 -8111e348: dfc00017 ldw ra,0(sp) -8111e34c: dec00104 addi sp,sp,4 -8111e350: f800283a ret -8111e354: dfc00017 ldw ra,0(sp) -8111e358: dec00104 addi sp,sp,4 -8111e35c: 1124ab81 jmpi 81124ab8 - -8111e360 : -8111e360: deffe404 addi sp,sp,-112 -8111e364: dc001415 stw r16,80(sp) -8111e368: dc001c83 ldbu r16,114(sp) -8111e36c: d9001815 stw r4,96(sp) -8111e370: d9401915 stw r5,100(sp) -8111e374: d9801a15 stw r6,104(sp) -8111e378: 000b883a mov r5,zero -8111e37c: 01800f04 movi r6,60 -8111e380: d9000504 addi r4,sp,20 -8111e384: dfc01715 stw ra,92(sp) -8111e388: dc801615 stw r18,88(sp) -8111e38c: dc401515 stw r17,84(sp) -8111e390: d9c01b15 stw r7,108(sp) -8111e394: d8000415 stw zero,16(sp) -8111e398: 112c7900 call 8112c790 -8111e39c: 0007303a rdctl r3,status -8111e3a0: 00bfff84 movi r2,-2 -8111e3a4: 1884703a and r2,r3,r2 -8111e3a8: 1001703a wrctl status,r2 -8111e3ac: d0a0800b ldhu r2,-32256(gp) -8111e3b0: 013fffd4 movui r4,65535 -8111e3b4: 10bfffcc andi r2,r2,65535 -8111e3b8: 11003e26 beq r2,r4,8111e4b4 -8111e3bc: d0a0800b ldhu r2,-32256(gp) -8111e3c0: 10800044 addi r2,r2,1 -8111e3c4: d0a0800d sth r2,-32256(gp) -8111e3c8: d4a0800b ldhu r18,-32256(gp) -8111e3cc: 1801703a wrctl status,r3 -8111e3d0: d9801c0b ldhu r6,112(sp) -8111e3d4: d9001b8b ldhu r4,110(sp) -8111e3d8: d8c01b0b ldhu r3,108(sp) -8111e3dc: d8801a8b ldhu r2,106(sp) -8111e3e0: d9c01a0b ldhu r7,104(sp) -8111e3e4: 01604574 movhi r5,33045 -8111e3e8: d9800315 stw r6,12(sp) -8111e3ec: d9000215 stw r4,8(sp) -8111e3f0: 29731704 addi r5,r5,-13220 -8111e3f4: d8c00115 stw r3,4(sp) -8111e3f8: d8800015 stw r2,0(sp) -8111e3fc: 91bfffcc andi r6,r18,65535 -8111e400: d9000404 addi r4,sp,16 -8111e404: 112d6780 call 8112d678 -8111e408: 80803fcc andi r2,r16,255 -8111e40c: 10000f26 beq r2,zero,8111e44c -8111e410: 847fffc4 addi r17,r16,-1 -8111e414: 8c403fcc andi r17,r17,255 -8111e418: 8c4002c4 addi r17,r17,11 -8111e41c: 8c63883a add r17,r17,r17 -8111e420: d8801804 addi r2,sp,96 -8111e424: dc001d04 addi r16,sp,116 -8111e428: 1463883a add r17,r2,r17 -8111e42c: 81c0000b ldhu r7,0(r16) -8111e430: 01604574 movhi r5,33045 -8111e434: d9800404 addi r6,sp,16 -8111e438: 84000084 addi r16,r16,2 -8111e43c: 29731e04 addi r5,r5,-13192 -8111e440: 3009883a mov r4,r6 -8111e444: 112d6780 call 8112d678 -8111e448: 847ff81e bne r16,r17,8111e42c <__reset+0xfb0fe42c> -8111e44c: d9000404 addi r4,sp,16 -8111e450: 112d86c0 call 8112d86c -8111e454: 100b883a mov r5,r2 -8111e458: d9000404 addi r4,sp,16 -8111e45c: 11205400 call 81120540 -8111e460: d9800404 addi r6,sp,16 -8111e464: 01604574 movhi r5,33045 -8111e468: 3009883a mov r4,r6 -8111e46c: 29673b04 addi r5,r5,-25364 -8111e470: 11c03fcc andi r7,r2,255 -8111e474: 112d6780 call 8112d678 -8111e478: 917fffcc andi r5,r18,65535 -8111e47c: 2960001c xori r5,r5,32768 -8111e480: 29600004 addi r5,r5,-32768 -8111e484: d9000404 addi r4,sp,16 -8111e488: 111d62c0 call 8111d62c -8111e48c: 00c00044 movi r3,1 -8111e490: 10c00226 beq r2,r3,8111e49c -8111e494: d9000404 addi r4,sp,16 -8111e498: 1124e000 call 81124e00 -8111e49c: dfc01717 ldw ra,92(sp) -8111e4a0: dc801617 ldw r18,88(sp) -8111e4a4: dc401517 ldw r17,84(sp) -8111e4a8: dc001417 ldw r16,80(sp) -8111e4ac: dec01c04 addi sp,sp,112 -8111e4b0: f800283a ret -8111e4b4: 00800084 movi r2,2 -8111e4b8: d0a0800d sth r2,-32256(gp) -8111e4bc: 003fc206 br 8111e3c8 <__reset+0xfb0fe3c8> - -8111e4c0 : -8111e4c0: deffd404 addi sp,sp,-176 -8111e4c4: dc002415 stw r16,144(sp) -8111e4c8: dc002c83 ldbu r16,178(sp) -8111e4cc: d9002815 stw r4,160(sp) -8111e4d0: d9402915 stw r5,164(sp) -8111e4d4: d9802a15 stw r6,168(sp) -8111e4d8: 000b883a mov r5,zero -8111e4dc: 01801f04 movi r6,124 -8111e4e0: d9000504 addi r4,sp,20 -8111e4e4: dfc02715 stw ra,156(sp) -8111e4e8: dc802615 stw r18,152(sp) -8111e4ec: dc402515 stw r17,148(sp) -8111e4f0: d9c02b15 stw r7,172(sp) -8111e4f4: d8000415 stw zero,16(sp) -8111e4f8: 112c7900 call 8112c790 -8111e4fc: 0007303a rdctl r3,status -8111e500: 00bfff84 movi r2,-2 -8111e504: 1884703a and r2,r3,r2 -8111e508: 1001703a wrctl status,r2 -8111e50c: d0a0800b ldhu r2,-32256(gp) -8111e510: 013fffd4 movui r4,65535 -8111e514: 10bfffcc andi r2,r2,65535 -8111e518: 11003e26 beq r2,r4,8111e614 -8111e51c: d0a0800b ldhu r2,-32256(gp) -8111e520: 10800044 addi r2,r2,1 -8111e524: d0a0800d sth r2,-32256(gp) -8111e528: d4a0800b ldhu r18,-32256(gp) -8111e52c: 1801703a wrctl status,r3 -8111e530: d9802c0b ldhu r6,176(sp) -8111e534: d9002b8b ldhu r4,174(sp) -8111e538: d8c02b0b ldhu r3,172(sp) -8111e53c: d8802a8b ldhu r2,170(sp) -8111e540: d9c02a0b ldhu r7,168(sp) -8111e544: 01604574 movhi r5,33045 -8111e548: d9800315 stw r6,12(sp) -8111e54c: d9000215 stw r4,8(sp) -8111e550: 29731704 addi r5,r5,-13220 -8111e554: d8c00115 stw r3,4(sp) -8111e558: d8800015 stw r2,0(sp) -8111e55c: 91bfffcc andi r6,r18,65535 -8111e560: d9000404 addi r4,sp,16 -8111e564: 112d6780 call 8112d678 -8111e568: 80803fcc andi r2,r16,255 -8111e56c: 10000f26 beq r2,zero,8111e5ac -8111e570: 847fffc4 addi r17,r16,-1 -8111e574: 8c403fcc andi r17,r17,255 -8111e578: 8c4002c4 addi r17,r17,11 -8111e57c: 8c63883a add r17,r17,r17 -8111e580: d8802804 addi r2,sp,160 -8111e584: dc002d04 addi r16,sp,180 -8111e588: 1463883a add r17,r2,r17 -8111e58c: 81c0000b ldhu r7,0(r16) -8111e590: 01604574 movhi r5,33045 -8111e594: d9800404 addi r6,sp,16 -8111e598: 84000084 addi r16,r16,2 -8111e59c: 29731e04 addi r5,r5,-13192 -8111e5a0: 3009883a mov r4,r6 -8111e5a4: 112d6780 call 8112d678 -8111e5a8: 847ff81e bne r16,r17,8111e58c <__reset+0xfb0fe58c> -8111e5ac: d9000404 addi r4,sp,16 -8111e5b0: 112d86c0 call 8112d86c -8111e5b4: 100b883a mov r5,r2 -8111e5b8: d9000404 addi r4,sp,16 -8111e5bc: 11205400 call 81120540 -8111e5c0: d9800404 addi r6,sp,16 -8111e5c4: 01604574 movhi r5,33045 -8111e5c8: 3009883a mov r4,r6 -8111e5cc: 29673b04 addi r5,r5,-25364 -8111e5d0: 11c03fcc andi r7,r2,255 -8111e5d4: 112d6780 call 8112d678 -8111e5d8: 917fffcc andi r5,r18,65535 -8111e5dc: 2960001c xori r5,r5,32768 -8111e5e0: 29600004 addi r5,r5,-32768 -8111e5e4: d9000404 addi r4,sp,16 -8111e5e8: 111d42c0 call 8111d42c -8111e5ec: 00c00044 movi r3,1 -8111e5f0: 10c00226 beq r2,r3,8111e5fc -8111e5f4: d9000404 addi r4,sp,16 -8111e5f8: 1124e000 call 81124e00 -8111e5fc: dfc02717 ldw ra,156(sp) -8111e600: dc802617 ldw r18,152(sp) -8111e604: dc402517 ldw r17,148(sp) -8111e608: dc002417 ldw r16,144(sp) -8111e60c: dec02c04 addi sp,sp,176 -8111e610: f800283a ret -8111e614: 00800084 movi r2,2 -8111e618: d0a0800d sth r2,-32256(gp) -8111e61c: 003fc206 br 8111e528 <__reset+0xfb0fe528> - -8111e620 : -8111e620: deff7404 addi sp,sp,-560 -8111e624: dc008415 stw r16,528(sp) -8111e628: dc008c83 ldbu r16,562(sp) -8111e62c: d9008815 stw r4,544(sp) -8111e630: d9408915 stw r5,548(sp) -8111e634: d9808a15 stw r6,552(sp) -8111e638: 000b883a mov r5,zero -8111e63c: 01807f04 movi r6,508 -8111e640: d9000504 addi r4,sp,20 -8111e644: dfc08715 stw ra,540(sp) -8111e648: dc808615 stw r18,536(sp) -8111e64c: dc408515 stw r17,532(sp) -8111e650: d9c08b15 stw r7,556(sp) -8111e654: d8000415 stw zero,16(sp) -8111e658: 112c7900 call 8112c790 -8111e65c: 0007303a rdctl r3,status -8111e660: 00bfff84 movi r2,-2 -8111e664: 1884703a and r2,r3,r2 -8111e668: 1001703a wrctl status,r2 -8111e66c: d0a0800b ldhu r2,-32256(gp) -8111e670: 013fffd4 movui r4,65535 -8111e674: 10bfffcc andi r2,r2,65535 -8111e678: 11003f26 beq r2,r4,8111e778 -8111e67c: d0a0800b ldhu r2,-32256(gp) -8111e680: 10800044 addi r2,r2,1 -8111e684: d0a0800d sth r2,-32256(gp) -8111e688: d4a0800b ldhu r18,-32256(gp) -8111e68c: 1801703a wrctl status,r3 -8111e690: d9808c0b ldhu r6,560(sp) -8111e694: d9008b8b ldhu r4,558(sp) -8111e698: d8c08b0b ldhu r3,556(sp) -8111e69c: d8808a8b ldhu r2,554(sp) -8111e6a0: d9c08a0b ldhu r7,552(sp) -8111e6a4: 01604574 movhi r5,33045 -8111e6a8: d9800315 stw r6,12(sp) -8111e6ac: d9000215 stw r4,8(sp) -8111e6b0: d8c00115 stw r3,4(sp) -8111e6b4: 29731704 addi r5,r5,-13220 -8111e6b8: d8800015 stw r2,0(sp) -8111e6bc: 91bfffcc andi r6,r18,65535 -8111e6c0: d9000404 addi r4,sp,16 -8111e6c4: 112d6780 call 8112d678 -8111e6c8: 80803fcc andi r2,r16,255 -8111e6cc: 10ffffcc andi r3,r2,65535 -8111e6d0: 18000f26 beq r3,zero,8111e710 -8111e6d4: 147fffc4 addi r17,r2,-1 -8111e6d8: 8c7fffcc andi r17,r17,65535 -8111e6dc: 8c4002c4 addi r17,r17,11 -8111e6e0: 8c63883a add r17,r17,r17 -8111e6e4: d8808804 addi r2,sp,544 -8111e6e8: dc008d04 addi r16,sp,564 -8111e6ec: 1463883a add r17,r2,r17 -8111e6f0: 81c0000b ldhu r7,0(r16) -8111e6f4: 01604574 movhi r5,33045 -8111e6f8: d9800404 addi r6,sp,16 -8111e6fc: 84000084 addi r16,r16,2 -8111e700: 29731e04 addi r5,r5,-13192 -8111e704: 3009883a mov r4,r6 -8111e708: 112d6780 call 8112d678 -8111e70c: 847ff81e bne r16,r17,8111e6f0 <__reset+0xfb0fe6f0> -8111e710: d9000404 addi r4,sp,16 -8111e714: 112d86c0 call 8112d86c -8111e718: 100b883a mov r5,r2 -8111e71c: d9000404 addi r4,sp,16 -8111e720: 11205400 call 81120540 -8111e724: d9800404 addi r6,sp,16 -8111e728: 01604574 movhi r5,33045 -8111e72c: 3009883a mov r4,r6 -8111e730: 29673b04 addi r5,r5,-25364 -8111e734: 11c03fcc andi r7,r2,255 -8111e738: 112d6780 call 8112d678 -8111e73c: 917fffcc andi r5,r18,65535 -8111e740: 2960001c xori r5,r5,32768 -8111e744: 29600004 addi r5,r5,-32768 -8111e748: d9000404 addi r4,sp,16 -8111e74c: 111d2380 call 8111d238 -8111e750: 00c00044 movi r3,1 -8111e754: 10c00226 beq r2,r3,8111e760 -8111e758: d9000404 addi r4,sp,16 -8111e75c: 1124e000 call 81124e00 -8111e760: dfc08717 ldw ra,540(sp) -8111e764: dc808617 ldw r18,536(sp) -8111e768: dc408517 ldw r17,532(sp) -8111e76c: dc008417 ldw r16,528(sp) -8111e770: dec08c04 addi sp,sp,560 -8111e774: f800283a ret -8111e778: 00800084 movi r2,2 -8111e77c: d0a0800d sth r2,-32256(gp) -8111e780: 003fc106 br 8111e688 <__reset+0xfb0fe688> - -8111e784 : -8111e784: deff7904 addi sp,sp,-540 -8111e788: 00800444 movi r2,17 -8111e78c: d900450d sth r4,276(sp) -8111e790: d940430d sth r5,268(sp) -8111e794: d980438d sth r6,270(sp) -8111e798: d9404504 addi r5,sp,276 -8111e79c: d809883a mov r4,sp -8111e7a0: d880440d sth r2,272(sp) -8111e7a4: 01804104 movi r6,260 -8111e7a8: 00800084 movi r2,2 -8111e7ac: dfc08615 stw ra,536(sp) -8111e7b0: d880448d sth r2,274(sp) -8111e7b4: d8004585 stb zero,278(sp) -8111e7b8: 112c6480 call 8112c648 -8111e7bc: d9004117 ldw r4,260(sp) -8111e7c0: d9404217 ldw r5,264(sp) -8111e7c4: d9804317 ldw r6,268(sp) -8111e7c8: d9c04417 ldw r7,272(sp) -8111e7cc: 111e3600 call 8111e360 -8111e7d0: dfc08617 ldw ra,536(sp) -8111e7d4: dec08704 addi sp,sp,540 -8111e7d8: f800283a ret - -8111e7dc : -8111e7dc: 00a04634 movhi r2,33048 -8111e7e0: 108edf04 addi r2,r2,15228 -8111e7e4: 02400044 movi r9,1 -8111e7e8: 02000084 movi r8,2 -8111e7ec: 01c000c4 movi r7,3 -8111e7f0: 01800104 movi r6,4 -8111e7f4: 01400144 movi r5,5 -8111e7f8: 01000184 movi r4,6 -8111e7fc: 00c001c4 movi r3,7 -8111e800: 10000205 stb zero,8(r2) -8111e804: 12400245 stb r9,9(r2) -8111e808: 12000285 stb r8,10(r2) -8111e80c: 11c002c5 stb r7,11(r2) -8111e810: 11800305 stb r6,12(r2) -8111e814: 11400345 stb r5,13(r2) -8111e818: 11000385 stb r4,14(r2) -8111e81c: 10c003c5 stb r3,15(r2) -8111e820: 10000005 stb zero,0(r2) -8111e824: 12400045 stb r9,1(r2) -8111e828: 12000085 stb r8,2(r2) -8111e82c: 11c000c5 stb r7,3(r2) -8111e830: 11800105 stb r6,4(r2) -8111e834: 11400145 stb r5,5(r2) -8111e838: 11000185 stb r4,6(r2) -8111e83c: 10c001c5 stb r3,7(r2) -8111e840: f800283a ret - -8111e844 : -8111e844: defff604 addi sp,sp,-40 -8111e848: dc400115 stw r17,4(sp) -8111e84c: d4609317 ldw r17,-32180(gp) -8111e850: dfc00915 stw ra,36(sp) -8111e854: df000815 stw fp,32(sp) -8111e858: ddc00715 stw r23,28(sp) -8111e85c: dd800615 stw r22,24(sp) -8111e860: dd400515 stw r21,20(sp) -8111e864: dd000415 stw r20,16(sp) -8111e868: dcc00315 stw r19,12(sp) -8111e86c: dc800215 stw r18,8(sp) -8111e870: dc000015 stw r16,0(sp) -8111e874: 00800044 movi r2,1 -8111e878: 88801426 beq r17,r2,8111e8cc -8111e87c: d1e07917 ldw r7,-32284(gp) -8111e880: 01204574 movhi r4,33045 -8111e884: 21336204 addi r4,r4,-12920 -8111e888: 018004c4 movi r6,19 -8111e88c: 01400044 movi r5,1 -8111e890: 112bc4c0 call 8112bc4c -8111e894: 111e7dc0 call 8111e7dc -8111e898: 0005883a mov r2,zero -8111e89c: dfc00917 ldw ra,36(sp) -8111e8a0: df000817 ldw fp,32(sp) -8111e8a4: ddc00717 ldw r23,28(sp) -8111e8a8: dd800617 ldw r22,24(sp) -8111e8ac: dd400517 ldw r21,20(sp) -8111e8b0: dd000417 ldw r20,16(sp) -8111e8b4: dcc00317 ldw r19,12(sp) -8111e8b8: dc800217 ldw r18,8(sp) -8111e8bc: dc400117 ldw r17,4(sp) -8111e8c0: dc000017 ldw r16,0(sp) -8111e8c4: dec00a04 addi sp,sp,40 -8111e8c8: f800283a ret -8111e8cc: 11266340 call 81126634 -8111e8d0: 103fea26 beq r2,zero,8111e87c <__reset+0xfb0fe87c> -8111e8d4: 112663c0 call 8112663c -8111e8d8: 103fe826 beq r2,zero,8111e87c <__reset+0xfb0fe87c> -8111e8dc: 01204574 movhi r4,33045 -8111e8e0: 21333e04 addi r4,r4,-13064 -8111e8e4: 11266780 call 81126678 -8111e8e8: 143fffcc andi r16,r2,65535 -8111e8ec: 8420001c xori r16,r16,32768 -8111e8f0: 84200004 addi r16,r16,-32768 -8111e8f4: 80016916 blt r16,zero,8111ee9c -8111e8f8: 05e044b4 movhi r23,33042 -8111e8fc: 04a04634 movhi r18,33048 -8111e900: bdfa5104 addi r23,r23,-5820 -8111e904: 948edf04 addi r18,r18,15228 -8111e908: 04ffffc4 movi r19,-1 -8111e90c: 002b883a mov r21,zero -8111e910: 05800f84 movi r22,62 -8111e914: 070009c4 movi fp,39 -8111e918: 04400b84 movi r17,46 -8111e91c: 05000ec4 movi r20,59 -8111e920: 8009883a mov r4,r16 -8111e924: 11266880 call 81126688 -8111e928: 10c00084 addi r3,r2,2 -8111e92c: 18c03fcc andi r3,r3,255 -8111e930: b0c0d936 bltu r22,r3,8111ec98 -8111e934: 180690ba slli r3,r3,2 -8111e938: 1dc7883a add r3,r3,r23 -8111e93c: 18c00017 ldw r3,0(r3) -8111e940: 1800683a jmp r3 -8111e944: 8111eaa8 cmpgeui r4,r16,18346 -8111e948: 8111eac0 call 88111eac <__reset+0x20f1eac> -8111e94c: 8111ec98 cmpnei r4,r16,18354 -8111e950: 8111ec98 cmpnei r4,r16,18354 -8111e954: 8111ec98 cmpnei r4,r16,18354 -8111e958: 8111ec98 cmpnei r4,r16,18354 -8111e95c: 8111ec98 cmpnei r4,r16,18354 -8111e960: 8111ec98 cmpnei r4,r16,18354 -8111e964: 8111ec98 cmpnei r4,r16,18354 -8111e968: 8111ec98 cmpnei r4,r16,18354 -8111e96c: 8111ec98 cmpnei r4,r16,18354 -8111e970: 8111ec98 cmpnei r4,r16,18354 -8111e974: 8111e920 cmpeqi r4,r16,18340 -8111e978: 8111ec98 cmpnei r4,r16,18354 -8111e97c: 8111ec98 cmpnei r4,r16,18354 -8111e980: 8111e920 cmpeqi r4,r16,18340 -8111e984: 8111ec98 cmpnei r4,r16,18354 -8111e988: 8111ec98 cmpnei r4,r16,18354 -8111e98c: 8111ec98 cmpnei r4,r16,18354 -8111e990: 8111ec98 cmpnei r4,r16,18354 -8111e994: 8111ec98 cmpnei r4,r16,18354 -8111e998: 8111ec98 cmpnei r4,r16,18354 -8111e99c: 8111ec98 cmpnei r4,r16,18354 -8111e9a0: 8111ec98 cmpnei r4,r16,18354 -8111e9a4: 8111ec98 cmpnei r4,r16,18354 -8111e9a8: 8111ec98 cmpnei r4,r16,18354 -8111e9ac: 8111ec98 cmpnei r4,r16,18354 -8111e9b0: 8111ec98 cmpnei r4,r16,18354 -8111e9b4: 8111ec98 cmpnei r4,r16,18354 -8111e9b8: 8111ec98 cmpnei r4,r16,18354 -8111e9bc: 8111ec98 cmpnei r4,r16,18354 -8111e9c0: 8111ec98 cmpnei r4,r16,18354 -8111e9c4: 8111ec98 cmpnei r4,r16,18354 -8111e9c8: 8111ec98 cmpnei r4,r16,18354 -8111e9cc: 8111e920 cmpeqi r4,r16,18340 -8111e9d0: 8111ec98 cmpnei r4,r16,18354 -8111e9d4: 8111ec98 cmpnei r4,r16,18354 -8111e9d8: 8111ec98 cmpnei r4,r16,18354 -8111e9dc: 8111ec98 cmpnei r4,r16,18354 -8111e9e0: 8111ec98 cmpnei r4,r16,18354 -8111e9e4: 8111ec98 cmpnei r4,r16,18354 -8111e9e8: 8111ea40 call 88111ea4 <__reset+0x20f1ea4> -8111e9ec: 8111ec98 cmpnei r4,r16,18354 -8111e9f0: 8111ec98 cmpnei r4,r16,18354 -8111e9f4: 8111ec98 cmpnei r4,r16,18354 -8111e9f8: 8111ec98 cmpnei r4,r16,18354 -8111e9fc: 8111ec98 cmpnei r4,r16,18354 -8111ea00: 8111ec98 cmpnei r4,r16,18354 -8111ea04: 8111ec98 cmpnei r4,r16,18354 -8111ea08: 8111ec98 cmpnei r4,r16,18354 -8111ea0c: 8111ea70 cmpltui r4,r16,18345 -8111ea10: 8111ec20 cmpeqi r4,r16,18352 -8111ea14: 8111eb08 cmpgei r4,r16,18348 -8111ea18: 8111eacc andi r4,r16,18347 -8111ea1c: 8111ec5c xori r4,r16,18353 -8111ea20: 8111ebe4 muli r4,r16,18351 -8111ea24: 8111eba8 cmpgeui r4,r16,18350 -8111ea28: 8111eb6c andhi r4,r16,18349 -8111ea2c: 8111ec98 cmpnei r4,r16,18354 -8111ea30: 8111ec98 cmpnei r4,r16,18354 -8111ea34: 8111ec98 cmpnei r4,r16,18354 -8111ea38: 8111ec98 cmpnei r4,r16,18354 -8111ea3c: 8111eb44 addi r4,r16,18349 -8111ea40: 8009883a mov r4,r16 -8111ea44: 11266880 call 81126688 -8111ea48: 10803fcc andi r2,r2,255 -8111ea4c: 1080201c xori r2,r2,128 -8111ea50: 10bfe004 addi r2,r2,-128 -8111ea54: 173ffa1e bne r2,fp,8111ea40 <__reset+0xfb0fea40> -8111ea58: 003fb106 br 8111e920 <__reset+0xfb0fe920> -8111ea5c: 98ffefc4 addi r3,r19,-65 -8111ea60: 18803fcc andi r2,r3,255 -8111ea64: 9085883a add r2,r18,r2 -8111ea68: 90c00205 stb r3,8(r18) -8111ea6c: 10000005 stb zero,0(r2) -8111ea70: 8009883a mov r4,r16 -8111ea74: 11266880 call 81126688 -8111ea78: 11003fcc andi r4,r2,255 -8111ea7c: d0e00d17 ldw r3,-32716(gp) -8111ea80: 2100201c xori r4,r4,128 -8111ea84: 213fe004 addi r4,r4,-128 -8111ea88: 1907883a add r3,r3,r4 -8111ea8c: 18c00043 ldbu r3,1(r3) -8111ea90: 18c000cc andi r3,r3,3 -8111ea94: 18009226 beq r3,zero,8111ece0 -8111ea98: 247ff026 beq r4,r17,8111ea5c <__reset+0xfb0fea5c> -8111ea9c: 25009226 beq r4,r20,8111ece8 -8111eaa0: 1027883a mov r19,r2 -8111eaa4: 003ff206 br 8111ea70 <__reset+0xfb0fea70> -8111eaa8: d1e07917 ldw r7,-32284(gp) -8111eaac: 01204574 movhi r4,33045 -8111eab0: 21334104 addi r4,r4,-13052 -8111eab4: 018006c4 movi r6,27 -8111eab8: 01400044 movi r5,1 -8111eabc: 112bc4c0 call 8112bc4c -8111eac0: a83f7426 beq r21,zero,8111e894 <__reset+0xfb0fe894> -8111eac4: 00800044 movi r2,1 -8111eac8: 003f7406 br 8111e89c <__reset+0xfb0fe89c> -8111eacc: 054000c4 movi r21,3 -8111ead0: 8009883a mov r4,r16 -8111ead4: 11266880 call 81126688 -8111ead8: 11003fcc andi r4,r2,255 -8111eadc: d0e00d17 ldw r3,-32716(gp) -8111eae0: 2100201c xori r4,r4,128 -8111eae4: 213fe004 addi r4,r4,-128 -8111eae8: 1907883a add r3,r3,r4 -8111eaec: 18c00043 ldbu r3,1(r3) -8111eaf0: 18c000cc andi r3,r3,3 -8111eaf4: 1800ab26 beq r3,zero,8111eda4 -8111eaf8: 2440ca26 beq r4,r17,8111ee24 -8111eafc: 2500ab26 beq r4,r20,8111edac -8111eb00: 1027883a mov r19,r2 -8111eb04: 003ff206 br 8111ead0 <__reset+0xfb0fead0> -8111eb08: 05400084 movi r21,2 -8111eb0c: 8009883a mov r4,r16 -8111eb10: 11266880 call 81126688 -8111eb14: 11003fcc andi r4,r2,255 -8111eb18: d0e00d17 ldw r3,-32716(gp) -8111eb1c: 2100201c xori r4,r4,128 -8111eb20: 213fe004 addi r4,r4,-128 -8111eb24: 1907883a add r3,r3,r4 -8111eb28: 18c00043 ldbu r3,1(r3) -8111eb2c: 18c000cc andi r3,r3,3 -8111eb30: 18007e26 beq r3,zero,8111ed2c -8111eb34: 2440c726 beq r4,r17,8111ee54 -8111eb38: 25007e26 beq r4,r20,8111ed34 -8111eb3c: 1027883a mov r19,r2 -8111eb40: 003ff206 br 8111eb0c <__reset+0xfb0feb0c> -8111eb44: 8009883a mov r4,r16 -8111eb48: 11266800 call 81126680 -8111eb4c: 103fdd1e bne r2,zero,8111eac4 <__reset+0xfb0feac4> -8111eb50: d1e07917 ldw r7,-32284(gp) -8111eb54: 01204574 movhi r4,33045 -8111eb58: 21334804 addi r4,r4,-13024 -8111eb5c: 01800784 movi r6,30 -8111eb60: 01400044 movi r5,1 -8111eb64: 112bc4c0 call 8112bc4c -8111eb68: 003fd606 br 8111eac4 <__reset+0xfb0feac4> -8111eb6c: 054001c4 movi r21,7 -8111eb70: 8009883a mov r4,r16 -8111eb74: 11266880 call 81126688 -8111eb78: 11003fcc andi r4,r2,255 -8111eb7c: d0e00d17 ldw r3,-32716(gp) -8111eb80: 2100201c xori r4,r4,128 -8111eb84: 213fe004 addi r4,r4,-128 -8111eb88: 1907883a add r3,r3,r4 -8111eb8c: 18c00043 ldbu r3,1(r3) -8111eb90: 18c000cc andi r3,r3,3 -8111eb94: 18004826 beq r3,zero,8111ecb8 -8111eb98: 2440a826 beq r4,r17,8111ee3c -8111eb9c: 25004826 beq r4,r20,8111ecc0 -8111eba0: 1027883a mov r19,r2 -8111eba4: 003ff206 br 8111eb70 <__reset+0xfb0feb70> -8111eba8: 05400184 movi r21,6 -8111ebac: 8009883a mov r4,r16 -8111ebb0: 11266880 call 81126688 -8111ebb4: 11003fcc andi r4,r2,255 -8111ebb8: d0e00d17 ldw r3,-32716(gp) -8111ebbc: 2100201c xori r4,r4,128 -8111ebc0: 213fe004 addi r4,r4,-128 -8111ebc4: 1907883a add r3,r3,r4 -8111ebc8: 18c00043 ldbu r3,1(r3) -8111ebcc: 18c000cc andi r3,r3,3 -8111ebd0: 18004c26 beq r3,zero,8111ed04 -8111ebd4: 2440a526 beq r4,r17,8111ee6c -8111ebd8: 25004c26 beq r4,r20,8111ed0c -8111ebdc: 1027883a mov r19,r2 -8111ebe0: 003ff206 br 8111ebac <__reset+0xfb0febac> -8111ebe4: 05400144 movi r21,5 -8111ebe8: 8009883a mov r4,r16 -8111ebec: 11266880 call 81126688 -8111ebf0: 11003fcc andi r4,r2,255 -8111ebf4: d0e00d17 ldw r3,-32716(gp) -8111ebf8: 2100201c xori r4,r4,128 -8111ebfc: 213fe004 addi r4,r4,-128 -8111ec00: 1907883a add r3,r3,r4 -8111ec04: 18c00043 ldbu r3,1(r3) -8111ec08: 18c000cc andi r3,r3,3 -8111ec0c: 18005126 beq r3,zero,8111ed54 -8111ec10: 24409c26 beq r4,r17,8111ee84 -8111ec14: 25005126 beq r4,r20,8111ed5c -8111ec18: 1027883a mov r19,r2 -8111ec1c: 003ff206 br 8111ebe8 <__reset+0xfb0febe8> -8111ec20: 05400044 movi r21,1 -8111ec24: 8009883a mov r4,r16 -8111ec28: 11266880 call 81126688 -8111ec2c: 11003fcc andi r4,r2,255 -8111ec30: d0e00d17 ldw r3,-32716(gp) -8111ec34: 2100201c xori r4,r4,128 -8111ec38: 213fe004 addi r4,r4,-128 -8111ec3c: 1907883a add r3,r3,r4 -8111ec40: 18c00043 ldbu r3,1(r3) -8111ec44: 18c000cc andi r3,r3,3 -8111ec48: 18004c26 beq r3,zero,8111ed7c -8111ec4c: 24406f26 beq r4,r17,8111ee0c -8111ec50: 25004c26 beq r4,r20,8111ed84 -8111ec54: 1027883a mov r19,r2 -8111ec58: 003ff206 br 8111ec24 <__reset+0xfb0fec24> -8111ec5c: 05400104 movi r21,4 -8111ec60: 8009883a mov r4,r16 -8111ec64: 11266880 call 81126688 -8111ec68: 11003fcc andi r4,r2,255 -8111ec6c: d0e00d17 ldw r3,-32716(gp) -8111ec70: 2100201c xori r4,r4,128 -8111ec74: 213fe004 addi r4,r4,-128 -8111ec78: 1907883a add r3,r3,r4 -8111ec7c: 18c00043 ldbu r3,1(r3) -8111ec80: 18c000cc andi r3,r3,3 -8111ec84: 18005126 beq r3,zero,8111edcc -8111ec88: 24405a26 beq r4,r17,8111edf4 -8111ec8c: 25005126 beq r4,r20,8111edd4 -8111ec90: 1027883a mov r19,r2 -8111ec94: 003ff206 br 8111ec60 <__reset+0xfb0fec60> -8111ec98: d1207917 ldw r4,-32284(gp) -8111ec9c: 11803fcc andi r6,r2,255 -8111eca0: 01604574 movhi r5,33045 -8111eca4: 3180201c xori r6,r6,128 -8111eca8: 29735004 addi r5,r5,-12992 -8111ecac: 31bfe004 addi r6,r6,-128 -8111ecb0: 112b5e00 call 8112b5e0 -8111ecb4: 003f1a06 br 8111e920 <__reset+0xfb0fe920> -8111ecb8: 24406026 beq r4,r17,8111ee3c -8111ecbc: 253fac1e bne r4,r20,8111eb70 <__reset+0xfb0feb70> -8111ecc0: 98ffefc4 addi r3,r19,-65 -8111ecc4: 18803fcc andi r2,r3,255 -8111ecc8: 9085883a add r2,r18,r2 -8111eccc: 90c003c5 stb r3,15(r18) -8111ecd0: 00c001c4 movi r3,7 -8111ecd4: 10c00005 stb r3,0(r2) -8111ecd8: 05400044 movi r21,1 -8111ecdc: 003f1006 br 8111e920 <__reset+0xfb0fe920> -8111ece0: 247f5e26 beq r4,r17,8111ea5c <__reset+0xfb0fea5c> -8111ece4: 253f621e bne r4,r20,8111ea70 <__reset+0xfb0fea70> -8111ece8: 98ffefc4 addi r3,r19,-65 -8111ecec: 18803fcc andi r2,r3,255 -8111ecf0: 9085883a add r2,r18,r2 -8111ecf4: 90c00205 stb r3,8(r18) -8111ecf8: 10000005 stb zero,0(r2) -8111ecfc: 05400044 movi r21,1 -8111ed00: 003f0706 br 8111e920 <__reset+0xfb0fe920> -8111ed04: 24405926 beq r4,r17,8111ee6c -8111ed08: 253fa81e bne r4,r20,8111ebac <__reset+0xfb0febac> -8111ed0c: 98ffefc4 addi r3,r19,-65 -8111ed10: 18803fcc andi r2,r3,255 -8111ed14: 9085883a add r2,r18,r2 -8111ed18: 90c00385 stb r3,14(r18) -8111ed1c: 00c00184 movi r3,6 -8111ed20: 10c00005 stb r3,0(r2) -8111ed24: 05400044 movi r21,1 -8111ed28: 003efd06 br 8111e920 <__reset+0xfb0fe920> -8111ed2c: 24404926 beq r4,r17,8111ee54 -8111ed30: 253f761e bne r4,r20,8111eb0c <__reset+0xfb0feb0c> -8111ed34: 98ffefc4 addi r3,r19,-65 -8111ed38: 18803fcc andi r2,r3,255 -8111ed3c: 9085883a add r2,r18,r2 -8111ed40: 90c00285 stb r3,10(r18) -8111ed44: 00c00084 movi r3,2 -8111ed48: 10c00005 stb r3,0(r2) -8111ed4c: 05400044 movi r21,1 -8111ed50: 003ef306 br 8111e920 <__reset+0xfb0fe920> -8111ed54: 24404b26 beq r4,r17,8111ee84 -8111ed58: 253fa31e bne r4,r20,8111ebe8 <__reset+0xfb0febe8> -8111ed5c: 98ffefc4 addi r3,r19,-65 -8111ed60: 18803fcc andi r2,r3,255 -8111ed64: 9085883a add r2,r18,r2 -8111ed68: 90c00345 stb r3,13(r18) -8111ed6c: 00c00144 movi r3,5 -8111ed70: 10c00005 stb r3,0(r2) -8111ed74: 05400044 movi r21,1 -8111ed78: 003ee906 br 8111e920 <__reset+0xfb0fe920> -8111ed7c: 24402326 beq r4,r17,8111ee0c -8111ed80: 253fa81e bne r4,r20,8111ec24 <__reset+0xfb0fec24> -8111ed84: 98ffefc4 addi r3,r19,-65 -8111ed88: 18803fcc andi r2,r3,255 -8111ed8c: 9085883a add r2,r18,r2 -8111ed90: 90c00245 stb r3,9(r18) -8111ed94: 00c00044 movi r3,1 -8111ed98: 10c00005 stb r3,0(r2) -8111ed9c: 05400044 movi r21,1 -8111eda0: 003edf06 br 8111e920 <__reset+0xfb0fe920> -8111eda4: 24401f26 beq r4,r17,8111ee24 -8111eda8: 253f491e bne r4,r20,8111ead0 <__reset+0xfb0fead0> -8111edac: 98ffefc4 addi r3,r19,-65 -8111edb0: 18803fcc andi r2,r3,255 -8111edb4: 9085883a add r2,r18,r2 -8111edb8: 90c002c5 stb r3,11(r18) -8111edbc: 00c000c4 movi r3,3 -8111edc0: 10c00005 stb r3,0(r2) -8111edc4: 05400044 movi r21,1 -8111edc8: 003ed506 br 8111e920 <__reset+0xfb0fe920> -8111edcc: 24400926 beq r4,r17,8111edf4 -8111edd0: 253fa31e bne r4,r20,8111ec60 <__reset+0xfb0fec60> -8111edd4: 98ffefc4 addi r3,r19,-65 -8111edd8: 18803fcc andi r2,r3,255 -8111eddc: 9085883a add r2,r18,r2 -8111ede0: 90c00305 stb r3,12(r18) -8111ede4: 00c00104 movi r3,4 -8111ede8: 10c00005 stb r3,0(r2) -8111edec: 05400044 movi r21,1 -8111edf0: 003ecb06 br 8111e920 <__reset+0xfb0fe920> -8111edf4: 98ffefc4 addi r3,r19,-65 -8111edf8: 18803fcc andi r2,r3,255 -8111edfc: 9085883a add r2,r18,r2 -8111ee00: 90c00305 stb r3,12(r18) -8111ee04: 15400005 stb r21,0(r2) -8111ee08: 003f9506 br 8111ec60 <__reset+0xfb0fec60> -8111ee0c: 98ffefc4 addi r3,r19,-65 -8111ee10: 18803fcc andi r2,r3,255 -8111ee14: 9085883a add r2,r18,r2 -8111ee18: 90c00245 stb r3,9(r18) -8111ee1c: 15400005 stb r21,0(r2) -8111ee20: 003f8006 br 8111ec24 <__reset+0xfb0fec24> -8111ee24: 98ffefc4 addi r3,r19,-65 -8111ee28: 18803fcc andi r2,r3,255 -8111ee2c: 9085883a add r2,r18,r2 -8111ee30: 90c002c5 stb r3,11(r18) -8111ee34: 15400005 stb r21,0(r2) -8111ee38: 003f2506 br 8111ead0 <__reset+0xfb0fead0> -8111ee3c: 98ffefc4 addi r3,r19,-65 -8111ee40: 18803fcc andi r2,r3,255 -8111ee44: 9085883a add r2,r18,r2 -8111ee48: 90c003c5 stb r3,15(r18) -8111ee4c: 15400005 stb r21,0(r2) -8111ee50: 003f4706 br 8111eb70 <__reset+0xfb0feb70> -8111ee54: 98ffefc4 addi r3,r19,-65 -8111ee58: 18803fcc andi r2,r3,255 -8111ee5c: 9085883a add r2,r18,r2 -8111ee60: 90c00285 stb r3,10(r18) -8111ee64: 15400005 stb r21,0(r2) -8111ee68: 003f2806 br 8111eb0c <__reset+0xfb0feb0c> -8111ee6c: 98ffefc4 addi r3,r19,-65 -8111ee70: 18803fcc andi r2,r3,255 -8111ee74: 9085883a add r2,r18,r2 -8111ee78: 90c00385 stb r3,14(r18) -8111ee7c: 15400005 stb r21,0(r2) -8111ee80: 003f4a06 br 8111ebac <__reset+0xfb0febac> -8111ee84: 98ffefc4 addi r3,r19,-65 -8111ee88: 18803fcc andi r2,r3,255 -8111ee8c: 9085883a add r2,r18,r2 -8111ee90: 90c00345 stb r3,13(r18) -8111ee94: 15400005 stb r21,0(r2) -8111ee98: 003f5306 br 8111ebe8 <__reset+0xfb0febe8> -8111ee9c: d1e07917 ldw r7,-32284(gp) -8111eea0: 01204574 movhi r4,33045 -8111eea4: 21335b04 addi r4,r4,-12948 -8111eea8: 01800604 movi r6,24 -8111eeac: 880b883a mov r5,r17 -8111eeb0: 112bc4c0 call 8112bc4c -8111eeb4: 003e7706 br 8111e894 <__reset+0xfb0fe894> - -8111eeb8 : -8111eeb8: d1e07917 ldw r7,-32284(gp) -8111eebc: defffe04 addi sp,sp,-8 -8111eec0: 01204574 movhi r4,33045 -8111eec4: dc000015 stw r16,0(sp) -8111eec8: 21336704 addi r4,r4,-12900 -8111eecc: 01800b04 movi r6,44 -8111eed0: 01400044 movi r5,1 -8111eed4: 04204634 movhi r16,33048 -8111eed8: dfc00115 stw ra,4(sp) -8111eedc: 840edf04 addi r16,r16,15228 -8111eee0: 112bc4c0 call 8112bc4c -8111eee4: 81800203 ldbu r6,8(r16) -8111eee8: d1207917 ldw r4,-32284(gp) -8111eeec: 01604574 movhi r5,33045 -8111eef0: 29737304 addi r5,r5,-12852 -8111eef4: 112b5e00 call 8112b5e0 -8111eef8: 81800243 ldbu r6,9(r16) -8111eefc: d1207917 ldw r4,-32284(gp) -8111ef00: 01604574 movhi r5,33045 -8111ef04: 29737904 addi r5,r5,-12828 -8111ef08: 112b5e00 call 8112b5e0 -8111ef0c: 81800283 ldbu r6,10(r16) -8111ef10: d1207917 ldw r4,-32284(gp) -8111ef14: 01604574 movhi r5,33045 -8111ef18: 29737f04 addi r5,r5,-12804 -8111ef1c: 112b5e00 call 8112b5e0 -8111ef20: 818002c3 ldbu r6,11(r16) -8111ef24: d1207917 ldw r4,-32284(gp) -8111ef28: 01604574 movhi r5,33045 -8111ef2c: 29738504 addi r5,r5,-12780 -8111ef30: 112b5e00 call 8112b5e0 -8111ef34: 81800303 ldbu r6,12(r16) -8111ef38: d1207917 ldw r4,-32284(gp) -8111ef3c: 01604574 movhi r5,33045 -8111ef40: 29738b04 addi r5,r5,-12756 -8111ef44: 112b5e00 call 8112b5e0 -8111ef48: 81800343 ldbu r6,13(r16) -8111ef4c: d1207917 ldw r4,-32284(gp) -8111ef50: 01604574 movhi r5,33045 -8111ef54: 29739104 addi r5,r5,-12732 -8111ef58: 112b5e00 call 8112b5e0 -8111ef5c: 81800383 ldbu r6,14(r16) -8111ef60: d1207917 ldw r4,-32284(gp) -8111ef64: 01604574 movhi r5,33045 -8111ef68: 29739704 addi r5,r5,-12708 -8111ef6c: 112b5e00 call 8112b5e0 -8111ef70: 818003c3 ldbu r6,15(r16) -8111ef74: d1207917 ldw r4,-32284(gp) -8111ef78: 01604574 movhi r5,33045 -8111ef7c: 29739d04 addi r5,r5,-12684 -8111ef80: 112b5e00 call 8112b5e0 -8111ef84: 81800003 ldbu r6,0(r16) -8111ef88: d1207917 ldw r4,-32284(gp) -8111ef8c: 01604574 movhi r5,33045 -8111ef90: 2973a304 addi r5,r5,-12660 -8111ef94: 112b5e00 call 8112b5e0 -8111ef98: 81800043 ldbu r6,1(r16) -8111ef9c: d1207917 ldw r4,-32284(gp) -8111efa0: 01604574 movhi r5,33045 -8111efa4: 2973a904 addi r5,r5,-12636 -8111efa8: 112b5e00 call 8112b5e0 -8111efac: 81800083 ldbu r6,2(r16) -8111efb0: d1207917 ldw r4,-32284(gp) -8111efb4: 01604574 movhi r5,33045 -8111efb8: 2973af04 addi r5,r5,-12612 -8111efbc: 112b5e00 call 8112b5e0 -8111efc0: 818000c3 ldbu r6,3(r16) -8111efc4: d1207917 ldw r4,-32284(gp) -8111efc8: 01604574 movhi r5,33045 -8111efcc: 2973b504 addi r5,r5,-12588 -8111efd0: 112b5e00 call 8112b5e0 -8111efd4: 81800103 ldbu r6,4(r16) -8111efd8: d1207917 ldw r4,-32284(gp) -8111efdc: 01604574 movhi r5,33045 -8111efe0: 2973bb04 addi r5,r5,-12564 -8111efe4: 112b5e00 call 8112b5e0 -8111efe8: 81800143 ldbu r6,5(r16) -8111efec: d1207917 ldw r4,-32284(gp) -8111eff0: 01604574 movhi r5,33045 -8111eff4: 2973c104 addi r5,r5,-12540 -8111eff8: 112b5e00 call 8112b5e0 -8111effc: 81800183 ldbu r6,6(r16) -8111f000: d1207917 ldw r4,-32284(gp) -8111f004: 01604574 movhi r5,33045 -8111f008: 2973c704 addi r5,r5,-12516 -8111f00c: 112b5e00 call 8112b5e0 -8111f010: d1207917 ldw r4,-32284(gp) -8111f014: 818001c3 ldbu r6,7(r16) -8111f018: 01604574 movhi r5,33045 -8111f01c: 2973cd04 addi r5,r5,-12492 -8111f020: 112b5e00 call 8112b5e0 -8111f024: d1607917 ldw r5,-32284(gp) -8111f028: 01000284 movi r4,10 -8111f02c: dfc00117 ldw ra,4(sp) -8111f030: dc000017 ldw r16,0(sp) -8111f034: dec00204 addi sp,sp,8 -8111f038: 112b6481 jmpi 8112b648 - -8111f03c : -8111f03c: 213fefc4 addi r4,r4,-65 -8111f040: 29000005 stb r4,0(r5) -8111f044: 00800044 movi r2,1 -8111f048: f800283a ret - -8111f04c : -8111f04c: deffee04 addi sp,sp,-72 -8111f050: dc400915 stw r17,36(sp) -8111f054: d4609317 ldw r17,-32180(gp) -8111f058: dfc01115 stw ra,68(sp) -8111f05c: df001015 stw fp,64(sp) -8111f060: ddc00f15 stw r23,60(sp) -8111f064: dd800e15 stw r22,56(sp) -8111f068: dd400d15 stw r21,52(sp) -8111f06c: dd000c15 stw r20,48(sp) -8111f070: dcc00b15 stw r19,44(sp) -8111f074: dc800a15 stw r18,40(sp) -8111f078: dc000815 stw r16,32(sp) -8111f07c: 00800044 movi r2,1 -8111f080: 88802f26 beq r17,r2,8111f140 -8111f084: d1e07917 ldw r7,-32284(gp) -8111f088: 01204574 movhi r4,33045 -8111f08c: 21336204 addi r4,r4,-12920 -8111f090: 018004c4 movi r6,19 -8111f094: 01400044 movi r5,1 -8111f098: 112bc4c0 call 8112bc4c -8111f09c: 00a04634 movhi r2,33048 -8111f0a0: 108f0804 addi r2,r2,15392 -8111f0a4: 00c04b04 movi r3,300 -8111f0a8: 10c0010d sth r3,4(r2) -8111f0ac: 00c23dc4 movi r3,2295 -8111f0b0: 10c0018d sth r3,6(r2) -8111f0b4: 00c46784 movi r3,4510 -8111f0b8: 10c0020d sth r3,8(r2) -8111f0bc: 00d86a04 movi r3,25000 -8111f0c0: 10c0028d sth r3,10(r2) -8111f0c4: 00c00044 movi r3,1 -8111f0c8: 10c00315 stw r3,12(r2) -8111f0cc: 00c000b4 movhi r3,2 -8111f0d0: 18eb6c04 addi r3,r3,-21072 -8111f0d4: 10c00515 stw r3,20(r2) -8111f0d8: 00c00074 movhi r3,1 -8111f0dc: 18d7e404 addi r3,r3,24464 -8111f0e0: 10c00615 stw r3,24(r2) -8111f0e4: 00c05344 movi r3,333 -8111f0e8: 10c00715 stw r3,28(r2) -8111f0ec: 00c00104 movi r3,4 -8111f0f0: 10c00805 stb r3,32(r2) -8111f0f4: 00c00c84 movi r3,50 -8111f0f8: 1000000d sth zero,0(r2) -8111f0fc: 1000008d sth zero,2(r2) -8111f100: 10000415 stw zero,16(r2) -8111f104: 10c0088d sth r3,34(r2) -8111f108: 10000905 stb zero,36(r2) -8111f10c: 0005883a mov r2,zero -8111f110: dfc01117 ldw ra,68(sp) -8111f114: df001017 ldw fp,64(sp) -8111f118: ddc00f17 ldw r23,60(sp) -8111f11c: dd800e17 ldw r22,56(sp) -8111f120: dd400d17 ldw r21,52(sp) -8111f124: dd000c17 ldw r20,48(sp) -8111f128: dcc00b17 ldw r19,44(sp) -8111f12c: dc800a17 ldw r18,40(sp) -8111f130: dc400917 ldw r17,36(sp) -8111f134: dc000817 ldw r16,32(sp) -8111f138: dec01204 addi sp,sp,72 -8111f13c: f800283a ret -8111f140: 11266340 call 81126634 -8111f144: 103fcf26 beq r2,zero,8111f084 <__reset+0xfb0ff084> -8111f148: 112663c0 call 8112663c -8111f14c: 103fcd26 beq r2,zero,8111f084 <__reset+0xfb0ff084> -8111f150: 01204574 movhi r4,33045 -8111f154: 2133d304 addi r4,r4,-12468 -8111f158: 11266780 call 81126678 -8111f15c: 143fffcc andi r16,r2,65535 -8111f160: 8420001c xori r16,r16,32768 -8111f164: 84200004 addi r16,r16,-32768 -8111f168: 8001c416 blt r16,zero,8111f87c -8111f16c: 008282b4 movhi r2,2570 -8111f170: 10828284 addi r2,r2,2570 -8111f174: 05a044b4 movhi r22,33042 -8111f178: 05204634 movhi r20,33048 -8111f17c: b5bc7604 addi r22,r22,-3624 -8111f180: a50f0804 addi r20,r20,15392 -8111f184: d8800615 stw r2,24(sp) -8111f188: d8800715 stw r2,28(sp) -8111f18c: d8800015 stw r2,0(sp) -8111f190: d8800115 stw r2,4(sp) -8111f194: d8800215 stw r2,8(sp) -8111f198: d8800315 stw r2,12(sp) -8111f19c: d8800415 stw r2,16(sp) -8111f1a0: d8800515 stw r2,20(sp) -8111f1a4: 054016c4 movi r21,91 -8111f1a8: 04800ec4 movi r18,59 -8111f1ac: 04c00284 movi r19,10 -8111f1b0: 05c009c4 movi r23,39 -8111f1b4: 8009883a mov r4,r16 -8111f1b8: 11266880 call 81126688 -8111f1bc: 10c00084 addi r3,r2,2 -8111f1c0: 18c03fcc andi r3,r3,255 -8111f1c4: a8c18136 bltu r21,r3,8111f7cc -8111f1c8: 180690ba slli r3,r3,2 -8111f1cc: 1d87883a add r3,r3,r22 -8111f1d0: 18c00017 ldw r3,0(r3) -8111f1d4: 1800683a jmp r3 -8111f1d8: 8111f364 muli r4,r16,18381 -8111f1dc: 8111f09c xori r4,r16,18370 -8111f1e0: 8111f7cc andi r4,r16,18399 -8111f1e4: 8111f7cc andi r4,r16,18399 -8111f1e8: 8111f7cc andi r4,r16,18399 -8111f1ec: 8111f7cc andi r4,r16,18399 -8111f1f0: 8111f7cc andi r4,r16,18399 -8111f1f4: 8111f7cc andi r4,r16,18399 -8111f1f8: 8111f7cc andi r4,r16,18399 -8111f1fc: 8111f7cc andi r4,r16,18399 -8111f200: 8111f7cc andi r4,r16,18399 -8111f204: 8111f7cc andi r4,r16,18399 -8111f208: 8111f1b4 orhi r4,r16,18374 -8111f20c: 8111f7cc andi r4,r16,18399 -8111f210: 8111f7cc andi r4,r16,18399 -8111f214: 8111f1b4 orhi r4,r16,18374 -8111f218: 8111f7cc andi r4,r16,18399 -8111f21c: 8111f7cc andi r4,r16,18399 -8111f220: 8111f7cc andi r4,r16,18399 -8111f224: 8111f7cc andi r4,r16,18399 -8111f228: 8111f7cc andi r4,r16,18399 -8111f22c: 8111f7cc andi r4,r16,18399 -8111f230: 8111f7cc andi r4,r16,18399 -8111f234: 8111f7cc andi r4,r16,18399 -8111f238: 8111f7cc andi r4,r16,18399 -8111f23c: 8111f7cc andi r4,r16,18399 -8111f240: 8111f7cc andi r4,r16,18399 -8111f244: 8111f7cc andi r4,r16,18399 -8111f248: 8111f7cc andi r4,r16,18399 -8111f24c: 8111f7cc andi r4,r16,18399 -8111f250: 8111f7cc andi r4,r16,18399 -8111f254: 8111f7cc andi r4,r16,18399 -8111f258: 8111f7cc andi r4,r16,18399 -8111f25c: 8111f7cc andi r4,r16,18399 -8111f260: 8111f1b4 orhi r4,r16,18374 -8111f264: 8111f7cc andi r4,r16,18399 -8111f268: 8111f7cc andi r4,r16,18399 -8111f26c: 8111f7cc andi r4,r16,18399 -8111f270: 8111f7cc andi r4,r16,18399 -8111f274: 8111f7cc andi r4,r16,18399 -8111f278: 8111f7cc andi r4,r16,18399 -8111f27c: 8111f348 cmpgei r4,r16,18381 -8111f280: 8111f7cc andi r4,r16,18399 -8111f284: 8111f7cc andi r4,r16,18399 -8111f288: 8111f7cc andi r4,r16,18399 -8111f28c: 8111f7cc andi r4,r16,18399 -8111f290: 8111f7cc andi r4,r16,18399 -8111f294: 8111f7cc andi r4,r16,18399 -8111f298: 8111f7cc andi r4,r16,18399 -8111f29c: 8111f7cc andi r4,r16,18399 -8111f2a0: 8111f7cc andi r4,r16,18399 -8111f2a4: 8111f7cc andi r4,r16,18399 -8111f2a8: 8111f7cc andi r4,r16,18399 -8111f2ac: 8111f7cc andi r4,r16,18399 -8111f2b0: 8111f7cc andi r4,r16,18399 -8111f2b4: 8111f7cc andi r4,r16,18399 -8111f2b8: 8111f7cc andi r4,r16,18399 -8111f2bc: 8111f7cc andi r4,r16,18399 -8111f2c0: 8111f7cc andi r4,r16,18399 -8111f2c4: 8111f7cc andi r4,r16,18399 -8111f2c8: 8111f7cc andi r4,r16,18399 -8111f2cc: 8111f7cc andi r4,r16,18399 -8111f2d0: 8111f6b4 orhi r4,r16,18394 -8111f2d4: 8111f7cc andi r4,r16,18399 -8111f2d8: 8111f7cc andi r4,r16,18399 -8111f2dc: 8111f7cc andi r4,r16,18399 -8111f2e0: 8111f7cc andi r4,r16,18399 -8111f2e4: 8111f7cc andi r4,r16,18399 -8111f2e8: 8111f7cc andi r4,r16,18399 -8111f2ec: 8111f660 cmpeqi r4,r16,18393 -8111f2f0: 8111f7cc andi r4,r16,18399 -8111f2f4: 8111f7cc andi r4,r16,18399 -8111f2f8: 8111f614 ori r4,r16,18392 -8111f2fc: 8111f5c8 cmpgei r4,r16,18391 -8111f300: 8111f7cc andi r4,r16,18399 -8111f304: 8111f7cc andi r4,r16,18399 -8111f308: 8111f72c andhi r4,r16,18396 -8111f30c: 8111f6e0 cmpeqi r4,r16,18395 -8111f310: 8111f778 rdprs r4,r16,18397 -8111f314: 8111f57c xorhi r4,r16,18389 -8111f318: 8111f528 cmpgeui r4,r16,18388 -8111f31c: 8111f480 call 88111f48 <__reset+0x20f1f48> -8111f320: 8111f4d4 ori r4,r16,18387 -8111f324: 8111f7cc andi r4,r16,18399 -8111f328: 8111f7cc andi r4,r16,18399 -8111f32c: 8111f42c andhi r4,r16,18384 -8111f330: 8111f3cc andi r4,r16,18383 -8111f334: 8111f7cc andi r4,r16,18399 -8111f338: 8111f7cc andi r4,r16,18399 -8111f33c: 8111f7cc andi r4,r16,18399 -8111f340: 8111f7cc andi r4,r16,18399 -8111f344: 8111f380 call 88111f38 <__reset+0x20f1f38> -8111f348: 8009883a mov r4,r16 -8111f34c: 11266880 call 81126688 -8111f350: 10803fcc andi r2,r2,255 -8111f354: 1080201c xori r2,r2,128 -8111f358: 10bfe004 addi r2,r2,-128 -8111f35c: 15fffa1e bne r2,r23,8111f348 <__reset+0xfb0ff348> -8111f360: 003f9406 br 8111f1b4 <__reset+0xfb0ff1b4> -8111f364: d1e07917 ldw r7,-32284(gp) -8111f368: 01204574 movhi r4,33045 -8111f36c: 21334104 addi r4,r4,-13052 -8111f370: 018006c4 movi r6,27 -8111f374: 01400044 movi r5,1 -8111f378: 112bc4c0 call 8112bc4c -8111f37c: 003f4706 br 8111f09c <__reset+0xfb0ff09c> -8111f380: df000604 addi fp,sp,24 -8111f384: 8009883a mov r4,r16 -8111f388: 11266880 call 81126688 -8111f38c: 11003fcc andi r4,r2,255 -8111f390: d0e00d17 ldw r3,-32716(gp) -8111f394: 2100201c xori r4,r4,128 -8111f398: 213fe004 addi r4,r4,-128 -8111f39c: 1907883a add r3,r3,r4 -8111f3a0: 18c00043 ldbu r3,1(r3) -8111f3a4: 18c0010c andi r3,r3,4 -8111f3a8: 18000226 beq r3,zero,8111f3b4 -8111f3ac: e0800005 stb r2,0(fp) -8111f3b0: e7000044 addi fp,fp,1 -8111f3b4: 24bff31e bne r4,r18,8111f384 <__reset+0xfb0ff384> -8111f3b8: d9000604 addi r4,sp,24 -8111f3bc: e4c00005 stb r19,0(fp) -8111f3c0: 112b4080 call 8112b408 -8111f3c4: a080088d sth r2,34(r20) -8111f3c8: 003f7a06 br 8111f1b4 <__reset+0xfb0ff1b4> -8111f3cc: df000604 addi fp,sp,24 -8111f3d0: 8009883a mov r4,r16 -8111f3d4: 11266880 call 81126688 -8111f3d8: 11003fcc andi r4,r2,255 -8111f3dc: d0e00d17 ldw r3,-32716(gp) -8111f3e0: 2100201c xori r4,r4,128 -8111f3e4: 213fe004 addi r4,r4,-128 -8111f3e8: 1907883a add r3,r3,r4 -8111f3ec: 18c00043 ldbu r3,1(r3) -8111f3f0: 18c0010c andi r3,r3,4 -8111f3f4: 18000226 beq r3,zero,8111f400 -8111f3f8: e0800005 stb r2,0(fp) -8111f3fc: e7000044 addi fp,fp,1 -8111f400: 24bff31e bne r4,r18,8111f3d0 <__reset+0xfb0ff3d0> -8111f404: d9000604 addi r4,sp,24 -8111f408: e4c00005 stb r19,0(fp) -8111f40c: 112b4080 call 8112b408 -8111f410: 10bfffcc andi r2,r2,65535 -8111f414: 10a0001c xori r2,r2,32768 -8111f418: 10a00004 addi r2,r2,-32768 -8111f41c: 00c00044 movi r3,1 -8111f420: 10c11d26 beq r2,r3,8111f898 -8111f424: a0000315 stw zero,12(r20) -8111f428: 003f6206 br 8111f1b4 <__reset+0xfb0ff1b4> -8111f42c: dc400604 addi r17,sp,24 -8111f430: 07000b84 movi fp,46 -8111f434: 8009883a mov r4,r16 -8111f438: 11266880 call 81126688 -8111f43c: 11003fcc andi r4,r2,255 -8111f440: d0e00d17 ldw r3,-32716(gp) -8111f444: 2100201c xori r4,r4,128 -8111f448: 213fe004 addi r4,r4,-128 -8111f44c: 1907883a add r3,r3,r4 -8111f450: 18c00043 ldbu r3,1(r3) -8111f454: 18c0010c andi r3,r3,4 -8111f458: 18000226 beq r3,zero,8111f464 -8111f45c: 88800005 stb r2,0(r17) -8111f460: 8c400044 addi r17,r17,1 -8111f464: 2700e726 beq r4,fp,8111f804 -8111f468: 24bff21e bne r4,r18,8111f434 <__reset+0xfb0ff434> -8111f46c: d9000604 addi r4,sp,24 -8111f470: 8cc00005 stb r19,0(r17) -8111f474: 112b4080 call 8112b408 -8111f478: a080028d sth r2,10(r20) -8111f47c: 003f4d06 br 8111f1b4 <__reset+0xfb0ff1b4> -8111f480: dc400604 addi r17,sp,24 -8111f484: 07000b84 movi fp,46 -8111f488: 8009883a mov r4,r16 -8111f48c: 11266880 call 81126688 -8111f490: 11003fcc andi r4,r2,255 -8111f494: d0e00d17 ldw r3,-32716(gp) -8111f498: 2100201c xori r4,r4,128 -8111f49c: 213fe004 addi r4,r4,-128 -8111f4a0: 1907883a add r3,r3,r4 -8111f4a4: 18c00043 ldbu r3,1(r3) -8111f4a8: 18c0010c andi r3,r3,4 -8111f4ac: 18000226 beq r3,zero,8111f4b8 -8111f4b0: 88800005 stb r2,0(r17) -8111f4b4: 8c400044 addi r17,r17,1 -8111f4b8: 2700cc26 beq r4,fp,8111f7ec -8111f4bc: 24bff21e bne r4,r18,8111f488 <__reset+0xfb0ff488> -8111f4c0: d9000604 addi r4,sp,24 -8111f4c4: 8cc00005 stb r19,0(r17) -8111f4c8: 112b4080 call 8112b408 -8111f4cc: a080010d sth r2,4(r20) -8111f4d0: 003f3806 br 8111f1b4 <__reset+0xfb0ff1b4> -8111f4d4: dc400604 addi r17,sp,24 -8111f4d8: 07000b84 movi fp,46 -8111f4dc: 8009883a mov r4,r16 -8111f4e0: 11266880 call 81126688 -8111f4e4: 11003fcc andi r4,r2,255 -8111f4e8: d0e00d17 ldw r3,-32716(gp) -8111f4ec: 2100201c xori r4,r4,128 -8111f4f0: 213fe004 addi r4,r4,-128 -8111f4f4: 1907883a add r3,r3,r4 -8111f4f8: 18c00043 ldbu r3,1(r3) -8111f4fc: 18c0010c andi r3,r3,4 -8111f500: 18000226 beq r3,zero,8111f50c -8111f504: 88800005 stb r2,0(r17) -8111f508: 8c400044 addi r17,r17,1 -8111f50c: 2700c326 beq r4,fp,8111f81c -8111f510: 24bff21e bne r4,r18,8111f4dc <__reset+0xfb0ff4dc> -8111f514: d9000604 addi r4,sp,24 -8111f518: 8cc00005 stb r19,0(r17) -8111f51c: 112b4080 call 8112b408 -8111f520: a080008d sth r2,2(r20) -8111f524: 003f2306 br 8111f1b4 <__reset+0xfb0ff1b4> -8111f528: dc400604 addi r17,sp,24 -8111f52c: 07000b84 movi fp,46 -8111f530: 8009883a mov r4,r16 -8111f534: 11266880 call 81126688 -8111f538: 11003fcc andi r4,r2,255 -8111f53c: d0e00d17 ldw r3,-32716(gp) -8111f540: 2100201c xori r4,r4,128 -8111f544: 213fe004 addi r4,r4,-128 -8111f548: 1907883a add r3,r3,r4 -8111f54c: 18c00043 ldbu r3,1(r3) -8111f550: 18c0010c andi r3,r3,4 -8111f554: 18000226 beq r3,zero,8111f560 -8111f558: 88800005 stb r2,0(r17) -8111f55c: 8c400044 addi r17,r17,1 -8111f560: 2700ba26 beq r4,fp,8111f84c -8111f564: 24bff21e bne r4,r18,8111f530 <__reset+0xfb0ff530> -8111f568: d9000604 addi r4,sp,24 -8111f56c: 8cc00005 stb r19,0(r17) -8111f570: 112b4080 call 8112b408 -8111f574: a080000d sth r2,0(r20) -8111f578: 003f0e06 br 8111f1b4 <__reset+0xfb0ff1b4> -8111f57c: d839883a mov fp,sp -8111f580: 8009883a mov r4,r16 -8111f584: 11266880 call 81126688 -8111f588: 11003fcc andi r4,r2,255 -8111f58c: d0e00d17 ldw r3,-32716(gp) -8111f590: 2100201c xori r4,r4,128 -8111f594: 213fe004 addi r4,r4,-128 -8111f598: 1907883a add r3,r3,r4 -8111f59c: 18c00043 ldbu r3,1(r3) -8111f5a0: 18c0010c andi r3,r3,4 -8111f5a4: 18000226 beq r3,zero,8111f5b0 -8111f5a8: e0800005 stb r2,0(fp) -8111f5ac: e7000044 addi fp,fp,1 -8111f5b0: 24bff31e bne r4,r18,8111f580 <__reset+0xfb0ff580> -8111f5b4: d809883a mov r4,sp -8111f5b8: e4c00005 stb r19,0(fp) -8111f5bc: 112b4200 call 8112b420 -8111f5c0: a0800715 stw r2,28(r20) -8111f5c4: 003efb06 br 8111f1b4 <__reset+0xfb0ff1b4> -8111f5c8: d839883a mov fp,sp -8111f5cc: 8009883a mov r4,r16 -8111f5d0: 11266880 call 81126688 -8111f5d4: 11003fcc andi r4,r2,255 -8111f5d8: d0e00d17 ldw r3,-32716(gp) -8111f5dc: 2100201c xori r4,r4,128 -8111f5e0: 213fe004 addi r4,r4,-128 -8111f5e4: 1907883a add r3,r3,r4 -8111f5e8: 18c00043 ldbu r3,1(r3) -8111f5ec: 18c0010c andi r3,r3,4 -8111f5f0: 18000226 beq r3,zero,8111f5fc -8111f5f4: e0800005 stb r2,0(fp) -8111f5f8: e7000044 addi fp,fp,1 -8111f5fc: 24bff31e bne r4,r18,8111f5cc <__reset+0xfb0ff5cc> -8111f600: d809883a mov r4,sp -8111f604: e4c00005 stb r19,0(fp) -8111f608: 112b4200 call 8112b420 -8111f60c: a0800415 stw r2,16(r20) -8111f610: 003ee806 br 8111f1b4 <__reset+0xfb0ff1b4> -8111f614: df000604 addi fp,sp,24 -8111f618: 8009883a mov r4,r16 -8111f61c: 11266880 call 81126688 -8111f620: 11003fcc andi r4,r2,255 -8111f624: d0e00d17 ldw r3,-32716(gp) -8111f628: 2100201c xori r4,r4,128 -8111f62c: 213fe004 addi r4,r4,-128 -8111f630: 1907883a add r3,r3,r4 -8111f634: 18c00043 ldbu r3,1(r3) -8111f638: 18c0010c andi r3,r3,4 -8111f63c: 18000226 beq r3,zero,8111f648 -8111f640: e0800005 stb r2,0(fp) -8111f644: e7000044 addi fp,fp,1 -8111f648: 24bff31e bne r4,r18,8111f618 <__reset+0xfb0ff618> -8111f64c: d9000604 addi r4,sp,24 -8111f650: e4c00005 stb r19,0(fp) -8111f654: 112b4080 call 8112b408 -8111f658: a0800805 stb r2,32(r20) -8111f65c: 003ed506 br 8111f1b4 <__reset+0xfb0ff1b4> -8111f660: dc400604 addi r17,sp,24 -8111f664: 07000b84 movi fp,46 -8111f668: 8009883a mov r4,r16 -8111f66c: 11266880 call 81126688 -8111f670: 11003fcc andi r4,r2,255 -8111f674: d0e00d17 ldw r3,-32716(gp) -8111f678: 2100201c xori r4,r4,128 -8111f67c: 213fe004 addi r4,r4,-128 -8111f680: 1907883a add r3,r3,r4 -8111f684: 18c00043 ldbu r3,1(r3) -8111f688: 18c0010c andi r3,r3,4 -8111f68c: 18000226 beq r3,zero,8111f698 -8111f690: 88800005 stb r2,0(r17) -8111f694: 8c400044 addi r17,r17,1 -8111f698: 27006626 beq r4,fp,8111f834 -8111f69c: 24bff21e bne r4,r18,8111f668 <__reset+0xfb0ff668> -8111f6a0: d9000604 addi r4,sp,24 -8111f6a4: 8cc00005 stb r19,0(r17) -8111f6a8: 112b4080 call 8112b408 -8111f6ac: a080018d sth r2,6(r20) -8111f6b0: 003ec006 br 8111f1b4 <__reset+0xfb0ff1b4> -8111f6b4: 8009883a mov r4,r16 -8111f6b8: 11266800 call 81126680 -8111f6bc: 1000061e bne r2,zero,8111f6d8 -8111f6c0: d1e07917 ldw r7,-32284(gp) -8111f6c4: 01204574 movhi r4,33045 -8111f6c8: 21334804 addi r4,r4,-13024 -8111f6cc: 01800784 movi r6,30 -8111f6d0: 01400044 movi r5,1 -8111f6d4: 112bc4c0 call 8112bc4c -8111f6d8: 00800044 movi r2,1 -8111f6dc: 003e8c06 br 8111f110 <__reset+0xfb0ff110> -8111f6e0: d839883a mov fp,sp -8111f6e4: 8009883a mov r4,r16 -8111f6e8: 11266880 call 81126688 -8111f6ec: 11003fcc andi r4,r2,255 -8111f6f0: d0e00d17 ldw r3,-32716(gp) -8111f6f4: 2100201c xori r4,r4,128 -8111f6f8: 213fe004 addi r4,r4,-128 -8111f6fc: 1907883a add r3,r3,r4 -8111f700: 18c00043 ldbu r3,1(r3) -8111f704: 18c0010c andi r3,r3,4 -8111f708: 18000226 beq r3,zero,8111f714 -8111f70c: e0800005 stb r2,0(fp) -8111f710: e7000044 addi fp,fp,1 -8111f714: 24bff31e bne r4,r18,8111f6e4 <__reset+0xfb0ff6e4> -8111f718: d809883a mov r4,sp -8111f71c: e4c00005 stb r19,0(fp) -8111f720: 112b4200 call 8112b420 -8111f724: a0800515 stw r2,20(r20) -8111f728: 003ea206 br 8111f1b4 <__reset+0xfb0ff1b4> -8111f72c: d839883a mov fp,sp -8111f730: 8009883a mov r4,r16 -8111f734: 11266880 call 81126688 -8111f738: 11003fcc andi r4,r2,255 -8111f73c: d0e00d17 ldw r3,-32716(gp) -8111f740: 2100201c xori r4,r4,128 -8111f744: 213fe004 addi r4,r4,-128 -8111f748: 1907883a add r3,r3,r4 -8111f74c: 18c00043 ldbu r3,1(r3) -8111f750: 18c0010c andi r3,r3,4 -8111f754: 18000226 beq r3,zero,8111f760 -8111f758: e0800005 stb r2,0(fp) -8111f75c: e7000044 addi fp,fp,1 -8111f760: 24bff31e bne r4,r18,8111f730 <__reset+0xfb0ff730> -8111f764: d809883a mov r4,sp -8111f768: e4c00005 stb r19,0(fp) -8111f76c: 112b4200 call 8112b420 -8111f770: a0800615 stw r2,24(r20) -8111f774: 003e8f06 br 8111f1b4 <__reset+0xfb0ff1b4> -8111f778: dc400604 addi r17,sp,24 -8111f77c: 07000b84 movi fp,46 -8111f780: 8009883a mov r4,r16 -8111f784: 11266880 call 81126688 -8111f788: 11003fcc andi r4,r2,255 -8111f78c: d0e00d17 ldw r3,-32716(gp) -8111f790: 2100201c xori r4,r4,128 -8111f794: 213fe004 addi r4,r4,-128 -8111f798: 1907883a add r3,r3,r4 -8111f79c: 18c00043 ldbu r3,1(r3) -8111f7a0: 18c0010c andi r3,r3,4 -8111f7a4: 18000226 beq r3,zero,8111f7b0 -8111f7a8: 88800005 stb r2,0(r17) -8111f7ac: 8c400044 addi r17,r17,1 -8111f7b0: 27002c26 beq r4,fp,8111f864 -8111f7b4: 24bff21e bne r4,r18,8111f780 <__reset+0xfb0ff780> -8111f7b8: d9000604 addi r4,sp,24 -8111f7bc: 8cc00005 stb r19,0(r17) -8111f7c0: 112b4080 call 8112b408 -8111f7c4: a080020d sth r2,8(r20) -8111f7c8: 003e7a06 br 8111f1b4 <__reset+0xfb0ff1b4> -8111f7cc: d1207917 ldw r4,-32284(gp) -8111f7d0: 11803fcc andi r6,r2,255 -8111f7d4: 01604574 movhi r5,33045 -8111f7d8: 3180201c xori r6,r6,128 -8111f7dc: 29735004 addi r5,r5,-12992 -8111f7e0: 31bfe004 addi r6,r6,-128 -8111f7e4: 112b5e00 call 8112b5e0 -8111f7e8: 003e7206 br 8111f1b4 <__reset+0xfb0ff1b4> -8111f7ec: 8cc00005 stb r19,0(r17) -8111f7f0: d9000604 addi r4,sp,24 -8111f7f4: 112b4080 call 8112b408 -8111f7f8: a080010d sth r2,4(r20) -8111f7fc: dc400604 addi r17,sp,24 -8111f800: 003f2106 br 8111f488 <__reset+0xfb0ff488> -8111f804: 8cc00005 stb r19,0(r17) -8111f808: d9000604 addi r4,sp,24 -8111f80c: 112b4080 call 8112b408 -8111f810: a080028d sth r2,10(r20) -8111f814: dc400604 addi r17,sp,24 -8111f818: 003f0606 br 8111f434 <__reset+0xfb0ff434> -8111f81c: 8cc00005 stb r19,0(r17) -8111f820: d9000604 addi r4,sp,24 -8111f824: 112b4080 call 8112b408 -8111f828: a080008d sth r2,2(r20) -8111f82c: dc400604 addi r17,sp,24 -8111f830: 003f2a06 br 8111f4dc <__reset+0xfb0ff4dc> -8111f834: 8cc00005 stb r19,0(r17) -8111f838: d9000604 addi r4,sp,24 -8111f83c: 112b4080 call 8112b408 -8111f840: a080018d sth r2,6(r20) -8111f844: dc400604 addi r17,sp,24 -8111f848: 003f8706 br 8111f668 <__reset+0xfb0ff668> -8111f84c: 8cc00005 stb r19,0(r17) -8111f850: d9000604 addi r4,sp,24 -8111f854: 112b4080 call 8112b408 -8111f858: a080000d sth r2,0(r20) -8111f85c: dc400604 addi r17,sp,24 -8111f860: 003f3306 br 8111f530 <__reset+0xfb0ff530> -8111f864: 8cc00005 stb r19,0(r17) -8111f868: d9000604 addi r4,sp,24 -8111f86c: 112b4080 call 8112b408 -8111f870: a080020d sth r2,8(r20) -8111f874: dc400604 addi r17,sp,24 -8111f878: 003fc106 br 8111f780 <__reset+0xfb0ff780> -8111f87c: d1e07917 ldw r7,-32284(gp) -8111f880: 01204574 movhi r4,33045 -8111f884: 21335b04 addi r4,r4,-12948 -8111f888: 01800604 movi r6,24 -8111f88c: 880b883a mov r5,r17 -8111f890: 112bc4c0 call 8112bc4c -8111f894: 003e0106 br 8111f09c <__reset+0xfb0ff09c> -8111f898: a0c00315 stw r3,12(r20) -8111f89c: 003e4506 br 8111f1b4 <__reset+0xfb0ff1b4> - -8111f8a0 : -8111f8a0: 00a04634 movhi r2,33048 -8111f8a4: 108f1204 addi r2,r2,15432 -8111f8a8: 00c00044 movi r3,1 -8111f8ac: 02109a04 movi r8,17000 -8111f8b0: 10c004c5 stb r3,19(r2) -8111f8b4: 10c00505 stb r3,20(r2) -8111f8b8: 10c00545 stb r3,21(r2) -8111f8bc: 10c00585 stb r3,22(r2) -8111f8c0: 10c005c5 stb r3,23(r2) -8111f8c4: 00c01c04 movi r3,112 -8111f8c8: 013fffc4 movi r4,-1 -8111f8cc: 01fff004 movi r7,-64 -8111f8d0: 01bfea04 movi r6,-88 -8111f8d4: 01400444 movi r5,17 -8111f8d8: 1200000d sth r8,0(r2) -8111f8dc: 10c00605 stb r3,24(r2) -8111f8e0: 02000284 movi r8,10 -8111f8e4: 00c00184 movi r3,6 -8111f8e8: 10000115 stw zero,4(r2) -8111f8ec: 11c00205 stb r7,8(r2) -8111f8f0: 11800245 stb r6,9(r2) -8111f8f4: 11400285 stb r5,10(r2) -8111f8f8: 120002c5 stb r8,11(r2) -8111f8fc: 11000305 stb r4,12(r2) -8111f900: 11000345 stb r4,13(r2) -8111f904: 11000385 stb r4,14(r2) -8111f908: 100003c5 stb zero,15(r2) -8111f90c: 11c00405 stb r7,16(r2) -8111f910: 11800445 stb r6,17(r2) -8111f914: 11400485 stb r5,18(r2) -8111f918: 10c00645 stb r3,25(r2) -8111f91c: 10000685 stb zero,26(r2) -8111f920: f800283a ret - -8111f924 : -8111f924: defff404 addi sp,sp,-48 -8111f928: dc400315 stw r17,12(sp) -8111f92c: d4609317 ldw r17,-32180(gp) -8111f930: dfc00b15 stw ra,44(sp) -8111f934: df000a15 stw fp,40(sp) -8111f938: ddc00915 stw r23,36(sp) -8111f93c: dd800815 stw r22,32(sp) -8111f940: dd400715 stw r21,28(sp) -8111f944: dd000615 stw r20,24(sp) -8111f948: dcc00515 stw r19,20(sp) -8111f94c: dc800415 stw r18,16(sp) -8111f950: dc000215 stw r16,8(sp) -8111f954: 00800044 movi r2,1 -8111f958: 88801a26 beq r17,r2,8111f9c4 -8111f95c: 00a04634 movhi r2,33048 -8111f960: 108f0804 addi r2,r2,15392 -8111f964: 10800803 ldbu r2,32(r2) -8111f968: 00c001c4 movi r3,7 -8111f96c: 18800e2e bgeu r3,r2,8111f9a8 -8111f970: 111f8a00 call 8111f8a0 -8111f974: 0005883a mov r2,zero -8111f978: dfc00b17 ldw ra,44(sp) -8111f97c: df000a17 ldw fp,40(sp) -8111f980: ddc00917 ldw r23,36(sp) -8111f984: dd800817 ldw r22,32(sp) -8111f988: dd400717 ldw r21,28(sp) -8111f98c: dd000617 ldw r20,24(sp) -8111f990: dcc00517 ldw r19,20(sp) -8111f994: dc800417 ldw r18,16(sp) -8111f998: dc400317 ldw r17,12(sp) -8111f99c: dc000217 ldw r16,8(sp) -8111f9a0: dec00c04 addi sp,sp,48 -8111f9a4: f800283a ret -8111f9a8: d1e07917 ldw r7,-32284(gp) -8111f9ac: 01204574 movhi r4,33045 -8111f9b0: 21336204 addi r4,r4,-12920 -8111f9b4: 018004c4 movi r6,19 -8111f9b8: 01400044 movi r5,1 -8111f9bc: 112bc4c0 call 8112bc4c -8111f9c0: 003feb06 br 8111f970 <__reset+0xfb0ff970> -8111f9c4: 11266340 call 81126634 -8111f9c8: 103fe426 beq r2,zero,8111f95c <__reset+0xfb0ff95c> -8111f9cc: 112663c0 call 8112663c -8111f9d0: 103fe226 beq r2,zero,8111f95c <__reset+0xfb0ff95c> -8111f9d4: 01204574 movhi r4,33045 -8111f9d8: 2133d604 addi r4,r4,-12456 -8111f9dc: 11266780 call 81126678 -8111f9e0: 143fffcc andi r16,r2,65535 -8111f9e4: 8420001c xori r16,r16,32768 -8111f9e8: 84200004 addi r16,r16,-32768 -8111f9ec: 80018a16 blt r16,zero,81120018 -8111f9f0: 008282b4 movhi r2,2570 -8111f9f4: 10828284 addi r2,r2,2570 -8111f9f8: 05a044b4 movhi r22,33042 -8111f9fc: 04e04634 movhi r19,33048 -8111fa00: b5be9004 addi r22,r22,-1472 -8111fa04: 9ccf1204 addi r19,r19,15432 -8111fa08: d8800015 stw r2,0(sp) -8111fa0c: d8800115 stw r2,4(sp) -8111fa10: 05401544 movi r21,85 -8111fa14: 04400ec4 movi r17,59 -8111fa18: 04800284 movi r18,10 -8111fa1c: 8009883a mov r4,r16 -8111fa20: 11266880 call 81126688 -8111fa24: 10800084 addi r2,r2,2 -8111fa28: 10803fcc andi r2,r2,255 -8111fa2c: a8812a36 bltu r21,r2,8111fed8 -8111fa30: 100490ba slli r2,r2,2 -8111fa34: 1585883a add r2,r2,r22 -8111fa38: 10800017 ldw r2,0(r2) -8111fa3c: 1000683a jmp r2 -8111fa40: 8111fdb4 orhi r4,r16,18422 -8111fa44: 8111f970 cmpltui r4,r16,18405 -8111fa48: 8111fed8 cmpnei r4,r16,18427 -8111fa4c: 8111fed8 cmpnei r4,r16,18427 -8111fa50: 8111fed8 cmpnei r4,r16,18427 -8111fa54: 8111fed8 cmpnei r4,r16,18427 -8111fa58: 8111fed8 cmpnei r4,r16,18427 -8111fa5c: 8111fed8 cmpnei r4,r16,18427 -8111fa60: 8111fed8 cmpnei r4,r16,18427 -8111fa64: 8111fed8 cmpnei r4,r16,18427 -8111fa68: 8111fed8 cmpnei r4,r16,18427 -8111fa6c: 8111fed8 cmpnei r4,r16,18427 -8111fa70: 8111fa1c xori r4,r16,18408 -8111fa74: 8111fed8 cmpnei r4,r16,18427 -8111fa78: 8111fed8 cmpnei r4,r16,18427 -8111fa7c: 8111fa1c xori r4,r16,18408 -8111fa80: 8111fed8 cmpnei r4,r16,18427 -8111fa84: 8111fed8 cmpnei r4,r16,18427 -8111fa88: 8111fed8 cmpnei r4,r16,18427 -8111fa8c: 8111fed8 cmpnei r4,r16,18427 -8111fa90: 8111fed8 cmpnei r4,r16,18427 -8111fa94: 8111fed8 cmpnei r4,r16,18427 -8111fa98: 8111fed8 cmpnei r4,r16,18427 -8111fa9c: 8111fed8 cmpnei r4,r16,18427 -8111faa0: 8111fed8 cmpnei r4,r16,18427 -8111faa4: 8111fed8 cmpnei r4,r16,18427 -8111faa8: 8111fed8 cmpnei r4,r16,18427 -8111faac: 8111fed8 cmpnei r4,r16,18427 -8111fab0: 8111fed8 cmpnei r4,r16,18427 -8111fab4: 8111fed8 cmpnei r4,r16,18427 -8111fab8: 8111fed8 cmpnei r4,r16,18427 -8111fabc: 8111fed8 cmpnei r4,r16,18427 -8111fac0: 8111fed8 cmpnei r4,r16,18427 -8111fac4: 8111fed8 cmpnei r4,r16,18427 -8111fac8: 8111fa1c xori r4,r16,18408 -8111facc: 8111fed8 cmpnei r4,r16,18427 -8111fad0: 8111fed8 cmpnei r4,r16,18427 -8111fad4: 8111fed8 cmpnei r4,r16,18427 -8111fad8: 8111fed8 cmpnei r4,r16,18427 -8111fadc: 8111fed8 cmpnei r4,r16,18427 -8111fae0: 8111fed8 cmpnei r4,r16,18427 -8111fae4: 8111fd7c xorhi r4,r16,18421 -8111fae8: 8111fed8 cmpnei r4,r16,18427 -8111faec: 8111fed8 cmpnei r4,r16,18427 -8111faf0: 8111fed8 cmpnei r4,r16,18427 -8111faf4: 8111fed8 cmpnei r4,r16,18427 -8111faf8: 8111fed8 cmpnei r4,r16,18427 -8111fafc: 8111fed8 cmpnei r4,r16,18427 -8111fb00: 8111fed8 cmpnei r4,r16,18427 -8111fb04: 8111fed8 cmpnei r4,r16,18427 -8111fb08: 8111fed8 cmpnei r4,r16,18427 -8111fb0c: 8111fed8 cmpnei r4,r16,18427 -8111fb10: 8111fed8 cmpnei r4,r16,18427 -8111fb14: 8111fed8 cmpnei r4,r16,18427 -8111fb18: 8111fed8 cmpnei r4,r16,18427 -8111fb1c: 8111fed8 cmpnei r4,r16,18427 -8111fb20: 8111fed8 cmpnei r4,r16,18427 -8111fb24: 8111fed8 cmpnei r4,r16,18427 -8111fb28: 8111fed8 cmpnei r4,r16,18427 -8111fb2c: 8111fed8 cmpnei r4,r16,18427 -8111fb30: 8111fed8 cmpnei r4,r16,18427 -8111fb34: 8111fed8 cmpnei r4,r16,18427 -8111fb38: 8111fe30 cmpltui r4,r16,18424 -8111fb3c: 8111fed8 cmpnei r4,r16,18427 -8111fb40: 8111fed8 cmpnei r4,r16,18427 -8111fb44: 8111fed8 cmpnei r4,r16,18427 -8111fb48: 8111fed8 cmpnei r4,r16,18427 -8111fb4c: 8111fde4 muli r4,r16,18423 -8111fb50: 8111fed8 cmpnei r4,r16,18427 -8111fb54: 8111fed8 cmpnei r4,r16,18427 -8111fb58: 8111fe70 cmpltui r4,r16,18425 -8111fb5c: 8111fed8 cmpnei r4,r16,18427 -8111fb60: 8111fed8 cmpnei r4,r16,18427 -8111fb64: 8111fd14 ori r4,r16,18420 -8111fb68: 8111fcb4 orhi r4,r16,18418 -8111fb6c: 8111fc4c andi r4,r16,18417 -8111fb70: 8111fed8 cmpnei r4,r16,18427 -8111fb74: 8111fed8 cmpnei r4,r16,18427 -8111fb78: 8111fed8 cmpnei r4,r16,18427 -8111fb7c: 8111fed8 cmpnei r4,r16,18427 -8111fb80: 8111fed8 cmpnei r4,r16,18427 -8111fb84: 8111fed8 cmpnei r4,r16,18427 -8111fb88: 8111fc00 call 88111fc0 <__reset+0x20f1fc0> -8111fb8c: 8111fed8 cmpnei r4,r16,18427 -8111fb90: 8111fed8 cmpnei r4,r16,18427 -8111fb94: 8111fb98 cmpnei r4,r16,18414 -8111fb98: d839883a mov fp,sp -8111fb9c: 0029883a mov r20,zero -8111fba0: 05c00b84 movi r23,46 -8111fba4: 8009883a mov r4,r16 -8111fba8: 11266880 call 81126688 -8111fbac: 11003fcc andi r4,r2,255 -8111fbb0: d0e00d17 ldw r3,-32716(gp) -8111fbb4: 2100201c xori r4,r4,128 -8111fbb8: 213fe004 addi r4,r4,-128 -8111fbbc: 1907883a add r3,r3,r4 -8111fbc0: 18c00043 ldbu r3,1(r3) -8111fbc4: 18c0010c andi r3,r3,4 -8111fbc8: 18000226 beq r3,zero,8111fbd4 -8111fbcc: e0800005 stb r2,0(fp) -8111fbd0: e7000044 addi fp,fp,1 -8111fbd4: 25c0d626 beq r4,r23,8111ff30 -8111fbd8: 247ff21e bne r4,r17,8111fba4 <__reset+0xfb0ffba4> -8111fbdc: e4800005 stb r18,0(fp) -8111fbe0: a5003fcc andi r20,r20,255 -8111fbe4: 00800084 movi r2,2 -8111fbe8: 15012336 bltu r2,r20,81120078 -8111fbec: d809883a mov r4,sp -8111fbf0: 112b4080 call 8112b408 -8111fbf4: 9d29883a add r20,r19,r20 -8111fbf8: a0800305 stb r2,12(r20) -8111fbfc: 003f8706 br 8111fa1c <__reset+0xfb0ffa1c> -8111fc00: d829883a mov r20,sp -8111fc04: 8009883a mov r4,r16 -8111fc08: 11266880 call 81126688 -8111fc0c: 11003fcc andi r4,r2,255 -8111fc10: d0e00d17 ldw r3,-32716(gp) -8111fc14: 2100201c xori r4,r4,128 -8111fc18: 213fe004 addi r4,r4,-128 -8111fc1c: 1907883a add r3,r3,r4 -8111fc20: 18c00043 ldbu r3,1(r3) -8111fc24: 18c0010c andi r3,r3,4 -8111fc28: 18000226 beq r3,zero,8111fc34 -8111fc2c: a0800005 stb r2,0(r20) -8111fc30: a5000044 addi r20,r20,1 -8111fc34: 247ff31e bne r4,r17,8111fc04 <__reset+0xfb0ffc04> -8111fc38: d809883a mov r4,sp -8111fc3c: a4800005 stb r18,0(r20) -8111fc40: 112b4080 call 8112b408 -8111fc44: 9880000d sth r2,0(r19) -8111fc48: 003f7406 br 8111fa1c <__reset+0xfb0ffa1c> -8111fc4c: d839883a mov fp,sp -8111fc50: 0029883a mov r20,zero -8111fc54: 05c00b84 movi r23,46 -8111fc58: 8009883a mov r4,r16 -8111fc5c: 11266880 call 81126688 -8111fc60: 11003fcc andi r4,r2,255 -8111fc64: d0e00d17 ldw r3,-32716(gp) -8111fc68: 2100201c xori r4,r4,128 -8111fc6c: 213fe004 addi r4,r4,-128 -8111fc70: 1907883a add r3,r3,r4 -8111fc74: 18c00043 ldbu r3,1(r3) -8111fc78: 18c0010c andi r3,r3,4 -8111fc7c: 18000226 beq r3,zero,8111fc88 -8111fc80: e0800005 stb r2,0(fp) -8111fc84: e7000044 addi fp,fp,1 -8111fc88: 25c09f26 beq r4,r23,8111ff08 -8111fc8c: 247ff21e bne r4,r17,8111fc58 <__reset+0xfb0ffc58> -8111fc90: e4800005 stb r18,0(fp) -8111fc94: a5003fcc andi r20,r20,255 -8111fc98: 00800084 movi r2,2 -8111fc9c: 1500ea36 bltu r2,r20,81120048 -8111fca0: d809883a mov r4,sp -8111fca4: 112b4080 call 8112b408 -8111fca8: 9d29883a add r20,r19,r20 -8111fcac: a0800205 stb r2,8(r20) -8111fcb0: 003f5a06 br 8111fa1c <__reset+0xfb0ffa1c> -8111fcb4: d829883a mov r20,sp -8111fcb8: 8009883a mov r4,r16 -8111fcbc: 11266880 call 81126688 -8111fcc0: 11003fcc andi r4,r2,255 -8111fcc4: d0e00d17 ldw r3,-32716(gp) -8111fcc8: 2100201c xori r4,r4,128 -8111fccc: 213fe004 addi r4,r4,-128 -8111fcd0: 1907883a add r3,r3,r4 -8111fcd4: 18c00043 ldbu r3,1(r3) -8111fcd8: 18c0010c andi r3,r3,4 -8111fcdc: 18000226 beq r3,zero,8111fce8 -8111fce0: a0800005 stb r2,0(r20) -8111fce4: a5000044 addi r20,r20,1 -8111fce8: 247ff31e bne r4,r17,8111fcb8 <__reset+0xfb0ffcb8> -8111fcec: d809883a mov r4,sp -8111fcf0: a4800005 stb r18,0(r20) -8111fcf4: 112b4080 call 8112b408 -8111fcf8: 10bfffcc andi r2,r2,65535 -8111fcfc: 10a0001c xori r2,r2,32768 -8111fd00: 10a00004 addi r2,r2,-32768 -8111fd04: 00c00044 movi r3,1 -8111fd08: 10c0df26 beq r2,r3,81120088 -8111fd0c: 98000115 stw zero,4(r19) -8111fd10: 003f4206 br 8111fa1c <__reset+0xfb0ffa1c> -8111fd14: d839883a mov fp,sp -8111fd18: 0029883a mov r20,zero -8111fd1c: 05c00b84 movi r23,46 -8111fd20: 8009883a mov r4,r16 -8111fd24: 11266880 call 81126688 -8111fd28: 11003fcc andi r4,r2,255 -8111fd2c: d0e00d17 ldw r3,-32716(gp) -8111fd30: 2100201c xori r4,r4,128 -8111fd34: 213fe004 addi r4,r4,-128 -8111fd38: 1907883a add r3,r3,r4 -8111fd3c: 18c00043 ldbu r3,1(r3) -8111fd40: 18c0010c andi r3,r3,4 -8111fd44: 18000226 beq r3,zero,8111fd50 -8111fd48: e0800005 stb r2,0(fp) -8111fd4c: e7000044 addi fp,fp,1 -8111fd50: 25c08126 beq r4,r23,8111ff58 -8111fd54: 247ff21e bne r4,r17,8111fd20 <__reset+0xfb0ffd20> -8111fd58: e4800005 stb r18,0(fp) -8111fd5c: a5003fcc andi r20,r20,255 -8111fd60: 00800084 movi r2,2 -8111fd64: 1500bc36 bltu r2,r20,81120058 -8111fd68: d809883a mov r4,sp -8111fd6c: 112b4080 call 8112b408 -8111fd70: 9d29883a add r20,r19,r20 -8111fd74: a0800405 stb r2,16(r20) -8111fd78: 003f2806 br 8111fa1c <__reset+0xfb0ffa1c> -8111fd7c: 8009883a mov r4,r16 -8111fd80: 11266880 call 81126688 -8111fd84: 10803fcc andi r2,r2,255 -8111fd88: 1080201c xori r2,r2,128 -8111fd8c: 10bfe004 addi r2,r2,-128 -8111fd90: 050009c4 movi r20,39 -8111fd94: 153f2126 beq r2,r20,8111fa1c <__reset+0xfb0ffa1c> -8111fd98: 8009883a mov r4,r16 -8111fd9c: 11266880 call 81126688 -8111fda0: 10803fcc andi r2,r2,255 -8111fda4: 1080201c xori r2,r2,128 -8111fda8: 10bfe004 addi r2,r2,-128 -8111fdac: 153ffa1e bne r2,r20,8111fd98 <__reset+0xfb0ffd98> -8111fdb0: 003f1a06 br 8111fa1c <__reset+0xfb0ffa1c> -8111fdb4: 00a04634 movhi r2,33048 -8111fdb8: 108f0804 addi r2,r2,15392 -8111fdbc: 10800803 ldbu r2,32(r2) -8111fdc0: 00c001c4 movi r3,7 -8111fdc4: 18beea36 bltu r3,r2,8111f970 <__reset+0xfb0ff970> -8111fdc8: d1e07917 ldw r7,-32284(gp) -8111fdcc: 01204574 movhi r4,33045 -8111fdd0: 21334104 addi r4,r4,-13052 -8111fdd4: 018006c4 movi r6,27 -8111fdd8: 01400044 movi r5,1 -8111fddc: 112bc4c0 call 8112bc4c -8111fde0: 003ee306 br 8111f970 <__reset+0xfb0ff970> -8111fde4: d829883a mov r20,sp -8111fde8: 8009883a mov r4,r16 -8111fdec: 11266880 call 81126688 -8111fdf0: 11003fcc andi r4,r2,255 -8111fdf4: d0e00d17 ldw r3,-32716(gp) -8111fdf8: 2100201c xori r4,r4,128 -8111fdfc: 213fe004 addi r4,r4,-128 -8111fe00: 1907883a add r3,r3,r4 -8111fe04: 18c00043 ldbu r3,1(r3) -8111fe08: 18c0010c andi r3,r3,4 -8111fe0c: 18000226 beq r3,zero,8111fe18 -8111fe10: a0800005 stb r2,0(r20) -8111fe14: a5000044 addi r20,r20,1 -8111fe18: 247ff31e bne r4,r17,8111fde8 <__reset+0xfb0ffde8> -8111fe1c: d809883a mov r4,sp -8111fe20: a4800005 stb r18,0(r20) -8111fe24: 112b4080 call 8112b408 -8111fe28: 98800605 stb r2,24(r19) -8111fe2c: 003efb06 br 8111fa1c <__reset+0xfb0ffa1c> -8111fe30: 8009883a mov r4,r16 -8111fe34: 11266800 call 81126680 -8111fe38: 10000b1e bne r2,zero,8111fe68 -8111fe3c: 00a04634 movhi r2,33048 -8111fe40: 108f0804 addi r2,r2,15392 -8111fe44: 10800803 ldbu r2,32(r2) -8111fe48: 00c001c4 movi r3,7 -8111fe4c: 18800636 bltu r3,r2,8111fe68 -8111fe50: d1e07917 ldw r7,-32284(gp) -8111fe54: 01204574 movhi r4,33045 -8111fe58: 21334804 addi r4,r4,-13024 -8111fe5c: 01800784 movi r6,30 -8111fe60: 01400044 movi r5,1 -8111fe64: 112bc4c0 call 8112bc4c -8111fe68: 00800044 movi r2,1 -8111fe6c: 003ec206 br 8111f978 <__reset+0xfb0ff978> -8111fe70: d839883a mov fp,sp -8111fe74: 0029883a mov r20,zero -8111fe78: 05c00b84 movi r23,46 -8111fe7c: 8009883a mov r4,r16 -8111fe80: 11266880 call 81126688 -8111fe84: 11003fcc andi r4,r2,255 -8111fe88: d0e00d17 ldw r3,-32716(gp) -8111fe8c: 2100201c xori r4,r4,128 -8111fe90: 213fe004 addi r4,r4,-128 -8111fe94: 1907883a add r3,r3,r4 -8111fe98: 18c00043 ldbu r3,1(r3) -8111fe9c: 18c0010c andi r3,r3,4 -8111fea0: 18000226 beq r3,zero,8111feac -8111fea4: e0800005 stb r2,0(fp) -8111fea8: e7000044 addi fp,fp,1 -8111feac: 25c03426 beq r4,r23,8111ff80 -8111feb0: 247ff21e bne r4,r17,8111fe7c <__reset+0xfb0ffe7c> -8111feb4: e4800005 stb r18,0(fp) -8111feb8: a5003fcc andi r20,r20,255 -8111febc: 00800084 movi r2,2 -8111fec0: 15006936 bltu r2,r20,81120068 -8111fec4: d809883a mov r4,sp -8111fec8: 112b4080 call 8112b408 -8111fecc: 9d29883a add r20,r19,r20 -8111fed0: a0800505 stb r2,20(r20) -8111fed4: 003ed106 br 8111fa1c <__reset+0xfb0ffa1c> -8111fed8: 00e04634 movhi r3,33048 -8111fedc: 18cf0804 addi r3,r3,15392 -8111fee0: 18800803 ldbu r2,32(r3) -8111fee4: 00c001c4 movi r3,7 -8111fee8: 18becc36 bltu r3,r2,8111fa1c <__reset+0xfb0ffa1c> -8111feec: d1e07917 ldw r7,-32284(gp) -8111fef0: 01204574 movhi r4,33045 -8111fef4: 2133d904 addi r4,r4,-12444 -8111fef8: 01800844 movi r6,33 -8111fefc: 01400044 movi r5,1 -8111ff00: 112bc4c0 call 8112bc4c -8111ff04: 003ec506 br 8111fa1c <__reset+0xfb0ffa1c> -8111ff08: e4800005 stb r18,0(fp) -8111ff0c: 00800084 movi r2,2 -8111ff10: a7003fcc andi fp,r20,255 -8111ff14: 1700242e bgeu r2,fp,8111ffa8 -8111ff18: d809883a mov r4,sp -8111ff1c: 112b4080 call 8112b408 -8111ff20: 988002c5 stb r2,11(r19) -8111ff24: a5000044 addi r20,r20,1 -8111ff28: d839883a mov fp,sp -8111ff2c: 003f4a06 br 8111fc58 <__reset+0xfb0ffc58> -8111ff30: e4800005 stb r18,0(fp) -8111ff34: 00800084 movi r2,2 -8111ff38: a7003fcc andi fp,r20,255 -8111ff3c: 1700212e bgeu r2,fp,8111ffc4 -8111ff40: d809883a mov r4,sp -8111ff44: 112b4080 call 8112b408 -8111ff48: 988003c5 stb r2,15(r19) -8111ff4c: a5000044 addi r20,r20,1 -8111ff50: d839883a mov fp,sp -8111ff54: 003f1306 br 8111fba4 <__reset+0xfb0ffba4> -8111ff58: e4800005 stb r18,0(fp) -8111ff5c: 00c00084 movi r3,2 -8111ff60: a7003fcc andi fp,r20,255 -8111ff64: 1f001e2e bgeu r3,fp,8111ffe0 -8111ff68: d809883a mov r4,sp -8111ff6c: 112b4080 call 8112b408 -8111ff70: 988004c5 stb r2,19(r19) -8111ff74: a5000044 addi r20,r20,1 -8111ff78: d839883a mov fp,sp -8111ff7c: 003f6806 br 8111fd20 <__reset+0xfb0ffd20> -8111ff80: e4800005 stb r18,0(fp) -8111ff84: 00c00084 movi r3,2 -8111ff88: a7003fcc andi fp,r20,255 -8111ff8c: 1f001b2e bgeu r3,fp,8111fffc -8111ff90: d809883a mov r4,sp -8111ff94: 112b4080 call 8112b408 -8111ff98: 988005c5 stb r2,23(r19) -8111ff9c: a5000044 addi r20,r20,1 -8111ffa0: d839883a mov fp,sp -8111ffa4: 003fb506 br 8111fe7c <__reset+0xfb0ffe7c> +8111e30c: 00c00084 movi r3,2 +8111e310: d0e0800d sth r3,-32256(gp) +8111e314: 003fd806 br 8111e278 <__reset+0xfb0fe278> + +8111e318 : +8111e318: d0a0800b ldhu r2,-32256(gp) +8111e31c: 00ffffd4 movui r3,65535 +8111e320: 10bfffcc andi r2,r2,65535 +8111e324: 10c00526 beq r2,r3,8111e33c +8111e328: d0a0800b ldhu r2,-32256(gp) +8111e32c: 10800044 addi r2,r2,1 +8111e330: d0a0800d sth r2,-32256(gp) +8111e334: d0a0800b ldhu r2,-32256(gp) +8111e338: f800283a ret +8111e33c: 00800084 movi r2,2 +8111e340: d0a0800d sth r2,-32256(gp) +8111e344: d0a0800b ldhu r2,-32256(gp) +8111e348: f800283a ret + +8111e34c : +8111e34c: defffe04 addi sp,sp,-8 +8111e350: d800000d sth zero,0(sp) +8111e354: d9400005 stb r5,0(sp) +8111e358: d80b883a mov r5,sp +8111e35c: dfc00115 stw ra,4(sp) +8111e360: 112d8600 call 8112d860 +8111e364: dfc00117 ldw ra,4(sp) +8111e368: dec00204 addi sp,sp,8 +8111e36c: f800283a ret + +8111e370 : +8111e370: d1207f17 ldw r4,-32260(gp) +8111e374: deffff04 addi sp,sp,-4 +8111e378: dfc00015 stw ra,0(sp) +8111e37c: 11437dc0 call 811437dc +8111e380: 10803fcc andi r2,r2,255 +8111e384: 1000031e bne r2,zero,8111e394 +8111e388: dfc00017 ldw ra,0(sp) +8111e38c: dec00104 addi sp,sp,4 +8111e390: f800283a ret +8111e394: dfc00017 ldw ra,0(sp) +8111e398: dec00104 addi sp,sp,4 +8111e39c: 1124af81 jmpi 81124af8 + +8111e3a0 : +8111e3a0: deffe404 addi sp,sp,-112 +8111e3a4: dc001415 stw r16,80(sp) +8111e3a8: dc001c83 ldbu r16,114(sp) +8111e3ac: d9001815 stw r4,96(sp) +8111e3b0: d9401915 stw r5,100(sp) +8111e3b4: d9801a15 stw r6,104(sp) +8111e3b8: 000b883a mov r5,zero +8111e3bc: 01800f04 movi r6,60 +8111e3c0: d9000504 addi r4,sp,20 +8111e3c4: dfc01715 stw ra,92(sp) +8111e3c8: dc801615 stw r18,88(sp) +8111e3cc: dc401515 stw r17,84(sp) +8111e3d0: d9c01b15 stw r7,108(sp) +8111e3d4: d8000415 stw zero,16(sp) +8111e3d8: 112c7d00 call 8112c7d0 +8111e3dc: 0007303a rdctl r3,status +8111e3e0: 00bfff84 movi r2,-2 +8111e3e4: 1884703a and r2,r3,r2 +8111e3e8: 1001703a wrctl status,r2 +8111e3ec: d0a0800b ldhu r2,-32256(gp) +8111e3f0: 013fffd4 movui r4,65535 +8111e3f4: 10bfffcc andi r2,r2,65535 +8111e3f8: 11003e26 beq r2,r4,8111e4f4 +8111e3fc: d0a0800b ldhu r2,-32256(gp) +8111e400: 10800044 addi r2,r2,1 +8111e404: d0a0800d sth r2,-32256(gp) +8111e408: d4a0800b ldhu r18,-32256(gp) +8111e40c: 1801703a wrctl status,r3 +8111e410: d9801c0b ldhu r6,112(sp) +8111e414: d9001b8b ldhu r4,110(sp) +8111e418: d8c01b0b ldhu r3,108(sp) +8111e41c: d8801a8b ldhu r2,106(sp) +8111e420: d9c01a0b ldhu r7,104(sp) +8111e424: 01604574 movhi r5,33045 +8111e428: d9800315 stw r6,12(sp) +8111e42c: d9000215 stw r4,8(sp) +8111e430: 29732804 addi r5,r5,-13152 +8111e434: d8c00115 stw r3,4(sp) +8111e438: d8800015 stw r2,0(sp) +8111e43c: 91bfffcc andi r6,r18,65535 +8111e440: d9000404 addi r4,sp,16 +8111e444: 112d6b80 call 8112d6b8 +8111e448: 80803fcc andi r2,r16,255 +8111e44c: 10000f26 beq r2,zero,8111e48c +8111e450: 847fffc4 addi r17,r16,-1 +8111e454: 8c403fcc andi r17,r17,255 +8111e458: 8c4002c4 addi r17,r17,11 +8111e45c: 8c63883a add r17,r17,r17 +8111e460: d8801804 addi r2,sp,96 +8111e464: dc001d04 addi r16,sp,116 +8111e468: 1463883a add r17,r2,r17 +8111e46c: 81c0000b ldhu r7,0(r16) +8111e470: 01604574 movhi r5,33045 +8111e474: d9800404 addi r6,sp,16 +8111e478: 84000084 addi r16,r16,2 +8111e47c: 29732f04 addi r5,r5,-13124 +8111e480: 3009883a mov r4,r6 +8111e484: 112d6b80 call 8112d6b8 +8111e488: 847ff81e bne r16,r17,8111e46c <__reset+0xfb0fe46c> +8111e48c: d9000404 addi r4,sp,16 +8111e490: 112d8ac0 call 8112d8ac +8111e494: 100b883a mov r5,r2 +8111e498: d9000404 addi r4,sp,16 +8111e49c: 11205800 call 81120580 +8111e4a0: d9800404 addi r6,sp,16 +8111e4a4: 01604574 movhi r5,33045 +8111e4a8: 3009883a mov r4,r6 +8111e4ac: 29674b04 addi r5,r5,-25300 +8111e4b0: 11c03fcc andi r7,r2,255 +8111e4b4: 112d6b80 call 8112d6b8 +8111e4b8: 917fffcc andi r5,r18,65535 +8111e4bc: 2960001c xori r5,r5,32768 +8111e4c0: 29600004 addi r5,r5,-32768 +8111e4c4: d9000404 addi r4,sp,16 +8111e4c8: 111d66c0 call 8111d66c +8111e4cc: 00c00044 movi r3,1 +8111e4d0: 10c00226 beq r2,r3,8111e4dc +8111e4d4: d9000404 addi r4,sp,16 +8111e4d8: 1124e400 call 81124e40 +8111e4dc: dfc01717 ldw ra,92(sp) +8111e4e0: dc801617 ldw r18,88(sp) +8111e4e4: dc401517 ldw r17,84(sp) +8111e4e8: dc001417 ldw r16,80(sp) +8111e4ec: dec01c04 addi sp,sp,112 +8111e4f0: f800283a ret +8111e4f4: 00800084 movi r2,2 +8111e4f8: d0a0800d sth r2,-32256(gp) +8111e4fc: 003fc206 br 8111e408 <__reset+0xfb0fe408> + +8111e500 : +8111e500: deffd404 addi sp,sp,-176 +8111e504: dc002415 stw r16,144(sp) +8111e508: dc002c83 ldbu r16,178(sp) +8111e50c: d9002815 stw r4,160(sp) +8111e510: d9402915 stw r5,164(sp) +8111e514: d9802a15 stw r6,168(sp) +8111e518: 000b883a mov r5,zero +8111e51c: 01801f04 movi r6,124 +8111e520: d9000504 addi r4,sp,20 +8111e524: dfc02715 stw ra,156(sp) +8111e528: dc802615 stw r18,152(sp) +8111e52c: dc402515 stw r17,148(sp) +8111e530: d9c02b15 stw r7,172(sp) +8111e534: d8000415 stw zero,16(sp) +8111e538: 112c7d00 call 8112c7d0 +8111e53c: 0007303a rdctl r3,status +8111e540: 00bfff84 movi r2,-2 +8111e544: 1884703a and r2,r3,r2 +8111e548: 1001703a wrctl status,r2 +8111e54c: d0a0800b ldhu r2,-32256(gp) +8111e550: 013fffd4 movui r4,65535 +8111e554: 10bfffcc andi r2,r2,65535 +8111e558: 11003e26 beq r2,r4,8111e654 +8111e55c: d0a0800b ldhu r2,-32256(gp) +8111e560: 10800044 addi r2,r2,1 +8111e564: d0a0800d sth r2,-32256(gp) +8111e568: d4a0800b ldhu r18,-32256(gp) +8111e56c: 1801703a wrctl status,r3 +8111e570: d9802c0b ldhu r6,176(sp) +8111e574: d9002b8b ldhu r4,174(sp) +8111e578: d8c02b0b ldhu r3,172(sp) +8111e57c: d8802a8b ldhu r2,170(sp) +8111e580: d9c02a0b ldhu r7,168(sp) +8111e584: 01604574 movhi r5,33045 +8111e588: d9800315 stw r6,12(sp) +8111e58c: d9000215 stw r4,8(sp) +8111e590: 29732804 addi r5,r5,-13152 +8111e594: d8c00115 stw r3,4(sp) +8111e598: d8800015 stw r2,0(sp) +8111e59c: 91bfffcc andi r6,r18,65535 +8111e5a0: d9000404 addi r4,sp,16 +8111e5a4: 112d6b80 call 8112d6b8 +8111e5a8: 80803fcc andi r2,r16,255 +8111e5ac: 10000f26 beq r2,zero,8111e5ec +8111e5b0: 847fffc4 addi r17,r16,-1 +8111e5b4: 8c403fcc andi r17,r17,255 +8111e5b8: 8c4002c4 addi r17,r17,11 +8111e5bc: 8c63883a add r17,r17,r17 +8111e5c0: d8802804 addi r2,sp,160 +8111e5c4: dc002d04 addi r16,sp,180 +8111e5c8: 1463883a add r17,r2,r17 +8111e5cc: 81c0000b ldhu r7,0(r16) +8111e5d0: 01604574 movhi r5,33045 +8111e5d4: d9800404 addi r6,sp,16 +8111e5d8: 84000084 addi r16,r16,2 +8111e5dc: 29732f04 addi r5,r5,-13124 +8111e5e0: 3009883a mov r4,r6 +8111e5e4: 112d6b80 call 8112d6b8 +8111e5e8: 847ff81e bne r16,r17,8111e5cc <__reset+0xfb0fe5cc> +8111e5ec: d9000404 addi r4,sp,16 +8111e5f0: 112d8ac0 call 8112d8ac +8111e5f4: 100b883a mov r5,r2 +8111e5f8: d9000404 addi r4,sp,16 +8111e5fc: 11205800 call 81120580 +8111e600: d9800404 addi r6,sp,16 +8111e604: 01604574 movhi r5,33045 +8111e608: 3009883a mov r4,r6 +8111e60c: 29674b04 addi r5,r5,-25300 +8111e610: 11c03fcc andi r7,r2,255 +8111e614: 112d6b80 call 8112d6b8 +8111e618: 917fffcc andi r5,r18,65535 +8111e61c: 2960001c xori r5,r5,32768 +8111e620: 29600004 addi r5,r5,-32768 +8111e624: d9000404 addi r4,sp,16 +8111e628: 111d46c0 call 8111d46c +8111e62c: 00c00044 movi r3,1 +8111e630: 10c00226 beq r2,r3,8111e63c +8111e634: d9000404 addi r4,sp,16 +8111e638: 1124e400 call 81124e40 +8111e63c: dfc02717 ldw ra,156(sp) +8111e640: dc802617 ldw r18,152(sp) +8111e644: dc402517 ldw r17,148(sp) +8111e648: dc002417 ldw r16,144(sp) +8111e64c: dec02c04 addi sp,sp,176 +8111e650: f800283a ret +8111e654: 00800084 movi r2,2 +8111e658: d0a0800d sth r2,-32256(gp) +8111e65c: 003fc206 br 8111e568 <__reset+0xfb0fe568> + +8111e660 : +8111e660: deff7404 addi sp,sp,-560 +8111e664: dc008415 stw r16,528(sp) +8111e668: dc008c83 ldbu r16,562(sp) +8111e66c: d9008815 stw r4,544(sp) +8111e670: d9408915 stw r5,548(sp) +8111e674: d9808a15 stw r6,552(sp) +8111e678: 000b883a mov r5,zero +8111e67c: 01807f04 movi r6,508 +8111e680: d9000504 addi r4,sp,20 +8111e684: dfc08715 stw ra,540(sp) +8111e688: dc808615 stw r18,536(sp) +8111e68c: dc408515 stw r17,532(sp) +8111e690: d9c08b15 stw r7,556(sp) +8111e694: d8000415 stw zero,16(sp) +8111e698: 112c7d00 call 8112c7d0 +8111e69c: 0007303a rdctl r3,status +8111e6a0: 00bfff84 movi r2,-2 +8111e6a4: 1884703a and r2,r3,r2 +8111e6a8: 1001703a wrctl status,r2 +8111e6ac: d0a0800b ldhu r2,-32256(gp) +8111e6b0: 013fffd4 movui r4,65535 +8111e6b4: 10bfffcc andi r2,r2,65535 +8111e6b8: 11003f26 beq r2,r4,8111e7b8 +8111e6bc: d0a0800b ldhu r2,-32256(gp) +8111e6c0: 10800044 addi r2,r2,1 +8111e6c4: d0a0800d sth r2,-32256(gp) +8111e6c8: d4a0800b ldhu r18,-32256(gp) +8111e6cc: 1801703a wrctl status,r3 +8111e6d0: d9808c0b ldhu r6,560(sp) +8111e6d4: d9008b8b ldhu r4,558(sp) +8111e6d8: d8c08b0b ldhu r3,556(sp) +8111e6dc: d8808a8b ldhu r2,554(sp) +8111e6e0: d9c08a0b ldhu r7,552(sp) +8111e6e4: 01604574 movhi r5,33045 +8111e6e8: d9800315 stw r6,12(sp) +8111e6ec: d9000215 stw r4,8(sp) +8111e6f0: d8c00115 stw r3,4(sp) +8111e6f4: 29732804 addi r5,r5,-13152 +8111e6f8: d8800015 stw r2,0(sp) +8111e6fc: 91bfffcc andi r6,r18,65535 +8111e700: d9000404 addi r4,sp,16 +8111e704: 112d6b80 call 8112d6b8 +8111e708: 80803fcc andi r2,r16,255 +8111e70c: 10ffffcc andi r3,r2,65535 +8111e710: 18000f26 beq r3,zero,8111e750 +8111e714: 147fffc4 addi r17,r2,-1 +8111e718: 8c7fffcc andi r17,r17,65535 +8111e71c: 8c4002c4 addi r17,r17,11 +8111e720: 8c63883a add r17,r17,r17 +8111e724: d8808804 addi r2,sp,544 +8111e728: dc008d04 addi r16,sp,564 +8111e72c: 1463883a add r17,r2,r17 +8111e730: 81c0000b ldhu r7,0(r16) +8111e734: 01604574 movhi r5,33045 +8111e738: d9800404 addi r6,sp,16 +8111e73c: 84000084 addi r16,r16,2 +8111e740: 29732f04 addi r5,r5,-13124 +8111e744: 3009883a mov r4,r6 +8111e748: 112d6b80 call 8112d6b8 +8111e74c: 847ff81e bne r16,r17,8111e730 <__reset+0xfb0fe730> +8111e750: d9000404 addi r4,sp,16 +8111e754: 112d8ac0 call 8112d8ac +8111e758: 100b883a mov r5,r2 +8111e75c: d9000404 addi r4,sp,16 +8111e760: 11205800 call 81120580 +8111e764: d9800404 addi r6,sp,16 +8111e768: 01604574 movhi r5,33045 +8111e76c: 3009883a mov r4,r6 +8111e770: 29674b04 addi r5,r5,-25300 +8111e774: 11c03fcc andi r7,r2,255 +8111e778: 112d6b80 call 8112d6b8 +8111e77c: 917fffcc andi r5,r18,65535 +8111e780: 2960001c xori r5,r5,32768 +8111e784: 29600004 addi r5,r5,-32768 +8111e788: d9000404 addi r4,sp,16 +8111e78c: 111d2780 call 8111d278 +8111e790: 00c00044 movi r3,1 +8111e794: 10c00226 beq r2,r3,8111e7a0 +8111e798: d9000404 addi r4,sp,16 +8111e79c: 1124e400 call 81124e40 +8111e7a0: dfc08717 ldw ra,540(sp) +8111e7a4: dc808617 ldw r18,536(sp) +8111e7a8: dc408517 ldw r17,532(sp) +8111e7ac: dc008417 ldw r16,528(sp) +8111e7b0: dec08c04 addi sp,sp,560 +8111e7b4: f800283a ret +8111e7b8: 00800084 movi r2,2 +8111e7bc: d0a0800d sth r2,-32256(gp) +8111e7c0: 003fc106 br 8111e6c8 <__reset+0xfb0fe6c8> + +8111e7c4 : +8111e7c4: deff7904 addi sp,sp,-540 +8111e7c8: 00800444 movi r2,17 +8111e7cc: d900450d sth r4,276(sp) +8111e7d0: d940430d sth r5,268(sp) +8111e7d4: d980438d sth r6,270(sp) +8111e7d8: d9404504 addi r5,sp,276 +8111e7dc: d809883a mov r4,sp +8111e7e0: d880440d sth r2,272(sp) +8111e7e4: 01804104 movi r6,260 +8111e7e8: 00800084 movi r2,2 +8111e7ec: dfc08615 stw ra,536(sp) +8111e7f0: d880448d sth r2,274(sp) +8111e7f4: d8004585 stb zero,278(sp) +8111e7f8: 112c6880 call 8112c688 +8111e7fc: d9004117 ldw r4,260(sp) +8111e800: d9404217 ldw r5,264(sp) +8111e804: d9804317 ldw r6,268(sp) +8111e808: d9c04417 ldw r7,272(sp) +8111e80c: 111e3a00 call 8111e3a0 +8111e810: dfc08617 ldw ra,536(sp) +8111e814: dec08704 addi sp,sp,540 +8111e818: f800283a ret + +8111e81c : +8111e81c: 00a04634 movhi r2,33048 +8111e820: 108ef004 addi r2,r2,15296 +8111e824: 02400044 movi r9,1 +8111e828: 02000084 movi r8,2 +8111e82c: 01c000c4 movi r7,3 +8111e830: 01800104 movi r6,4 +8111e834: 01400144 movi r5,5 +8111e838: 01000184 movi r4,6 +8111e83c: 00c001c4 movi r3,7 +8111e840: 10000205 stb zero,8(r2) +8111e844: 12400245 stb r9,9(r2) +8111e848: 12000285 stb r8,10(r2) +8111e84c: 11c002c5 stb r7,11(r2) +8111e850: 11800305 stb r6,12(r2) +8111e854: 11400345 stb r5,13(r2) +8111e858: 11000385 stb r4,14(r2) +8111e85c: 10c003c5 stb r3,15(r2) +8111e860: 10000005 stb zero,0(r2) +8111e864: 12400045 stb r9,1(r2) +8111e868: 12000085 stb r8,2(r2) +8111e86c: 11c000c5 stb r7,3(r2) +8111e870: 11800105 stb r6,4(r2) +8111e874: 11400145 stb r5,5(r2) +8111e878: 11000185 stb r4,6(r2) +8111e87c: 10c001c5 stb r3,7(r2) +8111e880: f800283a ret + +8111e884 : +8111e884: defff604 addi sp,sp,-40 +8111e888: dc400115 stw r17,4(sp) +8111e88c: d4609317 ldw r17,-32180(gp) +8111e890: dfc00915 stw ra,36(sp) +8111e894: df000815 stw fp,32(sp) +8111e898: ddc00715 stw r23,28(sp) +8111e89c: dd800615 stw r22,24(sp) +8111e8a0: dd400515 stw r21,20(sp) +8111e8a4: dd000415 stw r20,16(sp) +8111e8a8: dcc00315 stw r19,12(sp) +8111e8ac: dc800215 stw r18,8(sp) +8111e8b0: dc000015 stw r16,0(sp) +8111e8b4: 00800044 movi r2,1 +8111e8b8: 88801426 beq r17,r2,8111e90c +8111e8bc: d1e07917 ldw r7,-32284(gp) +8111e8c0: 01204574 movhi r4,33045 +8111e8c4: 21337304 addi r4,r4,-12852 +8111e8c8: 018004c4 movi r6,19 +8111e8cc: 01400044 movi r5,1 +8111e8d0: 112bc8c0 call 8112bc8c +8111e8d4: 111e81c0 call 8111e81c +8111e8d8: 0005883a mov r2,zero +8111e8dc: dfc00917 ldw ra,36(sp) +8111e8e0: df000817 ldw fp,32(sp) +8111e8e4: ddc00717 ldw r23,28(sp) +8111e8e8: dd800617 ldw r22,24(sp) +8111e8ec: dd400517 ldw r21,20(sp) +8111e8f0: dd000417 ldw r20,16(sp) +8111e8f4: dcc00317 ldw r19,12(sp) +8111e8f8: dc800217 ldw r18,8(sp) +8111e8fc: dc400117 ldw r17,4(sp) +8111e900: dc000017 ldw r16,0(sp) +8111e904: dec00a04 addi sp,sp,40 +8111e908: f800283a ret +8111e90c: 11266740 call 81126674 +8111e910: 103fea26 beq r2,zero,8111e8bc <__reset+0xfb0fe8bc> +8111e914: 112667c0 call 8112667c +8111e918: 103fe826 beq r2,zero,8111e8bc <__reset+0xfb0fe8bc> +8111e91c: 01204574 movhi r4,33045 +8111e920: 21334f04 addi r4,r4,-12996 +8111e924: 11266b80 call 811266b8 +8111e928: 143fffcc andi r16,r2,65535 +8111e92c: 8420001c xori r16,r16,32768 +8111e930: 84200004 addi r16,r16,-32768 +8111e934: 80016916 blt r16,zero,8111eedc +8111e938: 05e044b4 movhi r23,33042 +8111e93c: 04a04634 movhi r18,33048 +8111e940: bdfa6104 addi r23,r23,-5756 +8111e944: 948ef004 addi r18,r18,15296 +8111e948: 04ffffc4 movi r19,-1 +8111e94c: 002b883a mov r21,zero +8111e950: 05800f84 movi r22,62 +8111e954: 070009c4 movi fp,39 +8111e958: 04400b84 movi r17,46 +8111e95c: 05000ec4 movi r20,59 +8111e960: 8009883a mov r4,r16 +8111e964: 11266c80 call 811266c8 +8111e968: 10c00084 addi r3,r2,2 +8111e96c: 18c03fcc andi r3,r3,255 +8111e970: b0c0d936 bltu r22,r3,8111ecd8 +8111e974: 180690ba slli r3,r3,2 +8111e978: 1dc7883a add r3,r3,r23 +8111e97c: 18c00017 ldw r3,0(r3) +8111e980: 1800683a jmp r3 +8111e984: 8111eae8 cmpgeui r4,r16,18347 +8111e988: 8111eb00 call 88111eb0 <__reset+0x20f1eb0> +8111e98c: 8111ecd8 cmpnei r4,r16,18355 +8111e990: 8111ecd8 cmpnei r4,r16,18355 +8111e994: 8111ecd8 cmpnei r4,r16,18355 +8111e998: 8111ecd8 cmpnei r4,r16,18355 +8111e99c: 8111ecd8 cmpnei r4,r16,18355 +8111e9a0: 8111ecd8 cmpnei r4,r16,18355 +8111e9a4: 8111ecd8 cmpnei r4,r16,18355 +8111e9a8: 8111ecd8 cmpnei r4,r16,18355 +8111e9ac: 8111ecd8 cmpnei r4,r16,18355 +8111e9b0: 8111ecd8 cmpnei r4,r16,18355 +8111e9b4: 8111e960 cmpeqi r4,r16,18341 +8111e9b8: 8111ecd8 cmpnei r4,r16,18355 +8111e9bc: 8111ecd8 cmpnei r4,r16,18355 +8111e9c0: 8111e960 cmpeqi r4,r16,18341 +8111e9c4: 8111ecd8 cmpnei r4,r16,18355 +8111e9c8: 8111ecd8 cmpnei r4,r16,18355 +8111e9cc: 8111ecd8 cmpnei r4,r16,18355 +8111e9d0: 8111ecd8 cmpnei r4,r16,18355 +8111e9d4: 8111ecd8 cmpnei r4,r16,18355 +8111e9d8: 8111ecd8 cmpnei r4,r16,18355 +8111e9dc: 8111ecd8 cmpnei r4,r16,18355 +8111e9e0: 8111ecd8 cmpnei r4,r16,18355 +8111e9e4: 8111ecd8 cmpnei r4,r16,18355 +8111e9e8: 8111ecd8 cmpnei r4,r16,18355 +8111e9ec: 8111ecd8 cmpnei r4,r16,18355 +8111e9f0: 8111ecd8 cmpnei r4,r16,18355 +8111e9f4: 8111ecd8 cmpnei r4,r16,18355 +8111e9f8: 8111ecd8 cmpnei r4,r16,18355 +8111e9fc: 8111ecd8 cmpnei r4,r16,18355 +8111ea00: 8111ecd8 cmpnei r4,r16,18355 +8111ea04: 8111ecd8 cmpnei r4,r16,18355 +8111ea08: 8111ecd8 cmpnei r4,r16,18355 +8111ea0c: 8111e960 cmpeqi r4,r16,18341 +8111ea10: 8111ecd8 cmpnei r4,r16,18355 +8111ea14: 8111ecd8 cmpnei r4,r16,18355 +8111ea18: 8111ecd8 cmpnei r4,r16,18355 +8111ea1c: 8111ecd8 cmpnei r4,r16,18355 +8111ea20: 8111ecd8 cmpnei r4,r16,18355 +8111ea24: 8111ecd8 cmpnei r4,r16,18355 +8111ea28: 8111ea80 call 88111ea8 <__reset+0x20f1ea8> +8111ea2c: 8111ecd8 cmpnei r4,r16,18355 +8111ea30: 8111ecd8 cmpnei r4,r16,18355 +8111ea34: 8111ecd8 cmpnei r4,r16,18355 +8111ea38: 8111ecd8 cmpnei r4,r16,18355 +8111ea3c: 8111ecd8 cmpnei r4,r16,18355 +8111ea40: 8111ecd8 cmpnei r4,r16,18355 +8111ea44: 8111ecd8 cmpnei r4,r16,18355 +8111ea48: 8111ecd8 cmpnei r4,r16,18355 +8111ea4c: 8111eab0 cmpltui r4,r16,18346 +8111ea50: 8111ec60 cmpeqi r4,r16,18353 +8111ea54: 8111eb48 cmpgei r4,r16,18349 +8111ea58: 8111eb0c andi r4,r16,18348 +8111ea5c: 8111ec9c xori r4,r16,18354 +8111ea60: 8111ec24 muli r4,r16,18352 +8111ea64: 8111ebe8 cmpgeui r4,r16,18351 +8111ea68: 8111ebac andhi r4,r16,18350 +8111ea6c: 8111ecd8 cmpnei r4,r16,18355 +8111ea70: 8111ecd8 cmpnei r4,r16,18355 +8111ea74: 8111ecd8 cmpnei r4,r16,18355 +8111ea78: 8111ecd8 cmpnei r4,r16,18355 +8111ea7c: 8111eb84 addi r4,r16,18350 +8111ea80: 8009883a mov r4,r16 +8111ea84: 11266c80 call 811266c8 +8111ea88: 10803fcc andi r2,r2,255 +8111ea8c: 1080201c xori r2,r2,128 +8111ea90: 10bfe004 addi r2,r2,-128 +8111ea94: 173ffa1e bne r2,fp,8111ea80 <__reset+0xfb0fea80> +8111ea98: 003fb106 br 8111e960 <__reset+0xfb0fe960> +8111ea9c: 98ffefc4 addi r3,r19,-65 +8111eaa0: 18803fcc andi r2,r3,255 +8111eaa4: 9085883a add r2,r18,r2 +8111eaa8: 90c00205 stb r3,8(r18) +8111eaac: 10000005 stb zero,0(r2) +8111eab0: 8009883a mov r4,r16 +8111eab4: 11266c80 call 811266c8 +8111eab8: 11003fcc andi r4,r2,255 +8111eabc: d0e00d17 ldw r3,-32716(gp) +8111eac0: 2100201c xori r4,r4,128 +8111eac4: 213fe004 addi r4,r4,-128 +8111eac8: 1907883a add r3,r3,r4 +8111eacc: 18c00043 ldbu r3,1(r3) +8111ead0: 18c000cc andi r3,r3,3 +8111ead4: 18009226 beq r3,zero,8111ed20 +8111ead8: 247ff026 beq r4,r17,8111ea9c <__reset+0xfb0fea9c> +8111eadc: 25009226 beq r4,r20,8111ed28 +8111eae0: 1027883a mov r19,r2 +8111eae4: 003ff206 br 8111eab0 <__reset+0xfb0feab0> +8111eae8: d1e07917 ldw r7,-32284(gp) +8111eaec: 01204574 movhi r4,33045 +8111eaf0: 21335204 addi r4,r4,-12984 +8111eaf4: 018006c4 movi r6,27 +8111eaf8: 01400044 movi r5,1 +8111eafc: 112bc8c0 call 8112bc8c +8111eb00: a83f7426 beq r21,zero,8111e8d4 <__reset+0xfb0fe8d4> +8111eb04: 00800044 movi r2,1 +8111eb08: 003f7406 br 8111e8dc <__reset+0xfb0fe8dc> +8111eb0c: 054000c4 movi r21,3 +8111eb10: 8009883a mov r4,r16 +8111eb14: 11266c80 call 811266c8 +8111eb18: 11003fcc andi r4,r2,255 +8111eb1c: d0e00d17 ldw r3,-32716(gp) +8111eb20: 2100201c xori r4,r4,128 +8111eb24: 213fe004 addi r4,r4,-128 +8111eb28: 1907883a add r3,r3,r4 +8111eb2c: 18c00043 ldbu r3,1(r3) +8111eb30: 18c000cc andi r3,r3,3 +8111eb34: 1800ab26 beq r3,zero,8111ede4 +8111eb38: 2440ca26 beq r4,r17,8111ee64 +8111eb3c: 2500ab26 beq r4,r20,8111edec +8111eb40: 1027883a mov r19,r2 +8111eb44: 003ff206 br 8111eb10 <__reset+0xfb0feb10> +8111eb48: 05400084 movi r21,2 +8111eb4c: 8009883a mov r4,r16 +8111eb50: 11266c80 call 811266c8 +8111eb54: 11003fcc andi r4,r2,255 +8111eb58: d0e00d17 ldw r3,-32716(gp) +8111eb5c: 2100201c xori r4,r4,128 +8111eb60: 213fe004 addi r4,r4,-128 +8111eb64: 1907883a add r3,r3,r4 +8111eb68: 18c00043 ldbu r3,1(r3) +8111eb6c: 18c000cc andi r3,r3,3 +8111eb70: 18007e26 beq r3,zero,8111ed6c +8111eb74: 2440c726 beq r4,r17,8111ee94 +8111eb78: 25007e26 beq r4,r20,8111ed74 +8111eb7c: 1027883a mov r19,r2 +8111eb80: 003ff206 br 8111eb4c <__reset+0xfb0feb4c> +8111eb84: 8009883a mov r4,r16 +8111eb88: 11266c00 call 811266c0 +8111eb8c: 103fdd1e bne r2,zero,8111eb04 <__reset+0xfb0feb04> +8111eb90: d1e07917 ldw r7,-32284(gp) +8111eb94: 01204574 movhi r4,33045 +8111eb98: 21335904 addi r4,r4,-12956 +8111eb9c: 01800784 movi r6,30 +8111eba0: 01400044 movi r5,1 +8111eba4: 112bc8c0 call 8112bc8c +8111eba8: 003fd606 br 8111eb04 <__reset+0xfb0feb04> +8111ebac: 054001c4 movi r21,7 +8111ebb0: 8009883a mov r4,r16 +8111ebb4: 11266c80 call 811266c8 +8111ebb8: 11003fcc andi r4,r2,255 +8111ebbc: d0e00d17 ldw r3,-32716(gp) +8111ebc0: 2100201c xori r4,r4,128 +8111ebc4: 213fe004 addi r4,r4,-128 +8111ebc8: 1907883a add r3,r3,r4 +8111ebcc: 18c00043 ldbu r3,1(r3) +8111ebd0: 18c000cc andi r3,r3,3 +8111ebd4: 18004826 beq r3,zero,8111ecf8 +8111ebd8: 2440a826 beq r4,r17,8111ee7c +8111ebdc: 25004826 beq r4,r20,8111ed00 +8111ebe0: 1027883a mov r19,r2 +8111ebe4: 003ff206 br 8111ebb0 <__reset+0xfb0febb0> +8111ebe8: 05400184 movi r21,6 +8111ebec: 8009883a mov r4,r16 +8111ebf0: 11266c80 call 811266c8 +8111ebf4: 11003fcc andi r4,r2,255 +8111ebf8: d0e00d17 ldw r3,-32716(gp) +8111ebfc: 2100201c xori r4,r4,128 +8111ec00: 213fe004 addi r4,r4,-128 +8111ec04: 1907883a add r3,r3,r4 +8111ec08: 18c00043 ldbu r3,1(r3) +8111ec0c: 18c000cc andi r3,r3,3 +8111ec10: 18004c26 beq r3,zero,8111ed44 +8111ec14: 2440a526 beq r4,r17,8111eeac +8111ec18: 25004c26 beq r4,r20,8111ed4c +8111ec1c: 1027883a mov r19,r2 +8111ec20: 003ff206 br 8111ebec <__reset+0xfb0febec> +8111ec24: 05400144 movi r21,5 +8111ec28: 8009883a mov r4,r16 +8111ec2c: 11266c80 call 811266c8 +8111ec30: 11003fcc andi r4,r2,255 +8111ec34: d0e00d17 ldw r3,-32716(gp) +8111ec38: 2100201c xori r4,r4,128 +8111ec3c: 213fe004 addi r4,r4,-128 +8111ec40: 1907883a add r3,r3,r4 +8111ec44: 18c00043 ldbu r3,1(r3) +8111ec48: 18c000cc andi r3,r3,3 +8111ec4c: 18005126 beq r3,zero,8111ed94 +8111ec50: 24409c26 beq r4,r17,8111eec4 +8111ec54: 25005126 beq r4,r20,8111ed9c +8111ec58: 1027883a mov r19,r2 +8111ec5c: 003ff206 br 8111ec28 <__reset+0xfb0fec28> +8111ec60: 05400044 movi r21,1 +8111ec64: 8009883a mov r4,r16 +8111ec68: 11266c80 call 811266c8 +8111ec6c: 11003fcc andi r4,r2,255 +8111ec70: d0e00d17 ldw r3,-32716(gp) +8111ec74: 2100201c xori r4,r4,128 +8111ec78: 213fe004 addi r4,r4,-128 +8111ec7c: 1907883a add r3,r3,r4 +8111ec80: 18c00043 ldbu r3,1(r3) +8111ec84: 18c000cc andi r3,r3,3 +8111ec88: 18004c26 beq r3,zero,8111edbc +8111ec8c: 24406f26 beq r4,r17,8111ee4c +8111ec90: 25004c26 beq r4,r20,8111edc4 +8111ec94: 1027883a mov r19,r2 +8111ec98: 003ff206 br 8111ec64 <__reset+0xfb0fec64> +8111ec9c: 05400104 movi r21,4 +8111eca0: 8009883a mov r4,r16 +8111eca4: 11266c80 call 811266c8 +8111eca8: 11003fcc andi r4,r2,255 +8111ecac: d0e00d17 ldw r3,-32716(gp) +8111ecb0: 2100201c xori r4,r4,128 +8111ecb4: 213fe004 addi r4,r4,-128 +8111ecb8: 1907883a add r3,r3,r4 +8111ecbc: 18c00043 ldbu r3,1(r3) +8111ecc0: 18c000cc andi r3,r3,3 +8111ecc4: 18005126 beq r3,zero,8111ee0c +8111ecc8: 24405a26 beq r4,r17,8111ee34 +8111eccc: 25005126 beq r4,r20,8111ee14 +8111ecd0: 1027883a mov r19,r2 +8111ecd4: 003ff206 br 8111eca0 <__reset+0xfb0feca0> +8111ecd8: d1207917 ldw r4,-32284(gp) +8111ecdc: 11803fcc andi r6,r2,255 +8111ece0: 01604574 movhi r5,33045 +8111ece4: 3180201c xori r6,r6,128 +8111ece8: 29736104 addi r5,r5,-12924 +8111ecec: 31bfe004 addi r6,r6,-128 +8111ecf0: 112b6200 call 8112b620 +8111ecf4: 003f1a06 br 8111e960 <__reset+0xfb0fe960> +8111ecf8: 24406026 beq r4,r17,8111ee7c +8111ecfc: 253fac1e bne r4,r20,8111ebb0 <__reset+0xfb0febb0> +8111ed00: 98ffefc4 addi r3,r19,-65 +8111ed04: 18803fcc andi r2,r3,255 +8111ed08: 9085883a add r2,r18,r2 +8111ed0c: 90c003c5 stb r3,15(r18) +8111ed10: 00c001c4 movi r3,7 +8111ed14: 10c00005 stb r3,0(r2) +8111ed18: 05400044 movi r21,1 +8111ed1c: 003f1006 br 8111e960 <__reset+0xfb0fe960> +8111ed20: 247f5e26 beq r4,r17,8111ea9c <__reset+0xfb0fea9c> +8111ed24: 253f621e bne r4,r20,8111eab0 <__reset+0xfb0feab0> +8111ed28: 98ffefc4 addi r3,r19,-65 +8111ed2c: 18803fcc andi r2,r3,255 +8111ed30: 9085883a add r2,r18,r2 +8111ed34: 90c00205 stb r3,8(r18) +8111ed38: 10000005 stb zero,0(r2) +8111ed3c: 05400044 movi r21,1 +8111ed40: 003f0706 br 8111e960 <__reset+0xfb0fe960> +8111ed44: 24405926 beq r4,r17,8111eeac +8111ed48: 253fa81e bne r4,r20,8111ebec <__reset+0xfb0febec> +8111ed4c: 98ffefc4 addi r3,r19,-65 +8111ed50: 18803fcc andi r2,r3,255 +8111ed54: 9085883a add r2,r18,r2 +8111ed58: 90c00385 stb r3,14(r18) +8111ed5c: 00c00184 movi r3,6 +8111ed60: 10c00005 stb r3,0(r2) +8111ed64: 05400044 movi r21,1 +8111ed68: 003efd06 br 8111e960 <__reset+0xfb0fe960> +8111ed6c: 24404926 beq r4,r17,8111ee94 +8111ed70: 253f761e bne r4,r20,8111eb4c <__reset+0xfb0feb4c> +8111ed74: 98ffefc4 addi r3,r19,-65 +8111ed78: 18803fcc andi r2,r3,255 +8111ed7c: 9085883a add r2,r18,r2 +8111ed80: 90c00285 stb r3,10(r18) +8111ed84: 00c00084 movi r3,2 +8111ed88: 10c00005 stb r3,0(r2) +8111ed8c: 05400044 movi r21,1 +8111ed90: 003ef306 br 8111e960 <__reset+0xfb0fe960> +8111ed94: 24404b26 beq r4,r17,8111eec4 +8111ed98: 253fa31e bne r4,r20,8111ec28 <__reset+0xfb0fec28> +8111ed9c: 98ffefc4 addi r3,r19,-65 +8111eda0: 18803fcc andi r2,r3,255 +8111eda4: 9085883a add r2,r18,r2 +8111eda8: 90c00345 stb r3,13(r18) +8111edac: 00c00144 movi r3,5 +8111edb0: 10c00005 stb r3,0(r2) +8111edb4: 05400044 movi r21,1 +8111edb8: 003ee906 br 8111e960 <__reset+0xfb0fe960> +8111edbc: 24402326 beq r4,r17,8111ee4c +8111edc0: 253fa81e bne r4,r20,8111ec64 <__reset+0xfb0fec64> +8111edc4: 98ffefc4 addi r3,r19,-65 +8111edc8: 18803fcc andi r2,r3,255 +8111edcc: 9085883a add r2,r18,r2 +8111edd0: 90c00245 stb r3,9(r18) +8111edd4: 00c00044 movi r3,1 +8111edd8: 10c00005 stb r3,0(r2) +8111eddc: 05400044 movi r21,1 +8111ede0: 003edf06 br 8111e960 <__reset+0xfb0fe960> +8111ede4: 24401f26 beq r4,r17,8111ee64 +8111ede8: 253f491e bne r4,r20,8111eb10 <__reset+0xfb0feb10> +8111edec: 98ffefc4 addi r3,r19,-65 +8111edf0: 18803fcc andi r2,r3,255 +8111edf4: 9085883a add r2,r18,r2 +8111edf8: 90c002c5 stb r3,11(r18) +8111edfc: 00c000c4 movi r3,3 +8111ee00: 10c00005 stb r3,0(r2) +8111ee04: 05400044 movi r21,1 +8111ee08: 003ed506 br 8111e960 <__reset+0xfb0fe960> +8111ee0c: 24400926 beq r4,r17,8111ee34 +8111ee10: 253fa31e bne r4,r20,8111eca0 <__reset+0xfb0feca0> +8111ee14: 98ffefc4 addi r3,r19,-65 +8111ee18: 18803fcc andi r2,r3,255 +8111ee1c: 9085883a add r2,r18,r2 +8111ee20: 90c00305 stb r3,12(r18) +8111ee24: 00c00104 movi r3,4 +8111ee28: 10c00005 stb r3,0(r2) +8111ee2c: 05400044 movi r21,1 +8111ee30: 003ecb06 br 8111e960 <__reset+0xfb0fe960> +8111ee34: 98ffefc4 addi r3,r19,-65 +8111ee38: 18803fcc andi r2,r3,255 +8111ee3c: 9085883a add r2,r18,r2 +8111ee40: 90c00305 stb r3,12(r18) +8111ee44: 15400005 stb r21,0(r2) +8111ee48: 003f9506 br 8111eca0 <__reset+0xfb0feca0> +8111ee4c: 98ffefc4 addi r3,r19,-65 +8111ee50: 18803fcc andi r2,r3,255 +8111ee54: 9085883a add r2,r18,r2 +8111ee58: 90c00245 stb r3,9(r18) +8111ee5c: 15400005 stb r21,0(r2) +8111ee60: 003f8006 br 8111ec64 <__reset+0xfb0fec64> +8111ee64: 98ffefc4 addi r3,r19,-65 +8111ee68: 18803fcc andi r2,r3,255 +8111ee6c: 9085883a add r2,r18,r2 +8111ee70: 90c002c5 stb r3,11(r18) +8111ee74: 15400005 stb r21,0(r2) +8111ee78: 003f2506 br 8111eb10 <__reset+0xfb0feb10> +8111ee7c: 98ffefc4 addi r3,r19,-65 +8111ee80: 18803fcc andi r2,r3,255 +8111ee84: 9085883a add r2,r18,r2 +8111ee88: 90c003c5 stb r3,15(r18) +8111ee8c: 15400005 stb r21,0(r2) +8111ee90: 003f4706 br 8111ebb0 <__reset+0xfb0febb0> +8111ee94: 98ffefc4 addi r3,r19,-65 +8111ee98: 18803fcc andi r2,r3,255 +8111ee9c: 9085883a add r2,r18,r2 +8111eea0: 90c00285 stb r3,10(r18) +8111eea4: 15400005 stb r21,0(r2) +8111eea8: 003f2806 br 8111eb4c <__reset+0xfb0feb4c> +8111eeac: 98ffefc4 addi r3,r19,-65 +8111eeb0: 18803fcc andi r2,r3,255 +8111eeb4: 9085883a add r2,r18,r2 +8111eeb8: 90c00385 stb r3,14(r18) +8111eebc: 15400005 stb r21,0(r2) +8111eec0: 003f4a06 br 8111ebec <__reset+0xfb0febec> +8111eec4: 98ffefc4 addi r3,r19,-65 +8111eec8: 18803fcc andi r2,r3,255 +8111eecc: 9085883a add r2,r18,r2 +8111eed0: 90c00345 stb r3,13(r18) +8111eed4: 15400005 stb r21,0(r2) +8111eed8: 003f5306 br 8111ec28 <__reset+0xfb0fec28> +8111eedc: d1e07917 ldw r7,-32284(gp) +8111eee0: 01204574 movhi r4,33045 +8111eee4: 21336c04 addi r4,r4,-12880 +8111eee8: 01800604 movi r6,24 +8111eeec: 880b883a mov r5,r17 +8111eef0: 112bc8c0 call 8112bc8c +8111eef4: 003e7706 br 8111e8d4 <__reset+0xfb0fe8d4> + +8111eef8 : +8111eef8: d1e07917 ldw r7,-32284(gp) +8111eefc: defffe04 addi sp,sp,-8 +8111ef00: 01204574 movhi r4,33045 +8111ef04: dc000015 stw r16,0(sp) +8111ef08: 21337804 addi r4,r4,-12832 +8111ef0c: 01800b04 movi r6,44 +8111ef10: 01400044 movi r5,1 +8111ef14: 04204634 movhi r16,33048 +8111ef18: dfc00115 stw ra,4(sp) +8111ef1c: 840ef004 addi r16,r16,15296 +8111ef20: 112bc8c0 call 8112bc8c +8111ef24: 81800203 ldbu r6,8(r16) +8111ef28: d1207917 ldw r4,-32284(gp) +8111ef2c: 01604574 movhi r5,33045 +8111ef30: 29738404 addi r5,r5,-12784 +8111ef34: 112b6200 call 8112b620 +8111ef38: 81800243 ldbu r6,9(r16) +8111ef3c: d1207917 ldw r4,-32284(gp) +8111ef40: 01604574 movhi r5,33045 +8111ef44: 29738a04 addi r5,r5,-12760 +8111ef48: 112b6200 call 8112b620 +8111ef4c: 81800283 ldbu r6,10(r16) +8111ef50: d1207917 ldw r4,-32284(gp) +8111ef54: 01604574 movhi r5,33045 +8111ef58: 29739004 addi r5,r5,-12736 +8111ef5c: 112b6200 call 8112b620 +8111ef60: 818002c3 ldbu r6,11(r16) +8111ef64: d1207917 ldw r4,-32284(gp) +8111ef68: 01604574 movhi r5,33045 +8111ef6c: 29739604 addi r5,r5,-12712 +8111ef70: 112b6200 call 8112b620 +8111ef74: 81800303 ldbu r6,12(r16) +8111ef78: d1207917 ldw r4,-32284(gp) +8111ef7c: 01604574 movhi r5,33045 +8111ef80: 29739c04 addi r5,r5,-12688 +8111ef84: 112b6200 call 8112b620 +8111ef88: 81800343 ldbu r6,13(r16) +8111ef8c: d1207917 ldw r4,-32284(gp) +8111ef90: 01604574 movhi r5,33045 +8111ef94: 2973a204 addi r5,r5,-12664 +8111ef98: 112b6200 call 8112b620 +8111ef9c: 81800383 ldbu r6,14(r16) +8111efa0: d1207917 ldw r4,-32284(gp) +8111efa4: 01604574 movhi r5,33045 +8111efa8: 2973a804 addi r5,r5,-12640 +8111efac: 112b6200 call 8112b620 +8111efb0: 818003c3 ldbu r6,15(r16) +8111efb4: d1207917 ldw r4,-32284(gp) +8111efb8: 01604574 movhi r5,33045 +8111efbc: 2973ae04 addi r5,r5,-12616 +8111efc0: 112b6200 call 8112b620 +8111efc4: 81800003 ldbu r6,0(r16) +8111efc8: d1207917 ldw r4,-32284(gp) +8111efcc: 01604574 movhi r5,33045 +8111efd0: 2973b404 addi r5,r5,-12592 +8111efd4: 112b6200 call 8112b620 +8111efd8: 81800043 ldbu r6,1(r16) +8111efdc: d1207917 ldw r4,-32284(gp) +8111efe0: 01604574 movhi r5,33045 +8111efe4: 2973ba04 addi r5,r5,-12568 +8111efe8: 112b6200 call 8112b620 +8111efec: 81800083 ldbu r6,2(r16) +8111eff0: d1207917 ldw r4,-32284(gp) +8111eff4: 01604574 movhi r5,33045 +8111eff8: 2973c004 addi r5,r5,-12544 +8111effc: 112b6200 call 8112b620 +8111f000: 818000c3 ldbu r6,3(r16) +8111f004: d1207917 ldw r4,-32284(gp) +8111f008: 01604574 movhi r5,33045 +8111f00c: 2973c604 addi r5,r5,-12520 +8111f010: 112b6200 call 8112b620 +8111f014: 81800103 ldbu r6,4(r16) +8111f018: d1207917 ldw r4,-32284(gp) +8111f01c: 01604574 movhi r5,33045 +8111f020: 2973cc04 addi r5,r5,-12496 +8111f024: 112b6200 call 8112b620 +8111f028: 81800143 ldbu r6,5(r16) +8111f02c: d1207917 ldw r4,-32284(gp) +8111f030: 01604574 movhi r5,33045 +8111f034: 2973d204 addi r5,r5,-12472 +8111f038: 112b6200 call 8112b620 +8111f03c: 81800183 ldbu r6,6(r16) +8111f040: d1207917 ldw r4,-32284(gp) +8111f044: 01604574 movhi r5,33045 +8111f048: 2973d804 addi r5,r5,-12448 +8111f04c: 112b6200 call 8112b620 +8111f050: d1207917 ldw r4,-32284(gp) +8111f054: 818001c3 ldbu r6,7(r16) +8111f058: 01604574 movhi r5,33045 +8111f05c: 2973de04 addi r5,r5,-12424 +8111f060: 112b6200 call 8112b620 +8111f064: d1607917 ldw r5,-32284(gp) +8111f068: 01000284 movi r4,10 +8111f06c: dfc00117 ldw ra,4(sp) +8111f070: dc000017 ldw r16,0(sp) +8111f074: dec00204 addi sp,sp,8 +8111f078: 112b6881 jmpi 8112b688 + +8111f07c : +8111f07c: 213fefc4 addi r4,r4,-65 +8111f080: 29000005 stb r4,0(r5) +8111f084: 00800044 movi r2,1 +8111f088: f800283a ret + +8111f08c : +8111f08c: deffee04 addi sp,sp,-72 +8111f090: dc400915 stw r17,36(sp) +8111f094: d4609317 ldw r17,-32180(gp) +8111f098: dfc01115 stw ra,68(sp) +8111f09c: df001015 stw fp,64(sp) +8111f0a0: ddc00f15 stw r23,60(sp) +8111f0a4: dd800e15 stw r22,56(sp) +8111f0a8: dd400d15 stw r21,52(sp) +8111f0ac: dd000c15 stw r20,48(sp) +8111f0b0: dcc00b15 stw r19,44(sp) +8111f0b4: dc800a15 stw r18,40(sp) +8111f0b8: dc000815 stw r16,32(sp) +8111f0bc: 00800044 movi r2,1 +8111f0c0: 88802f26 beq r17,r2,8111f180 +8111f0c4: d1e07917 ldw r7,-32284(gp) +8111f0c8: 01204574 movhi r4,33045 +8111f0cc: 21337304 addi r4,r4,-12852 +8111f0d0: 018004c4 movi r6,19 +8111f0d4: 01400044 movi r5,1 +8111f0d8: 112bc8c0 call 8112bc8c +8111f0dc: 00a04634 movhi r2,33048 +8111f0e0: 108f1904 addi r2,r2,15460 +8111f0e4: 00c04b04 movi r3,300 +8111f0e8: 10c0010d sth r3,4(r2) +8111f0ec: 00c23dc4 movi r3,2295 +8111f0f0: 10c0018d sth r3,6(r2) +8111f0f4: 00c46784 movi r3,4510 +8111f0f8: 10c0020d sth r3,8(r2) +8111f0fc: 00d86a04 movi r3,25000 +8111f100: 10c0028d sth r3,10(r2) +8111f104: 00c00044 movi r3,1 +8111f108: 10c00315 stw r3,12(r2) +8111f10c: 00c000b4 movhi r3,2 +8111f110: 18eb6c04 addi r3,r3,-21072 +8111f114: 10c00515 stw r3,20(r2) +8111f118: 00c00074 movhi r3,1 +8111f11c: 18d7e404 addi r3,r3,24464 +8111f120: 10c00615 stw r3,24(r2) +8111f124: 00c05344 movi r3,333 +8111f128: 10c00715 stw r3,28(r2) +8111f12c: 00c00104 movi r3,4 +8111f130: 10c00805 stb r3,32(r2) +8111f134: 00c00c84 movi r3,50 +8111f138: 1000000d sth zero,0(r2) +8111f13c: 1000008d sth zero,2(r2) +8111f140: 10000415 stw zero,16(r2) +8111f144: 10c0088d sth r3,34(r2) +8111f148: 10000905 stb zero,36(r2) +8111f14c: 0005883a mov r2,zero +8111f150: dfc01117 ldw ra,68(sp) +8111f154: df001017 ldw fp,64(sp) +8111f158: ddc00f17 ldw r23,60(sp) +8111f15c: dd800e17 ldw r22,56(sp) +8111f160: dd400d17 ldw r21,52(sp) +8111f164: dd000c17 ldw r20,48(sp) +8111f168: dcc00b17 ldw r19,44(sp) +8111f16c: dc800a17 ldw r18,40(sp) +8111f170: dc400917 ldw r17,36(sp) +8111f174: dc000817 ldw r16,32(sp) +8111f178: dec01204 addi sp,sp,72 +8111f17c: f800283a ret +8111f180: 11266740 call 81126674 +8111f184: 103fcf26 beq r2,zero,8111f0c4 <__reset+0xfb0ff0c4> +8111f188: 112667c0 call 8112667c +8111f18c: 103fcd26 beq r2,zero,8111f0c4 <__reset+0xfb0ff0c4> +8111f190: 01204574 movhi r4,33045 +8111f194: 2133e404 addi r4,r4,-12400 +8111f198: 11266b80 call 811266b8 +8111f19c: 143fffcc andi r16,r2,65535 +8111f1a0: 8420001c xori r16,r16,32768 +8111f1a4: 84200004 addi r16,r16,-32768 +8111f1a8: 8001c416 blt r16,zero,8111f8bc +8111f1ac: 008282b4 movhi r2,2570 +8111f1b0: 10828284 addi r2,r2,2570 +8111f1b4: 05a044b4 movhi r22,33042 +8111f1b8: 05204634 movhi r20,33048 +8111f1bc: b5bc8604 addi r22,r22,-3560 +8111f1c0: a50f1904 addi r20,r20,15460 +8111f1c4: d8800615 stw r2,24(sp) +8111f1c8: d8800715 stw r2,28(sp) +8111f1cc: d8800015 stw r2,0(sp) +8111f1d0: d8800115 stw r2,4(sp) +8111f1d4: d8800215 stw r2,8(sp) +8111f1d8: d8800315 stw r2,12(sp) +8111f1dc: d8800415 stw r2,16(sp) +8111f1e0: d8800515 stw r2,20(sp) +8111f1e4: 054016c4 movi r21,91 +8111f1e8: 04800ec4 movi r18,59 +8111f1ec: 04c00284 movi r19,10 +8111f1f0: 05c009c4 movi r23,39 +8111f1f4: 8009883a mov r4,r16 +8111f1f8: 11266c80 call 811266c8 +8111f1fc: 10c00084 addi r3,r2,2 +8111f200: 18c03fcc andi r3,r3,255 +8111f204: a8c18136 bltu r21,r3,8111f80c +8111f208: 180690ba slli r3,r3,2 +8111f20c: 1d87883a add r3,r3,r22 +8111f210: 18c00017 ldw r3,0(r3) +8111f214: 1800683a jmp r3 +8111f218: 8111f3a4 muli r4,r16,18382 +8111f21c: 8111f0dc xori r4,r16,18371 +8111f220: 8111f80c andi r4,r16,18400 +8111f224: 8111f80c andi r4,r16,18400 +8111f228: 8111f80c andi r4,r16,18400 +8111f22c: 8111f80c andi r4,r16,18400 +8111f230: 8111f80c andi r4,r16,18400 +8111f234: 8111f80c andi r4,r16,18400 +8111f238: 8111f80c andi r4,r16,18400 +8111f23c: 8111f80c andi r4,r16,18400 +8111f240: 8111f80c andi r4,r16,18400 +8111f244: 8111f80c andi r4,r16,18400 +8111f248: 8111f1f4 orhi r4,r16,18375 +8111f24c: 8111f80c andi r4,r16,18400 +8111f250: 8111f80c andi r4,r16,18400 +8111f254: 8111f1f4 orhi r4,r16,18375 +8111f258: 8111f80c andi r4,r16,18400 +8111f25c: 8111f80c andi r4,r16,18400 +8111f260: 8111f80c andi r4,r16,18400 +8111f264: 8111f80c andi r4,r16,18400 +8111f268: 8111f80c andi r4,r16,18400 +8111f26c: 8111f80c andi r4,r16,18400 +8111f270: 8111f80c andi r4,r16,18400 +8111f274: 8111f80c andi r4,r16,18400 +8111f278: 8111f80c andi r4,r16,18400 +8111f27c: 8111f80c andi r4,r16,18400 +8111f280: 8111f80c andi r4,r16,18400 +8111f284: 8111f80c andi r4,r16,18400 +8111f288: 8111f80c andi r4,r16,18400 +8111f28c: 8111f80c andi r4,r16,18400 +8111f290: 8111f80c andi r4,r16,18400 +8111f294: 8111f80c andi r4,r16,18400 +8111f298: 8111f80c andi r4,r16,18400 +8111f29c: 8111f80c andi r4,r16,18400 +8111f2a0: 8111f1f4 orhi r4,r16,18375 +8111f2a4: 8111f80c andi r4,r16,18400 +8111f2a8: 8111f80c andi r4,r16,18400 +8111f2ac: 8111f80c andi r4,r16,18400 +8111f2b0: 8111f80c andi r4,r16,18400 +8111f2b4: 8111f80c andi r4,r16,18400 +8111f2b8: 8111f80c andi r4,r16,18400 +8111f2bc: 8111f388 cmpgei r4,r16,18382 +8111f2c0: 8111f80c andi r4,r16,18400 +8111f2c4: 8111f80c andi r4,r16,18400 +8111f2c8: 8111f80c andi r4,r16,18400 +8111f2cc: 8111f80c andi r4,r16,18400 +8111f2d0: 8111f80c andi r4,r16,18400 +8111f2d4: 8111f80c andi r4,r16,18400 +8111f2d8: 8111f80c andi r4,r16,18400 +8111f2dc: 8111f80c andi r4,r16,18400 +8111f2e0: 8111f80c andi r4,r16,18400 +8111f2e4: 8111f80c andi r4,r16,18400 +8111f2e8: 8111f80c andi r4,r16,18400 +8111f2ec: 8111f80c andi r4,r16,18400 +8111f2f0: 8111f80c andi r4,r16,18400 +8111f2f4: 8111f80c andi r4,r16,18400 +8111f2f8: 8111f80c andi r4,r16,18400 +8111f2fc: 8111f80c andi r4,r16,18400 +8111f300: 8111f80c andi r4,r16,18400 +8111f304: 8111f80c andi r4,r16,18400 +8111f308: 8111f80c andi r4,r16,18400 +8111f30c: 8111f80c andi r4,r16,18400 +8111f310: 8111f6f4 orhi r4,r16,18395 +8111f314: 8111f80c andi r4,r16,18400 +8111f318: 8111f80c andi r4,r16,18400 +8111f31c: 8111f80c andi r4,r16,18400 +8111f320: 8111f80c andi r4,r16,18400 +8111f324: 8111f80c andi r4,r16,18400 +8111f328: 8111f80c andi r4,r16,18400 +8111f32c: 8111f6a0 cmpeqi r4,r16,18394 +8111f330: 8111f80c andi r4,r16,18400 +8111f334: 8111f80c andi r4,r16,18400 +8111f338: 8111f654 ori r4,r16,18393 +8111f33c: 8111f608 cmpgei r4,r16,18392 +8111f340: 8111f80c andi r4,r16,18400 +8111f344: 8111f80c andi r4,r16,18400 +8111f348: 8111f76c andhi r4,r16,18397 +8111f34c: 8111f720 cmpeqi r4,r16,18396 +8111f350: 8111f7b8 rdprs r4,r16,18398 +8111f354: 8111f5bc xorhi r4,r16,18390 +8111f358: 8111f568 cmpgeui r4,r16,18389 +8111f35c: 8111f4c0 call 88111f4c <__reset+0x20f1f4c> +8111f360: 8111f514 ori r4,r16,18388 +8111f364: 8111f80c andi r4,r16,18400 +8111f368: 8111f80c andi r4,r16,18400 +8111f36c: 8111f46c andhi r4,r16,18385 +8111f370: 8111f40c andi r4,r16,18384 +8111f374: 8111f80c andi r4,r16,18400 +8111f378: 8111f80c andi r4,r16,18400 +8111f37c: 8111f80c andi r4,r16,18400 +8111f380: 8111f80c andi r4,r16,18400 +8111f384: 8111f3c0 call 88111f3c <__reset+0x20f1f3c> +8111f388: 8009883a mov r4,r16 +8111f38c: 11266c80 call 811266c8 +8111f390: 10803fcc andi r2,r2,255 +8111f394: 1080201c xori r2,r2,128 +8111f398: 10bfe004 addi r2,r2,-128 +8111f39c: 15fffa1e bne r2,r23,8111f388 <__reset+0xfb0ff388> +8111f3a0: 003f9406 br 8111f1f4 <__reset+0xfb0ff1f4> +8111f3a4: d1e07917 ldw r7,-32284(gp) +8111f3a8: 01204574 movhi r4,33045 +8111f3ac: 21335204 addi r4,r4,-12984 +8111f3b0: 018006c4 movi r6,27 +8111f3b4: 01400044 movi r5,1 +8111f3b8: 112bc8c0 call 8112bc8c +8111f3bc: 003f4706 br 8111f0dc <__reset+0xfb0ff0dc> +8111f3c0: df000604 addi fp,sp,24 +8111f3c4: 8009883a mov r4,r16 +8111f3c8: 11266c80 call 811266c8 +8111f3cc: 11003fcc andi r4,r2,255 +8111f3d0: d0e00d17 ldw r3,-32716(gp) +8111f3d4: 2100201c xori r4,r4,128 +8111f3d8: 213fe004 addi r4,r4,-128 +8111f3dc: 1907883a add r3,r3,r4 +8111f3e0: 18c00043 ldbu r3,1(r3) +8111f3e4: 18c0010c andi r3,r3,4 +8111f3e8: 18000226 beq r3,zero,8111f3f4 +8111f3ec: e0800005 stb r2,0(fp) +8111f3f0: e7000044 addi fp,fp,1 +8111f3f4: 24bff31e bne r4,r18,8111f3c4 <__reset+0xfb0ff3c4> +8111f3f8: d9000604 addi r4,sp,24 +8111f3fc: e4c00005 stb r19,0(fp) +8111f400: 112b4480 call 8112b448 +8111f404: a080088d sth r2,34(r20) +8111f408: 003f7a06 br 8111f1f4 <__reset+0xfb0ff1f4> +8111f40c: df000604 addi fp,sp,24 +8111f410: 8009883a mov r4,r16 +8111f414: 11266c80 call 811266c8 +8111f418: 11003fcc andi r4,r2,255 +8111f41c: d0e00d17 ldw r3,-32716(gp) +8111f420: 2100201c xori r4,r4,128 +8111f424: 213fe004 addi r4,r4,-128 +8111f428: 1907883a add r3,r3,r4 +8111f42c: 18c00043 ldbu r3,1(r3) +8111f430: 18c0010c andi r3,r3,4 +8111f434: 18000226 beq r3,zero,8111f440 +8111f438: e0800005 stb r2,0(fp) +8111f43c: e7000044 addi fp,fp,1 +8111f440: 24bff31e bne r4,r18,8111f410 <__reset+0xfb0ff410> +8111f444: d9000604 addi r4,sp,24 +8111f448: e4c00005 stb r19,0(fp) +8111f44c: 112b4480 call 8112b448 +8111f450: 10bfffcc andi r2,r2,65535 +8111f454: 10a0001c xori r2,r2,32768 +8111f458: 10a00004 addi r2,r2,-32768 +8111f45c: 00c00044 movi r3,1 +8111f460: 10c11d26 beq r2,r3,8111f8d8 +8111f464: a0000315 stw zero,12(r20) +8111f468: 003f6206 br 8111f1f4 <__reset+0xfb0ff1f4> +8111f46c: dc400604 addi r17,sp,24 +8111f470: 07000b84 movi fp,46 +8111f474: 8009883a mov r4,r16 +8111f478: 11266c80 call 811266c8 +8111f47c: 11003fcc andi r4,r2,255 +8111f480: d0e00d17 ldw r3,-32716(gp) +8111f484: 2100201c xori r4,r4,128 +8111f488: 213fe004 addi r4,r4,-128 +8111f48c: 1907883a add r3,r3,r4 +8111f490: 18c00043 ldbu r3,1(r3) +8111f494: 18c0010c andi r3,r3,4 +8111f498: 18000226 beq r3,zero,8111f4a4 +8111f49c: 88800005 stb r2,0(r17) +8111f4a0: 8c400044 addi r17,r17,1 +8111f4a4: 2700e726 beq r4,fp,8111f844 +8111f4a8: 24bff21e bne r4,r18,8111f474 <__reset+0xfb0ff474> +8111f4ac: d9000604 addi r4,sp,24 +8111f4b0: 8cc00005 stb r19,0(r17) +8111f4b4: 112b4480 call 8112b448 +8111f4b8: a080028d sth r2,10(r20) +8111f4bc: 003f4d06 br 8111f1f4 <__reset+0xfb0ff1f4> +8111f4c0: dc400604 addi r17,sp,24 +8111f4c4: 07000b84 movi fp,46 +8111f4c8: 8009883a mov r4,r16 +8111f4cc: 11266c80 call 811266c8 +8111f4d0: 11003fcc andi r4,r2,255 +8111f4d4: d0e00d17 ldw r3,-32716(gp) +8111f4d8: 2100201c xori r4,r4,128 +8111f4dc: 213fe004 addi r4,r4,-128 +8111f4e0: 1907883a add r3,r3,r4 +8111f4e4: 18c00043 ldbu r3,1(r3) +8111f4e8: 18c0010c andi r3,r3,4 +8111f4ec: 18000226 beq r3,zero,8111f4f8 +8111f4f0: 88800005 stb r2,0(r17) +8111f4f4: 8c400044 addi r17,r17,1 +8111f4f8: 2700cc26 beq r4,fp,8111f82c +8111f4fc: 24bff21e bne r4,r18,8111f4c8 <__reset+0xfb0ff4c8> +8111f500: d9000604 addi r4,sp,24 +8111f504: 8cc00005 stb r19,0(r17) +8111f508: 112b4480 call 8112b448 +8111f50c: a080010d sth r2,4(r20) +8111f510: 003f3806 br 8111f1f4 <__reset+0xfb0ff1f4> +8111f514: dc400604 addi r17,sp,24 +8111f518: 07000b84 movi fp,46 +8111f51c: 8009883a mov r4,r16 +8111f520: 11266c80 call 811266c8 +8111f524: 11003fcc andi r4,r2,255 +8111f528: d0e00d17 ldw r3,-32716(gp) +8111f52c: 2100201c xori r4,r4,128 +8111f530: 213fe004 addi r4,r4,-128 +8111f534: 1907883a add r3,r3,r4 +8111f538: 18c00043 ldbu r3,1(r3) +8111f53c: 18c0010c andi r3,r3,4 +8111f540: 18000226 beq r3,zero,8111f54c +8111f544: 88800005 stb r2,0(r17) +8111f548: 8c400044 addi r17,r17,1 +8111f54c: 2700c326 beq r4,fp,8111f85c +8111f550: 24bff21e bne r4,r18,8111f51c <__reset+0xfb0ff51c> +8111f554: d9000604 addi r4,sp,24 +8111f558: 8cc00005 stb r19,0(r17) +8111f55c: 112b4480 call 8112b448 +8111f560: a080008d sth r2,2(r20) +8111f564: 003f2306 br 8111f1f4 <__reset+0xfb0ff1f4> +8111f568: dc400604 addi r17,sp,24 +8111f56c: 07000b84 movi fp,46 +8111f570: 8009883a mov r4,r16 +8111f574: 11266c80 call 811266c8 +8111f578: 11003fcc andi r4,r2,255 +8111f57c: d0e00d17 ldw r3,-32716(gp) +8111f580: 2100201c xori r4,r4,128 +8111f584: 213fe004 addi r4,r4,-128 +8111f588: 1907883a add r3,r3,r4 +8111f58c: 18c00043 ldbu r3,1(r3) +8111f590: 18c0010c andi r3,r3,4 +8111f594: 18000226 beq r3,zero,8111f5a0 +8111f598: 88800005 stb r2,0(r17) +8111f59c: 8c400044 addi r17,r17,1 +8111f5a0: 2700ba26 beq r4,fp,8111f88c +8111f5a4: 24bff21e bne r4,r18,8111f570 <__reset+0xfb0ff570> +8111f5a8: d9000604 addi r4,sp,24 +8111f5ac: 8cc00005 stb r19,0(r17) +8111f5b0: 112b4480 call 8112b448 +8111f5b4: a080000d sth r2,0(r20) +8111f5b8: 003f0e06 br 8111f1f4 <__reset+0xfb0ff1f4> +8111f5bc: d839883a mov fp,sp +8111f5c0: 8009883a mov r4,r16 +8111f5c4: 11266c80 call 811266c8 +8111f5c8: 11003fcc andi r4,r2,255 +8111f5cc: d0e00d17 ldw r3,-32716(gp) +8111f5d0: 2100201c xori r4,r4,128 +8111f5d4: 213fe004 addi r4,r4,-128 +8111f5d8: 1907883a add r3,r3,r4 +8111f5dc: 18c00043 ldbu r3,1(r3) +8111f5e0: 18c0010c andi r3,r3,4 +8111f5e4: 18000226 beq r3,zero,8111f5f0 +8111f5e8: e0800005 stb r2,0(fp) +8111f5ec: e7000044 addi fp,fp,1 +8111f5f0: 24bff31e bne r4,r18,8111f5c0 <__reset+0xfb0ff5c0> +8111f5f4: d809883a mov r4,sp +8111f5f8: e4c00005 stb r19,0(fp) +8111f5fc: 112b4600 call 8112b460 +8111f600: a0800715 stw r2,28(r20) +8111f604: 003efb06 br 8111f1f4 <__reset+0xfb0ff1f4> +8111f608: d839883a mov fp,sp +8111f60c: 8009883a mov r4,r16 +8111f610: 11266c80 call 811266c8 +8111f614: 11003fcc andi r4,r2,255 +8111f618: d0e00d17 ldw r3,-32716(gp) +8111f61c: 2100201c xori r4,r4,128 +8111f620: 213fe004 addi r4,r4,-128 +8111f624: 1907883a add r3,r3,r4 +8111f628: 18c00043 ldbu r3,1(r3) +8111f62c: 18c0010c andi r3,r3,4 +8111f630: 18000226 beq r3,zero,8111f63c +8111f634: e0800005 stb r2,0(fp) +8111f638: e7000044 addi fp,fp,1 +8111f63c: 24bff31e bne r4,r18,8111f60c <__reset+0xfb0ff60c> +8111f640: d809883a mov r4,sp +8111f644: e4c00005 stb r19,0(fp) +8111f648: 112b4600 call 8112b460 +8111f64c: a0800415 stw r2,16(r20) +8111f650: 003ee806 br 8111f1f4 <__reset+0xfb0ff1f4> +8111f654: df000604 addi fp,sp,24 +8111f658: 8009883a mov r4,r16 +8111f65c: 11266c80 call 811266c8 +8111f660: 11003fcc andi r4,r2,255 +8111f664: d0e00d17 ldw r3,-32716(gp) +8111f668: 2100201c xori r4,r4,128 +8111f66c: 213fe004 addi r4,r4,-128 +8111f670: 1907883a add r3,r3,r4 +8111f674: 18c00043 ldbu r3,1(r3) +8111f678: 18c0010c andi r3,r3,4 +8111f67c: 18000226 beq r3,zero,8111f688 +8111f680: e0800005 stb r2,0(fp) +8111f684: e7000044 addi fp,fp,1 +8111f688: 24bff31e bne r4,r18,8111f658 <__reset+0xfb0ff658> +8111f68c: d9000604 addi r4,sp,24 +8111f690: e4c00005 stb r19,0(fp) +8111f694: 112b4480 call 8112b448 +8111f698: a0800805 stb r2,32(r20) +8111f69c: 003ed506 br 8111f1f4 <__reset+0xfb0ff1f4> +8111f6a0: dc400604 addi r17,sp,24 +8111f6a4: 07000b84 movi fp,46 +8111f6a8: 8009883a mov r4,r16 +8111f6ac: 11266c80 call 811266c8 +8111f6b0: 11003fcc andi r4,r2,255 +8111f6b4: d0e00d17 ldw r3,-32716(gp) +8111f6b8: 2100201c xori r4,r4,128 +8111f6bc: 213fe004 addi r4,r4,-128 +8111f6c0: 1907883a add r3,r3,r4 +8111f6c4: 18c00043 ldbu r3,1(r3) +8111f6c8: 18c0010c andi r3,r3,4 +8111f6cc: 18000226 beq r3,zero,8111f6d8 +8111f6d0: 88800005 stb r2,0(r17) +8111f6d4: 8c400044 addi r17,r17,1 +8111f6d8: 27006626 beq r4,fp,8111f874 +8111f6dc: 24bff21e bne r4,r18,8111f6a8 <__reset+0xfb0ff6a8> +8111f6e0: d9000604 addi r4,sp,24 +8111f6e4: 8cc00005 stb r19,0(r17) +8111f6e8: 112b4480 call 8112b448 +8111f6ec: a080018d sth r2,6(r20) +8111f6f0: 003ec006 br 8111f1f4 <__reset+0xfb0ff1f4> +8111f6f4: 8009883a mov r4,r16 +8111f6f8: 11266c00 call 811266c0 +8111f6fc: 1000061e bne r2,zero,8111f718 +8111f700: d1e07917 ldw r7,-32284(gp) +8111f704: 01204574 movhi r4,33045 +8111f708: 21335904 addi r4,r4,-12956 +8111f70c: 01800784 movi r6,30 +8111f710: 01400044 movi r5,1 +8111f714: 112bc8c0 call 8112bc8c +8111f718: 00800044 movi r2,1 +8111f71c: 003e8c06 br 8111f150 <__reset+0xfb0ff150> +8111f720: d839883a mov fp,sp +8111f724: 8009883a mov r4,r16 +8111f728: 11266c80 call 811266c8 +8111f72c: 11003fcc andi r4,r2,255 +8111f730: d0e00d17 ldw r3,-32716(gp) +8111f734: 2100201c xori r4,r4,128 +8111f738: 213fe004 addi r4,r4,-128 +8111f73c: 1907883a add r3,r3,r4 +8111f740: 18c00043 ldbu r3,1(r3) +8111f744: 18c0010c andi r3,r3,4 +8111f748: 18000226 beq r3,zero,8111f754 +8111f74c: e0800005 stb r2,0(fp) +8111f750: e7000044 addi fp,fp,1 +8111f754: 24bff31e bne r4,r18,8111f724 <__reset+0xfb0ff724> +8111f758: d809883a mov r4,sp +8111f75c: e4c00005 stb r19,0(fp) +8111f760: 112b4600 call 8112b460 +8111f764: a0800515 stw r2,20(r20) +8111f768: 003ea206 br 8111f1f4 <__reset+0xfb0ff1f4> +8111f76c: d839883a mov fp,sp +8111f770: 8009883a mov r4,r16 +8111f774: 11266c80 call 811266c8 +8111f778: 11003fcc andi r4,r2,255 +8111f77c: d0e00d17 ldw r3,-32716(gp) +8111f780: 2100201c xori r4,r4,128 +8111f784: 213fe004 addi r4,r4,-128 +8111f788: 1907883a add r3,r3,r4 +8111f78c: 18c00043 ldbu r3,1(r3) +8111f790: 18c0010c andi r3,r3,4 +8111f794: 18000226 beq r3,zero,8111f7a0 +8111f798: e0800005 stb r2,0(fp) +8111f79c: e7000044 addi fp,fp,1 +8111f7a0: 24bff31e bne r4,r18,8111f770 <__reset+0xfb0ff770> +8111f7a4: d809883a mov r4,sp +8111f7a8: e4c00005 stb r19,0(fp) +8111f7ac: 112b4600 call 8112b460 +8111f7b0: a0800615 stw r2,24(r20) +8111f7b4: 003e8f06 br 8111f1f4 <__reset+0xfb0ff1f4> +8111f7b8: dc400604 addi r17,sp,24 +8111f7bc: 07000b84 movi fp,46 +8111f7c0: 8009883a mov r4,r16 +8111f7c4: 11266c80 call 811266c8 +8111f7c8: 11003fcc andi r4,r2,255 +8111f7cc: d0e00d17 ldw r3,-32716(gp) +8111f7d0: 2100201c xori r4,r4,128 +8111f7d4: 213fe004 addi r4,r4,-128 +8111f7d8: 1907883a add r3,r3,r4 +8111f7dc: 18c00043 ldbu r3,1(r3) +8111f7e0: 18c0010c andi r3,r3,4 +8111f7e4: 18000226 beq r3,zero,8111f7f0 +8111f7e8: 88800005 stb r2,0(r17) +8111f7ec: 8c400044 addi r17,r17,1 +8111f7f0: 27002c26 beq r4,fp,8111f8a4 +8111f7f4: 24bff21e bne r4,r18,8111f7c0 <__reset+0xfb0ff7c0> +8111f7f8: d9000604 addi r4,sp,24 +8111f7fc: 8cc00005 stb r19,0(r17) +8111f800: 112b4480 call 8112b448 +8111f804: a080020d sth r2,8(r20) +8111f808: 003e7a06 br 8111f1f4 <__reset+0xfb0ff1f4> +8111f80c: d1207917 ldw r4,-32284(gp) +8111f810: 11803fcc andi r6,r2,255 +8111f814: 01604574 movhi r5,33045 +8111f818: 3180201c xori r6,r6,128 +8111f81c: 29736104 addi r5,r5,-12924 +8111f820: 31bfe004 addi r6,r6,-128 +8111f824: 112b6200 call 8112b620 +8111f828: 003e7206 br 8111f1f4 <__reset+0xfb0ff1f4> +8111f82c: 8cc00005 stb r19,0(r17) +8111f830: d9000604 addi r4,sp,24 +8111f834: 112b4480 call 8112b448 +8111f838: a080010d sth r2,4(r20) +8111f83c: dc400604 addi r17,sp,24 +8111f840: 003f2106 br 8111f4c8 <__reset+0xfb0ff4c8> +8111f844: 8cc00005 stb r19,0(r17) +8111f848: d9000604 addi r4,sp,24 +8111f84c: 112b4480 call 8112b448 +8111f850: a080028d sth r2,10(r20) +8111f854: dc400604 addi r17,sp,24 +8111f858: 003f0606 br 8111f474 <__reset+0xfb0ff474> +8111f85c: 8cc00005 stb r19,0(r17) +8111f860: d9000604 addi r4,sp,24 +8111f864: 112b4480 call 8112b448 +8111f868: a080008d sth r2,2(r20) +8111f86c: dc400604 addi r17,sp,24 +8111f870: 003f2a06 br 8111f51c <__reset+0xfb0ff51c> +8111f874: 8cc00005 stb r19,0(r17) +8111f878: d9000604 addi r4,sp,24 +8111f87c: 112b4480 call 8112b448 +8111f880: a080018d sth r2,6(r20) +8111f884: dc400604 addi r17,sp,24 +8111f888: 003f8706 br 8111f6a8 <__reset+0xfb0ff6a8> +8111f88c: 8cc00005 stb r19,0(r17) +8111f890: d9000604 addi r4,sp,24 +8111f894: 112b4480 call 8112b448 +8111f898: a080000d sth r2,0(r20) +8111f89c: dc400604 addi r17,sp,24 +8111f8a0: 003f3306 br 8111f570 <__reset+0xfb0ff570> +8111f8a4: 8cc00005 stb r19,0(r17) +8111f8a8: d9000604 addi r4,sp,24 +8111f8ac: 112b4480 call 8112b448 +8111f8b0: a080020d sth r2,8(r20) +8111f8b4: dc400604 addi r17,sp,24 +8111f8b8: 003fc106 br 8111f7c0 <__reset+0xfb0ff7c0> +8111f8bc: d1e07917 ldw r7,-32284(gp) +8111f8c0: 01204574 movhi r4,33045 +8111f8c4: 21336c04 addi r4,r4,-12880 +8111f8c8: 01800604 movi r6,24 +8111f8cc: 880b883a mov r5,r17 +8111f8d0: 112bc8c0 call 8112bc8c +8111f8d4: 003e0106 br 8111f0dc <__reset+0xfb0ff0dc> +8111f8d8: a0c00315 stw r3,12(r20) +8111f8dc: 003e4506 br 8111f1f4 <__reset+0xfb0ff1f4> + +8111f8e0 : +8111f8e0: 00a04634 movhi r2,33048 +8111f8e4: 108f2304 addi r2,r2,15500 +8111f8e8: 00c00044 movi r3,1 +8111f8ec: 02109a04 movi r8,17000 +8111f8f0: 10c004c5 stb r3,19(r2) +8111f8f4: 10c00505 stb r3,20(r2) +8111f8f8: 10c00545 stb r3,21(r2) +8111f8fc: 10c00585 stb r3,22(r2) +8111f900: 10c005c5 stb r3,23(r2) +8111f904: 00c01c04 movi r3,112 +8111f908: 013fffc4 movi r4,-1 +8111f90c: 01fff004 movi r7,-64 +8111f910: 01bfea04 movi r6,-88 +8111f914: 01400444 movi r5,17 +8111f918: 1200000d sth r8,0(r2) +8111f91c: 10c00605 stb r3,24(r2) +8111f920: 02000284 movi r8,10 +8111f924: 00c00184 movi r3,6 +8111f928: 10000115 stw zero,4(r2) +8111f92c: 11c00205 stb r7,8(r2) +8111f930: 11800245 stb r6,9(r2) +8111f934: 11400285 stb r5,10(r2) +8111f938: 120002c5 stb r8,11(r2) +8111f93c: 11000305 stb r4,12(r2) +8111f940: 11000345 stb r4,13(r2) +8111f944: 11000385 stb r4,14(r2) +8111f948: 100003c5 stb zero,15(r2) +8111f94c: 11c00405 stb r7,16(r2) +8111f950: 11800445 stb r6,17(r2) +8111f954: 11400485 stb r5,18(r2) +8111f958: 10c00645 stb r3,25(r2) +8111f95c: 10000685 stb zero,26(r2) +8111f960: f800283a ret + +8111f964 : +8111f964: defff404 addi sp,sp,-48 +8111f968: dc400315 stw r17,12(sp) +8111f96c: d4609317 ldw r17,-32180(gp) +8111f970: dfc00b15 stw ra,44(sp) +8111f974: df000a15 stw fp,40(sp) +8111f978: ddc00915 stw r23,36(sp) +8111f97c: dd800815 stw r22,32(sp) +8111f980: dd400715 stw r21,28(sp) +8111f984: dd000615 stw r20,24(sp) +8111f988: dcc00515 stw r19,20(sp) +8111f98c: dc800415 stw r18,16(sp) +8111f990: dc000215 stw r16,8(sp) +8111f994: 00800044 movi r2,1 +8111f998: 88801a26 beq r17,r2,8111fa04 +8111f99c: 00a04634 movhi r2,33048 +8111f9a0: 108f1904 addi r2,r2,15460 +8111f9a4: 10800803 ldbu r2,32(r2) +8111f9a8: 00c001c4 movi r3,7 +8111f9ac: 18800e2e bgeu r3,r2,8111f9e8 +8111f9b0: 111f8e00 call 8111f8e0 +8111f9b4: 0005883a mov r2,zero +8111f9b8: dfc00b17 ldw ra,44(sp) +8111f9bc: df000a17 ldw fp,40(sp) +8111f9c0: ddc00917 ldw r23,36(sp) +8111f9c4: dd800817 ldw r22,32(sp) +8111f9c8: dd400717 ldw r21,28(sp) +8111f9cc: dd000617 ldw r20,24(sp) +8111f9d0: dcc00517 ldw r19,20(sp) +8111f9d4: dc800417 ldw r18,16(sp) +8111f9d8: dc400317 ldw r17,12(sp) +8111f9dc: dc000217 ldw r16,8(sp) +8111f9e0: dec00c04 addi sp,sp,48 +8111f9e4: f800283a ret +8111f9e8: d1e07917 ldw r7,-32284(gp) +8111f9ec: 01204574 movhi r4,33045 +8111f9f0: 21337304 addi r4,r4,-12852 +8111f9f4: 018004c4 movi r6,19 +8111f9f8: 01400044 movi r5,1 +8111f9fc: 112bc8c0 call 8112bc8c +8111fa00: 003feb06 br 8111f9b0 <__reset+0xfb0ff9b0> +8111fa04: 11266740 call 81126674 +8111fa08: 103fe426 beq r2,zero,8111f99c <__reset+0xfb0ff99c> +8111fa0c: 112667c0 call 8112667c +8111fa10: 103fe226 beq r2,zero,8111f99c <__reset+0xfb0ff99c> +8111fa14: 01204574 movhi r4,33045 +8111fa18: 2133e704 addi r4,r4,-12388 +8111fa1c: 11266b80 call 811266b8 +8111fa20: 143fffcc andi r16,r2,65535 +8111fa24: 8420001c xori r16,r16,32768 +8111fa28: 84200004 addi r16,r16,-32768 +8111fa2c: 80018a16 blt r16,zero,81120058 +8111fa30: 008282b4 movhi r2,2570 +8111fa34: 10828284 addi r2,r2,2570 +8111fa38: 05a044b4 movhi r22,33042 +8111fa3c: 04e04634 movhi r19,33048 +8111fa40: b5bea004 addi r22,r22,-1408 +8111fa44: 9ccf2304 addi r19,r19,15500 +8111fa48: d8800015 stw r2,0(sp) +8111fa4c: d8800115 stw r2,4(sp) +8111fa50: 05401544 movi r21,85 +8111fa54: 04400ec4 movi r17,59 +8111fa58: 04800284 movi r18,10 +8111fa5c: 8009883a mov r4,r16 +8111fa60: 11266c80 call 811266c8 +8111fa64: 10800084 addi r2,r2,2 +8111fa68: 10803fcc andi r2,r2,255 +8111fa6c: a8812a36 bltu r21,r2,8111ff18 +8111fa70: 100490ba slli r2,r2,2 +8111fa74: 1585883a add r2,r2,r22 +8111fa78: 10800017 ldw r2,0(r2) +8111fa7c: 1000683a jmp r2 +8111fa80: 8111fdf4 orhi r4,r16,18423 +8111fa84: 8111f9b0 cmpltui r4,r16,18406 +8111fa88: 8111ff18 cmpnei r4,r16,18428 +8111fa8c: 8111ff18 cmpnei r4,r16,18428 +8111fa90: 8111ff18 cmpnei r4,r16,18428 +8111fa94: 8111ff18 cmpnei r4,r16,18428 +8111fa98: 8111ff18 cmpnei r4,r16,18428 +8111fa9c: 8111ff18 cmpnei r4,r16,18428 +8111faa0: 8111ff18 cmpnei r4,r16,18428 +8111faa4: 8111ff18 cmpnei r4,r16,18428 +8111faa8: 8111ff18 cmpnei r4,r16,18428 +8111faac: 8111ff18 cmpnei r4,r16,18428 +8111fab0: 8111fa5c xori r4,r16,18409 +8111fab4: 8111ff18 cmpnei r4,r16,18428 +8111fab8: 8111ff18 cmpnei r4,r16,18428 +8111fabc: 8111fa5c xori r4,r16,18409 +8111fac0: 8111ff18 cmpnei r4,r16,18428 +8111fac4: 8111ff18 cmpnei r4,r16,18428 +8111fac8: 8111ff18 cmpnei r4,r16,18428 +8111facc: 8111ff18 cmpnei r4,r16,18428 +8111fad0: 8111ff18 cmpnei r4,r16,18428 +8111fad4: 8111ff18 cmpnei r4,r16,18428 +8111fad8: 8111ff18 cmpnei r4,r16,18428 +8111fadc: 8111ff18 cmpnei r4,r16,18428 +8111fae0: 8111ff18 cmpnei r4,r16,18428 +8111fae4: 8111ff18 cmpnei r4,r16,18428 +8111fae8: 8111ff18 cmpnei r4,r16,18428 +8111faec: 8111ff18 cmpnei r4,r16,18428 +8111faf0: 8111ff18 cmpnei r4,r16,18428 +8111faf4: 8111ff18 cmpnei r4,r16,18428 +8111faf8: 8111ff18 cmpnei r4,r16,18428 +8111fafc: 8111ff18 cmpnei r4,r16,18428 +8111fb00: 8111ff18 cmpnei r4,r16,18428 +8111fb04: 8111ff18 cmpnei r4,r16,18428 +8111fb08: 8111fa5c xori r4,r16,18409 +8111fb0c: 8111ff18 cmpnei r4,r16,18428 +8111fb10: 8111ff18 cmpnei r4,r16,18428 +8111fb14: 8111ff18 cmpnei r4,r16,18428 +8111fb18: 8111ff18 cmpnei r4,r16,18428 +8111fb1c: 8111ff18 cmpnei r4,r16,18428 +8111fb20: 8111ff18 cmpnei r4,r16,18428 +8111fb24: 8111fdbc xorhi r4,r16,18422 +8111fb28: 8111ff18 cmpnei r4,r16,18428 +8111fb2c: 8111ff18 cmpnei r4,r16,18428 +8111fb30: 8111ff18 cmpnei r4,r16,18428 +8111fb34: 8111ff18 cmpnei r4,r16,18428 +8111fb38: 8111ff18 cmpnei r4,r16,18428 +8111fb3c: 8111ff18 cmpnei r4,r16,18428 +8111fb40: 8111ff18 cmpnei r4,r16,18428 +8111fb44: 8111ff18 cmpnei r4,r16,18428 +8111fb48: 8111ff18 cmpnei r4,r16,18428 +8111fb4c: 8111ff18 cmpnei r4,r16,18428 +8111fb50: 8111ff18 cmpnei r4,r16,18428 +8111fb54: 8111ff18 cmpnei r4,r16,18428 +8111fb58: 8111ff18 cmpnei r4,r16,18428 +8111fb5c: 8111ff18 cmpnei r4,r16,18428 +8111fb60: 8111ff18 cmpnei r4,r16,18428 +8111fb64: 8111ff18 cmpnei r4,r16,18428 +8111fb68: 8111ff18 cmpnei r4,r16,18428 +8111fb6c: 8111ff18 cmpnei r4,r16,18428 +8111fb70: 8111ff18 cmpnei r4,r16,18428 +8111fb74: 8111ff18 cmpnei r4,r16,18428 +8111fb78: 8111fe70 cmpltui r4,r16,18425 +8111fb7c: 8111ff18 cmpnei r4,r16,18428 +8111fb80: 8111ff18 cmpnei r4,r16,18428 +8111fb84: 8111ff18 cmpnei r4,r16,18428 +8111fb88: 8111ff18 cmpnei r4,r16,18428 +8111fb8c: 8111fe24 muli r4,r16,18424 +8111fb90: 8111ff18 cmpnei r4,r16,18428 +8111fb94: 8111ff18 cmpnei r4,r16,18428 +8111fb98: 8111feb0 cmpltui r4,r16,18426 +8111fb9c: 8111ff18 cmpnei r4,r16,18428 +8111fba0: 8111ff18 cmpnei r4,r16,18428 +8111fba4: 8111fd54 ori r4,r16,18421 +8111fba8: 8111fcf4 orhi r4,r16,18419 +8111fbac: 8111fc8c andi r4,r16,18418 +8111fbb0: 8111ff18 cmpnei r4,r16,18428 +8111fbb4: 8111ff18 cmpnei r4,r16,18428 +8111fbb8: 8111ff18 cmpnei r4,r16,18428 +8111fbbc: 8111ff18 cmpnei r4,r16,18428 +8111fbc0: 8111ff18 cmpnei r4,r16,18428 +8111fbc4: 8111ff18 cmpnei r4,r16,18428 +8111fbc8: 8111fc40 call 88111fc4 <__reset+0x20f1fc4> +8111fbcc: 8111ff18 cmpnei r4,r16,18428 +8111fbd0: 8111ff18 cmpnei r4,r16,18428 +8111fbd4: 8111fbd8 cmpnei r4,r16,18415 +8111fbd8: d839883a mov fp,sp +8111fbdc: 0029883a mov r20,zero +8111fbe0: 05c00b84 movi r23,46 +8111fbe4: 8009883a mov r4,r16 +8111fbe8: 11266c80 call 811266c8 +8111fbec: 11003fcc andi r4,r2,255 +8111fbf0: d0e00d17 ldw r3,-32716(gp) +8111fbf4: 2100201c xori r4,r4,128 +8111fbf8: 213fe004 addi r4,r4,-128 +8111fbfc: 1907883a add r3,r3,r4 +8111fc00: 18c00043 ldbu r3,1(r3) +8111fc04: 18c0010c andi r3,r3,4 +8111fc08: 18000226 beq r3,zero,8111fc14 +8111fc0c: e0800005 stb r2,0(fp) +8111fc10: e7000044 addi fp,fp,1 +8111fc14: 25c0d626 beq r4,r23,8111ff70 +8111fc18: 247ff21e bne r4,r17,8111fbe4 <__reset+0xfb0ffbe4> +8111fc1c: e4800005 stb r18,0(fp) +8111fc20: a5003fcc andi r20,r20,255 +8111fc24: 00800084 movi r2,2 +8111fc28: 15012336 bltu r2,r20,811200b8 +8111fc2c: d809883a mov r4,sp +8111fc30: 112b4480 call 8112b448 +8111fc34: 9d29883a add r20,r19,r20 +8111fc38: a0800305 stb r2,12(r20) +8111fc3c: 003f8706 br 8111fa5c <__reset+0xfb0ffa5c> +8111fc40: d829883a mov r20,sp +8111fc44: 8009883a mov r4,r16 +8111fc48: 11266c80 call 811266c8 +8111fc4c: 11003fcc andi r4,r2,255 +8111fc50: d0e00d17 ldw r3,-32716(gp) +8111fc54: 2100201c xori r4,r4,128 +8111fc58: 213fe004 addi r4,r4,-128 +8111fc5c: 1907883a add r3,r3,r4 +8111fc60: 18c00043 ldbu r3,1(r3) +8111fc64: 18c0010c andi r3,r3,4 +8111fc68: 18000226 beq r3,zero,8111fc74 +8111fc6c: a0800005 stb r2,0(r20) +8111fc70: a5000044 addi r20,r20,1 +8111fc74: 247ff31e bne r4,r17,8111fc44 <__reset+0xfb0ffc44> +8111fc78: d809883a mov r4,sp +8111fc7c: a4800005 stb r18,0(r20) +8111fc80: 112b4480 call 8112b448 +8111fc84: 9880000d sth r2,0(r19) +8111fc88: 003f7406 br 8111fa5c <__reset+0xfb0ffa5c> +8111fc8c: d839883a mov fp,sp +8111fc90: 0029883a mov r20,zero +8111fc94: 05c00b84 movi r23,46 +8111fc98: 8009883a mov r4,r16 +8111fc9c: 11266c80 call 811266c8 +8111fca0: 11003fcc andi r4,r2,255 +8111fca4: d0e00d17 ldw r3,-32716(gp) +8111fca8: 2100201c xori r4,r4,128 +8111fcac: 213fe004 addi r4,r4,-128 +8111fcb0: 1907883a add r3,r3,r4 +8111fcb4: 18c00043 ldbu r3,1(r3) +8111fcb8: 18c0010c andi r3,r3,4 +8111fcbc: 18000226 beq r3,zero,8111fcc8 +8111fcc0: e0800005 stb r2,0(fp) +8111fcc4: e7000044 addi fp,fp,1 +8111fcc8: 25c09f26 beq r4,r23,8111ff48 +8111fccc: 247ff21e bne r4,r17,8111fc98 <__reset+0xfb0ffc98> +8111fcd0: e4800005 stb r18,0(fp) +8111fcd4: a5003fcc andi r20,r20,255 +8111fcd8: 00800084 movi r2,2 +8111fcdc: 1500ea36 bltu r2,r20,81120088 +8111fce0: d809883a mov r4,sp +8111fce4: 112b4480 call 8112b448 +8111fce8: 9d29883a add r20,r19,r20 +8111fcec: a0800205 stb r2,8(r20) +8111fcf0: 003f5a06 br 8111fa5c <__reset+0xfb0ffa5c> +8111fcf4: d829883a mov r20,sp +8111fcf8: 8009883a mov r4,r16 +8111fcfc: 11266c80 call 811266c8 +8111fd00: 11003fcc andi r4,r2,255 +8111fd04: d0e00d17 ldw r3,-32716(gp) +8111fd08: 2100201c xori r4,r4,128 +8111fd0c: 213fe004 addi r4,r4,-128 +8111fd10: 1907883a add r3,r3,r4 +8111fd14: 18c00043 ldbu r3,1(r3) +8111fd18: 18c0010c andi r3,r3,4 +8111fd1c: 18000226 beq r3,zero,8111fd28 +8111fd20: a0800005 stb r2,0(r20) +8111fd24: a5000044 addi r20,r20,1 +8111fd28: 247ff31e bne r4,r17,8111fcf8 <__reset+0xfb0ffcf8> +8111fd2c: d809883a mov r4,sp +8111fd30: a4800005 stb r18,0(r20) +8111fd34: 112b4480 call 8112b448 +8111fd38: 10bfffcc andi r2,r2,65535 +8111fd3c: 10a0001c xori r2,r2,32768 +8111fd40: 10a00004 addi r2,r2,-32768 +8111fd44: 00c00044 movi r3,1 +8111fd48: 10c0df26 beq r2,r3,811200c8 +8111fd4c: 98000115 stw zero,4(r19) +8111fd50: 003f4206 br 8111fa5c <__reset+0xfb0ffa5c> +8111fd54: d839883a mov fp,sp +8111fd58: 0029883a mov r20,zero +8111fd5c: 05c00b84 movi r23,46 +8111fd60: 8009883a mov r4,r16 +8111fd64: 11266c80 call 811266c8 +8111fd68: 11003fcc andi r4,r2,255 +8111fd6c: d0e00d17 ldw r3,-32716(gp) +8111fd70: 2100201c xori r4,r4,128 +8111fd74: 213fe004 addi r4,r4,-128 +8111fd78: 1907883a add r3,r3,r4 +8111fd7c: 18c00043 ldbu r3,1(r3) +8111fd80: 18c0010c andi r3,r3,4 +8111fd84: 18000226 beq r3,zero,8111fd90 +8111fd88: e0800005 stb r2,0(fp) +8111fd8c: e7000044 addi fp,fp,1 +8111fd90: 25c08126 beq r4,r23,8111ff98 +8111fd94: 247ff21e bne r4,r17,8111fd60 <__reset+0xfb0ffd60> +8111fd98: e4800005 stb r18,0(fp) +8111fd9c: a5003fcc andi r20,r20,255 +8111fda0: 00800084 movi r2,2 +8111fda4: 1500bc36 bltu r2,r20,81120098 +8111fda8: d809883a mov r4,sp +8111fdac: 112b4480 call 8112b448 +8111fdb0: 9d29883a add r20,r19,r20 +8111fdb4: a0800405 stb r2,16(r20) +8111fdb8: 003f2806 br 8111fa5c <__reset+0xfb0ffa5c> +8111fdbc: 8009883a mov r4,r16 +8111fdc0: 11266c80 call 811266c8 +8111fdc4: 10803fcc andi r2,r2,255 +8111fdc8: 1080201c xori r2,r2,128 +8111fdcc: 10bfe004 addi r2,r2,-128 +8111fdd0: 050009c4 movi r20,39 +8111fdd4: 153f2126 beq r2,r20,8111fa5c <__reset+0xfb0ffa5c> +8111fdd8: 8009883a mov r4,r16 +8111fddc: 11266c80 call 811266c8 +8111fde0: 10803fcc andi r2,r2,255 +8111fde4: 1080201c xori r2,r2,128 +8111fde8: 10bfe004 addi r2,r2,-128 +8111fdec: 153ffa1e bne r2,r20,8111fdd8 <__reset+0xfb0ffdd8> +8111fdf0: 003f1a06 br 8111fa5c <__reset+0xfb0ffa5c> +8111fdf4: 00a04634 movhi r2,33048 +8111fdf8: 108f1904 addi r2,r2,15460 +8111fdfc: 10800803 ldbu r2,32(r2) +8111fe00: 00c001c4 movi r3,7 +8111fe04: 18beea36 bltu r3,r2,8111f9b0 <__reset+0xfb0ff9b0> +8111fe08: d1e07917 ldw r7,-32284(gp) +8111fe0c: 01204574 movhi r4,33045 +8111fe10: 21335204 addi r4,r4,-12984 +8111fe14: 018006c4 movi r6,27 +8111fe18: 01400044 movi r5,1 +8111fe1c: 112bc8c0 call 8112bc8c +8111fe20: 003ee306 br 8111f9b0 <__reset+0xfb0ff9b0> +8111fe24: d829883a mov r20,sp +8111fe28: 8009883a mov r4,r16 +8111fe2c: 11266c80 call 811266c8 +8111fe30: 11003fcc andi r4,r2,255 +8111fe34: d0e00d17 ldw r3,-32716(gp) +8111fe38: 2100201c xori r4,r4,128 +8111fe3c: 213fe004 addi r4,r4,-128 +8111fe40: 1907883a add r3,r3,r4 +8111fe44: 18c00043 ldbu r3,1(r3) +8111fe48: 18c0010c andi r3,r3,4 +8111fe4c: 18000226 beq r3,zero,8111fe58 +8111fe50: a0800005 stb r2,0(r20) +8111fe54: a5000044 addi r20,r20,1 +8111fe58: 247ff31e bne r4,r17,8111fe28 <__reset+0xfb0ffe28> +8111fe5c: d809883a mov r4,sp +8111fe60: a4800005 stb r18,0(r20) +8111fe64: 112b4480 call 8112b448 +8111fe68: 98800605 stb r2,24(r19) +8111fe6c: 003efb06 br 8111fa5c <__reset+0xfb0ffa5c> +8111fe70: 8009883a mov r4,r16 +8111fe74: 11266c00 call 811266c0 +8111fe78: 10000b1e bne r2,zero,8111fea8 +8111fe7c: 00a04634 movhi r2,33048 +8111fe80: 108f1904 addi r2,r2,15460 +8111fe84: 10800803 ldbu r2,32(r2) +8111fe88: 00c001c4 movi r3,7 +8111fe8c: 18800636 bltu r3,r2,8111fea8 +8111fe90: d1e07917 ldw r7,-32284(gp) +8111fe94: 01204574 movhi r4,33045 +8111fe98: 21335904 addi r4,r4,-12956 +8111fe9c: 01800784 movi r6,30 +8111fea0: 01400044 movi r5,1 +8111fea4: 112bc8c0 call 8112bc8c +8111fea8: 00800044 movi r2,1 +8111feac: 003ec206 br 8111f9b8 <__reset+0xfb0ff9b8> +8111feb0: d839883a mov fp,sp +8111feb4: 0029883a mov r20,zero +8111feb8: 05c00b84 movi r23,46 +8111febc: 8009883a mov r4,r16 +8111fec0: 11266c80 call 811266c8 +8111fec4: 11003fcc andi r4,r2,255 +8111fec8: d0e00d17 ldw r3,-32716(gp) +8111fecc: 2100201c xori r4,r4,128 +8111fed0: 213fe004 addi r4,r4,-128 +8111fed4: 1907883a add r3,r3,r4 +8111fed8: 18c00043 ldbu r3,1(r3) +8111fedc: 18c0010c andi r3,r3,4 +8111fee0: 18000226 beq r3,zero,8111feec +8111fee4: e0800005 stb r2,0(fp) +8111fee8: e7000044 addi fp,fp,1 +8111feec: 25c03426 beq r4,r23,8111ffc0 +8111fef0: 247ff21e bne r4,r17,8111febc <__reset+0xfb0ffebc> +8111fef4: e4800005 stb r18,0(fp) +8111fef8: a5003fcc andi r20,r20,255 +8111fefc: 00800084 movi r2,2 +8111ff00: 15006936 bltu r2,r20,811200a8 +8111ff04: d809883a mov r4,sp +8111ff08: 112b4480 call 8112b448 +8111ff0c: 9d29883a add r20,r19,r20 +8111ff10: a0800505 stb r2,20(r20) +8111ff14: 003ed106 br 8111fa5c <__reset+0xfb0ffa5c> +8111ff18: 00e04634 movhi r3,33048 +8111ff1c: 18cf1904 addi r3,r3,15460 +8111ff20: 18800803 ldbu r2,32(r3) +8111ff24: 00c001c4 movi r3,7 +8111ff28: 18becc36 bltu r3,r2,8111fa5c <__reset+0xfb0ffa5c> +8111ff2c: d1e07917 ldw r7,-32284(gp) +8111ff30: 01204574 movhi r4,33045 +8111ff34: 2133ea04 addi r4,r4,-12376 +8111ff38: 01800844 movi r6,33 +8111ff3c: 01400044 movi r5,1 +8111ff40: 112bc8c0 call 8112bc8c +8111ff44: 003ec506 br 8111fa5c <__reset+0xfb0ffa5c> +8111ff48: e4800005 stb r18,0(fp) +8111ff4c: 00800084 movi r2,2 +8111ff50: a7003fcc andi fp,r20,255 +8111ff54: 1700242e bgeu r2,fp,8111ffe8 +8111ff58: d809883a mov r4,sp +8111ff5c: 112b4480 call 8112b448 +8111ff60: 988002c5 stb r2,11(r19) +8111ff64: a5000044 addi r20,r20,1 +8111ff68: d839883a mov fp,sp +8111ff6c: 003f4a06 br 8111fc98 <__reset+0xfb0ffc98> +8111ff70: e4800005 stb r18,0(fp) +8111ff74: 00800084 movi r2,2 +8111ff78: a7003fcc andi fp,r20,255 +8111ff7c: 1700212e bgeu r2,fp,81120004 +8111ff80: d809883a mov r4,sp +8111ff84: 112b4480 call 8112b448 +8111ff88: 988003c5 stb r2,15(r19) +8111ff8c: a5000044 addi r20,r20,1 +8111ff90: d839883a mov fp,sp +8111ff94: 003f1306 br 8111fbe4 <__reset+0xfb0ffbe4> +8111ff98: e4800005 stb r18,0(fp) +8111ff9c: 00c00084 movi r3,2 +8111ffa0: a7003fcc andi fp,r20,255 +8111ffa4: 1f001e2e bgeu r3,fp,81120020 8111ffa8: d809883a mov r4,sp -8111ffac: 112b4080 call 8112b408 -8111ffb0: 9f07883a add r3,r19,fp -8111ffb4: 18800205 stb r2,8(r3) -8111ffb8: a5000044 addi r20,r20,1 -8111ffbc: d839883a mov fp,sp -8111ffc0: 003f2506 br 8111fc58 <__reset+0xfb0ffc58> -8111ffc4: d809883a mov r4,sp -8111ffc8: 112b4080 call 8112b408 -8111ffcc: 9f07883a add r3,r19,fp -8111ffd0: 18800305 stb r2,12(r3) -8111ffd4: a5000044 addi r20,r20,1 -8111ffd8: d839883a mov fp,sp -8111ffdc: 003ef106 br 8111fba4 <__reset+0xfb0ffba4> -8111ffe0: d809883a mov r4,sp -8111ffe4: 112b4080 call 8112b408 -8111ffe8: 9f07883a add r3,r19,fp -8111ffec: 18800405 stb r2,16(r3) -8111fff0: a5000044 addi r20,r20,1 -8111fff4: d839883a mov fp,sp -8111fff8: 003f4906 br 8111fd20 <__reset+0xfb0ffd20> -8111fffc: d809883a mov r4,sp -81120000: 112b4080 call 8112b408 -81120004: 9f07883a add r3,r19,fp -81120008: 18800505 stb r2,20(r3) -8112000c: a5000044 addi r20,r20,1 -81120010: d839883a mov fp,sp -81120014: 003f9906 br 8111fe7c <__reset+0xfb0ffe7c> -81120018: 00a04634 movhi r2,33048 -8112001c: 108f0804 addi r2,r2,15392 -81120020: 10800803 ldbu r2,32(r2) -81120024: 00c001c4 movi r3,7 -81120028: 18be5136 bltu r3,r2,8111f970 <__reset+0xfb0ff970> -8112002c: d1e07917 ldw r7,-32284(gp) -81120030: 01204574 movhi r4,33045 -81120034: 21335b04 addi r4,r4,-12948 -81120038: 01800604 movi r6,24 -8112003c: 880b883a mov r5,r17 -81120040: 112bc4c0 call 8112bc4c -81120044: 003e4a06 br 8111f970 <__reset+0xfb0ff970> -81120048: d809883a mov r4,sp -8112004c: 112b4080 call 8112b408 -81120050: 988002c5 stb r2,11(r19) -81120054: 003e7106 br 8111fa1c <__reset+0xfb0ffa1c> -81120058: d809883a mov r4,sp -8112005c: 112b4080 call 8112b408 -81120060: 988004c5 stb r2,19(r19) -81120064: 003e6d06 br 8111fa1c <__reset+0xfb0ffa1c> -81120068: d809883a mov r4,sp -8112006c: 112b4080 call 8112b408 -81120070: 988005c5 stb r2,23(r19) -81120074: 003e6906 br 8111fa1c <__reset+0xfb0ffa1c> -81120078: d809883a mov r4,sp -8112007c: 112b4080 call 8112b408 -81120080: 988003c5 stb r2,15(r19) -81120084: 003e6506 br 8111fa1c <__reset+0xfb0ffa1c> -81120088: 98800115 stw r2,4(r19) -8112008c: 003e6306 br 8111fa1c <__reset+0xfb0ffa1c> - -81120090 : -81120090: 00a04634 movhi r2,33048 -81120094: 108f0804 addi r2,r2,15392 -81120098: 00c04b04 movi r3,300 -8112009c: 10c0010d sth r3,4(r2) -811200a0: 00c23dc4 movi r3,2295 -811200a4: 10c0018d sth r3,6(r2) -811200a8: 00c46784 movi r3,4510 -811200ac: 10c0020d sth r3,8(r2) -811200b0: 00d86a04 movi r3,25000 -811200b4: 10c0028d sth r3,10(r2) -811200b8: 00c00044 movi r3,1 -811200bc: 10c00315 stw r3,12(r2) -811200c0: 00c000b4 movhi r3,2 -811200c4: 18eb6c04 addi r3,r3,-21072 -811200c8: 10c00515 stw r3,20(r2) -811200cc: 00c00074 movhi r3,1 -811200d0: 18d7e404 addi r3,r3,24464 -811200d4: 10c00615 stw r3,24(r2) -811200d8: 00c05344 movi r3,333 -811200dc: 10c00715 stw r3,28(r2) -811200e0: 00c00104 movi r3,4 -811200e4: 10c00805 stb r3,32(r2) -811200e8: 00c00c84 movi r3,50 -811200ec: 1000000d sth zero,0(r2) -811200f0: 1000008d sth zero,2(r2) -811200f4: 10000415 stw zero,16(r2) -811200f8: 10c0088d sth r3,34(r2) -811200fc: 10000905 stb zero,36(r2) -81120100: f800283a ret - -81120104 : -81120104: 21003fcc andi r4,r4,255 -81120108: 00800144 movi r2,5 -8112010c: 11001336 bltu r2,r4,8112015c -81120110: 21000524 muli r4,r4,20 -81120114: 00e04634 movhi r3,33048 -81120118: 18ceea04 addi r3,r3,15272 -8112011c: 1909883a add r4,r3,r4 -81120120: 00c01904 movi r3,100 -81120124: 20c00205 stb r3,8(r4) -81120128: 00c01444 movi r3,81 -8112012c: 20c00405 stb r3,16(r4) -81120130: 00fff444 movi r3,-47 -81120134: 20c00445 stb r3,17(r4) -81120138: 00fffc04 movi r3,-16 -8112013c: 00800044 movi r2,1 -81120140: 20c00485 stb r3,18(r4) -81120144: 00c01404 movi r3,80 -81120148: 20000015 stw zero,0(r4) -8112014c: 20800115 stw r2,4(r4) -81120150: 20800315 stw r2,12(r4) -81120154: 20c004c5 stb r3,19(r4) -81120158: f800283a ret -8112015c: 0005883a mov r2,zero -81120160: f800283a ret - -81120164 : -81120164: d1e07917 ldw r7,-32284(gp) -81120168: defffc04 addi sp,sp,-16 -8112016c: 01204574 movhi r4,33045 -81120170: dc000215 stw r16,8(sp) -81120174: 2133e204 addi r4,r4,-12408 -81120178: 01800804 movi r6,32 -8112017c: 01400044 movi r5,1 -81120180: 04204634 movhi r16,33048 -81120184: 840f1204 addi r16,r16,15432 -81120188: dfc00315 stw ra,12(sp) -8112018c: 112bc4c0 call 8112bc4c -81120190: 80c002c3 ldbu r3,11(r16) -81120194: 80800283 ldbu r2,10(r16) -81120198: 81c00243 ldbu r7,9(r16) -8112019c: 81800203 ldbu r6,8(r16) -811201a0: d1207917 ldw r4,-32284(gp) -811201a4: 01604574 movhi r5,33045 -811201a8: d8c00115 stw r3,4(sp) -811201ac: 2973eb04 addi r5,r5,-12372 -811201b0: d8800015 stw r2,0(sp) -811201b4: 112b5e00 call 8112b5e0 -811201b8: 80c004c3 ldbu r3,19(r16) -811201bc: 80800483 ldbu r2,18(r16) -811201c0: 81c00443 ldbu r7,17(r16) -811201c4: 81800403 ldbu r6,16(r16) -811201c8: d1207917 ldw r4,-32284(gp) -811201cc: 01604574 movhi r5,33045 -811201d0: d8c00115 stw r3,4(sp) -811201d4: 2973f504 addi r5,r5,-12332 -811201d8: d8800015 stw r2,0(sp) -811201dc: 112b5e00 call 8112b5e0 -811201e0: 80c003c3 ldbu r3,15(r16) -811201e4: 80800383 ldbu r2,14(r16) -811201e8: 81c00343 ldbu r7,13(r16) -811201ec: 81800303 ldbu r6,12(r16) -811201f0: d1207917 ldw r4,-32284(gp) -811201f4: 01604574 movhi r5,33045 -811201f8: d8c00115 stw r3,4(sp) -811201fc: 2973ff04 addi r5,r5,-12292 -81120200: d8800015 stw r2,0(sp) -81120204: 112b5e00 call 8112b5e0 -81120208: 80c005c3 ldbu r3,23(r16) -8112020c: 80800583 ldbu r2,22(r16) -81120210: 81c00543 ldbu r7,21(r16) -81120214: 81800503 ldbu r6,20(r16) -81120218: d1207917 ldw r4,-32284(gp) -8112021c: 01604574 movhi r5,33045 -81120220: d8c00115 stw r3,4(sp) -81120224: 29740904 addi r5,r5,-12252 -81120228: d8800015 stw r2,0(sp) -8112022c: 112b5e00 call 8112b5e0 -81120230: 8180000b ldhu r6,0(r16) -81120234: d1207917 ldw r4,-32284(gp) -81120238: 01604574 movhi r5,33045 -8112023c: 29741204 addi r5,r5,-12216 -81120240: 112b5e00 call 8112b5e0 -81120244: 81800117 ldw r6,4(r16) -81120248: d1207917 ldw r4,-32284(gp) -8112024c: 01604574 movhi r5,33045 -81120250: 29741904 addi r5,r5,-12188 -81120254: 112b5e00 call 8112b5e0 -81120258: 81800603 ldbu r6,24(r16) -8112025c: d1207917 ldw r4,-32284(gp) -81120260: 01604574 movhi r5,33045 -81120264: 29742304 addi r5,r5,-12148 -81120268: 112b5e00 call 8112b5e0 -8112026c: 81800643 ldbu r6,25(r16) -81120270: d1207917 ldw r4,-32284(gp) -81120274: 01604574 movhi r5,33045 -81120278: 29742c04 addi r5,r5,-12112 -8112027c: 112b5e00 call 8112b5e0 -81120280: d1207917 ldw r4,-32284(gp) -81120284: 81800683 ldbu r6,26(r16) -81120288: 01604574 movhi r5,33045 -8112028c: 29743504 addi r5,r5,-12076 -81120290: 112b5e00 call 8112b5e0 -81120294: d1607917 ldw r5,-32284(gp) -81120298: 01000284 movi r4,10 -8112029c: dfc00317 ldw ra,12(sp) -811202a0: dc000217 ldw r16,8(sp) -811202a4: dec00404 addi sp,sp,16 -811202a8: 112b6481 jmpi 8112b648 - -811202ac : -811202ac: d1e07917 ldw r7,-32284(gp) -811202b0: defffe04 addi sp,sp,-8 -811202b4: 01204574 movhi r4,33045 -811202b8: dc000015 stw r16,0(sp) -811202bc: 21344004 addi r4,r4,-12032 -811202c0: 01800744 movi r6,29 -811202c4: 01400044 movi r5,1 -811202c8: 04204634 movhi r16,33048 -811202cc: dfc00115 stw ra,4(sp) -811202d0: 840f0804 addi r16,r16,15392 -811202d4: 112bc4c0 call 8112bc4c -811202d8: 8180028b ldhu r6,10(r16) -811202dc: d1207917 ldw r4,-32284(gp) -811202e0: 01604574 movhi r5,33045 -811202e4: 29744804 addi r5,r5,-12000 -811202e8: 112b5e00 call 8112b5e0 -811202ec: 8180020b ldhu r6,8(r16) -811202f0: d1207917 ldw r4,-32284(gp) -811202f4: 01604574 movhi r5,33045 -811202f8: 29745204 addi r5,r5,-11960 -811202fc: 112b5e00 call 8112b5e0 -81120300: 8180010b ldhu r6,4(r16) -81120304: d1207917 ldw r4,-32284(gp) -81120308: 01604574 movhi r5,33045 -8112030c: 29745804 addi r5,r5,-11936 -81120310: 112b5e00 call 8112b5e0 -81120314: 8180018b ldhu r6,6(r16) -81120318: d1207917 ldw r4,-32284(gp) -8112031c: 01604574 movhi r5,33045 -81120320: 29746104 addi r5,r5,-11900 -81120324: 112b5e00 call 8112b5e0 -81120328: 8180008b ldhu r6,2(r16) -8112032c: d1207917 ldw r4,-32284(gp) -81120330: 01604574 movhi r5,33045 -81120334: 29746604 addi r5,r5,-11880 -81120338: 112b5e00 call 8112b5e0 -8112033c: 8180000b ldhu r6,0(r16) -81120340: d1207917 ldw r4,-32284(gp) -81120344: 01604574 movhi r5,33045 -81120348: 29746f04 addi r5,r5,-11844 -8112034c: 112b5e00 call 8112b5e0 -81120350: 81800417 ldw r6,16(r16) -81120354: d1207917 ldw r4,-32284(gp) -81120358: 01604574 movhi r5,33045 -8112035c: 29747804 addi r5,r5,-11808 -81120360: 112b5e00 call 8112b5e0 -81120364: 81800517 ldw r6,20(r16) -81120368: d1207917 ldw r4,-32284(gp) -8112036c: 01604574 movhi r5,33045 -81120370: 29748204 addi r5,r5,-11768 -81120374: 112b5e00 call 8112b5e0 -81120378: 81800617 ldw r6,24(r16) -8112037c: d1207917 ldw r4,-32284(gp) -81120380: 01604574 movhi r5,33045 -81120384: 29748b04 addi r5,r5,-11732 -81120388: 112b5e00 call 8112b5e0 -8112038c: 81800717 ldw r6,28(r16) -81120390: d1207917 ldw r4,-32284(gp) -81120394: 01604574 movhi r5,33045 -81120398: 29749504 addi r5,r5,-11692 -8112039c: 112b5e00 call 8112b5e0 -811203a0: 81800317 ldw r6,12(r16) -811203a4: d1207917 ldw r4,-32284(gp) -811203a8: 01604574 movhi r5,33045 -811203ac: 2974a104 addi r5,r5,-11644 -811203b0: 112b5e00 call 8112b5e0 -811203b4: 8180088b ldhu r6,34(r16) -811203b8: d1207917 ldw r4,-32284(gp) -811203bc: 01604574 movhi r5,33045 -811203c0: 2974ab04 addi r5,r5,-11604 -811203c4: 112b5e00 call 8112b5e0 -811203c8: d1207917 ldw r4,-32284(gp) -811203cc: 81800803 ldbu r6,32(r16) -811203d0: 01604574 movhi r5,33045 -811203d4: 2974b304 addi r5,r5,-11572 -811203d8: 112b5e00 call 8112b5e0 -811203dc: d1607917 ldw r5,-32284(gp) -811203e0: 01000284 movi r4,10 -811203e4: dfc00117 ldw ra,4(sp) -811203e8: dc000017 ldw r16,0(sp) -811203ec: dec00204 addi sp,sp,8 -811203f0: 112b6481 jmpi 8112b648 - -811203f4 : -811203f4: defffe04 addi sp,sp,-8 -811203f8: dc000015 stw r16,0(sp) -811203fc: dfc00115 stw ra,4(sp) -81120400: 24003fcc andi r16,r4,255 -81120404: 00800144 movi r2,5 -81120408: 1400052e bgeu r2,r16,81120420 -8112040c: 0005883a mov r2,zero -81120410: dfc00117 ldw ra,4(sp) -81120414: dc000017 ldw r16,0(sp) -81120418: dec00204 addi sp,sp,8 -8112041c: f800283a ret -81120420: d1207917 ldw r4,-32284(gp) -81120424: 01604574 movhi r5,33045 -81120428: 800d883a mov r6,r16 -8112042c: 2974bb04 addi r5,r5,-11540 -81120430: 112b5e00 call 8112b5e0 -81120434: 84000524 muli r16,r16,20 -81120438: 00a04634 movhi r2,33048 -8112043c: 108eea04 addi r2,r2,15272 -81120440: 1421883a add r16,r2,r16 -81120444: 81800017 ldw r6,0(r16) -81120448: d1207917 ldw r4,-32284(gp) -8112044c: 01604574 movhi r5,33045 -81120450: 2974c704 addi r5,r5,-11492 -81120454: 112b5e00 call 8112b5e0 -81120458: 81800117 ldw r6,4(r16) -8112045c: d1207917 ldw r4,-32284(gp) -81120460: 01604574 movhi r5,33045 -81120464: 2974d204 addi r5,r5,-11448 -81120468: 112b5e00 call 8112b5e0 -8112046c: 81800203 ldbu r6,8(r16) -81120470: d1207917 ldw r4,-32284(gp) -81120474: 01604574 movhi r5,33045 -81120478: 2974de04 addi r5,r5,-11400 -8112047c: 112b5e00 call 8112b5e0 -81120480: 81800317 ldw r6,12(r16) -81120484: d1207917 ldw r4,-32284(gp) -81120488: 01604574 movhi r5,33045 -8112048c: 2974e704 addi r5,r5,-11364 -81120490: 112b5e00 call 8112b5e0 -81120494: 81800403 ldbu r6,16(r16) -81120498: d1207917 ldw r4,-32284(gp) -8112049c: 01604574 movhi r5,33045 -811204a0: 2974f104 addi r5,r5,-11324 -811204a4: 112b5e00 call 8112b5e0 -811204a8: 81800443 ldbu r6,17(r16) -811204ac: d1207917 ldw r4,-32284(gp) -811204b0: 01604574 movhi r5,33045 -811204b4: 2974f904 addi r5,r5,-11292 -811204b8: 112b5e00 call 8112b5e0 -811204bc: 81800483 ldbu r6,18(r16) -811204c0: d1207917 ldw r4,-32284(gp) -811204c4: 01604574 movhi r5,33045 -811204c8: 2974fe04 addi r5,r5,-11272 -811204cc: 112b5e00 call 8112b5e0 -811204d0: 818004c3 ldbu r6,19(r16) -811204d4: d1207917 ldw r4,-32284(gp) -811204d8: 01604574 movhi r5,33045 -811204dc: 29750604 addi r5,r5,-11240 -811204e0: 112b5e00 call 8112b5e0 -811204e4: d1607917 ldw r5,-32284(gp) -811204e8: 01000284 movi r4,10 -811204ec: 112b6480 call 8112b648 -811204f0: 00800044 movi r2,1 -811204f4: dfc00117 ldw ra,4(sp) -811204f8: dc000017 ldw r16,0(sp) -811204fc: dec00204 addi sp,sp,8 -81120500: f800283a ret - -81120504 : -81120504: 28000c26 beq r5,zero,81120538 -81120508: 298d883a add r6,r5,r6 -8112050c: 20803fcc andi r2,r4,255 -81120510: 29800a2e bgeu r5,r6,8112053c -81120514: 00e04574 movhi r3,33045 -81120518: 18f52704 addi r3,r3,-11108 -8112051c: 29000003 ldbu r4,0(r5) -81120520: 29400044 addi r5,r5,1 -81120524: 2084f03a xor r2,r4,r2 -81120528: 1885883a add r2,r3,r2 -8112052c: 10800003 ldbu r2,0(r2) -81120530: 317ffa1e bne r6,r5,8112051c <__reset+0xfb10051c> -81120534: f800283a ret -81120538: 0005883a mov r2,zero -8112053c: f800283a ret - -81120540 : -81120540: 20000d26 beq r4,zero,81120578 -81120544: 214b883a add r5,r4,r5 -81120548: 21400b2e bgeu r4,r5,81120578 -8112054c: 01a04574 movhi r6,33045 -81120550: 31b52704 addi r6,r6,-11108 -81120554: 0007883a mov r3,zero -81120558: 20800003 ldbu r2,0(r4) -8112055c: 21000044 addi r4,r4,1 -81120560: 10c6f03a xor r3,r2,r3 -81120564: 30c7883a add r3,r6,r3 -81120568: 18800003 ldbu r2,0(r3) -8112056c: 10c03fcc andi r3,r2,255 -81120570: 293ff91e bne r5,r4,81120558 <__reset+0xfb100558> +8111ffac: 112b4480 call 8112b448 +8111ffb0: 988004c5 stb r2,19(r19) +8111ffb4: a5000044 addi r20,r20,1 +8111ffb8: d839883a mov fp,sp +8111ffbc: 003f6806 br 8111fd60 <__reset+0xfb0ffd60> +8111ffc0: e4800005 stb r18,0(fp) +8111ffc4: 00c00084 movi r3,2 +8111ffc8: a7003fcc andi fp,r20,255 +8111ffcc: 1f001b2e bgeu r3,fp,8112003c +8111ffd0: d809883a mov r4,sp +8111ffd4: 112b4480 call 8112b448 +8111ffd8: 988005c5 stb r2,23(r19) +8111ffdc: a5000044 addi r20,r20,1 +8111ffe0: d839883a mov fp,sp +8111ffe4: 003fb506 br 8111febc <__reset+0xfb0ffebc> +8111ffe8: d809883a mov r4,sp +8111ffec: 112b4480 call 8112b448 +8111fff0: 9f07883a add r3,r19,fp +8111fff4: 18800205 stb r2,8(r3) +8111fff8: a5000044 addi r20,r20,1 +8111fffc: d839883a mov fp,sp +81120000: 003f2506 br 8111fc98 <__reset+0xfb0ffc98> +81120004: d809883a mov r4,sp +81120008: 112b4480 call 8112b448 +8112000c: 9f07883a add r3,r19,fp +81120010: 18800305 stb r2,12(r3) +81120014: a5000044 addi r20,r20,1 +81120018: d839883a mov fp,sp +8112001c: 003ef106 br 8111fbe4 <__reset+0xfb0ffbe4> +81120020: d809883a mov r4,sp +81120024: 112b4480 call 8112b448 +81120028: 9f07883a add r3,r19,fp +8112002c: 18800405 stb r2,16(r3) +81120030: a5000044 addi r20,r20,1 +81120034: d839883a mov fp,sp +81120038: 003f4906 br 8111fd60 <__reset+0xfb0ffd60> +8112003c: d809883a mov r4,sp +81120040: 112b4480 call 8112b448 +81120044: 9f07883a add r3,r19,fp +81120048: 18800505 stb r2,20(r3) +8112004c: a5000044 addi r20,r20,1 +81120050: d839883a mov fp,sp +81120054: 003f9906 br 8111febc <__reset+0xfb0ffebc> +81120058: 00a04634 movhi r2,33048 +8112005c: 108f1904 addi r2,r2,15460 +81120060: 10800803 ldbu r2,32(r2) +81120064: 00c001c4 movi r3,7 +81120068: 18be5136 bltu r3,r2,8111f9b0 <__reset+0xfb0ff9b0> +8112006c: d1e07917 ldw r7,-32284(gp) +81120070: 01204574 movhi r4,33045 +81120074: 21336c04 addi r4,r4,-12880 +81120078: 01800604 movi r6,24 +8112007c: 880b883a mov r5,r17 +81120080: 112bc8c0 call 8112bc8c +81120084: 003e4a06 br 8111f9b0 <__reset+0xfb0ff9b0> +81120088: d809883a mov r4,sp +8112008c: 112b4480 call 8112b448 +81120090: 988002c5 stb r2,11(r19) +81120094: 003e7106 br 8111fa5c <__reset+0xfb0ffa5c> +81120098: d809883a mov r4,sp +8112009c: 112b4480 call 8112b448 +811200a0: 988004c5 stb r2,19(r19) +811200a4: 003e6d06 br 8111fa5c <__reset+0xfb0ffa5c> +811200a8: d809883a mov r4,sp +811200ac: 112b4480 call 8112b448 +811200b0: 988005c5 stb r2,23(r19) +811200b4: 003e6906 br 8111fa5c <__reset+0xfb0ffa5c> +811200b8: d809883a mov r4,sp +811200bc: 112b4480 call 8112b448 +811200c0: 988003c5 stb r2,15(r19) +811200c4: 003e6506 br 8111fa5c <__reset+0xfb0ffa5c> +811200c8: 98800115 stw r2,4(r19) +811200cc: 003e6306 br 8111fa5c <__reset+0xfb0ffa5c> + +811200d0 : +811200d0: 00a04634 movhi r2,33048 +811200d4: 108f1904 addi r2,r2,15460 +811200d8: 00c04b04 movi r3,300 +811200dc: 10c0010d sth r3,4(r2) +811200e0: 00c23dc4 movi r3,2295 +811200e4: 10c0018d sth r3,6(r2) +811200e8: 00c46784 movi r3,4510 +811200ec: 10c0020d sth r3,8(r2) +811200f0: 00d86a04 movi r3,25000 +811200f4: 10c0028d sth r3,10(r2) +811200f8: 00c00044 movi r3,1 +811200fc: 10c00315 stw r3,12(r2) +81120100: 00c000b4 movhi r3,2 +81120104: 18eb6c04 addi r3,r3,-21072 +81120108: 10c00515 stw r3,20(r2) +8112010c: 00c00074 movhi r3,1 +81120110: 18d7e404 addi r3,r3,24464 +81120114: 10c00615 stw r3,24(r2) +81120118: 00c05344 movi r3,333 +8112011c: 10c00715 stw r3,28(r2) +81120120: 00c00104 movi r3,4 +81120124: 10c00805 stb r3,32(r2) +81120128: 00c00c84 movi r3,50 +8112012c: 1000000d sth zero,0(r2) +81120130: 1000008d sth zero,2(r2) +81120134: 10000415 stw zero,16(r2) +81120138: 10c0088d sth r3,34(r2) +8112013c: 10000905 stb zero,36(r2) +81120140: f800283a ret + +81120144 : +81120144: 21003fcc andi r4,r4,255 +81120148: 00800144 movi r2,5 +8112014c: 11001336 bltu r2,r4,8112019c +81120150: 21000524 muli r4,r4,20 +81120154: 00e04634 movhi r3,33048 +81120158: 18cefb04 addi r3,r3,15340 +8112015c: 1909883a add r4,r3,r4 +81120160: 00c01904 movi r3,100 +81120164: 20c00205 stb r3,8(r4) +81120168: 00c01444 movi r3,81 +8112016c: 20c00405 stb r3,16(r4) +81120170: 00fff444 movi r3,-47 +81120174: 20c00445 stb r3,17(r4) +81120178: 00fffc04 movi r3,-16 +8112017c: 00800044 movi r2,1 +81120180: 20c00485 stb r3,18(r4) +81120184: 00c01404 movi r3,80 +81120188: 20000015 stw zero,0(r4) +8112018c: 20800115 stw r2,4(r4) +81120190: 20800315 stw r2,12(r4) +81120194: 20c004c5 stb r3,19(r4) +81120198: f800283a ret +8112019c: 0005883a mov r2,zero +811201a0: f800283a ret + +811201a4 : +811201a4: d1e07917 ldw r7,-32284(gp) +811201a8: defffc04 addi sp,sp,-16 +811201ac: 01204574 movhi r4,33045 +811201b0: dc000215 stw r16,8(sp) +811201b4: 2133f304 addi r4,r4,-12340 +811201b8: 01800804 movi r6,32 +811201bc: 01400044 movi r5,1 +811201c0: 04204634 movhi r16,33048 +811201c4: 840f2304 addi r16,r16,15500 +811201c8: dfc00315 stw ra,12(sp) +811201cc: 112bc8c0 call 8112bc8c +811201d0: 80c002c3 ldbu r3,11(r16) +811201d4: 80800283 ldbu r2,10(r16) +811201d8: 81c00243 ldbu r7,9(r16) +811201dc: 81800203 ldbu r6,8(r16) +811201e0: d1207917 ldw r4,-32284(gp) +811201e4: 01604574 movhi r5,33045 +811201e8: d8c00115 stw r3,4(sp) +811201ec: 2973fc04 addi r5,r5,-12304 +811201f0: d8800015 stw r2,0(sp) +811201f4: 112b6200 call 8112b620 +811201f8: 80c004c3 ldbu r3,19(r16) +811201fc: 80800483 ldbu r2,18(r16) +81120200: 81c00443 ldbu r7,17(r16) +81120204: 81800403 ldbu r6,16(r16) +81120208: d1207917 ldw r4,-32284(gp) +8112020c: 01604574 movhi r5,33045 +81120210: d8c00115 stw r3,4(sp) +81120214: 29740604 addi r5,r5,-12264 +81120218: d8800015 stw r2,0(sp) +8112021c: 112b6200 call 8112b620 +81120220: 80c003c3 ldbu r3,15(r16) +81120224: 80800383 ldbu r2,14(r16) +81120228: 81c00343 ldbu r7,13(r16) +8112022c: 81800303 ldbu r6,12(r16) +81120230: d1207917 ldw r4,-32284(gp) +81120234: 01604574 movhi r5,33045 +81120238: d8c00115 stw r3,4(sp) +8112023c: 29741004 addi r5,r5,-12224 +81120240: d8800015 stw r2,0(sp) +81120244: 112b6200 call 8112b620 +81120248: 80c005c3 ldbu r3,23(r16) +8112024c: 80800583 ldbu r2,22(r16) +81120250: 81c00543 ldbu r7,21(r16) +81120254: 81800503 ldbu r6,20(r16) +81120258: d1207917 ldw r4,-32284(gp) +8112025c: 01604574 movhi r5,33045 +81120260: d8c00115 stw r3,4(sp) +81120264: 29741a04 addi r5,r5,-12184 +81120268: d8800015 stw r2,0(sp) +8112026c: 112b6200 call 8112b620 +81120270: 8180000b ldhu r6,0(r16) +81120274: d1207917 ldw r4,-32284(gp) +81120278: 01604574 movhi r5,33045 +8112027c: 29742304 addi r5,r5,-12148 +81120280: 112b6200 call 8112b620 +81120284: 81800117 ldw r6,4(r16) +81120288: d1207917 ldw r4,-32284(gp) +8112028c: 01604574 movhi r5,33045 +81120290: 29742a04 addi r5,r5,-12120 +81120294: 112b6200 call 8112b620 +81120298: 81800603 ldbu r6,24(r16) +8112029c: d1207917 ldw r4,-32284(gp) +811202a0: 01604574 movhi r5,33045 +811202a4: 29743404 addi r5,r5,-12080 +811202a8: 112b6200 call 8112b620 +811202ac: 81800643 ldbu r6,25(r16) +811202b0: d1207917 ldw r4,-32284(gp) +811202b4: 01604574 movhi r5,33045 +811202b8: 29743d04 addi r5,r5,-12044 +811202bc: 112b6200 call 8112b620 +811202c0: d1207917 ldw r4,-32284(gp) +811202c4: 81800683 ldbu r6,26(r16) +811202c8: 01604574 movhi r5,33045 +811202cc: 29744604 addi r5,r5,-12008 +811202d0: 112b6200 call 8112b620 +811202d4: d1607917 ldw r5,-32284(gp) +811202d8: 01000284 movi r4,10 +811202dc: dfc00317 ldw ra,12(sp) +811202e0: dc000217 ldw r16,8(sp) +811202e4: dec00404 addi sp,sp,16 +811202e8: 112b6881 jmpi 8112b688 + +811202ec : +811202ec: d1e07917 ldw r7,-32284(gp) +811202f0: defffe04 addi sp,sp,-8 +811202f4: 01204574 movhi r4,33045 +811202f8: dc000015 stw r16,0(sp) +811202fc: 21345104 addi r4,r4,-11964 +81120300: 01800744 movi r6,29 +81120304: 01400044 movi r5,1 +81120308: 04204634 movhi r16,33048 +8112030c: dfc00115 stw ra,4(sp) +81120310: 840f1904 addi r16,r16,15460 +81120314: 112bc8c0 call 8112bc8c +81120318: 8180028b ldhu r6,10(r16) +8112031c: d1207917 ldw r4,-32284(gp) +81120320: 01604574 movhi r5,33045 +81120324: 29745904 addi r5,r5,-11932 +81120328: 112b6200 call 8112b620 +8112032c: 8180020b ldhu r6,8(r16) +81120330: d1207917 ldw r4,-32284(gp) +81120334: 01604574 movhi r5,33045 +81120338: 29746304 addi r5,r5,-11892 +8112033c: 112b6200 call 8112b620 +81120340: 8180010b ldhu r6,4(r16) +81120344: d1207917 ldw r4,-32284(gp) +81120348: 01604574 movhi r5,33045 +8112034c: 29746904 addi r5,r5,-11868 +81120350: 112b6200 call 8112b620 +81120354: 8180018b ldhu r6,6(r16) +81120358: d1207917 ldw r4,-32284(gp) +8112035c: 01604574 movhi r5,33045 +81120360: 29747204 addi r5,r5,-11832 +81120364: 112b6200 call 8112b620 +81120368: 8180008b ldhu r6,2(r16) +8112036c: d1207917 ldw r4,-32284(gp) +81120370: 01604574 movhi r5,33045 +81120374: 29747704 addi r5,r5,-11812 +81120378: 112b6200 call 8112b620 +8112037c: 8180000b ldhu r6,0(r16) +81120380: d1207917 ldw r4,-32284(gp) +81120384: 01604574 movhi r5,33045 +81120388: 29748004 addi r5,r5,-11776 +8112038c: 112b6200 call 8112b620 +81120390: 81800417 ldw r6,16(r16) +81120394: d1207917 ldw r4,-32284(gp) +81120398: 01604574 movhi r5,33045 +8112039c: 29748904 addi r5,r5,-11740 +811203a0: 112b6200 call 8112b620 +811203a4: 81800517 ldw r6,20(r16) +811203a8: d1207917 ldw r4,-32284(gp) +811203ac: 01604574 movhi r5,33045 +811203b0: 29749304 addi r5,r5,-11700 +811203b4: 112b6200 call 8112b620 +811203b8: 81800617 ldw r6,24(r16) +811203bc: d1207917 ldw r4,-32284(gp) +811203c0: 01604574 movhi r5,33045 +811203c4: 29749c04 addi r5,r5,-11664 +811203c8: 112b6200 call 8112b620 +811203cc: 81800717 ldw r6,28(r16) +811203d0: d1207917 ldw r4,-32284(gp) +811203d4: 01604574 movhi r5,33045 +811203d8: 2974a604 addi r5,r5,-11624 +811203dc: 112b6200 call 8112b620 +811203e0: 81800317 ldw r6,12(r16) +811203e4: d1207917 ldw r4,-32284(gp) +811203e8: 01604574 movhi r5,33045 +811203ec: 2974b204 addi r5,r5,-11576 +811203f0: 112b6200 call 8112b620 +811203f4: 8180088b ldhu r6,34(r16) +811203f8: d1207917 ldw r4,-32284(gp) +811203fc: 01604574 movhi r5,33045 +81120400: 2974bc04 addi r5,r5,-11536 +81120404: 112b6200 call 8112b620 +81120408: d1207917 ldw r4,-32284(gp) +8112040c: 81800803 ldbu r6,32(r16) +81120410: 01604574 movhi r5,33045 +81120414: 2974c404 addi r5,r5,-11504 +81120418: 112b6200 call 8112b620 +8112041c: d1607917 ldw r5,-32284(gp) +81120420: 01000284 movi r4,10 +81120424: dfc00117 ldw ra,4(sp) +81120428: dc000017 ldw r16,0(sp) +8112042c: dec00204 addi sp,sp,8 +81120430: 112b6881 jmpi 8112b688 + +81120434 : +81120434: defffe04 addi sp,sp,-8 +81120438: dc000015 stw r16,0(sp) +8112043c: dfc00115 stw ra,4(sp) +81120440: 24003fcc andi r16,r4,255 +81120444: 00800144 movi r2,5 +81120448: 1400052e bgeu r2,r16,81120460 +8112044c: 0005883a mov r2,zero +81120450: dfc00117 ldw ra,4(sp) +81120454: dc000017 ldw r16,0(sp) +81120458: dec00204 addi sp,sp,8 +8112045c: f800283a ret +81120460: d1207917 ldw r4,-32284(gp) +81120464: 01604574 movhi r5,33045 +81120468: 800d883a mov r6,r16 +8112046c: 2974cc04 addi r5,r5,-11472 +81120470: 112b6200 call 8112b620 +81120474: 84000524 muli r16,r16,20 +81120478: 00a04634 movhi r2,33048 +8112047c: 108efb04 addi r2,r2,15340 +81120480: 1421883a add r16,r2,r16 +81120484: 81800017 ldw r6,0(r16) +81120488: d1207917 ldw r4,-32284(gp) +8112048c: 01604574 movhi r5,33045 +81120490: 2974d804 addi r5,r5,-11424 +81120494: 112b6200 call 8112b620 +81120498: 81800117 ldw r6,4(r16) +8112049c: d1207917 ldw r4,-32284(gp) +811204a0: 01604574 movhi r5,33045 +811204a4: 2974e304 addi r5,r5,-11380 +811204a8: 112b6200 call 8112b620 +811204ac: 81800203 ldbu r6,8(r16) +811204b0: d1207917 ldw r4,-32284(gp) +811204b4: 01604574 movhi r5,33045 +811204b8: 2974ef04 addi r5,r5,-11332 +811204bc: 112b6200 call 8112b620 +811204c0: 81800317 ldw r6,12(r16) +811204c4: d1207917 ldw r4,-32284(gp) +811204c8: 01604574 movhi r5,33045 +811204cc: 2974f804 addi r5,r5,-11296 +811204d0: 112b6200 call 8112b620 +811204d4: 81800403 ldbu r6,16(r16) +811204d8: d1207917 ldw r4,-32284(gp) +811204dc: 01604574 movhi r5,33045 +811204e0: 29750204 addi r5,r5,-11256 +811204e4: 112b6200 call 8112b620 +811204e8: 81800443 ldbu r6,17(r16) +811204ec: d1207917 ldw r4,-32284(gp) +811204f0: 01604574 movhi r5,33045 +811204f4: 29750a04 addi r5,r5,-11224 +811204f8: 112b6200 call 8112b620 +811204fc: 81800483 ldbu r6,18(r16) +81120500: d1207917 ldw r4,-32284(gp) +81120504: 01604574 movhi r5,33045 +81120508: 29750f04 addi r5,r5,-11204 +8112050c: 112b6200 call 8112b620 +81120510: 818004c3 ldbu r6,19(r16) +81120514: d1207917 ldw r4,-32284(gp) +81120518: 01604574 movhi r5,33045 +8112051c: 29751704 addi r5,r5,-11172 +81120520: 112b6200 call 8112b620 +81120524: d1607917 ldw r5,-32284(gp) +81120528: 01000284 movi r4,10 +8112052c: 112b6880 call 8112b688 +81120530: 00800044 movi r2,1 +81120534: dfc00117 ldw ra,4(sp) +81120538: dc000017 ldw r16,0(sp) +8112053c: dec00204 addi sp,sp,8 +81120540: f800283a ret + +81120544 : +81120544: 28000c26 beq r5,zero,81120578 +81120548: 298d883a add r6,r5,r6 +8112054c: 20803fcc andi r2,r4,255 +81120550: 29800a2e bgeu r5,r6,8112057c +81120554: 00e04574 movhi r3,33045 +81120558: 18f53804 addi r3,r3,-11040 +8112055c: 29000003 ldbu r4,0(r5) +81120560: 29400044 addi r5,r5,1 +81120564: 2084f03a xor r2,r4,r2 +81120568: 1885883a add r2,r3,r2 +8112056c: 10800003 ldbu r2,0(r2) +81120570: 317ffa1e bne r6,r5,8112055c <__reset+0xfb10055c> 81120574: f800283a ret 81120578: 0005883a mov r2,zero 8112057c: f800283a ret -81120580 : -81120580: defff604 addi sp,sp,-40 -81120584: df000815 stw fp,32(sp) -81120588: ddc00715 stw r23,28(sp) -8112058c: dd800615 stw r22,24(sp) -81120590: dd400515 stw r21,20(sp) -81120594: dd000415 stw r20,16(sp) -81120598: dcc00315 stw r19,12(sp) -8112059c: dc800215 stw r18,8(sp) -811205a0: dc400115 stw r17,4(sp) -811205a4: dc000015 stw r16,0(sp) -811205a8: dfc00915 stw ra,36(sp) -811205ac: 25801404 addi r22,r4,80 -811205b0: 2039883a mov fp,r4 -811205b4: 282f883a mov r23,r5 -811205b8: 20001c15 stw zero,112(r4) -811205bc: 2823883a mov r17,r5 -811205c0: 24000e04 addi r16,r4,56 -811205c4: 20c01f04 addi r3,r4,124 -811205c8: 2d591b04 addi r21,r5,25708 -811205cc: 25000204 addi r20,r4,8 -811205d0: 2027883a mov r19,r4 -811205d4: b025883a mov r18,r22 -811205d8: 84400015 stw r17,0(r16) -811205dc: 880b883a mov r5,r17 -811205e0: 1809883a mov r4,r3 -811205e4: 01842f04 movi r6,4284 -811205e8: 112c6480 call 8112c648 -811205ec: 1007883a mov r3,r2 -811205f0: a8800017 ldw r2,0(r21) -811205f4: 84000104 addi r16,r16,4 -811205f8: 8c442f04 addi r17,r17,4284 -811205fc: 90800015 stw r2,0(r18) -81120600: a0000015 stw zero,0(r20) -81120604: 98000005 stb zero,0(r19) -81120608: 18c42f04 addi r3,r3,4284 -8112060c: ad400104 addi r21,r21,4 -81120610: 94800104 addi r18,r18,4 -81120614: a5000104 addi r20,r20,4 -81120618: 9cc00044 addi r19,r19,1 -8112061c: 85bfee1e bne r16,r22,811205d8 <__reset+0xfb1005d8> -81120620: b8992704 addi r2,r23,25756 -81120624: e0801a15 stw r2,104(fp) -81120628: e0001b15 stw zero,108(fp) -8112062c: e019390d sth zero,25828(fp) -81120630: e019398d sth zero,25830(fp) -81120634: e0193a15 stw zero,25832(fp) -81120638: e7001b04 addi fp,fp,108 -8112063c: bf192915 stw fp,25764(r23) -81120640: dfc00917 ldw ra,36(sp) -81120644: df000817 ldw fp,32(sp) -81120648: ddc00717 ldw r23,28(sp) -8112064c: dd800617 ldw r22,24(sp) -81120650: dd400517 ldw r21,20(sp) -81120654: dd000417 ldw r20,16(sp) -81120658: dcc00317 ldw r19,12(sp) -8112065c: dc800217 ldw r18,8(sp) -81120660: dc400117 ldw r17,4(sp) -81120664: dc000017 ldw r16,0(sp) -81120668: dec00a04 addi sp,sp,40 -8112066c: f800283a ret - -81120670 : -81120670: 01204574 movhi r4,33045 -81120674: 21351604 addi r4,r4,-11176 -81120678: 23400017 ldw r13,0(r4) -8112067c: 23000117 ldw r12,4(r4) -81120680: 00a04634 movhi r2,33048 -81120684: 108f1904 addi r2,r2,15460 -81120688: 22c00217 ldw r11,8(r4) -8112068c: 13400015 stw r13,0(r2) -81120690: 22800317 ldw r10,12(r4) -81120694: 13000115 stw r12,4(r2) -81120698: 22400417 ldw r9,16(r4) -8112069c: 12c00215 stw r11,8(r2) -811206a0: 22000517 ldw r8,20(r4) -811206a4: 12800315 stw r10,12(r2) -811206a8: 21c00617 ldw r7,24(r4) -811206ac: 12400415 stw r9,16(r2) -811206b0: 21800717 ldw r6,28(r4) -811206b4: 12000515 stw r8,20(r2) -811206b8: 21400817 ldw r5,32(r4) -811206bc: 11c00615 stw r7,24(r2) -811206c0: 21000917 ldw r4,36(r4) -811206c4: 11800715 stw r6,28(r2) -811206c8: 00e04634 movhi r3,33048 -811206cc: 18cf0804 addi r3,r3,15392 -811206d0: 11400815 stw r5,32(r2) -811206d4: 1b400015 stw r13,0(r3) -811206d8: 1b000115 stw r12,4(r3) -811206dc: 1ac00215 stw r11,8(r3) -811206e0: 1a800315 stw r10,12(r3) -811206e4: 1a400415 stw r9,16(r3) -811206e8: 1a000515 stw r8,20(r3) -811206ec: 19c00615 stw r7,24(r3) -811206f0: 19800715 stw r6,28(r3) -811206f4: 19400815 stw r5,32(r3) -811206f8: 19000915 stw r4,36(r3) -811206fc: 11000915 stw r4,36(r2) -81120700: f800283a ret - -81120704 : -81120704: 21003fcc andi r4,r4,255 -81120708: 00800144 movi r2,5 -8112070c: 11002336 bltu r2,r4,8112079c -81120710: defffe04 addi sp,sp,-8 -81120714: 2100b524 muli r4,r4,724 -81120718: dc000015 stw r16,0(sp) -8112071c: 04204634 movhi r16,33048 -81120720: 840f2a04 addi r16,r16,15528 -81120724: 8121883a add r16,r16,r4 -81120728: 01604534 movhi r5,33044 -8112072c: 8009883a mov r4,r16 -81120730: 295f8404 addi r5,r5,32272 -81120734: 01805504 movi r6,340 -81120738: dfc00115 stw ra,4(sp) -8112073c: 112c6480 call 8112c648 -81120740: 01604534 movhi r5,33044 -81120744: 81005504 addi r4,r16,340 -81120748: 295f2904 addi r5,r5,31908 -8112074c: 01805b04 movi r6,364 -81120750: 112c6480 call 8112c648 -81120754: 00e04574 movhi r3,33045 -81120758: 18f51104 addi r3,r3,-11196 -8112075c: 19400017 ldw r5,0(r3) -81120760: 19c00117 ldw r7,4(r3) -81120764: 19800217 ldw r6,8(r3) -81120768: 8100b004 addi r4,r16,704 -8112076c: 21400015 stw r5,0(r4) -81120770: 19400317 ldw r5,12(r3) -81120774: 81c0b115 stw r7,708(r16) -81120778: 18c00417 ldw r3,16(r3) -8112077c: 8180b215 stw r6,712(r16) -81120780: 00800044 movi r2,1 -81120784: 8140b315 stw r5,716(r16) -81120788: 80c0b415 stw r3,720(r16) -8112078c: dfc00117 ldw ra,4(sp) -81120790: dc000017 ldw r16,0(sp) -81120794: dec00204 addi sp,sp,8 -81120798: f800283a ret -8112079c: 0005883a mov r2,zero -811207a0: f800283a ret - -811207a4 : -811207a4: 01204574 movhi r4,33045 -811207a8: 21352004 addi r4,r4,-11136 -811207ac: 22800017 ldw r10,0(r4) -811207b0: 22400117 ldw r9,4(r4) -811207b4: 00a04634 movhi r2,33048 -811207b8: 108f2304 addi r2,r2,15500 -811207bc: 22000217 ldw r8,8(r4) -811207c0: 12800015 stw r10,0(r2) -811207c4: 21c00317 ldw r7,12(r4) -811207c8: 12400115 stw r9,4(r2) -811207cc: 21800417 ldw r6,16(r4) -811207d0: 12000215 stw r8,8(r2) -811207d4: 21400517 ldw r5,20(r4) -811207d8: 11c00315 stw r7,12(r2) -811207dc: 21000617 ldw r4,24(r4) -811207e0: 11800415 stw r6,16(r2) -811207e4: 00e04634 movhi r3,33048 -811207e8: 18cf1204 addi r3,r3,15432 -811207ec: 11400515 stw r5,20(r2) -811207f0: 1a800015 stw r10,0(r3) -811207f4: 1a400115 stw r9,4(r3) -811207f8: 1a000215 stw r8,8(r3) -811207fc: 19c00315 stw r7,12(r3) -81120800: 19800415 stw r6,16(r3) -81120804: 19400515 stw r5,20(r3) -81120808: 19000615 stw r4,24(r3) -8112080c: 11000615 stw r4,24(r2) -81120810: f800283a ret - -81120814 : -81120814: 213fff04 addi r4,r4,-4 -81120818: 213fffcc andi r4,r4,65535 -8112081c: 00800584 movi r2,22 -81120820: 11006336 bltu r2,r4,811209b0 -81120824: 200890ba slli r4,r4,2 -81120828: 00a044b4 movhi r2,33042 -8112082c: 10820f04 addi r2,r2,2108 -81120830: 2089883a add r4,r4,r2 -81120834: 20800017 ldw r2,0(r4) -81120838: 1000683a jmp r2 -8112083c: 811208ac andhi r4,r16,18466 -81120840: 811208c0 call 8811208c <__reset+0x20f208c> -81120844: 811208d4 ori r4,r16,18467 -81120848: 811208e8 cmpgeui r4,r16,18467 -8112084c: 811208fc xorhi r4,r16,18467 -81120850: 81120910 cmplti r4,r16,18468 -81120854: 811209b0 cmpltui r4,r16,18470 -81120858: 81120924 muli r4,r16,18468 -8112085c: 81120938 rdprs r4,r16,18468 -81120860: 8112094c andi r4,r16,18469 -81120864: 81120960 cmpeqi r4,r16,18469 -81120868: 81120974 orhi r4,r16,18469 -8112086c: 811209b0 cmpltui r4,r16,18470 -81120870: 811209b0 cmpltui r4,r16,18470 -81120874: 811209b0 cmpltui r4,r16,18470 -81120878: 811209b0 cmpltui r4,r16,18470 -8112087c: 81120988 cmpgei r4,r16,18470 -81120880: 811209b0 cmpltui r4,r16,18470 -81120884: 8112099c xori r4,r16,18470 -81120888: 811209b0 cmpltui r4,r16,18470 -8112088c: 811209b0 cmpltui r4,r16,18470 -81120890: 811209b0 cmpltui r4,r16,18470 -81120894: 81120898 cmpnei r4,r16,18466 -81120898: 00e04634 movhi r3,33048 -8112089c: 18cf1904 addi r3,r3,15460 -811208a0: 00800044 movi r2,1 -811208a4: 19400905 stb r5,36(r3) -811208a8: f800283a ret -811208ac: 00e04634 movhi r3,33048 -811208b0: 18cf1904 addi r3,r3,15460 -811208b4: 00800044 movi r2,1 -811208b8: 1940000d sth r5,0(r3) -811208bc: f800283a ret -811208c0: 00e04634 movhi r3,33048 -811208c4: 18cf1904 addi r3,r3,15460 -811208c8: 00800044 movi r2,1 -811208cc: 1940008d sth r5,2(r3) -811208d0: f800283a ret -811208d4: 00e04634 movhi r3,33048 -811208d8: 18cf1904 addi r3,r3,15460 -811208dc: 00800044 movi r2,1 -811208e0: 1940010d sth r5,4(r3) -811208e4: f800283a ret -811208e8: 00e04634 movhi r3,33048 -811208ec: 18cf1904 addi r3,r3,15460 -811208f0: 00800044 movi r2,1 -811208f4: 1940018d sth r5,6(r3) -811208f8: f800283a ret -811208fc: 00e04634 movhi r3,33048 -81120900: 18cf1904 addi r3,r3,15460 -81120904: 00800044 movi r2,1 -81120908: 1940020d sth r5,8(r3) -8112090c: f800283a ret -81120910: 00e04634 movhi r3,33048 -81120914: 18cf1904 addi r3,r3,15460 -81120918: 00800044 movi r2,1 -8112091c: 1940028d sth r5,10(r3) -81120920: f800283a ret -81120924: 00e04634 movhi r3,33048 -81120928: 18cf1904 addi r3,r3,15460 -8112092c: 00800044 movi r2,1 -81120930: 19400315 stw r5,12(r3) -81120934: f800283a ret -81120938: 00e04634 movhi r3,33048 -8112093c: 18cf1904 addi r3,r3,15460 -81120940: 00800044 movi r2,1 -81120944: 19400415 stw r5,16(r3) -81120948: f800283a ret -8112094c: 00e04634 movhi r3,33048 -81120950: 18cf1904 addi r3,r3,15460 -81120954: 00800044 movi r2,1 -81120958: 19400515 stw r5,20(r3) -8112095c: f800283a ret -81120960: 00e04634 movhi r3,33048 -81120964: 18cf1904 addi r3,r3,15460 -81120968: 00800044 movi r2,1 -8112096c: 19400615 stw r5,24(r3) -81120970: f800283a ret -81120974: 00e04634 movhi r3,33048 -81120978: 18cf1904 addi r3,r3,15460 -8112097c: 00800044 movi r2,1 -81120980: 19400715 stw r5,28(r3) -81120984: f800283a ret -81120988: 00e04634 movhi r3,33048 -8112098c: 18cf1904 addi r3,r3,15460 -81120990: 00800044 movi r2,1 -81120994: 19400805 stb r5,32(r3) -81120998: f800283a ret -8112099c: 00e04634 movhi r3,33048 -811209a0: 18cf1904 addi r3,r3,15460 -811209a4: 00800044 movi r2,1 -811209a8: 1940088d sth r5,34(r3) -811209ac: f800283a ret -811209b0: 0005883a mov r2,zero -811209b4: f800283a ret - -811209b8 : -811209b8: 297fffcc andi r5,r5,65535 -811209bc: 00810f04 movi r2,1084 -811209c0: 2884da26 beq r5,r2,81121d2c -811209c4: 11401c2e bgeu r2,r5,81120a38 -811209c8: 0081fd84 movi r2,2038 -811209cc: 2881df26 beq r5,r2,8112114c -811209d0: 11403436 bltu r2,r5,81120aa4 -811209d4: 0081f844 movi r2,2017 -811209d8: 2884dd26 beq r5,r2,81121d50 -811209dc: 11408f2e bgeu r2,r5,81120c1c -811209e0: 0081fac4 movi r2,2027 -811209e4: 2883ea26 beq r5,r2,81121990 -811209e8: 1140ca36 bltu r2,r5,81120d14 -811209ec: 0081f984 movi r2,2022 -811209f0: 2881df26 beq r5,r2,81121170 -811209f4: 11421236 bltu r2,r5,81121240 -811209f8: 0081f8c4 movi r2,2019 -811209fc: 2883c026 beq r5,r2,81121900 -81120a00: 28840f36 bltu r5,r2,81121a40 -81120a04: 0081f904 movi r2,2020 -81120a08: 28840426 beq r5,r2,81121a1c -81120a0c: 0081f944 movi r2,2021 -81120a10: 2883b91e bne r5,r2,811218f8 -81120a14: 21003fcc andi r4,r4,255 -81120a18: 2100b524 muli r4,r4,724 -81120a1c: 00e04634 movhi r3,33048 -81120a20: 18cf9404 addi r3,r3,15952 -81120a24: 31bfffcc andi r6,r6,65535 -81120a28: 20c7883a add r3,r4,r3 -81120a2c: 00800044 movi r2,1 -81120a30: 19800015 stw r6,0(r3) -81120a34: f800283a ret -81120a38: 00810444 movi r2,1041 -81120a3c: 28865226 beq r5,r2,81122388 -81120a40: 11403136 bltu r2,r5,81120b08 -81120a44: 0080fec4 movi r2,1019 -81120a48: 28865826 beq r5,r2,811223ac -81120a4c: 11405d2e bgeu r2,r5,81120bc4 -81120a50: 00810184 movi r2,1030 -81120a54: 2882ec26 beq r5,r2,81121608 -81120a58: 1140d436 bltu r2,r5,81120dac -81120a5c: 00810044 movi r2,1025 -81120a60: 2881b226 beq r5,r2,8112112c -81120a64: 11422636 bltu r2,r5,81121300 -81120a68: 0080ff44 movi r2,1021 -81120a6c: 28843326 beq r5,r2,81121b3c -81120a70: 28836b36 bltu r5,r2,81121820 -81120a74: 0080ff84 movi r2,1022 -81120a78: 28836126 beq r5,r2,81121800 -81120a7c: 0080ffc4 movi r2,1023 -81120a80: 28839d1e bne r5,r2,811218f8 -81120a84: 21003fcc andi r4,r4,255 -81120a88: 2100b524 muli r4,r4,724 -81120a8c: 00e04634 movhi r3,33048 -81120a90: 18cf4104 addi r3,r3,15620 -81120a94: 20c7883a add r3,r4,r3 -81120a98: 00800044 movi r2,1 -81120a9c: 19800015 stw r6,0(r3) -81120aa0: f800283a ret -81120aa4: 008202c4 movi r2,2059 -81120aa8: 28848e26 beq r5,r2,81121ce4 -81120aac: 11402f36 bltu r2,r5,81120b6c -81120ab0: 00820004 movi r2,2048 -81120ab4: 28864e26 beq r5,r2,811223f0 -81120ab8: 1140a936 bltu r2,r5,81120d60 -81120abc: 0081fec4 movi r2,2043 -81120ac0: 28817626 beq r5,r2,8112109c -81120ac4: 11423e36 bltu r2,r5,811213c0 -81120ac8: 0081fe04 movi r2,2040 -81120acc: 2884cd26 beq r5,r2,81121e04 -81120ad0: 2882e836 bltu r5,r2,81121674 -81120ad4: 0081fe44 movi r2,2041 -81120ad8: 2882dd26 beq r5,r2,81121650 -81120adc: 0081fe84 movi r2,2042 -81120ae0: 2883851e bne r5,r2,811218f8 -81120ae4: 21003fcc andi r4,r4,255 -81120ae8: 2100b524 muli r4,r4,724 -81120aec: 00e04634 movhi r3,33048 -81120af0: 18cfa904 addi r3,r3,16036 -81120af4: 31bfffcc andi r6,r6,65535 -81120af8: 20c7883a add r3,r4,r3 -81120afc: 00800044 movi r2,1 -81120b00: 19800015 stw r6,0(r3) -81120b04: f800283a ret -81120b08: 00810984 movi r2,1062 -81120b0c: 28847e26 beq r5,r2,81121d08 -81120b10: 1140582e bgeu r2,r5,81120c74 -81120b14: 00810c44 movi r2,1073 -81120b18: 28835c26 beq r5,r2,8112188c -81120b1c: 11406b36 bltu r2,r5,81120ccc -81120b20: 00810b04 movi r2,1068 -81120b24: 28816f26 beq r5,r2,811210e4 -81120b28: 11420536 bltu r2,r5,81121340 -81120b2c: 00810a44 movi r2,1065 -81120b30: 28844926 beq r5,r2,81121c58 -81120b34: 1143162e bgeu r2,r5,81121790 -81120b38: 00810a84 movi r2,1066 -81120b3c: 28830b26 beq r5,r2,8112176c -81120b40: 00810ac4 movi r2,1067 -81120b44: 28836c1e bne r5,r2,811218f8 -81120b48: 21003fcc andi r4,r4,255 -81120b4c: 2100b524 muli r4,r4,724 -81120b50: 00e04634 movhi r3,33048 -81120b54: 18cf7304 addi r3,r3,15820 -81120b58: 31bfffcc andi r6,r6,65535 -81120b5c: 20c7883a add r3,r4,r3 -81120b60: 00800044 movi r2,1 -81120b64: 19800015 stw r6,0(r3) -81120b68: f800283a ret -81120b6c: 00820584 movi r2,2070 -81120b70: 28834f26 beq r5,r2,811218b0 -81120b74: 11409f36 bltu r2,r5,81120df4 -81120b78: 00820404 movi r2,2064 -81120b7c: 28816226 beq r5,r2,81121108 -81120b80: 1141ff36 bltu r2,r5,81121380 -81120b84: 00820344 movi r2,2061 -81120b88: 28847a26 beq r5,r2,81121d74 -81120b8c: 28831336 bltu r5,r2,811217dc -81120b90: 00820384 movi r2,2062 -81120b94: 28830826 beq r5,r2,811217b8 -81120b98: 008203c4 movi r2,2063 -81120b9c: 2883561e bne r5,r2,811218f8 -81120ba0: 21003fcc andi r4,r4,255 -81120ba4: 2100b524 muli r4,r4,724 -81120ba8: 00e04634 movhi r3,33048 -81120bac: 18cfbe04 addi r3,r3,16120 -81120bb0: 31bfffcc andi r6,r6,65535 -81120bb4: 20c7883a add r3,r4,r3 -81120bb8: 00800044 movi r2,1 -81120bbc: 19800015 stw r6,0(r3) -81120bc0: f800283a ret -81120bc4: 0080fc44 movi r2,1009 -81120bc8: 28860026 beq r5,r2,811223cc -81120bcc: 1140ae36 bltu r2,r5,81120e88 -81120bd0: 0080fb04 movi r2,1004 -81120bd4: 28817826 beq r5,r2,811211b8 -81120bd8: 1141b936 bltu r2,r5,811212c0 -81120bdc: 0080fa44 movi r2,1001 -81120be0: 2883f926 beq r5,r2,81121bc8 -81120be4: 1142752e bgeu r2,r5,811215bc -81120be8: 0080fa84 movi r2,1002 -81120bec: 28831526 beq r5,r2,81121844 -81120bf0: 0080fac4 movi r2,1003 -81120bf4: 2883401e bne r5,r2,811218f8 -81120bf8: 21003fcc andi r4,r4,255 -81120bfc: 2100b524 muli r4,r4,724 -81120c00: 00e04634 movhi r3,33048 -81120c04: 18cf2d04 addi r3,r3,15540 -81120c08: 31bfffcc andi r6,r6,65535 -81120c0c: 20c7883a add r3,r4,r3 -81120c10: 00800044 movi r2,1 -81120c14: 19800015 stw r6,0(r3) -81120c18: f800283a ret -81120c1c: 0081f5c4 movi r2,2007 -81120c20: 28836426 beq r5,r2,811219b4 -81120c24: 11408536 bltu r2,r5,81120e3c -81120c28: 0081f484 movi r2,2002 -81120c2c: 28816b26 beq r5,r2,811211dc -81120c30: 11419336 bltu r2,r5,81121280 -81120c34: 00810f84 movi r2,1086 -81120c38: 28839c26 beq r5,r2,81121aac -81120c3c: 28839236 bltu r5,r2,81121a88 -81120c40: 0081f404 movi r2,2000 -81120c44: 28838726 beq r5,r2,81121a64 -81120c48: 0081f444 movi r2,2001 -81120c4c: 28832a1e bne r5,r2,811218f8 -81120c50: 21003fcc andi r4,r4,255 -81120c54: 2100b524 muli r4,r4,724 -81120c58: 00e04634 movhi r3,33048 -81120c5c: 18cf8004 addi r3,r3,15872 -81120c60: 31bfffcc andi r6,r6,65535 -81120c64: 20c7883a add r3,r4,r3 -81120c68: 00800044 movi r2,1 -81120c6c: 19800015 stw r6,0(r3) -81120c70: f800283a ret -81120c74: 008106c4 movi r2,1051 -81120c78: 28831626 beq r5,r2,811218d4 -81120c7c: 11409436 bltu r2,r5,81120ed0 -81120c80: 00810584 movi r2,1046 -81120c84: 28814326 beq r5,r2,81121194 -81120c88: 11415d36 bltu r2,r5,81121200 -81120c8c: 008104c4 movi r2,1043 -81120c90: 28825526 beq r5,r2,811215e8 -81120c94: 28835836 bltu r5,r2,811219f8 -81120c98: 00810504 movi r2,1044 -81120c9c: 28834e26 beq r5,r2,811219d8 -81120ca0: 00810544 movi r2,1045 -81120ca4: 2883141e bne r5,r2,811218f8 -81120ca8: 21003fcc andi r4,r4,255 -81120cac: 2100b524 muli r4,r4,724 -81120cb0: 00e04634 movhi r3,33048 -81120cb4: 18cf5804 addi r3,r3,15712 -81120cb8: 31bfffcc andi r6,r6,65535 -81120cbc: 20c7883a add r3,r4,r3 -81120cc0: 00800044 movi r2,1 -81120cc4: 19800015 stw r6,0(r3) -81120cc8: f800283a ret -81120ccc: 00810d84 movi r2,1078 -81120cd0: 28825626 beq r5,r2,8112162c -81120cd4: 1140c12e bgeu r2,r5,81120fdc -81120cd8: 00810e44 movi r2,1081 -81120cdc: 28861426 beq r5,r2,81122530 -81120ce0: 11421036 bltu r2,r5,81121524 -81120ce4: 00810dc4 movi r2,1079 -81120ce8: 28848e26 beq r5,r2,81121f24 -81120cec: 00810e04 movi r2,1080 -81120cf0: 2883011e bne r5,r2,811218f8 -81120cf4: 21003fcc andi r4,r4,255 -81120cf8: 2100b524 muli r4,r4,724 -81120cfc: 00e04634 movhi r3,33048 -81120d00: 18cf4304 addi r3,r3,15628 -81120d04: 20c7883a add r3,r4,r3 -81120d08: 00800044 movi r2,1 -81120d0c: 19800015 stw r6,0(r3) -81120d10: f800283a ret -81120d14: 0081fc04 movi r2,2032 -81120d18: 2884ed26 beq r5,r2,811220d0 -81120d1c: 11409f2e bgeu r2,r5,81120f9c -81120d20: 0081fcc4 movi r2,2035 -81120d24: 28861426 beq r5,r2,81122578 -81120d28: 11421736 bltu r2,r5,81121588 -81120d2c: 0081fc44 movi r2,2033 -81120d30: 2884c326 beq r5,r2,81122040 -81120d34: 0081fc84 movi r2,2034 -81120d38: 2882ef1e bne r5,r2,811218f8 -81120d3c: 21003fcc andi r4,r4,255 -81120d40: 2100b524 muli r4,r4,724 -81120d44: 00e04634 movhi r3,33048 -81120d48: 18cfa104 addi r3,r3,16004 -81120d4c: 31bfffcc andi r6,r6,65535 -81120d50: 20c7883a add r3,r4,r3 -81120d54: 00800044 movi r2,1 -81120d58: 19800015 stw r6,0(r3) -81120d5c: f800283a ret -81120d60: 00820144 movi r2,2053 -81120d64: 28852026 beq r5,r2,811221e8 -81120d68: 11407c2e bgeu r2,r5,81120f5c -81120d6c: 00820204 movi r2,2056 -81120d70: 2884e926 beq r5,r2,81122118 -81120d74: 1141de36 bltu r2,r5,811214f0 -81120d78: 00820184 movi r2,2054 -81120d7c: 2884dd26 beq r5,r2,811220f4 -81120d80: 008201c4 movi r2,2055 -81120d84: 2882dc1e bne r5,r2,811218f8 -81120d88: 21003fcc andi r4,r4,255 -81120d8c: 2100b524 muli r4,r4,724 -81120d90: 00e04634 movhi r3,33048 -81120d94: 18cfb604 addi r3,r3,16088 -81120d98: 31bfffcc andi r6,r6,65535 -81120d9c: 20c7883a add r3,r4,r3 -81120da0: 00800044 movi r2,1 -81120da4: 19800015 stw r6,0(r3) -81120da8: f800283a ret -81120dac: 008102c4 movi r2,1035 -81120db0: 2885bc26 beq r5,r2,811224a4 -81120db4: 1140592e bgeu r2,r5,81120f1c -81120db8: 00810384 movi r2,1038 -81120dbc: 28852e26 beq r5,r2,81122278 -81120dc0: 1141be36 bltu r2,r5,811214bc -81120dc4: 00810304 movi r2,1036 -81120dc8: 2884e526 beq r5,r2,81122160 -81120dcc: 00810344 movi r2,1037 -81120dd0: 2882c91e bne r5,r2,811218f8 -81120dd4: 21003fcc andi r4,r4,255 -81120dd8: 2100b524 muli r4,r4,724 -81120ddc: 00e04634 movhi r3,33048 -81120de0: 18cf5004 addi r3,r3,15680 -81120de4: 20c7883a add r3,r4,r3 -81120de8: 00800044 movi r2,1 -81120dec: 19800015 stw r6,0(r3) -81120df0: f800283a ret -81120df4: 0082ee84 movi r2,3002 -81120df8: 28854226 beq r5,r2,81122304 -81120dfc: 1140872e bgeu r2,r5,8112101c -81120e00: 0082ef44 movi r2,3005 -81120e04: 28854f26 beq r5,r2,81122344 -81120e08: 1141d336 bltu r2,r5,81121558 -81120e0c: 0082eec4 movi r2,3003 -81120e10: 28854426 beq r5,r2,81122324 -81120e14: 0082ef04 movi r2,3004 -81120e18: 2882b71e bne r5,r2,811218f8 -81120e1c: 21003fcc andi r4,r4,255 -81120e20: 2100b524 muli r4,r4,724 -81120e24: 00e04634 movhi r3,33048 -81120e28: 18cfde04 addi r3,r3,16248 -81120e2c: 20c7883a add r3,r4,r3 -81120e30: 00800044 movi r2,1 -81120e34: 19800005 stb r6,0(r3) -81120e38: f800283a ret -81120e3c: 0081f704 movi r2,2012 -81120e40: 28808d26 beq r5,r2,81121078 -81120e44: 11417d36 bltu r2,r5,8112143c -81120e48: 0081f644 movi r2,2009 -81120e4c: 28845926 beq r5,r2,81121fb4 -81120e50: 28822b36 bltu r5,r2,81121700 -81120e54: 0081f684 movi r2,2010 -81120e58: 28822026 beq r5,r2,811216dc -81120e5c: 0081f6c4 movi r2,2011 -81120e60: 2882a51e bne r5,r2,811218f8 -81120e64: 21003fcc andi r4,r4,255 -81120e68: 2100b524 muli r4,r4,724 -81120e6c: 00e04634 movhi r3,33048 -81120e70: 18cf8a04 addi r3,r3,15912 -81120e74: 31bfffcc andi r6,r6,65535 -81120e78: 20c7883a add r3,r4,r3 -81120e7c: 00800044 movi r2,1 -81120e80: 19800015 stw r6,0(r3) -81120e84: f800283a ret -81120e88: 0080fd84 movi r2,1014 -81120e8c: 28807226 beq r5,r2,81121058 -81120e90: 11415b36 bltu r2,r5,81121400 -81120e94: 0080fcc4 movi r2,1011 -81120e98: 28855e26 beq r5,r2,81122414 -81120e9c: 28820636 bltu r5,r2,811216b8 -81120ea0: 0080fd04 movi r2,1012 -81120ea4: 2881fc26 beq r5,r2,81121698 -81120ea8: 0080fd44 movi r2,1013 -81120eac: 2882921e bne r5,r2,811218f8 -81120eb0: 21003fcc andi r4,r4,255 -81120eb4: 2100b524 muli r4,r4,724 -81120eb8: 00e04634 movhi r3,33048 -81120ebc: 18cf3704 addi r3,r3,15580 -81120ec0: 20c7883a add r3,r4,r3 -81120ec4: 00800044 movi r2,1 -81120ec8: 19800015 stw r6,0(r3) -81120ecc: f800283a ret -81120ed0: 00810804 movi r2,1056 -81120ed4: 28807a26 beq r5,r2,811210c0 -81120ed8: 11416836 bltu r2,r5,8112147c -81120edc: 00810744 movi r2,1053 -81120ee0: 2883ec26 beq r5,r2,81121e94 -81120ee4: 28821836 bltu r5,r2,81121748 -81120ee8: 00810784 movi r2,1054 -81120eec: 28820d26 beq r5,r2,81121724 -81120ef0: 008107c4 movi r2,1055 -81120ef4: 2882801e bne r5,r2,811218f8 -81120ef8: 21003fcc andi r4,r4,255 -81120efc: 2100b524 muli r4,r4,724 -81120f00: 00e04634 movhi r3,33048 -81120f04: 18cf6204 addi r3,r3,15752 -81120f08: 31803fcc andi r6,r6,255 -81120f0c: 20c7883a add r3,r4,r3 -81120f10: 00800044 movi r2,1 -81120f14: 19800015 stw r6,0(r3) -81120f18: f800283a ret -81120f1c: 00810204 movi r2,1032 -81120f20: 2884a926 beq r5,r2,811221c8 -81120f24: 2884a036 bltu r5,r2,811221a8 -81120f28: 00810244 movi r2,1033 -81120f2c: 28849526 beq r5,r2,81122184 -81120f30: 00810284 movi r2,1034 -81120f34: 2882701e bne r5,r2,811218f8 +81120580 : +81120580: 20000d26 beq r4,zero,811205b8 +81120584: 214b883a add r5,r4,r5 +81120588: 21400b2e bgeu r4,r5,811205b8 +8112058c: 01a04574 movhi r6,33045 +81120590: 31b53804 addi r6,r6,-11040 +81120594: 0007883a mov r3,zero +81120598: 20800003 ldbu r2,0(r4) +8112059c: 21000044 addi r4,r4,1 +811205a0: 10c6f03a xor r3,r2,r3 +811205a4: 30c7883a add r3,r6,r3 +811205a8: 18800003 ldbu r2,0(r3) +811205ac: 10c03fcc andi r3,r2,255 +811205b0: 293ff91e bne r5,r4,81120598 <__reset+0xfb100598> +811205b4: f800283a ret +811205b8: 0005883a mov r2,zero +811205bc: f800283a ret + +811205c0 : +811205c0: defff604 addi sp,sp,-40 +811205c4: df000815 stw fp,32(sp) +811205c8: ddc00715 stw r23,28(sp) +811205cc: dd800615 stw r22,24(sp) +811205d0: dd400515 stw r21,20(sp) +811205d4: dd000415 stw r20,16(sp) +811205d8: dcc00315 stw r19,12(sp) +811205dc: dc800215 stw r18,8(sp) +811205e0: dc400115 stw r17,4(sp) +811205e4: dc000015 stw r16,0(sp) +811205e8: dfc00915 stw ra,36(sp) +811205ec: 25801404 addi r22,r4,80 +811205f0: 2039883a mov fp,r4 +811205f4: 282f883a mov r23,r5 +811205f8: 20001c15 stw zero,112(r4) +811205fc: 2823883a mov r17,r5 +81120600: 24000e04 addi r16,r4,56 +81120604: 20c01f04 addi r3,r4,124 +81120608: 2d591b04 addi r21,r5,25708 +8112060c: 25000204 addi r20,r4,8 +81120610: 2027883a mov r19,r4 +81120614: b025883a mov r18,r22 +81120618: 84400015 stw r17,0(r16) +8112061c: 880b883a mov r5,r17 +81120620: 1809883a mov r4,r3 +81120624: 01842f04 movi r6,4284 +81120628: 112c6880 call 8112c688 +8112062c: 1007883a mov r3,r2 +81120630: a8800017 ldw r2,0(r21) +81120634: 84000104 addi r16,r16,4 +81120638: 8c442f04 addi r17,r17,4284 +8112063c: 90800015 stw r2,0(r18) +81120640: a0000015 stw zero,0(r20) +81120644: 98000005 stb zero,0(r19) +81120648: 18c42f04 addi r3,r3,4284 +8112064c: ad400104 addi r21,r21,4 +81120650: 94800104 addi r18,r18,4 +81120654: a5000104 addi r20,r20,4 +81120658: 9cc00044 addi r19,r19,1 +8112065c: 85bfee1e bne r16,r22,81120618 <__reset+0xfb100618> +81120660: b8992704 addi r2,r23,25756 +81120664: e0801a15 stw r2,104(fp) +81120668: e0001b15 stw zero,108(fp) +8112066c: e019390d sth zero,25828(fp) +81120670: e019398d sth zero,25830(fp) +81120674: e0193a15 stw zero,25832(fp) +81120678: e7001b04 addi fp,fp,108 +8112067c: bf192915 stw fp,25764(r23) +81120680: dfc00917 ldw ra,36(sp) +81120684: df000817 ldw fp,32(sp) +81120688: ddc00717 ldw r23,28(sp) +8112068c: dd800617 ldw r22,24(sp) +81120690: dd400517 ldw r21,20(sp) +81120694: dd000417 ldw r20,16(sp) +81120698: dcc00317 ldw r19,12(sp) +8112069c: dc800217 ldw r18,8(sp) +811206a0: dc400117 ldw r17,4(sp) +811206a4: dc000017 ldw r16,0(sp) +811206a8: dec00a04 addi sp,sp,40 +811206ac: f800283a ret + +811206b0 : +811206b0: 01204574 movhi r4,33045 +811206b4: 21352704 addi r4,r4,-11108 +811206b8: 23400017 ldw r13,0(r4) +811206bc: 23000117 ldw r12,4(r4) +811206c0: 00a04634 movhi r2,33048 +811206c4: 108f2a04 addi r2,r2,15528 +811206c8: 22c00217 ldw r11,8(r4) +811206cc: 13400015 stw r13,0(r2) +811206d0: 22800317 ldw r10,12(r4) +811206d4: 13000115 stw r12,4(r2) +811206d8: 22400417 ldw r9,16(r4) +811206dc: 12c00215 stw r11,8(r2) +811206e0: 22000517 ldw r8,20(r4) +811206e4: 12800315 stw r10,12(r2) +811206e8: 21c00617 ldw r7,24(r4) +811206ec: 12400415 stw r9,16(r2) +811206f0: 21800717 ldw r6,28(r4) +811206f4: 12000515 stw r8,20(r2) +811206f8: 21400817 ldw r5,32(r4) +811206fc: 11c00615 stw r7,24(r2) +81120700: 21000917 ldw r4,36(r4) +81120704: 11800715 stw r6,28(r2) +81120708: 00e04634 movhi r3,33048 +8112070c: 18cf1904 addi r3,r3,15460 +81120710: 11400815 stw r5,32(r2) +81120714: 1b400015 stw r13,0(r3) +81120718: 1b000115 stw r12,4(r3) +8112071c: 1ac00215 stw r11,8(r3) +81120720: 1a800315 stw r10,12(r3) +81120724: 1a400415 stw r9,16(r3) +81120728: 1a000515 stw r8,20(r3) +8112072c: 19c00615 stw r7,24(r3) +81120730: 19800715 stw r6,28(r3) +81120734: 19400815 stw r5,32(r3) +81120738: 19000915 stw r4,36(r3) +8112073c: 11000915 stw r4,36(r2) +81120740: f800283a ret + +81120744 : +81120744: 21003fcc andi r4,r4,255 +81120748: 00800144 movi r2,5 +8112074c: 11002336 bltu r2,r4,811207dc +81120750: defffe04 addi sp,sp,-8 +81120754: 2100b524 muli r4,r4,724 +81120758: dc000015 stw r16,0(sp) +8112075c: 04204634 movhi r16,33048 +81120760: 840f3b04 addi r16,r16,15596 +81120764: 8121883a add r16,r16,r4 +81120768: 01604534 movhi r5,33044 +8112076c: 8009883a mov r4,r16 +81120770: 295f9404 addi r5,r5,32336 +81120774: 01805504 movi r6,340 +81120778: dfc00115 stw ra,4(sp) +8112077c: 112c6880 call 8112c688 +81120780: 01604534 movhi r5,33044 +81120784: 81005504 addi r4,r16,340 +81120788: 295f3904 addi r5,r5,31972 +8112078c: 01805b04 movi r6,364 +81120790: 112c6880 call 8112c688 +81120794: 00e04574 movhi r3,33045 +81120798: 18f52204 addi r3,r3,-11128 +8112079c: 19400017 ldw r5,0(r3) +811207a0: 19c00117 ldw r7,4(r3) +811207a4: 19800217 ldw r6,8(r3) +811207a8: 8100b004 addi r4,r16,704 +811207ac: 21400015 stw r5,0(r4) +811207b0: 19400317 ldw r5,12(r3) +811207b4: 81c0b115 stw r7,708(r16) +811207b8: 18c00417 ldw r3,16(r3) +811207bc: 8180b215 stw r6,712(r16) +811207c0: 00800044 movi r2,1 +811207c4: 8140b315 stw r5,716(r16) +811207c8: 80c0b415 stw r3,720(r16) +811207cc: dfc00117 ldw ra,4(sp) +811207d0: dc000017 ldw r16,0(sp) +811207d4: dec00204 addi sp,sp,8 +811207d8: f800283a ret +811207dc: 0005883a mov r2,zero +811207e0: f800283a ret + +811207e4 : +811207e4: 01204574 movhi r4,33045 +811207e8: 21353104 addi r4,r4,-11068 +811207ec: 22800017 ldw r10,0(r4) +811207f0: 22400117 ldw r9,4(r4) +811207f4: 00a04634 movhi r2,33048 +811207f8: 108f3404 addi r2,r2,15568 +811207fc: 22000217 ldw r8,8(r4) +81120800: 12800015 stw r10,0(r2) +81120804: 21c00317 ldw r7,12(r4) +81120808: 12400115 stw r9,4(r2) +8112080c: 21800417 ldw r6,16(r4) +81120810: 12000215 stw r8,8(r2) +81120814: 21400517 ldw r5,20(r4) +81120818: 11c00315 stw r7,12(r2) +8112081c: 21000617 ldw r4,24(r4) +81120820: 11800415 stw r6,16(r2) +81120824: 00e04634 movhi r3,33048 +81120828: 18cf2304 addi r3,r3,15500 +8112082c: 11400515 stw r5,20(r2) +81120830: 1a800015 stw r10,0(r3) +81120834: 1a400115 stw r9,4(r3) +81120838: 1a000215 stw r8,8(r3) +8112083c: 19c00315 stw r7,12(r3) +81120840: 19800415 stw r6,16(r3) +81120844: 19400515 stw r5,20(r3) +81120848: 19000615 stw r4,24(r3) +8112084c: 11000615 stw r4,24(r2) +81120850: f800283a ret + +81120854 : +81120854: 213fff04 addi r4,r4,-4 +81120858: 213fffcc andi r4,r4,65535 +8112085c: 00800584 movi r2,22 +81120860: 11006336 bltu r2,r4,811209f0 +81120864: 200890ba slli r4,r4,2 +81120868: 00a044b4 movhi r2,33042 +8112086c: 10821f04 addi r2,r2,2172 +81120870: 2089883a add r4,r4,r2 +81120874: 20800017 ldw r2,0(r4) +81120878: 1000683a jmp r2 +8112087c: 811208ec andhi r4,r16,18467 +81120880: 81120900 call 88112090 <__reset+0x20f2090> +81120884: 81120914 ori r4,r16,18468 +81120888: 81120928 cmpgeui r4,r16,18468 +8112088c: 8112093c xorhi r4,r16,18468 +81120890: 81120950 cmplti r4,r16,18469 +81120894: 811209f0 cmpltui r4,r16,18471 +81120898: 81120964 muli r4,r16,18469 +8112089c: 81120978 rdprs r4,r16,18469 +811208a0: 8112098c andi r4,r16,18470 +811208a4: 811209a0 cmpeqi r4,r16,18470 +811208a8: 811209b4 orhi r4,r16,18470 +811208ac: 811209f0 cmpltui r4,r16,18471 +811208b0: 811209f0 cmpltui r4,r16,18471 +811208b4: 811209f0 cmpltui r4,r16,18471 +811208b8: 811209f0 cmpltui r4,r16,18471 +811208bc: 811209c8 cmpgei r4,r16,18471 +811208c0: 811209f0 cmpltui r4,r16,18471 +811208c4: 811209dc xori r4,r16,18471 +811208c8: 811209f0 cmpltui r4,r16,18471 +811208cc: 811209f0 cmpltui r4,r16,18471 +811208d0: 811209f0 cmpltui r4,r16,18471 +811208d4: 811208d8 cmpnei r4,r16,18467 +811208d8: 00e04634 movhi r3,33048 +811208dc: 18cf2a04 addi r3,r3,15528 +811208e0: 00800044 movi r2,1 +811208e4: 19400905 stb r5,36(r3) +811208e8: f800283a ret +811208ec: 00e04634 movhi r3,33048 +811208f0: 18cf2a04 addi r3,r3,15528 +811208f4: 00800044 movi r2,1 +811208f8: 1940000d sth r5,0(r3) +811208fc: f800283a ret +81120900: 00e04634 movhi r3,33048 +81120904: 18cf2a04 addi r3,r3,15528 +81120908: 00800044 movi r2,1 +8112090c: 1940008d sth r5,2(r3) +81120910: f800283a ret +81120914: 00e04634 movhi r3,33048 +81120918: 18cf2a04 addi r3,r3,15528 +8112091c: 00800044 movi r2,1 +81120920: 1940010d sth r5,4(r3) +81120924: f800283a ret +81120928: 00e04634 movhi r3,33048 +8112092c: 18cf2a04 addi r3,r3,15528 +81120930: 00800044 movi r2,1 +81120934: 1940018d sth r5,6(r3) +81120938: f800283a ret +8112093c: 00e04634 movhi r3,33048 +81120940: 18cf2a04 addi r3,r3,15528 +81120944: 00800044 movi r2,1 +81120948: 1940020d sth r5,8(r3) +8112094c: f800283a ret +81120950: 00e04634 movhi r3,33048 +81120954: 18cf2a04 addi r3,r3,15528 +81120958: 00800044 movi r2,1 +8112095c: 1940028d sth r5,10(r3) +81120960: f800283a ret +81120964: 00e04634 movhi r3,33048 +81120968: 18cf2a04 addi r3,r3,15528 +8112096c: 00800044 movi r2,1 +81120970: 19400315 stw r5,12(r3) +81120974: f800283a ret +81120978: 00e04634 movhi r3,33048 +8112097c: 18cf2a04 addi r3,r3,15528 +81120980: 00800044 movi r2,1 +81120984: 19400415 stw r5,16(r3) +81120988: f800283a ret +8112098c: 00e04634 movhi r3,33048 +81120990: 18cf2a04 addi r3,r3,15528 +81120994: 00800044 movi r2,1 +81120998: 19400515 stw r5,20(r3) +8112099c: f800283a ret +811209a0: 00e04634 movhi r3,33048 +811209a4: 18cf2a04 addi r3,r3,15528 +811209a8: 00800044 movi r2,1 +811209ac: 19400615 stw r5,24(r3) +811209b0: f800283a ret +811209b4: 00e04634 movhi r3,33048 +811209b8: 18cf2a04 addi r3,r3,15528 +811209bc: 00800044 movi r2,1 +811209c0: 19400715 stw r5,28(r3) +811209c4: f800283a ret +811209c8: 00e04634 movhi r3,33048 +811209cc: 18cf2a04 addi r3,r3,15528 +811209d0: 00800044 movi r2,1 +811209d4: 19400805 stb r5,32(r3) +811209d8: f800283a ret +811209dc: 00e04634 movhi r3,33048 +811209e0: 18cf2a04 addi r3,r3,15528 +811209e4: 00800044 movi r2,1 +811209e8: 1940088d sth r5,34(r3) +811209ec: f800283a ret +811209f0: 0005883a mov r2,zero +811209f4: f800283a ret + +811209f8 : +811209f8: 297fffcc andi r5,r5,65535 +811209fc: 00810f04 movi r2,1084 +81120a00: 2884da26 beq r5,r2,81121d6c +81120a04: 11401c2e bgeu r2,r5,81120a78 +81120a08: 0081fd84 movi r2,2038 +81120a0c: 2881df26 beq r5,r2,8112118c +81120a10: 11403436 bltu r2,r5,81120ae4 +81120a14: 0081f844 movi r2,2017 +81120a18: 2884dd26 beq r5,r2,81121d90 +81120a1c: 11408f2e bgeu r2,r5,81120c5c +81120a20: 0081fac4 movi r2,2027 +81120a24: 2883ea26 beq r5,r2,811219d0 +81120a28: 1140ca36 bltu r2,r5,81120d54 +81120a2c: 0081f984 movi r2,2022 +81120a30: 2881df26 beq r5,r2,811211b0 +81120a34: 11421236 bltu r2,r5,81121280 +81120a38: 0081f8c4 movi r2,2019 +81120a3c: 2883c026 beq r5,r2,81121940 +81120a40: 28840f36 bltu r5,r2,81121a80 +81120a44: 0081f904 movi r2,2020 +81120a48: 28840426 beq r5,r2,81121a5c +81120a4c: 0081f944 movi r2,2021 +81120a50: 2883b91e bne r5,r2,81121938 +81120a54: 21003fcc andi r4,r4,255 +81120a58: 2100b524 muli r4,r4,724 +81120a5c: 00e04634 movhi r3,33048 +81120a60: 18cfa504 addi r3,r3,16020 +81120a64: 31bfffcc andi r6,r6,65535 +81120a68: 20c7883a add r3,r4,r3 +81120a6c: 00800044 movi r2,1 +81120a70: 19800015 stw r6,0(r3) +81120a74: f800283a ret +81120a78: 00810444 movi r2,1041 +81120a7c: 28865226 beq r5,r2,811223c8 +81120a80: 11403136 bltu r2,r5,81120b48 +81120a84: 0080fec4 movi r2,1019 +81120a88: 28865826 beq r5,r2,811223ec +81120a8c: 11405d2e bgeu r2,r5,81120c04 +81120a90: 00810184 movi r2,1030 +81120a94: 2882ec26 beq r5,r2,81121648 +81120a98: 1140d436 bltu r2,r5,81120dec +81120a9c: 00810044 movi r2,1025 +81120aa0: 2881b226 beq r5,r2,8112116c +81120aa4: 11422636 bltu r2,r5,81121340 +81120aa8: 0080ff44 movi r2,1021 +81120aac: 28843326 beq r5,r2,81121b7c +81120ab0: 28836b36 bltu r5,r2,81121860 +81120ab4: 0080ff84 movi r2,1022 +81120ab8: 28836126 beq r5,r2,81121840 +81120abc: 0080ffc4 movi r2,1023 +81120ac0: 28839d1e bne r5,r2,81121938 +81120ac4: 21003fcc andi r4,r4,255 +81120ac8: 2100b524 muli r4,r4,724 +81120acc: 00e04634 movhi r3,33048 +81120ad0: 18cf5204 addi r3,r3,15688 +81120ad4: 20c7883a add r3,r4,r3 +81120ad8: 00800044 movi r2,1 +81120adc: 19800015 stw r6,0(r3) +81120ae0: f800283a ret +81120ae4: 008202c4 movi r2,2059 +81120ae8: 28848e26 beq r5,r2,81121d24 +81120aec: 11402f36 bltu r2,r5,81120bac +81120af0: 00820004 movi r2,2048 +81120af4: 28864e26 beq r5,r2,81122430 +81120af8: 1140a936 bltu r2,r5,81120da0 +81120afc: 0081fec4 movi r2,2043 +81120b00: 28817626 beq r5,r2,811210dc +81120b04: 11423e36 bltu r2,r5,81121400 +81120b08: 0081fe04 movi r2,2040 +81120b0c: 2884cd26 beq r5,r2,81121e44 +81120b10: 2882e836 bltu r5,r2,811216b4 +81120b14: 0081fe44 movi r2,2041 +81120b18: 2882dd26 beq r5,r2,81121690 +81120b1c: 0081fe84 movi r2,2042 +81120b20: 2883851e bne r5,r2,81121938 +81120b24: 21003fcc andi r4,r4,255 +81120b28: 2100b524 muli r4,r4,724 +81120b2c: 00e04634 movhi r3,33048 +81120b30: 18cfba04 addi r3,r3,16104 +81120b34: 31bfffcc andi r6,r6,65535 +81120b38: 20c7883a add r3,r4,r3 +81120b3c: 00800044 movi r2,1 +81120b40: 19800015 stw r6,0(r3) +81120b44: f800283a ret +81120b48: 00810984 movi r2,1062 +81120b4c: 28847e26 beq r5,r2,81121d48 +81120b50: 1140582e bgeu r2,r5,81120cb4 +81120b54: 00810c44 movi r2,1073 +81120b58: 28835c26 beq r5,r2,811218cc +81120b5c: 11406b36 bltu r2,r5,81120d0c +81120b60: 00810b04 movi r2,1068 +81120b64: 28816f26 beq r5,r2,81121124 +81120b68: 11420536 bltu r2,r5,81121380 +81120b6c: 00810a44 movi r2,1065 +81120b70: 28844926 beq r5,r2,81121c98 +81120b74: 1143162e bgeu r2,r5,811217d0 +81120b78: 00810a84 movi r2,1066 +81120b7c: 28830b26 beq r5,r2,811217ac +81120b80: 00810ac4 movi r2,1067 +81120b84: 28836c1e bne r5,r2,81121938 +81120b88: 21003fcc andi r4,r4,255 +81120b8c: 2100b524 muli r4,r4,724 +81120b90: 00e04634 movhi r3,33048 +81120b94: 18cf8404 addi r3,r3,15888 +81120b98: 31bfffcc andi r6,r6,65535 +81120b9c: 20c7883a add r3,r4,r3 +81120ba0: 00800044 movi r2,1 +81120ba4: 19800015 stw r6,0(r3) +81120ba8: f800283a ret +81120bac: 00820584 movi r2,2070 +81120bb0: 28834f26 beq r5,r2,811218f0 +81120bb4: 11409f36 bltu r2,r5,81120e34 +81120bb8: 00820404 movi r2,2064 +81120bbc: 28816226 beq r5,r2,81121148 +81120bc0: 1141ff36 bltu r2,r5,811213c0 +81120bc4: 00820344 movi r2,2061 +81120bc8: 28847a26 beq r5,r2,81121db4 +81120bcc: 28831336 bltu r5,r2,8112181c +81120bd0: 00820384 movi r2,2062 +81120bd4: 28830826 beq r5,r2,811217f8 +81120bd8: 008203c4 movi r2,2063 +81120bdc: 2883561e bne r5,r2,81121938 +81120be0: 21003fcc andi r4,r4,255 +81120be4: 2100b524 muli r4,r4,724 +81120be8: 00e04634 movhi r3,33048 +81120bec: 18cfcf04 addi r3,r3,16188 +81120bf0: 31bfffcc andi r6,r6,65535 +81120bf4: 20c7883a add r3,r4,r3 +81120bf8: 00800044 movi r2,1 +81120bfc: 19800015 stw r6,0(r3) +81120c00: f800283a ret +81120c04: 0080fc44 movi r2,1009 +81120c08: 28860026 beq r5,r2,8112240c +81120c0c: 1140ae36 bltu r2,r5,81120ec8 +81120c10: 0080fb04 movi r2,1004 +81120c14: 28817826 beq r5,r2,811211f8 +81120c18: 1141b936 bltu r2,r5,81121300 +81120c1c: 0080fa44 movi r2,1001 +81120c20: 2883f926 beq r5,r2,81121c08 +81120c24: 1142752e bgeu r2,r5,811215fc +81120c28: 0080fa84 movi r2,1002 +81120c2c: 28831526 beq r5,r2,81121884 +81120c30: 0080fac4 movi r2,1003 +81120c34: 2883401e bne r5,r2,81121938 +81120c38: 21003fcc andi r4,r4,255 +81120c3c: 2100b524 muli r4,r4,724 +81120c40: 00e04634 movhi r3,33048 +81120c44: 18cf3e04 addi r3,r3,15608 +81120c48: 31bfffcc andi r6,r6,65535 +81120c4c: 20c7883a add r3,r4,r3 +81120c50: 00800044 movi r2,1 +81120c54: 19800015 stw r6,0(r3) +81120c58: f800283a ret +81120c5c: 0081f5c4 movi r2,2007 +81120c60: 28836426 beq r5,r2,811219f4 +81120c64: 11408536 bltu r2,r5,81120e7c +81120c68: 0081f484 movi r2,2002 +81120c6c: 28816b26 beq r5,r2,8112121c +81120c70: 11419336 bltu r2,r5,811212c0 +81120c74: 00810f84 movi r2,1086 +81120c78: 28839c26 beq r5,r2,81121aec +81120c7c: 28839236 bltu r5,r2,81121ac8 +81120c80: 0081f404 movi r2,2000 +81120c84: 28838726 beq r5,r2,81121aa4 +81120c88: 0081f444 movi r2,2001 +81120c8c: 28832a1e bne r5,r2,81121938 +81120c90: 21003fcc andi r4,r4,255 +81120c94: 2100b524 muli r4,r4,724 +81120c98: 00e04634 movhi r3,33048 +81120c9c: 18cf9104 addi r3,r3,15940 +81120ca0: 31bfffcc andi r6,r6,65535 +81120ca4: 20c7883a add r3,r4,r3 +81120ca8: 00800044 movi r2,1 +81120cac: 19800015 stw r6,0(r3) +81120cb0: f800283a ret +81120cb4: 008106c4 movi r2,1051 +81120cb8: 28831626 beq r5,r2,81121914 +81120cbc: 11409436 bltu r2,r5,81120f10 +81120cc0: 00810584 movi r2,1046 +81120cc4: 28814326 beq r5,r2,811211d4 +81120cc8: 11415d36 bltu r2,r5,81121240 +81120ccc: 008104c4 movi r2,1043 +81120cd0: 28825526 beq r5,r2,81121628 +81120cd4: 28835836 bltu r5,r2,81121a38 +81120cd8: 00810504 movi r2,1044 +81120cdc: 28834e26 beq r5,r2,81121a18 +81120ce0: 00810544 movi r2,1045 +81120ce4: 2883141e bne r5,r2,81121938 +81120ce8: 21003fcc andi r4,r4,255 +81120cec: 2100b524 muli r4,r4,724 +81120cf0: 00e04634 movhi r3,33048 +81120cf4: 18cf6904 addi r3,r3,15780 +81120cf8: 31bfffcc andi r6,r6,65535 +81120cfc: 20c7883a add r3,r4,r3 +81120d00: 00800044 movi r2,1 +81120d04: 19800015 stw r6,0(r3) +81120d08: f800283a ret +81120d0c: 00810d84 movi r2,1078 +81120d10: 28825626 beq r5,r2,8112166c +81120d14: 1140c12e bgeu r2,r5,8112101c +81120d18: 00810e44 movi r2,1081 +81120d1c: 28861426 beq r5,r2,81122570 +81120d20: 11421036 bltu r2,r5,81121564 +81120d24: 00810dc4 movi r2,1079 +81120d28: 28848e26 beq r5,r2,81121f64 +81120d2c: 00810e04 movi r2,1080 +81120d30: 2883011e bne r5,r2,81121938 +81120d34: 21003fcc andi r4,r4,255 +81120d38: 2100b524 muli r4,r4,724 +81120d3c: 00e04634 movhi r3,33048 +81120d40: 18cf5404 addi r3,r3,15696 +81120d44: 20c7883a add r3,r4,r3 +81120d48: 00800044 movi r2,1 +81120d4c: 19800015 stw r6,0(r3) +81120d50: f800283a ret +81120d54: 0081fc04 movi r2,2032 +81120d58: 2884ed26 beq r5,r2,81122110 +81120d5c: 11409f2e bgeu r2,r5,81120fdc +81120d60: 0081fcc4 movi r2,2035 +81120d64: 28861426 beq r5,r2,811225b8 +81120d68: 11421736 bltu r2,r5,811215c8 +81120d6c: 0081fc44 movi r2,2033 +81120d70: 2884c326 beq r5,r2,81122080 +81120d74: 0081fc84 movi r2,2034 +81120d78: 2882ef1e bne r5,r2,81121938 +81120d7c: 21003fcc andi r4,r4,255 +81120d80: 2100b524 muli r4,r4,724 +81120d84: 00e04634 movhi r3,33048 +81120d88: 18cfb204 addi r3,r3,16072 +81120d8c: 31bfffcc andi r6,r6,65535 +81120d90: 20c7883a add r3,r4,r3 +81120d94: 00800044 movi r2,1 +81120d98: 19800015 stw r6,0(r3) +81120d9c: f800283a ret +81120da0: 00820144 movi r2,2053 +81120da4: 28852026 beq r5,r2,81122228 +81120da8: 11407c2e bgeu r2,r5,81120f9c +81120dac: 00820204 movi r2,2056 +81120db0: 2884e926 beq r5,r2,81122158 +81120db4: 1141de36 bltu r2,r5,81121530 +81120db8: 00820184 movi r2,2054 +81120dbc: 2884dd26 beq r5,r2,81122134 +81120dc0: 008201c4 movi r2,2055 +81120dc4: 2882dc1e bne r5,r2,81121938 +81120dc8: 21003fcc andi r4,r4,255 +81120dcc: 2100b524 muli r4,r4,724 +81120dd0: 00e04634 movhi r3,33048 +81120dd4: 18cfc704 addi r3,r3,16156 +81120dd8: 31bfffcc andi r6,r6,65535 +81120ddc: 20c7883a add r3,r4,r3 +81120de0: 00800044 movi r2,1 +81120de4: 19800015 stw r6,0(r3) +81120de8: f800283a ret +81120dec: 008102c4 movi r2,1035 +81120df0: 2885bc26 beq r5,r2,811224e4 +81120df4: 1140592e bgeu r2,r5,81120f5c +81120df8: 00810384 movi r2,1038 +81120dfc: 28852e26 beq r5,r2,811222b8 +81120e00: 1141be36 bltu r2,r5,811214fc +81120e04: 00810304 movi r2,1036 +81120e08: 2884e526 beq r5,r2,811221a0 +81120e0c: 00810344 movi r2,1037 +81120e10: 2882c91e bne r5,r2,81121938 +81120e14: 21003fcc andi r4,r4,255 +81120e18: 2100b524 muli r4,r4,724 +81120e1c: 00e04634 movhi r3,33048 +81120e20: 18cf6104 addi r3,r3,15748 +81120e24: 20c7883a add r3,r4,r3 +81120e28: 00800044 movi r2,1 +81120e2c: 19800015 stw r6,0(r3) +81120e30: f800283a ret +81120e34: 0082ee84 movi r2,3002 +81120e38: 28854226 beq r5,r2,81122344 +81120e3c: 1140872e bgeu r2,r5,8112105c +81120e40: 0082ef44 movi r2,3005 +81120e44: 28854f26 beq r5,r2,81122384 +81120e48: 1141d336 bltu r2,r5,81121598 +81120e4c: 0082eec4 movi r2,3003 +81120e50: 28854426 beq r5,r2,81122364 +81120e54: 0082ef04 movi r2,3004 +81120e58: 2882b71e bne r5,r2,81121938 +81120e5c: 21003fcc andi r4,r4,255 +81120e60: 2100b524 muli r4,r4,724 +81120e64: 00e04634 movhi r3,33048 +81120e68: 18cfef04 addi r3,r3,16316 +81120e6c: 20c7883a add r3,r4,r3 +81120e70: 00800044 movi r2,1 +81120e74: 19800005 stb r6,0(r3) +81120e78: f800283a ret +81120e7c: 0081f704 movi r2,2012 +81120e80: 28808d26 beq r5,r2,811210b8 +81120e84: 11417d36 bltu r2,r5,8112147c +81120e88: 0081f644 movi r2,2009 +81120e8c: 28845926 beq r5,r2,81121ff4 +81120e90: 28822b36 bltu r5,r2,81121740 +81120e94: 0081f684 movi r2,2010 +81120e98: 28822026 beq r5,r2,8112171c +81120e9c: 0081f6c4 movi r2,2011 +81120ea0: 2882a51e bne r5,r2,81121938 +81120ea4: 21003fcc andi r4,r4,255 +81120ea8: 2100b524 muli r4,r4,724 +81120eac: 00e04634 movhi r3,33048 +81120eb0: 18cf9b04 addi r3,r3,15980 +81120eb4: 31bfffcc andi r6,r6,65535 +81120eb8: 20c7883a add r3,r4,r3 +81120ebc: 00800044 movi r2,1 +81120ec0: 19800015 stw r6,0(r3) +81120ec4: f800283a ret +81120ec8: 0080fd84 movi r2,1014 +81120ecc: 28807226 beq r5,r2,81121098 +81120ed0: 11415b36 bltu r2,r5,81121440 +81120ed4: 0080fcc4 movi r2,1011 +81120ed8: 28855e26 beq r5,r2,81122454 +81120edc: 28820636 bltu r5,r2,811216f8 +81120ee0: 0080fd04 movi r2,1012 +81120ee4: 2881fc26 beq r5,r2,811216d8 +81120ee8: 0080fd44 movi r2,1013 +81120eec: 2882921e bne r5,r2,81121938 +81120ef0: 21003fcc andi r4,r4,255 +81120ef4: 2100b524 muli r4,r4,724 +81120ef8: 00e04634 movhi r3,33048 +81120efc: 18cf4804 addi r3,r3,15648 +81120f00: 20c7883a add r3,r4,r3 +81120f04: 00800044 movi r2,1 +81120f08: 19800015 stw r6,0(r3) +81120f0c: f800283a ret +81120f10: 00810804 movi r2,1056 +81120f14: 28807a26 beq r5,r2,81121100 +81120f18: 11416836 bltu r2,r5,811214bc +81120f1c: 00810744 movi r2,1053 +81120f20: 2883ec26 beq r5,r2,81121ed4 +81120f24: 28821836 bltu r5,r2,81121788 +81120f28: 00810784 movi r2,1054 +81120f2c: 28820d26 beq r5,r2,81121764 +81120f30: 008107c4 movi r2,1055 +81120f34: 2882801e bne r5,r2,81121938 81120f38: 21003fcc andi r4,r4,255 81120f3c: 2100b524 muli r4,r4,724 81120f40: 00e04634 movhi r3,33048 -81120f44: 18cf4d04 addi r3,r3,15668 +81120f44: 18cf7304 addi r3,r3,15820 81120f48: 31803fcc andi r6,r6,255 81120f4c: 20c7883a add r3,r4,r3 81120f50: 00800044 movi r2,1 81120f54: 19800015 stw r6,0(r3) 81120f58: f800283a ret -81120f5c: 00820084 movi r2,2050 -81120f60: 2884bc26 beq r5,r2,81122254 -81120f64: 2884b236 bltu r5,r2,81122230 -81120f68: 008200c4 movi r2,2051 -81120f6c: 2884a726 beq r5,r2,8112220c -81120f70: 00820104 movi r2,2052 -81120f74: 2882601e bne r5,r2,811218f8 +81120f5c: 00810204 movi r2,1032 +81120f60: 2884a926 beq r5,r2,81122208 +81120f64: 2884a036 bltu r5,r2,811221e8 +81120f68: 00810244 movi r2,1033 +81120f6c: 28849526 beq r5,r2,811221c4 +81120f70: 00810284 movi r2,1034 +81120f74: 2882701e bne r5,r2,81121938 81120f78: 21003fcc andi r4,r4,255 81120f7c: 2100b524 muli r4,r4,724 81120f80: 00e04634 movhi r3,33048 -81120f84: 18cfb304 addi r3,r3,16076 -81120f88: 31bfffcc andi r6,r6,65535 +81120f84: 18cf5e04 addi r3,r3,15736 +81120f88: 31803fcc andi r6,r6,255 81120f8c: 20c7883a add r3,r4,r3 81120f90: 00800044 movi r2,1 81120f94: 19800015 stw r6,0(r3) 81120f98: f800283a ret -81120f9c: 0081fb44 movi r2,2029 -81120fa0: 28844226 beq r5,r2,811220ac -81120fa4: 28843836 bltu r5,r2,81122088 -81120fa8: 0081fb84 movi r2,2030 -81120fac: 28842d26 beq r5,r2,81122064 -81120fb0: 0081fbc4 movi r2,2031 -81120fb4: 2882501e bne r5,r2,811218f8 +81120f9c: 00820084 movi r2,2050 +81120fa0: 2884bc26 beq r5,r2,81122294 +81120fa4: 2884b236 bltu r5,r2,81122270 +81120fa8: 008200c4 movi r2,2051 +81120fac: 2884a726 beq r5,r2,8112224c +81120fb0: 00820104 movi r2,2052 +81120fb4: 2882601e bne r5,r2,81121938 81120fb8: 21003fcc andi r4,r4,255 81120fbc: 2100b524 muli r4,r4,724 81120fc0: 00e04634 movhi r3,33048 -81120fc4: 18cf9e04 addi r3,r3,15992 +81120fc4: 18cfc404 addi r3,r3,16144 81120fc8: 31bfffcc andi r6,r6,65535 81120fcc: 20c7883a add r3,r4,r3 81120fd0: 00800044 movi r2,1 81120fd4: 19800015 stw r6,0(r3) 81120fd8: f800283a ret -81120fdc: 00810cc4 movi r2,1075 -81120fe0: 2883eb26 beq r5,r2,81121f90 -81120fe4: 2883e136 bltu r5,r2,81121f6c -81120fe8: 00810d04 movi r2,1076 -81120fec: 2883d626 beq r5,r2,81121f48 -81120ff0: 00810d44 movi r2,1077 -81120ff4: 2882401e bne r5,r2,811218f8 +81120fdc: 0081fb44 movi r2,2029 +81120fe0: 28844226 beq r5,r2,811220ec +81120fe4: 28843836 bltu r5,r2,811220c8 +81120fe8: 0081fb84 movi r2,2030 +81120fec: 28842d26 beq r5,r2,811220a4 +81120ff0: 0081fbc4 movi r2,2031 +81120ff4: 2882501e bne r5,r2,81121938 81120ff8: 21003fcc andi r4,r4,255 81120ffc: 2100b524 muli r4,r4,724 81121000: 00e04634 movhi r3,33048 -81121004: 18cf7d04 addi r3,r3,15860 +81121004: 18cfaf04 addi r3,r3,16060 81121008: 31bfffcc andi r6,r6,65535 8112100c: 20c7883a add r3,r4,r3 81121010: 00800044 movi r2,1 81121014: 19800015 stw r6,0(r3) 81121018: f800283a ret -8112101c: 00820604 movi r2,2072 -81121020: 28853a26 beq r5,r2,8112250c -81121024: 28853036 bltu r5,r2,811224e8 -81121028: 0082ee04 movi r2,3000 -8112102c: 28852626 beq r5,r2,811224c8 -81121030: 0082ee44 movi r2,3001 -81121034: 2882301e bne r5,r2,811218f8 +8112101c: 00810cc4 movi r2,1075 +81121020: 2883eb26 beq r5,r2,81121fd0 +81121024: 2883e136 bltu r5,r2,81121fac +81121028: 00810d04 movi r2,1076 +8112102c: 2883d626 beq r5,r2,81121f88 +81121030: 00810d44 movi r2,1077 +81121034: 2882401e bne r5,r2,81121938 81121038: 21003fcc andi r4,r4,255 8112103c: 2100b524 muli r4,r4,724 81121040: 00e04634 movhi r3,33048 -81121044: 18cfdb04 addi r3,r3,16236 -81121048: 20c7883a add r3,r4,r3 -8112104c: 00800044 movi r2,1 -81121050: 19800015 stw r6,0(r3) -81121054: f800283a ret -81121058: 21003fcc andi r4,r4,255 -8112105c: 2100b524 muli r4,r4,724 -81121060: 00e04634 movhi r3,33048 -81121064: 18cf3804 addi r3,r3,15584 -81121068: 20c7883a add r3,r4,r3 -8112106c: 00800044 movi r2,1 -81121070: 19800015 stw r6,0(r3) -81121074: f800283a ret +81121044: 18cf8e04 addi r3,r3,15928 +81121048: 31bfffcc andi r6,r6,65535 +8112104c: 20c7883a add r3,r4,r3 +81121050: 00800044 movi r2,1 +81121054: 19800015 stw r6,0(r3) +81121058: f800283a ret +8112105c: 00820604 movi r2,2072 +81121060: 28853a26 beq r5,r2,8112254c +81121064: 28853036 bltu r5,r2,81122528 +81121068: 0082ee04 movi r2,3000 +8112106c: 28852626 beq r5,r2,81122508 +81121070: 0082ee44 movi r2,3001 +81121074: 2882301e bne r5,r2,81121938 81121078: 21003fcc andi r4,r4,255 8112107c: 2100b524 muli r4,r4,724 81121080: 00e04634 movhi r3,33048 -81121084: 18cf8b04 addi r3,r3,15916 -81121088: 31bfffcc andi r6,r6,65535 -8112108c: 20c7883a add r3,r4,r3 -81121090: 00800044 movi r2,1 -81121094: 19800015 stw r6,0(r3) -81121098: f800283a ret -8112109c: 21003fcc andi r4,r4,255 -811210a0: 2100b524 muli r4,r4,724 -811210a4: 00e04634 movhi r3,33048 -811210a8: 18cfaa04 addi r3,r3,16040 -811210ac: 31bfffcc andi r6,r6,65535 -811210b0: 20c7883a add r3,r4,r3 -811210b4: 00800044 movi r2,1 -811210b8: 19800015 stw r6,0(r3) -811210bc: f800283a ret -811210c0: 21003fcc andi r4,r4,255 -811210c4: 2100b524 muli r4,r4,724 -811210c8: 00e04634 movhi r3,33048 -811210cc: 18cf6304 addi r3,r3,15756 -811210d0: 31803fcc andi r6,r6,255 -811210d4: 20c7883a add r3,r4,r3 -811210d8: 00800044 movi r2,1 -811210dc: 19800015 stw r6,0(r3) -811210e0: f800283a ret -811210e4: 21003fcc andi r4,r4,255 -811210e8: 2100b524 muli r4,r4,724 -811210ec: 00e04634 movhi r3,33048 -811210f0: 18cf7404 addi r3,r3,15824 -811210f4: 31803fcc andi r6,r6,255 -811210f8: 20c7883a add r3,r4,r3 -811210fc: 00800044 movi r2,1 -81121100: 19800015 stw r6,0(r3) -81121104: f800283a ret -81121108: 21003fcc andi r4,r4,255 -8112110c: 2100b524 muli r4,r4,724 -81121110: 00e04634 movhi r3,33048 -81121114: 18cfc104 addi r3,r3,16132 -81121118: 31803fcc andi r6,r6,255 -8112111c: 20c7883a add r3,r4,r3 -81121120: 00800044 movi r2,1 -81121124: 19800015 stw r6,0(r3) -81121128: f800283a ret -8112112c: 21003fcc andi r4,r4,255 -81121130: 2100b524 muli r4,r4,724 -81121134: 00e04634 movhi r3,33048 -81121138: 18cf4404 addi r3,r3,15632 -8112113c: 20c7883a add r3,r4,r3 -81121140: 00800044 movi r2,1 -81121144: 19800015 stw r6,0(r3) -81121148: f800283a ret -8112114c: 21003fcc andi r4,r4,255 -81121150: 2100b524 muli r4,r4,724 -81121154: 00e04634 movhi r3,33048 -81121158: 18cfa504 addi r3,r3,16020 -8112115c: 31bfffcc andi r6,r6,65535 -81121160: 20c7883a add r3,r4,r3 -81121164: 00800044 movi r2,1 -81121168: 19800015 stw r6,0(r3) -8112116c: f800283a ret -81121170: 21003fcc andi r4,r4,255 -81121174: 2100b524 muli r4,r4,724 -81121178: 00e04634 movhi r3,33048 -8112117c: 18cf9504 addi r3,r3,15956 -81121180: 31bfffcc andi r6,r6,65535 -81121184: 20c7883a add r3,r4,r3 -81121188: 00800044 movi r2,1 -8112118c: 19800015 stw r6,0(r3) -81121190: f800283a ret -81121194: 21003fcc andi r4,r4,255 -81121198: 2100b524 muli r4,r4,724 -8112119c: 00e04634 movhi r3,33048 -811211a0: 18cf5904 addi r3,r3,15716 -811211a4: 31803fcc andi r6,r6,255 -811211a8: 20c7883a add r3,r4,r3 -811211ac: 00800044 movi r2,1 -811211b0: 19800015 stw r6,0(r3) -811211b4: f800283a ret -811211b8: 21003fcc andi r4,r4,255 -811211bc: 2100b524 muli r4,r4,724 -811211c0: 00e04634 movhi r3,33048 -811211c4: 18cf2e04 addi r3,r3,15544 -811211c8: 31bfffcc andi r6,r6,65535 -811211cc: 20c7883a add r3,r4,r3 -811211d0: 00800044 movi r2,1 -811211d4: 19800015 stw r6,0(r3) -811211d8: f800283a ret -811211dc: 21003fcc andi r4,r4,255 -811211e0: 2100b524 muli r4,r4,724 -811211e4: 00e04634 movhi r3,33048 -811211e8: 18cf8104 addi r3,r3,15876 -811211ec: 31bfffcc andi r6,r6,65535 -811211f0: 20c7883a add r3,r4,r3 -811211f4: 00800044 movi r2,1 -811211f8: 19800015 stw r6,0(r3) -811211fc: f800283a ret -81121200: 00810604 movi r2,1048 -81121204: 2881d926 beq r5,r2,8112196c -81121208: 2881cf36 bltu r5,r2,81121948 -8112120c: 00810644 movi r2,1049 -81121210: 2881c426 beq r5,r2,81121924 -81121214: 00810684 movi r2,1050 -81121218: 2881b71e bne r5,r2,811218f8 +81121084: 18cfec04 addi r3,r3,16304 +81121088: 20c7883a add r3,r4,r3 +8112108c: 00800044 movi r2,1 +81121090: 19800015 stw r6,0(r3) +81121094: f800283a ret +81121098: 21003fcc andi r4,r4,255 +8112109c: 2100b524 muli r4,r4,724 +811210a0: 00e04634 movhi r3,33048 +811210a4: 18cf4904 addi r3,r3,15652 +811210a8: 20c7883a add r3,r4,r3 +811210ac: 00800044 movi r2,1 +811210b0: 19800015 stw r6,0(r3) +811210b4: f800283a ret +811210b8: 21003fcc andi r4,r4,255 +811210bc: 2100b524 muli r4,r4,724 +811210c0: 00e04634 movhi r3,33048 +811210c4: 18cf9c04 addi r3,r3,15984 +811210c8: 31bfffcc andi r6,r6,65535 +811210cc: 20c7883a add r3,r4,r3 +811210d0: 00800044 movi r2,1 +811210d4: 19800015 stw r6,0(r3) +811210d8: f800283a ret +811210dc: 21003fcc andi r4,r4,255 +811210e0: 2100b524 muli r4,r4,724 +811210e4: 00e04634 movhi r3,33048 +811210e8: 18cfbb04 addi r3,r3,16108 +811210ec: 31bfffcc andi r6,r6,65535 +811210f0: 20c7883a add r3,r4,r3 +811210f4: 00800044 movi r2,1 +811210f8: 19800015 stw r6,0(r3) +811210fc: f800283a ret +81121100: 21003fcc andi r4,r4,255 +81121104: 2100b524 muli r4,r4,724 +81121108: 00e04634 movhi r3,33048 +8112110c: 18cf7404 addi r3,r3,15824 +81121110: 31803fcc andi r6,r6,255 +81121114: 20c7883a add r3,r4,r3 +81121118: 00800044 movi r2,1 +8112111c: 19800015 stw r6,0(r3) +81121120: f800283a ret +81121124: 21003fcc andi r4,r4,255 +81121128: 2100b524 muli r4,r4,724 +8112112c: 00e04634 movhi r3,33048 +81121130: 18cf8504 addi r3,r3,15892 +81121134: 31803fcc andi r6,r6,255 +81121138: 20c7883a add r3,r4,r3 +8112113c: 00800044 movi r2,1 +81121140: 19800015 stw r6,0(r3) +81121144: f800283a ret +81121148: 21003fcc andi r4,r4,255 +8112114c: 2100b524 muli r4,r4,724 +81121150: 00e04634 movhi r3,33048 +81121154: 18cfd204 addi r3,r3,16200 +81121158: 31803fcc andi r6,r6,255 +8112115c: 20c7883a add r3,r4,r3 +81121160: 00800044 movi r2,1 +81121164: 19800015 stw r6,0(r3) +81121168: f800283a ret +8112116c: 21003fcc andi r4,r4,255 +81121170: 2100b524 muli r4,r4,724 +81121174: 00e04634 movhi r3,33048 +81121178: 18cf5504 addi r3,r3,15700 +8112117c: 20c7883a add r3,r4,r3 +81121180: 00800044 movi r2,1 +81121184: 19800015 stw r6,0(r3) +81121188: f800283a ret +8112118c: 21003fcc andi r4,r4,255 +81121190: 2100b524 muli r4,r4,724 +81121194: 00e04634 movhi r3,33048 +81121198: 18cfb604 addi r3,r3,16088 +8112119c: 31bfffcc andi r6,r6,65535 +811211a0: 20c7883a add r3,r4,r3 +811211a4: 00800044 movi r2,1 +811211a8: 19800015 stw r6,0(r3) +811211ac: f800283a ret +811211b0: 21003fcc andi r4,r4,255 +811211b4: 2100b524 muli r4,r4,724 +811211b8: 00e04634 movhi r3,33048 +811211bc: 18cfa604 addi r3,r3,16024 +811211c0: 31bfffcc andi r6,r6,65535 +811211c4: 20c7883a add r3,r4,r3 +811211c8: 00800044 movi r2,1 +811211cc: 19800015 stw r6,0(r3) +811211d0: f800283a ret +811211d4: 21003fcc andi r4,r4,255 +811211d8: 2100b524 muli r4,r4,724 +811211dc: 00e04634 movhi r3,33048 +811211e0: 18cf6a04 addi r3,r3,15784 +811211e4: 31803fcc andi r6,r6,255 +811211e8: 20c7883a add r3,r4,r3 +811211ec: 00800044 movi r2,1 +811211f0: 19800015 stw r6,0(r3) +811211f4: f800283a ret +811211f8: 21003fcc andi r4,r4,255 +811211fc: 2100b524 muli r4,r4,724 +81121200: 00e04634 movhi r3,33048 +81121204: 18cf3f04 addi r3,r3,15612 +81121208: 31bfffcc andi r6,r6,65535 +8112120c: 20c7883a add r3,r4,r3 +81121210: 00800044 movi r2,1 +81121214: 19800015 stw r6,0(r3) +81121218: f800283a ret 8112121c: 21003fcc andi r4,r4,255 81121220: 2100b524 muli r4,r4,724 81121224: 00e04634 movhi r3,33048 -81121228: 18cf5d04 addi r3,r3,15732 +81121228: 18cf9204 addi r3,r3,15944 8112122c: 31bfffcc andi r6,r6,65535 81121230: 20c7883a add r3,r4,r3 81121234: 00800044 movi r2,1 81121238: 19800015 stw r6,0(r3) 8112123c: f800283a ret -81121240: 0081fa04 movi r2,2024 -81121244: 28823426 beq r5,r2,81121b18 -81121248: 28822a36 bltu r5,r2,81121af4 -8112124c: 0081fa44 movi r2,2025 -81121250: 28821f26 beq r5,r2,81121ad0 -81121254: 0081fa84 movi r2,2026 -81121258: 2881a71e bne r5,r2,811218f8 +81121240: 00810604 movi r2,1048 +81121244: 2881d926 beq r5,r2,811219ac +81121248: 2881cf36 bltu r5,r2,81121988 +8112124c: 00810644 movi r2,1049 +81121250: 2881c426 beq r5,r2,81121964 +81121254: 00810684 movi r2,1050 +81121258: 2881b71e bne r5,r2,81121938 8112125c: 21003fcc andi r4,r4,255 81121260: 2100b524 muli r4,r4,724 81121264: 00e04634 movhi r3,33048 -81121268: 18cf9904 addi r3,r3,15972 +81121268: 18cf6e04 addi r3,r3,15800 8112126c: 31bfffcc andi r6,r6,65535 81121270: 20c7883a add r3,r4,r3 81121274: 00800044 movi r2,1 81121278: 19800015 stw r6,0(r3) 8112127c: f800283a ret -81121280: 0081f504 movi r2,2004 -81121284: 28826b26 beq r5,r2,81121c34 -81121288: 28826136 bltu r5,r2,81121c10 -8112128c: 0081f544 movi r2,2005 -81121290: 28825626 beq r5,r2,81121bec -81121294: 0081f584 movi r2,2006 -81121298: 2881971e bne r5,r2,811218f8 +81121280: 0081fa04 movi r2,2024 +81121284: 28823426 beq r5,r2,81121b58 +81121288: 28822a36 bltu r5,r2,81121b34 +8112128c: 0081fa44 movi r2,2025 +81121290: 28821f26 beq r5,r2,81121b10 +81121294: 0081fa84 movi r2,2026 +81121298: 2881a71e bne r5,r2,81121938 8112129c: 21003fcc andi r4,r4,255 811212a0: 2100b524 muli r4,r4,724 811212a4: 00e04634 movhi r3,33048 -811212a8: 18cf8504 addi r3,r3,15892 +811212a8: 18cfaa04 addi r3,r3,16040 811212ac: 31bfffcc andi r6,r6,65535 811212b0: 20c7883a add r3,r4,r3 811212b4: 00800044 movi r2,1 811212b8: 19800015 stw r6,0(r3) 811212bc: f800283a ret -811212c0: 0080fb84 movi r2,1006 -811212c4: 28823726 beq r5,r2,81121ba4 -811212c8: 28822d36 bltu r5,r2,81121b80 -811212cc: 0080fbc4 movi r2,1007 -811212d0: 28822226 beq r5,r2,81121b5c -811212d4: 0080fc04 movi r2,1008 -811212d8: 2881871e bne r5,r2,811218f8 +811212c0: 0081f504 movi r2,2004 +811212c4: 28826b26 beq r5,r2,81121c74 +811212c8: 28826136 bltu r5,r2,81121c50 +811212cc: 0081f544 movi r2,2005 +811212d0: 28825626 beq r5,r2,81121c2c +811212d4: 0081f584 movi r2,2006 +811212d8: 2881971e bne r5,r2,81121938 811212dc: 21003fcc andi r4,r4,255 811212e0: 2100b524 muli r4,r4,724 811212e4: 00e04634 movhi r3,33048 -811212e8: 18cf3204 addi r3,r3,15560 -811212ec: 31803fcc andi r6,r6,255 +811212e8: 18cf9604 addi r3,r3,15960 +811212ec: 31bfffcc andi r6,r6,65535 811212f0: 20c7883a add r3,r4,r3 811212f4: 00800044 movi r2,1 811212f8: 19800015 stw r6,0(r3) 811212fc: f800283a ret -81121300: 008100c4 movi r2,1027 -81121304: 28826e26 beq r5,r2,81121cc0 -81121308: 28826536 bltu r5,r2,81121ca0 -8112130c: 00810104 movi r2,1028 -81121310: 28825a26 beq r5,r2,81121c7c -81121314: 00810144 movi r2,1029 -81121318: 2881771e bne r5,r2,811218f8 +81121300: 0080fb84 movi r2,1006 +81121304: 28823726 beq r5,r2,81121be4 +81121308: 28822d36 bltu r5,r2,81121bc0 +8112130c: 0080fbc4 movi r2,1007 +81121310: 28822226 beq r5,r2,81121b9c +81121314: 0080fc04 movi r2,1008 +81121318: 2881871e bne r5,r2,81121938 8112131c: 21003fcc andi r4,r4,255 81121320: 2100b524 muli r4,r4,724 81121324: 00e04634 movhi r3,33048 -81121328: 18cf4804 addi r3,r3,15648 +81121328: 18cf4304 addi r3,r3,15628 8112132c: 31803fcc andi r6,r6,255 81121330: 20c7883a add r3,r4,r3 81121334: 00800044 movi r2,1 81121338: 19800015 stw r6,0(r3) 8112133c: f800283a ret -81121340: 00810b84 movi r2,1070 -81121344: 2882a626 beq r5,r2,81121de0 -81121348: 28829c36 bltu r5,r2,81121dbc -8112134c: 00810bc4 movi r2,1071 -81121350: 28829126 beq r5,r2,81121d98 -81121354: 00810c04 movi r2,1072 -81121358: 2881671e bne r5,r2,811218f8 +81121340: 008100c4 movi r2,1027 +81121344: 28826e26 beq r5,r2,81121d00 +81121348: 28826536 bltu r5,r2,81121ce0 +8112134c: 00810104 movi r2,1028 +81121350: 28825a26 beq r5,r2,81121cbc +81121354: 00810144 movi r2,1029 +81121358: 2881771e bne r5,r2,81121938 8112135c: 21003fcc andi r4,r4,255 81121360: 2100b524 muli r4,r4,724 81121364: 00e04634 movhi r3,33048 -81121368: 18cf7804 addi r3,r3,15840 +81121368: 18cf5904 addi r3,r3,15716 8112136c: 31803fcc andi r6,r6,255 81121370: 20c7883a add r3,r4,r3 81121374: 00800044 movi r2,1 81121378: 19800015 stw r6,0(r3) 8112137c: f800283a ret -81121380: 00820484 movi r2,2066 -81121384: 2882ba26 beq r5,r2,81121e70 -81121388: 2882b036 bltu r5,r2,81121e4c -8112138c: 008204c4 movi r2,2067 -81121390: 2882a526 beq r5,r2,81121e28 -81121394: 00820544 movi r2,2069 -81121398: 2881571e bne r5,r2,811218f8 +81121380: 00810b84 movi r2,1070 +81121384: 2882a626 beq r5,r2,81121e20 +81121388: 28829c36 bltu r5,r2,81121dfc +8112138c: 00810bc4 movi r2,1071 +81121390: 28829126 beq r5,r2,81121dd8 +81121394: 00810c04 movi r2,1072 +81121398: 2881671e bne r5,r2,81121938 8112139c: 21003fcc andi r4,r4,255 811213a0: 2100b524 muli r4,r4,724 811213a4: 00e04634 movhi r3,33048 -811213a8: 18cfd604 addi r3,r3,16216 +811213a8: 18cf8904 addi r3,r3,15908 811213ac: 31803fcc andi r6,r6,255 811213b0: 20c7883a add r3,r4,r3 811213b4: 00800044 movi r2,1 811213b8: 19800015 stw r6,0(r3) 811213bc: f800283a ret -811213c0: 0081ff44 movi r2,2045 -811213c4: 28842e26 beq r5,r2,81122480 -811213c8: 28842436 bltu r5,r2,8112245c -811213cc: 0081ff84 movi r2,2046 -811213d0: 28841926 beq r5,r2,81122438 -811213d4: 0081ffc4 movi r2,2047 -811213d8: 2881471e bne r5,r2,811218f8 +811213c0: 00820484 movi r2,2066 +811213c4: 2882ba26 beq r5,r2,81121eb0 +811213c8: 2882b036 bltu r5,r2,81121e8c +811213cc: 008204c4 movi r2,2067 +811213d0: 2882a526 beq r5,r2,81121e68 +811213d4: 00820544 movi r2,2069 +811213d8: 2881571e bne r5,r2,81121938 811213dc: 21003fcc andi r4,r4,255 811213e0: 2100b524 muli r4,r4,724 811213e4: 00e04634 movhi r3,33048 -811213e8: 18cfae04 addi r3,r3,16056 -811213ec: 31bfffcc andi r6,r6,65535 +811213e8: 18cfe704 addi r3,r3,16284 +811213ec: 31803fcc andi r6,r6,255 811213f0: 20c7883a add r3,r4,r3 811213f4: 00800044 movi r2,1 811213f8: 19800015 stw r6,0(r3) 811213fc: f800283a ret -81121400: 0080fe04 movi r2,1016 -81121404: 28830526 beq r5,r2,8112201c -81121408: 2882fc36 bltu r5,r2,81121ffc -8112140c: 0080fe44 movi r2,1017 -81121410: 2882f126 beq r5,r2,81121fd8 -81121414: 0080fe84 movi r2,1018 -81121418: 2881371e bne r5,r2,811218f8 +81121400: 0081ff44 movi r2,2045 +81121404: 28842e26 beq r5,r2,811224c0 +81121408: 28842436 bltu r5,r2,8112249c +8112140c: 0081ff84 movi r2,2046 +81121410: 28841926 beq r5,r2,81122478 +81121414: 0081ffc4 movi r2,2047 +81121418: 2881471e bne r5,r2,81121938 8112141c: 21003fcc andi r4,r4,255 81121420: 2100b524 muli r4,r4,724 81121424: 00e04634 movhi r3,33048 -81121428: 18cf3c04 addi r3,r3,15600 -8112142c: 20c7883a add r3,r4,r3 -81121430: 00800044 movi r2,1 -81121434: 19800015 stw r6,0(r3) -81121438: f800283a ret -8112143c: 0081f784 movi r2,2014 -81121440: 2882af26 beq r5,r2,81121f00 -81121444: 2882a536 bltu r5,r2,81121edc -81121448: 0081f7c4 movi r2,2015 -8112144c: 28829a26 beq r5,r2,81121eb8 -81121450: 0081f804 movi r2,2016 -81121454: 2881281e bne r5,r2,811218f8 -81121458: 21003fcc andi r4,r4,255 -8112145c: 2100b524 muli r4,r4,724 -81121460: 00e04634 movhi r3,33048 -81121464: 18cf8f04 addi r3,r3,15932 -81121468: 31bfffcc andi r6,r6,65535 +81121428: 18cfbf04 addi r3,r3,16124 +8112142c: 31bfffcc andi r6,r6,65535 +81121430: 20c7883a add r3,r4,r3 +81121434: 00800044 movi r2,1 +81121438: 19800015 stw r6,0(r3) +8112143c: f800283a ret +81121440: 0080fe04 movi r2,1016 +81121444: 28830526 beq r5,r2,8112205c +81121448: 2882fc36 bltu r5,r2,8112203c +8112144c: 0080fe44 movi r2,1017 +81121450: 2882f126 beq r5,r2,81122018 +81121454: 0080fe84 movi r2,1018 +81121458: 2881371e bne r5,r2,81121938 +8112145c: 21003fcc andi r4,r4,255 +81121460: 2100b524 muli r4,r4,724 +81121464: 00e04634 movhi r3,33048 +81121468: 18cf4d04 addi r3,r3,15668 8112146c: 20c7883a add r3,r4,r3 81121470: 00800044 movi r2,1 81121474: 19800015 stw r6,0(r3) 81121478: f800283a ret -8112147c: 00810884 movi r2,1058 -81121480: 28839726 beq r5,r2,811222e0 -81121484: 28838d36 bltu r5,r2,811222bc -81121488: 008108c4 movi r2,1059 -8112148c: 28838226 beq r5,r2,81122298 -81121490: 00810944 movi r2,1061 -81121494: 2881181e bne r5,r2,811218f8 +8112147c: 0081f784 movi r2,2014 +81121480: 2882af26 beq r5,r2,81121f40 +81121484: 2882a536 bltu r5,r2,81121f1c +81121488: 0081f7c4 movi r2,2015 +8112148c: 28829a26 beq r5,r2,81121ef8 +81121490: 0081f804 movi r2,2016 +81121494: 2881281e bne r5,r2,81121938 81121498: 21003fcc andi r4,r4,255 8112149c: 2100b524 muli r4,r4,724 811214a0: 00e04634 movhi r3,33048 -811214a4: 18cf6a04 addi r3,r3,15784 -811214a8: 31803fcc andi r6,r6,255 +811214a4: 18cfa004 addi r3,r3,16000 +811214a8: 31bfffcc andi r6,r6,65535 811214ac: 20c7883a add r3,r4,r3 811214b0: 00800044 movi r2,1 811214b4: 19800015 stw r6,0(r3) 811214b8: f800283a ret -811214bc: 008103c4 movi r2,1039 -811214c0: 28831e26 beq r5,r2,8112213c -811214c4: 00810404 movi r2,1040 -811214c8: 28810b1e bne r5,r2,811218f8 -811214cc: 21003fcc andi r4,r4,255 -811214d0: 2100b524 muli r4,r4,724 -811214d4: 00e04634 movhi r3,33048 -811214d8: 18cf5304 addi r3,r3,15692 -811214dc: 31803fcc andi r6,r6,255 -811214e0: 20c7883a add r3,r4,r3 -811214e4: 00800044 movi r2,1 -811214e8: 19800015 stw r6,0(r3) -811214ec: f800283a ret -811214f0: 00820244 movi r2,2057 -811214f4: 28841726 beq r5,r2,81122554 -811214f8: 00820284 movi r2,2058 -811214fc: 2880fe1e bne r5,r2,811218f8 -81121500: 21003fcc andi r4,r4,255 -81121504: 2100b524 muli r4,r4,724 -81121508: 00e04634 movhi r3,33048 -8112150c: 18cfb904 addi r3,r3,16100 -81121510: 31bfffcc andi r6,r6,65535 -81121514: 20c7883a add r3,r4,r3 -81121518: 00800044 movi r2,1 -8112151c: 19800015 stw r6,0(r3) -81121520: f800283a ret -81121524: 00810e84 movi r2,1082 -81121528: 28838e26 beq r5,r2,81122364 -8112152c: 00810ec4 movi r2,1083 -81121530: 2880f11e bne r5,r2,811218f8 -81121534: 21003fcc andi r4,r4,255 -81121538: 2100b524 muli r4,r4,724 -8112153c: 00e04634 movhi r3,33048 -81121540: 18cf6904 addi r3,r3,15780 -81121544: 31803fcc andi r6,r6,255 -81121548: 20c7883a add r3,r4,r3 -8112154c: 00800044 movi r2,1 -81121550: 19800015 stw r6,0(r3) -81121554: f800283a ret -81121558: 0082ef84 movi r2,3006 -8112155c: 28840f26 beq r5,r2,8112259c -81121560: 0082efc4 movi r2,3007 -81121564: 2880e41e bne r5,r2,811218f8 -81121568: 21003fcc andi r4,r4,255 -8112156c: 2100b524 muli r4,r4,724 -81121570: 00e04634 movhi r3,33048 -81121574: 18cfdec4 addi r3,r3,16251 -81121578: 20c7883a add r3,r4,r3 -8112157c: 00800044 movi r2,1 -81121580: 19800005 stb r6,0(r3) -81121584: f800283a ret -81121588: 0081fd04 movi r2,2036 -8112158c: 2880b626 beq r5,r2,81121868 -81121590: 0081fd44 movi r2,2037 -81121594: 2880d81e bne r5,r2,811218f8 -81121598: 21003fcc andi r4,r4,255 -8112159c: 2100b524 muli r4,r4,724 -811215a0: 00e04634 movhi r3,33048 -811215a4: 18cfa404 addi r3,r3,16016 -811215a8: 31bfffcc andi r6,r6,65535 -811215ac: 20c7883a add r3,r4,r3 -811215b0: 00800044 movi r2,1 -811215b4: 19800015 stw r6,0(r3) -811215b8: f800283a ret -811215bc: 0080fa04 movi r2,1000 -811215c0: 2880cd1e bne r5,r2,811218f8 -811215c4: 20c03fcc andi r3,r4,255 -811215c8: 18c0b524 muli r3,r3,724 -811215cc: 01204634 movhi r4,33048 -811215d0: 210f2a04 addi r4,r4,15528 -811215d4: 31bfffcc andi r6,r6,65535 -811215d8: 20c7883a add r3,r4,r3 -811215dc: 00800044 movi r2,1 -811215e0: 19800015 stw r6,0(r3) -811215e4: f800283a ret -811215e8: 21003fcc andi r4,r4,255 -811215ec: 2100b524 muli r4,r4,724 -811215f0: 00e04634 movhi r3,33048 -811215f4: 18cf5604 addi r3,r3,15704 -811215f8: 20c7883a add r3,r4,r3 -811215fc: 00800044 movi r2,1 -81121600: 19800015 stw r6,0(r3) -81121604: f800283a ret -81121608: 21003fcc andi r4,r4,255 -8112160c: 2100b524 muli r4,r4,724 -81121610: 00e04634 movhi r3,33048 -81121614: 18cf4904 addi r3,r3,15652 -81121618: 31803fcc andi r6,r6,255 -8112161c: 20c7883a add r3,r4,r3 -81121620: 00800044 movi r2,1 -81121624: 19800015 stw r6,0(r3) -81121628: f800283a ret -8112162c: 21003fcc andi r4,r4,255 -81121630: 2100b524 muli r4,r4,724 -81121634: 00e04634 movhi r3,33048 -81121638: 18cf7e04 addi r3,r3,15864 -8112163c: 31bfffcc andi r6,r6,65535 -81121640: 20c7883a add r3,r4,r3 -81121644: 00800044 movi r2,1 -81121648: 19800015 stw r6,0(r3) -8112164c: f800283a ret -81121650: 21003fcc andi r4,r4,255 -81121654: 2100b524 muli r4,r4,724 -81121658: 00e04634 movhi r3,33048 -8112165c: 18cfa804 addi r3,r3,16032 -81121660: 31bfffcc andi r6,r6,65535 -81121664: 20c7883a add r3,r4,r3 -81121668: 00800044 movi r2,1 -8112166c: 19800015 stw r6,0(r3) -81121670: f800283a ret -81121674: 21003fcc andi r4,r4,255 -81121678: 2100b524 muli r4,r4,724 -8112167c: 00e04634 movhi r3,33048 -81121680: 18cfa604 addi r3,r3,16024 -81121684: 31bfffcc andi r6,r6,65535 -81121688: 20c7883a add r3,r4,r3 -8112168c: 00800044 movi r2,1 -81121690: 19800015 stw r6,0(r3) -81121694: f800283a ret -81121698: 21003fcc andi r4,r4,255 -8112169c: 2100b524 muli r4,r4,724 -811216a0: 00e04634 movhi r3,33048 -811216a4: 18cf3604 addi r3,r3,15576 -811216a8: 20c7883a add r3,r4,r3 -811216ac: 00800044 movi r2,1 -811216b0: 19800015 stw r6,0(r3) -811216b4: f800283a ret -811216b8: 21003fcc andi r4,r4,255 -811216bc: 2100b524 muli r4,r4,724 -811216c0: 00e04634 movhi r3,33048 -811216c4: 18cf3404 addi r3,r3,15568 -811216c8: 31bfffcc andi r6,r6,65535 -811216cc: 20c7883a add r3,r4,r3 -811216d0: 00800044 movi r2,1 -811216d4: 19800015 stw r6,0(r3) -811216d8: f800283a ret -811216dc: 21003fcc andi r4,r4,255 -811216e0: 2100b524 muli r4,r4,724 -811216e4: 00e04634 movhi r3,33048 -811216e8: 18cf8904 addi r3,r3,15908 -811216ec: 31bfffcc andi r6,r6,65535 -811216f0: 20c7883a add r3,r4,r3 -811216f4: 00800044 movi r2,1 -811216f8: 19800015 stw r6,0(r3) -811216fc: f800283a ret -81121700: 21003fcc andi r4,r4,255 -81121704: 2100b524 muli r4,r4,724 -81121708: 00e04634 movhi r3,33048 -8112170c: 18cf8704 addi r3,r3,15900 -81121710: 31bfffcc andi r6,r6,65535 -81121714: 20c7883a add r3,r4,r3 -81121718: 00800044 movi r2,1 -8112171c: 19800015 stw r6,0(r3) -81121720: f800283a ret -81121724: 21003fcc andi r4,r4,255 -81121728: 2100b524 muli r4,r4,724 -8112172c: 00e04634 movhi r3,33048 -81121730: 18cf6104 addi r3,r3,15748 -81121734: 31bfffcc andi r6,r6,65535 -81121738: 20c7883a add r3,r4,r3 -8112173c: 00800044 movi r2,1 -81121740: 19800015 stw r6,0(r3) -81121744: f800283a ret -81121748: 21003fcc andi r4,r4,255 -8112174c: 2100b524 muli r4,r4,724 -81121750: 00e04634 movhi r3,33048 -81121754: 18cf5f04 addi r3,r3,15740 -81121758: 31803fcc andi r6,r6,255 -8112175c: 20c7883a add r3,r4,r3 -81121760: 00800044 movi r2,1 -81121764: 19800015 stw r6,0(r3) -81121768: f800283a ret -8112176c: 21003fcc andi r4,r4,255 -81121770: 2100b524 muli r4,r4,724 -81121774: 00e04634 movhi r3,33048 -81121778: 18cf7204 addi r3,r3,15816 -8112177c: 31bfffcc andi r6,r6,65535 -81121780: 20c7883a add r3,r4,r3 -81121784: 00800044 movi r2,1 -81121788: 19800015 stw r6,0(r3) -8112178c: f800283a ret -81121790: 00810a04 movi r2,1064 -81121794: 2880581e bne r5,r2,811218f8 -81121798: 21003fcc andi r4,r4,255 -8112179c: 2100b524 muli r4,r4,724 -811217a0: 00e04634 movhi r3,33048 -811217a4: 18cf7004 addi r3,r3,15808 -811217a8: 20c7883a add r3,r4,r3 -811217ac: 00800044 movi r2,1 -811217b0: 19800015 stw r6,0(r3) -811217b4: f800283a ret -811217b8: 21003fcc andi r4,r4,255 -811217bc: 2100b524 muli r4,r4,724 -811217c0: 00e04634 movhi r3,33048 -811217c4: 18cfbd04 addi r3,r3,16116 -811217c8: 31bfffcc andi r6,r6,65535 -811217cc: 20c7883a add r3,r4,r3 -811217d0: 00800044 movi r2,1 -811217d4: 19800015 stw r6,0(r3) -811217d8: f800283a ret -811217dc: 21003fcc andi r4,r4,255 -811217e0: 2100b524 muli r4,r4,724 -811217e4: 00e04634 movhi r3,33048 -811217e8: 18cfbb04 addi r3,r3,16108 -811217ec: 31bfffcc andi r6,r6,65535 -811217f0: 20c7883a add r3,r4,r3 -811217f4: 00800044 movi r2,1 -811217f8: 19800015 stw r6,0(r3) -811217fc: f800283a ret -81121800: 21003fcc andi r4,r4,255 -81121804: 2100b524 muli r4,r4,724 -81121808: 00e04634 movhi r3,33048 -8112180c: 18cf4004 addi r3,r3,15616 -81121810: 20c7883a add r3,r4,r3 -81121814: 00800044 movi r2,1 -81121818: 19800015 stw r6,0(r3) -8112181c: f800283a ret -81121820: 21003fcc andi r4,r4,255 -81121824: 2100b524 muli r4,r4,724 -81121828: 00e04634 movhi r3,33048 -8112182c: 18cf3e04 addi r3,r3,15608 -81121830: 31803fcc andi r6,r6,255 -81121834: 20c7883a add r3,r4,r3 -81121838: 00800044 movi r2,1 -8112183c: 19800015 stw r6,0(r3) -81121840: f800283a ret -81121844: 21003fcc andi r4,r4,255 -81121848: 2100b524 muli r4,r4,724 -8112184c: 00e04634 movhi r3,33048 -81121850: 18cf2c04 addi r3,r3,15536 -81121854: 31bfffcc andi r6,r6,65535 -81121858: 20c7883a add r3,r4,r3 -8112185c: 00800044 movi r2,1 -81121860: 19800015 stw r6,0(r3) -81121864: f800283a ret -81121868: 21003fcc andi r4,r4,255 -8112186c: 2100b524 muli r4,r4,724 -81121870: 00e04634 movhi r3,33048 -81121874: 18cfa304 addi r3,r3,16012 -81121878: 31bfffcc andi r6,r6,65535 -8112187c: 20c7883a add r3,r4,r3 -81121880: 00800044 movi r2,1 -81121884: 19800015 stw r6,0(r3) -81121888: f800283a ret -8112188c: 21003fcc andi r4,r4,255 -81121890: 2100b524 muli r4,r4,724 -81121894: 00e04634 movhi r3,33048 -81121898: 18cf7904 addi r3,r3,15844 -8112189c: 31bfffcc andi r6,r6,65535 -811218a0: 20c7883a add r3,r4,r3 -811218a4: 00800044 movi r2,1 -811218a8: 19800015 stw r6,0(r3) -811218ac: f800283a ret -811218b0: 21003fcc andi r4,r4,255 -811218b4: 2100b524 muli r4,r4,724 -811218b8: 00e04634 movhi r3,33048 -811218bc: 18cfd704 addi r3,r3,16220 -811218c0: 31803fcc andi r6,r6,255 -811218c4: 20c7883a add r3,r4,r3 -811218c8: 00800044 movi r2,1 -811218cc: 19800015 stw r6,0(r3) -811218d0: f800283a ret -811218d4: 21003fcc andi r4,r4,255 -811218d8: 2100b524 muli r4,r4,724 -811218dc: 00e04634 movhi r3,33048 -811218e0: 18cf5e04 addi r3,r3,15736 -811218e4: 31803fcc andi r6,r6,255 -811218e8: 20c7883a add r3,r4,r3 -811218ec: 00800044 movi r2,1 -811218f0: 19800015 stw r6,0(r3) -811218f4: f800283a ret -811218f8: 0005883a mov r2,zero -811218fc: f800283a ret -81121900: 21003fcc andi r4,r4,255 -81121904: 2100b524 muli r4,r4,724 -81121908: 00e04634 movhi r3,33048 -8112190c: 18cf9204 addi r3,r3,15944 -81121910: 31bfffcc andi r6,r6,65535 -81121914: 20c7883a add r3,r4,r3 -81121918: 00800044 movi r2,1 -8112191c: 19800015 stw r6,0(r3) -81121920: f800283a ret -81121924: 21003fcc andi r4,r4,255 -81121928: 2100b524 muli r4,r4,724 -8112192c: 00e04634 movhi r3,33048 -81121930: 18cf5c04 addi r3,r3,15728 -81121934: 31bfffcc andi r6,r6,65535 -81121938: 20c7883a add r3,r4,r3 -8112193c: 00800044 movi r2,1 -81121940: 19800015 stw r6,0(r3) -81121944: f800283a ret -81121948: 21003fcc andi r4,r4,255 -8112194c: 2100b524 muli r4,r4,724 -81121950: 00e04634 movhi r3,33048 -81121954: 18cf5a04 addi r3,r3,15720 -81121958: 31803fcc andi r6,r6,255 -8112195c: 20c7883a add r3,r4,r3 -81121960: 00800044 movi r2,1 -81121964: 19800015 stw r6,0(r3) -81121968: f800283a ret -8112196c: 21003fcc andi r4,r4,255 -81121970: 2100b524 muli r4,r4,724 -81121974: 00e04634 movhi r3,33048 -81121978: 18cf5b04 addi r3,r3,15724 -8112197c: 31803fcc andi r6,r6,255 -81121980: 20c7883a add r3,r4,r3 -81121984: 00800044 movi r2,1 -81121988: 19800015 stw r6,0(r3) -8112198c: f800283a ret -81121990: 21003fcc andi r4,r4,255 -81121994: 2100b524 muli r4,r4,724 -81121998: 00e04634 movhi r3,33048 -8112199c: 18cf9a04 addi r3,r3,15976 -811219a0: 31bfffcc andi r6,r6,65535 -811219a4: 20c7883a add r3,r4,r3 -811219a8: 00800044 movi r2,1 -811219ac: 19800015 stw r6,0(r3) -811219b0: f800283a ret -811219b4: 21003fcc andi r4,r4,255 -811219b8: 2100b524 muli r4,r4,724 -811219bc: 00e04634 movhi r3,33048 -811219c0: 18cf8604 addi r3,r3,15896 -811219c4: 31bfffcc andi r6,r6,65535 -811219c8: 20c7883a add r3,r4,r3 -811219cc: 00800044 movi r2,1 -811219d0: 19800015 stw r6,0(r3) -811219d4: f800283a ret -811219d8: 21003fcc andi r4,r4,255 -811219dc: 2100b524 muli r4,r4,724 -811219e0: 00e04634 movhi r3,33048 -811219e4: 18cf5704 addi r3,r3,15708 -811219e8: 20c7883a add r3,r4,r3 -811219ec: 00800044 movi r2,1 -811219f0: 19800015 stw r6,0(r3) -811219f4: f800283a ret -811219f8: 21003fcc andi r4,r4,255 -811219fc: 2100b524 muli r4,r4,724 -81121a00: 00e04634 movhi r3,33048 -81121a04: 18cf5504 addi r3,r3,15700 -81121a08: 31803fcc andi r6,r6,255 -81121a0c: 20c7883a add r3,r4,r3 -81121a10: 00800044 movi r2,1 -81121a14: 19800015 stw r6,0(r3) -81121a18: f800283a ret -81121a1c: 21003fcc andi r4,r4,255 -81121a20: 2100b524 muli r4,r4,724 -81121a24: 00e04634 movhi r3,33048 -81121a28: 18cf9304 addi r3,r3,15948 -81121a2c: 31bfffcc andi r6,r6,65535 -81121a30: 20c7883a add r3,r4,r3 -81121a34: 00800044 movi r2,1 -81121a38: 19800015 stw r6,0(r3) -81121a3c: f800283a ret -81121a40: 21003fcc andi r4,r4,255 -81121a44: 2100b524 muli r4,r4,724 -81121a48: 00e04634 movhi r3,33048 -81121a4c: 18cf9104 addi r3,r3,15940 -81121a50: 31bfffcc andi r6,r6,65535 -81121a54: 20c7883a add r3,r4,r3 -81121a58: 00800044 movi r2,1 -81121a5c: 19800015 stw r6,0(r3) -81121a60: f800283a ret -81121a64: 21003fcc andi r4,r4,255 -81121a68: 2100b524 muli r4,r4,724 -81121a6c: 00e04634 movhi r3,33048 -81121a70: 18cf7f04 addi r3,r3,15868 -81121a74: 31bfffcc andi r6,r6,65535 -81121a78: 20c7883a add r3,r4,r3 -81121a7c: 00800044 movi r2,1 -81121a80: 19800015 stw r6,0(r3) -81121a84: f800283a ret -81121a88: 21003fcc andi r4,r4,255 -81121a8c: 2100b524 muli r4,r4,724 -81121a90: 00e04634 movhi r3,33048 -81121a94: 18cf6e04 addi r3,r3,15800 -81121a98: 31803fcc andi r6,r6,255 -81121a9c: 20c7883a add r3,r4,r3 -81121aa0: 00800044 movi r2,1 -81121aa4: 19800015 stw r6,0(r3) -81121aa8: f800283a ret -81121aac: 21003fcc andi r4,r4,255 -81121ab0: 2100b524 muli r4,r4,724 -81121ab4: 00e04634 movhi r3,33048 -81121ab8: 18cf6f04 addi r3,r3,15804 -81121abc: 31803fcc andi r6,r6,255 -81121ac0: 20c7883a add r3,r4,r3 -81121ac4: 00800044 movi r2,1 -81121ac8: 19800015 stw r6,0(r3) -81121acc: f800283a ret -81121ad0: 21003fcc andi r4,r4,255 -81121ad4: 2100b524 muli r4,r4,724 -81121ad8: 00e04634 movhi r3,33048 -81121adc: 18cf9804 addi r3,r3,15968 -81121ae0: 31bfffcc andi r6,r6,65535 -81121ae4: 20c7883a add r3,r4,r3 -81121ae8: 00800044 movi r2,1 -81121aec: 19800015 stw r6,0(r3) -81121af0: f800283a ret -81121af4: 21003fcc andi r4,r4,255 -81121af8: 2100b524 muli r4,r4,724 -81121afc: 00e04634 movhi r3,33048 -81121b00: 18cf9604 addi r3,r3,15960 -81121b04: 31bfffcc andi r6,r6,65535 -81121b08: 20c7883a add r3,r4,r3 -81121b0c: 00800044 movi r2,1 -81121b10: 19800015 stw r6,0(r3) -81121b14: f800283a ret -81121b18: 21003fcc andi r4,r4,255 -81121b1c: 2100b524 muli r4,r4,724 -81121b20: 00e04634 movhi r3,33048 -81121b24: 18cf9704 addi r3,r3,15964 -81121b28: 31bfffcc andi r6,r6,65535 -81121b2c: 20c7883a add r3,r4,r3 -81121b30: 00800044 movi r2,1 -81121b34: 19800015 stw r6,0(r3) -81121b38: f800283a ret -81121b3c: 21003fcc andi r4,r4,255 -81121b40: 2100b524 muli r4,r4,724 -81121b44: 00e04634 movhi r3,33048 -81121b48: 18cf3f04 addi r3,r3,15612 -81121b4c: 20c7883a add r3,r4,r3 -81121b50: 00800044 movi r2,1 -81121b54: 19800015 stw r6,0(r3) -81121b58: f800283a ret -81121b5c: 21003fcc andi r4,r4,255 -81121b60: 2100b524 muli r4,r4,724 -81121b64: 00e04634 movhi r3,33048 -81121b68: 18cf3104 addi r3,r3,15556 -81121b6c: 31803fcc andi r6,r6,255 -81121b70: 20c7883a add r3,r4,r3 -81121b74: 00800044 movi r2,1 -81121b78: 19800015 stw r6,0(r3) -81121b7c: f800283a ret -81121b80: 21003fcc andi r4,r4,255 -81121b84: 2100b524 muli r4,r4,724 -81121b88: 00e04634 movhi r3,33048 -81121b8c: 18cf2f04 addi r3,r3,15548 -81121b90: 31bfffcc andi r6,r6,65535 -81121b94: 20c7883a add r3,r4,r3 -81121b98: 00800044 movi r2,1 -81121b9c: 19800015 stw r6,0(r3) -81121ba0: f800283a ret -81121ba4: 21003fcc andi r4,r4,255 -81121ba8: 2100b524 muli r4,r4,724 -81121bac: 00e04634 movhi r3,33048 -81121bb0: 18cf3004 addi r3,r3,15552 -81121bb4: 31803fcc andi r6,r6,255 -81121bb8: 20c7883a add r3,r4,r3 -81121bbc: 00800044 movi r2,1 -81121bc0: 19800015 stw r6,0(r3) -81121bc4: f800283a ret -81121bc8: 21003fcc andi r4,r4,255 -81121bcc: 2100b524 muli r4,r4,724 -81121bd0: 00e04634 movhi r3,33048 -81121bd4: 18cf2b04 addi r3,r3,15532 -81121bd8: 31bfffcc andi r6,r6,65535 -81121bdc: 20c7883a add r3,r4,r3 -81121be0: 00800044 movi r2,1 -81121be4: 19800015 stw r6,0(r3) -81121be8: f800283a ret -81121bec: 21003fcc andi r4,r4,255 -81121bf0: 2100b524 muli r4,r4,724 -81121bf4: 00e04634 movhi r3,33048 -81121bf8: 18cf8404 addi r3,r3,15888 -81121bfc: 31bfffcc andi r6,r6,65535 -81121c00: 20c7883a add r3,r4,r3 -81121c04: 00800044 movi r2,1 -81121c08: 19800015 stw r6,0(r3) -81121c0c: f800283a ret -81121c10: 21003fcc andi r4,r4,255 -81121c14: 2100b524 muli r4,r4,724 -81121c18: 00e04634 movhi r3,33048 -81121c1c: 18cf8204 addi r3,r3,15880 -81121c20: 31bfffcc andi r6,r6,65535 -81121c24: 20c7883a add r3,r4,r3 -81121c28: 00800044 movi r2,1 -81121c2c: 19800015 stw r6,0(r3) -81121c30: f800283a ret -81121c34: 21003fcc andi r4,r4,255 -81121c38: 2100b524 muli r4,r4,724 -81121c3c: 00e04634 movhi r3,33048 -81121c40: 18cf8304 addi r3,r3,15884 -81121c44: 31bfffcc andi r6,r6,65535 -81121c48: 20c7883a add r3,r4,r3 -81121c4c: 00800044 movi r2,1 -81121c50: 19800015 stw r6,0(r3) -81121c54: f800283a ret -81121c58: 21003fcc andi r4,r4,255 -81121c5c: 2100b524 muli r4,r4,724 -81121c60: 00e04634 movhi r3,33048 -81121c64: 18cf7104 addi r3,r3,15812 -81121c68: 31bfffcc andi r6,r6,65535 -81121c6c: 20c7883a add r3,r4,r3 -81121c70: 00800044 movi r2,1 -81121c74: 19800015 stw r6,0(r3) -81121c78: f800283a ret -81121c7c: 21003fcc andi r4,r4,255 -81121c80: 2100b524 muli r4,r4,724 -81121c84: 00e04634 movhi r3,33048 -81121c88: 18cf4704 addi r3,r3,15644 -81121c8c: 31803fcc andi r6,r6,255 -81121c90: 20c7883a add r3,r4,r3 -81121c94: 00800044 movi r2,1 -81121c98: 19800015 stw r6,0(r3) -81121c9c: f800283a ret -81121ca0: 21003fcc andi r4,r4,255 -81121ca4: 2100b524 muli r4,r4,724 -81121ca8: 00e04634 movhi r3,33048 -81121cac: 18cf4504 addi r3,r3,15636 -81121cb0: 20c7883a add r3,r4,r3 -81121cb4: 00800044 movi r2,1 -81121cb8: 19800015 stw r6,0(r3) -81121cbc: f800283a ret -81121cc0: 21003fcc andi r4,r4,255 -81121cc4: 2100b524 muli r4,r4,724 -81121cc8: 00e04634 movhi r3,33048 -81121ccc: 18cf4604 addi r3,r3,15640 -81121cd0: 31bfffcc andi r6,r6,65535 -81121cd4: 20c7883a add r3,r4,r3 -81121cd8: 00800044 movi r2,1 -81121cdc: 19800015 stw r6,0(r3) -81121ce0: f800283a ret -81121ce4: 21003fcc andi r4,r4,255 -81121ce8: 2100b524 muli r4,r4,724 -81121cec: 00e04634 movhi r3,33048 -81121cf0: 18cfba04 addi r3,r3,16104 -81121cf4: 31bfffcc andi r6,r6,65535 -81121cf8: 20c7883a add r3,r4,r3 -81121cfc: 00800044 movi r2,1 -81121d00: 19800015 stw r6,0(r3) -81121d04: f800283a ret -81121d08: 21003fcc andi r4,r4,255 -81121d0c: 2100b524 muli r4,r4,724 -81121d10: 00e04634 movhi r3,33048 -81121d14: 18cf6b04 addi r3,r3,15788 -81121d18: 31803fcc andi r6,r6,255 -81121d1c: 20c7883a add r3,r4,r3 -81121d20: 00800044 movi r2,1 -81121d24: 19800015 stw r6,0(r3) -81121d28: f800283a ret -81121d2c: 21003fcc andi r4,r4,255 -81121d30: 2100b524 muli r4,r4,724 -81121d34: 00e04634 movhi r3,33048 -81121d38: 18cf6d04 addi r3,r3,15796 -81121d3c: 31803fcc andi r6,r6,255 -81121d40: 20c7883a add r3,r4,r3 -81121d44: 00800044 movi r2,1 -81121d48: 19800015 stw r6,0(r3) -81121d4c: f800283a ret -81121d50: 21003fcc andi r4,r4,255 -81121d54: 2100b524 muli r4,r4,724 -81121d58: 00e04634 movhi r3,33048 -81121d5c: 18cf9004 addi r3,r3,15936 -81121d60: 31bfffcc andi r6,r6,65535 -81121d64: 20c7883a add r3,r4,r3 -81121d68: 00800044 movi r2,1 -81121d6c: 19800015 stw r6,0(r3) -81121d70: f800283a ret -81121d74: 21003fcc andi r4,r4,255 -81121d78: 2100b524 muli r4,r4,724 -81121d7c: 00e04634 movhi r3,33048 -81121d80: 18cfbc04 addi r3,r3,16112 -81121d84: 31bfffcc andi r6,r6,65535 -81121d88: 20c7883a add r3,r4,r3 -81121d8c: 00800044 movi r2,1 -81121d90: 19800015 stw r6,0(r3) -81121d94: f800283a ret -81121d98: 21003fcc andi r4,r4,255 -81121d9c: 2100b524 muli r4,r4,724 -81121da0: 00e04634 movhi r3,33048 -81121da4: 18cf7704 addi r3,r3,15836 -81121da8: 31bfffcc andi r6,r6,65535 -81121dac: 20c7883a add r3,r4,r3 -81121db0: 00800044 movi r2,1 -81121db4: 19800015 stw r6,0(r3) -81121db8: f800283a ret -81121dbc: 21003fcc andi r4,r4,255 -81121dc0: 2100b524 muli r4,r4,724 -81121dc4: 00e04634 movhi r3,33048 -81121dc8: 18cf7504 addi r3,r3,15828 -81121dcc: 31bfffcc andi r6,r6,65535 -81121dd0: 20c7883a add r3,r4,r3 -81121dd4: 00800044 movi r2,1 -81121dd8: 19800015 stw r6,0(r3) -81121ddc: f800283a ret -81121de0: 21003fcc andi r4,r4,255 -81121de4: 2100b524 muli r4,r4,724 -81121de8: 00e04634 movhi r3,33048 -81121dec: 18cf7604 addi r3,r3,15832 -81121df0: 31bfffcc andi r6,r6,65535 -81121df4: 20c7883a add r3,r4,r3 -81121df8: 00800044 movi r2,1 -81121dfc: 19800015 stw r6,0(r3) -81121e00: f800283a ret -81121e04: 21003fcc andi r4,r4,255 -81121e08: 2100b524 muli r4,r4,724 -81121e0c: 00e04634 movhi r3,33048 -81121e10: 18cfa704 addi r3,r3,16028 -81121e14: 31bfffcc andi r6,r6,65535 -81121e18: 20c7883a add r3,r4,r3 -81121e1c: 00800044 movi r2,1 -81121e20: 19800015 stw r6,0(r3) -81121e24: f800283a ret -81121e28: 21003fcc andi r4,r4,255 -81121e2c: 2100b524 muli r4,r4,724 -81121e30: 00e04634 movhi r3,33048 -81121e34: 18cfcb04 addi r3,r3,16172 -81121e38: 31803fcc andi r6,r6,255 -81121e3c: 20c7883a add r3,r4,r3 -81121e40: 00800044 movi r2,1 -81121e44: 19800015 stw r6,0(r3) -81121e48: f800283a ret -81121e4c: 21003fcc andi r4,r4,255 -81121e50: 2100b524 muli r4,r4,724 -81121e54: 00e04634 movhi r3,33048 -81121e58: 18cfc804 addi r3,r3,16160 -81121e5c: 31803fcc andi r6,r6,255 -81121e60: 20c7883a add r3,r4,r3 -81121e64: 00800044 movi r2,1 -81121e68: 19800015 stw r6,0(r3) -81121e6c: f800283a ret -81121e70: 21003fcc andi r4,r4,255 -81121e74: 2100b524 muli r4,r4,724 -81121e78: 00e04634 movhi r3,33048 -81121e7c: 18cfca04 addi r3,r3,16168 -81121e80: 31bfffcc andi r6,r6,65535 -81121e84: 20c7883a add r3,r4,r3 -81121e88: 00800044 movi r2,1 -81121e8c: 19800015 stw r6,0(r3) -81121e90: f800283a ret -81121e94: 21003fcc andi r4,r4,255 -81121e98: 2100b524 muli r4,r4,724 -81121e9c: 00e04634 movhi r3,33048 -81121ea0: 18cf6004 addi r3,r3,15744 -81121ea4: 31bfffcc andi r6,r6,65535 -81121ea8: 20c7883a add r3,r4,r3 -81121eac: 00800044 movi r2,1 -81121eb0: 19800015 stw r6,0(r3) -81121eb4: f800283a ret -81121eb8: 21003fcc andi r4,r4,255 -81121ebc: 2100b524 muli r4,r4,724 -81121ec0: 00e04634 movhi r3,33048 -81121ec4: 18cf8e04 addi r3,r3,15928 -81121ec8: 31bfffcc andi r6,r6,65535 -81121ecc: 20c7883a add r3,r4,r3 -81121ed0: 00800044 movi r2,1 -81121ed4: 19800015 stw r6,0(r3) -81121ed8: f800283a ret -81121edc: 21003fcc andi r4,r4,255 -81121ee0: 2100b524 muli r4,r4,724 -81121ee4: 00e04634 movhi r3,33048 -81121ee8: 18cf8c04 addi r3,r3,15920 -81121eec: 31bfffcc andi r6,r6,65535 -81121ef0: 20c7883a add r3,r4,r3 -81121ef4: 00800044 movi r2,1 -81121ef8: 19800015 stw r6,0(r3) -81121efc: f800283a ret -81121f00: 21003fcc andi r4,r4,255 -81121f04: 2100b524 muli r4,r4,724 -81121f08: 00e04634 movhi r3,33048 -81121f0c: 18cf8d04 addi r3,r3,15924 -81121f10: 31bfffcc andi r6,r6,65535 -81121f14: 20c7883a add r3,r4,r3 -81121f18: 00800044 movi r2,1 -81121f1c: 19800015 stw r6,0(r3) -81121f20: f800283a ret -81121f24: 21003fcc andi r4,r4,255 -81121f28: 2100b524 muli r4,r4,724 -81121f2c: 00e04634 movhi r3,33048 -81121f30: 18cf4204 addi r3,r3,15624 -81121f34: 31803fcc andi r6,r6,255 -81121f38: 20c7883a add r3,r4,r3 -81121f3c: 00800044 movi r2,1 -81121f40: 19800015 stw r6,0(r3) -81121f44: f800283a ret -81121f48: 21003fcc andi r4,r4,255 -81121f4c: 2100b524 muli r4,r4,724 -81121f50: 00e04634 movhi r3,33048 -81121f54: 18cf7c04 addi r3,r3,15856 -81121f58: 31803fcc andi r6,r6,255 -81121f5c: 20c7883a add r3,r4,r3 -81121f60: 00800044 movi r2,1 -81121f64: 19800015 stw r6,0(r3) -81121f68: f800283a ret -81121f6c: 21003fcc andi r4,r4,255 -81121f70: 2100b524 muli r4,r4,724 -81121f74: 00e04634 movhi r3,33048 -81121f78: 18cf7a04 addi r3,r3,15848 -81121f7c: 31bfffcc andi r6,r6,65535 -81121f80: 20c7883a add r3,r4,r3 -81121f84: 00800044 movi r2,1 -81121f88: 19800015 stw r6,0(r3) -81121f8c: f800283a ret -81121f90: 21003fcc andi r4,r4,255 -81121f94: 2100b524 muli r4,r4,724 -81121f98: 00e04634 movhi r3,33048 -81121f9c: 18cf7b04 addi r3,r3,15852 -81121fa0: 31bfffcc andi r6,r6,65535 -81121fa4: 20c7883a add r3,r4,r3 -81121fa8: 00800044 movi r2,1 -81121fac: 19800015 stw r6,0(r3) -81121fb0: f800283a ret -81121fb4: 21003fcc andi r4,r4,255 -81121fb8: 2100b524 muli r4,r4,724 -81121fbc: 00e04634 movhi r3,33048 -81121fc0: 18cf8804 addi r3,r3,15904 -81121fc4: 31bfffcc andi r6,r6,65535 -81121fc8: 20c7883a add r3,r4,r3 -81121fcc: 00800044 movi r2,1 -81121fd0: 19800015 stw r6,0(r3) -81121fd4: f800283a ret -81121fd8: 21003fcc andi r4,r4,255 -81121fdc: 2100b524 muli r4,r4,724 -81121fe0: 00e04634 movhi r3,33048 -81121fe4: 18cf3b04 addi r3,r3,15596 -81121fe8: 31bfffcc andi r6,r6,65535 -81121fec: 20c7883a add r3,r4,r3 -81121ff0: 00800044 movi r2,1 -81121ff4: 19800015 stw r6,0(r3) -81121ff8: f800283a ret -81121ffc: 21003fcc andi r4,r4,255 -81122000: 2100b524 muli r4,r4,724 -81122004: 00e04634 movhi r3,33048 -81122008: 18cf3904 addi r3,r3,15588 -8112200c: 20c7883a add r3,r4,r3 -81122010: 00800044 movi r2,1 -81122014: 19800015 stw r6,0(r3) -81122018: f800283a ret -8112201c: 21003fcc andi r4,r4,255 -81122020: 2100b524 muli r4,r4,724 -81122024: 00e04634 movhi r3,33048 -81122028: 18cf3a04 addi r3,r3,15592 -8112202c: 31bfffcc andi r6,r6,65535 -81122030: 20c7883a add r3,r4,r3 -81122034: 00800044 movi r2,1 -81122038: 19800015 stw r6,0(r3) -8112203c: f800283a ret -81122040: 21003fcc andi r4,r4,255 -81122044: 2100b524 muli r4,r4,724 -81122048: 00e04634 movhi r3,33048 -8112204c: 18cfa004 addi r3,r3,16000 -81122050: 31bfffcc andi r6,r6,65535 -81122054: 20c7883a add r3,r4,r3 -81122058: 00800044 movi r2,1 -8112205c: 19800015 stw r6,0(r3) -81122060: f800283a ret -81122064: 21003fcc andi r4,r4,255 -81122068: 2100b524 muli r4,r4,724 -8112206c: 00e04634 movhi r3,33048 -81122070: 18cf9d04 addi r3,r3,15988 -81122074: 31bfffcc andi r6,r6,65535 -81122078: 20c7883a add r3,r4,r3 -8112207c: 00800044 movi r2,1 -81122080: 19800015 stw r6,0(r3) -81122084: f800283a ret -81122088: 21003fcc andi r4,r4,255 -8112208c: 2100b524 muli r4,r4,724 -81122090: 00e04634 movhi r3,33048 -81122094: 18cf9b04 addi r3,r3,15980 -81122098: 31bfffcc andi r6,r6,65535 -8112209c: 20c7883a add r3,r4,r3 -811220a0: 00800044 movi r2,1 -811220a4: 19800015 stw r6,0(r3) -811220a8: f800283a ret -811220ac: 21003fcc andi r4,r4,255 -811220b0: 2100b524 muli r4,r4,724 -811220b4: 00e04634 movhi r3,33048 -811220b8: 18cf9c04 addi r3,r3,15984 -811220bc: 31bfffcc andi r6,r6,65535 -811220c0: 20c7883a add r3,r4,r3 -811220c4: 00800044 movi r2,1 -811220c8: 19800015 stw r6,0(r3) -811220cc: f800283a ret -811220d0: 21003fcc andi r4,r4,255 -811220d4: 2100b524 muli r4,r4,724 -811220d8: 00e04634 movhi r3,33048 -811220dc: 18cf9f04 addi r3,r3,15996 -811220e0: 31bfffcc andi r6,r6,65535 -811220e4: 20c7883a add r3,r4,r3 -811220e8: 00800044 movi r2,1 -811220ec: 19800015 stw r6,0(r3) -811220f0: f800283a ret -811220f4: 21003fcc andi r4,r4,255 -811220f8: 2100b524 muli r4,r4,724 -811220fc: 00e04634 movhi r3,33048 -81122100: 18cfb504 addi r3,r3,16084 -81122104: 31bfffcc andi r6,r6,65535 -81122108: 20c7883a add r3,r4,r3 -8112210c: 00800044 movi r2,1 -81122110: 19800015 stw r6,0(r3) -81122114: f800283a ret -81122118: 21003fcc andi r4,r4,255 -8112211c: 2100b524 muli r4,r4,724 -81122120: 00e04634 movhi r3,33048 -81122124: 18cfb704 addi r3,r3,16092 -81122128: 31bfffcc andi r6,r6,65535 -8112212c: 20c7883a add r3,r4,r3 -81122130: 00800044 movi r2,1 -81122134: 19800015 stw r6,0(r3) -81122138: f800283a ret -8112213c: 21003fcc andi r4,r4,255 -81122140: 2100b524 muli r4,r4,724 -81122144: 00e04634 movhi r3,33048 -81122148: 18cf5204 addi r3,r3,15688 -8112214c: 31bfffcc andi r6,r6,65535 -81122150: 20c7883a add r3,r4,r3 -81122154: 00800044 movi r2,1 -81122158: 19800015 stw r6,0(r3) -8112215c: f800283a ret -81122160: 21003fcc andi r4,r4,255 -81122164: 2100b524 muli r4,r4,724 -81122168: 00e04634 movhi r3,33048 -8112216c: 18cf4f04 addi r3,r3,15676 -81122170: 31803fcc andi r6,r6,255 -81122174: 20c7883a add r3,r4,r3 -81122178: 00800044 movi r2,1 -8112217c: 19800015 stw r6,0(r3) -81122180: f800283a ret -81122184: 21003fcc andi r4,r4,255 -81122188: 2100b524 muli r4,r4,724 -8112218c: 00e04634 movhi r3,33048 -81122190: 18cf4c04 addi r3,r3,15664 -81122194: 31bfffcc andi r6,r6,65535 -81122198: 20c7883a add r3,r4,r3 -8112219c: 00800044 movi r2,1 -811221a0: 19800015 stw r6,0(r3) -811221a4: f800283a ret -811221a8: 21003fcc andi r4,r4,255 -811221ac: 2100b524 muli r4,r4,724 -811221b0: 00e04634 movhi r3,33048 -811221b4: 18cf4a04 addi r3,r3,15656 -811221b8: 20c7883a add r3,r4,r3 -811221bc: 00800044 movi r2,1 -811221c0: 19800015 stw r6,0(r3) -811221c4: f800283a ret -811221c8: 21003fcc andi r4,r4,255 -811221cc: 2100b524 muli r4,r4,724 -811221d0: 00e04634 movhi r3,33048 -811221d4: 18cf4b04 addi r3,r3,15660 +811214bc: 00810884 movi r2,1058 +811214c0: 28839726 beq r5,r2,81122320 +811214c4: 28838d36 bltu r5,r2,811222fc +811214c8: 008108c4 movi r2,1059 +811214cc: 28838226 beq r5,r2,811222d8 +811214d0: 00810944 movi r2,1061 +811214d4: 2881181e bne r5,r2,81121938 +811214d8: 21003fcc andi r4,r4,255 +811214dc: 2100b524 muli r4,r4,724 +811214e0: 00e04634 movhi r3,33048 +811214e4: 18cf7b04 addi r3,r3,15852 +811214e8: 31803fcc andi r6,r6,255 +811214ec: 20c7883a add r3,r4,r3 +811214f0: 00800044 movi r2,1 +811214f4: 19800015 stw r6,0(r3) +811214f8: f800283a ret +811214fc: 008103c4 movi r2,1039 +81121500: 28831e26 beq r5,r2,8112217c +81121504: 00810404 movi r2,1040 +81121508: 28810b1e bne r5,r2,81121938 +8112150c: 21003fcc andi r4,r4,255 +81121510: 2100b524 muli r4,r4,724 +81121514: 00e04634 movhi r3,33048 +81121518: 18cf6404 addi r3,r3,15760 +8112151c: 31803fcc andi r6,r6,255 +81121520: 20c7883a add r3,r4,r3 +81121524: 00800044 movi r2,1 +81121528: 19800015 stw r6,0(r3) +8112152c: f800283a ret +81121530: 00820244 movi r2,2057 +81121534: 28841726 beq r5,r2,81122594 +81121538: 00820284 movi r2,2058 +8112153c: 2880fe1e bne r5,r2,81121938 +81121540: 21003fcc andi r4,r4,255 +81121544: 2100b524 muli r4,r4,724 +81121548: 00e04634 movhi r3,33048 +8112154c: 18cfca04 addi r3,r3,16168 +81121550: 31bfffcc andi r6,r6,65535 +81121554: 20c7883a add r3,r4,r3 +81121558: 00800044 movi r2,1 +8112155c: 19800015 stw r6,0(r3) +81121560: f800283a ret +81121564: 00810e84 movi r2,1082 +81121568: 28838e26 beq r5,r2,811223a4 +8112156c: 00810ec4 movi r2,1083 +81121570: 2880f11e bne r5,r2,81121938 +81121574: 21003fcc andi r4,r4,255 +81121578: 2100b524 muli r4,r4,724 +8112157c: 00e04634 movhi r3,33048 +81121580: 18cf7a04 addi r3,r3,15848 +81121584: 31803fcc andi r6,r6,255 +81121588: 20c7883a add r3,r4,r3 +8112158c: 00800044 movi r2,1 +81121590: 19800015 stw r6,0(r3) +81121594: f800283a ret +81121598: 0082ef84 movi r2,3006 +8112159c: 28840f26 beq r5,r2,811225dc +811215a0: 0082efc4 movi r2,3007 +811215a4: 2880e41e bne r5,r2,81121938 +811215a8: 21003fcc andi r4,r4,255 +811215ac: 2100b524 muli r4,r4,724 +811215b0: 00e04634 movhi r3,33048 +811215b4: 18cfefc4 addi r3,r3,16319 +811215b8: 20c7883a add r3,r4,r3 +811215bc: 00800044 movi r2,1 +811215c0: 19800005 stb r6,0(r3) +811215c4: f800283a ret +811215c8: 0081fd04 movi r2,2036 +811215cc: 2880b626 beq r5,r2,811218a8 +811215d0: 0081fd44 movi r2,2037 +811215d4: 2880d81e bne r5,r2,81121938 +811215d8: 21003fcc andi r4,r4,255 +811215dc: 2100b524 muli r4,r4,724 +811215e0: 00e04634 movhi r3,33048 +811215e4: 18cfb504 addi r3,r3,16084 +811215e8: 31bfffcc andi r6,r6,65535 +811215ec: 20c7883a add r3,r4,r3 +811215f0: 00800044 movi r2,1 +811215f4: 19800015 stw r6,0(r3) +811215f8: f800283a ret +811215fc: 0080fa04 movi r2,1000 +81121600: 2880cd1e bne r5,r2,81121938 +81121604: 20c03fcc andi r3,r4,255 +81121608: 18c0b524 muli r3,r3,724 +8112160c: 01204634 movhi r4,33048 +81121610: 210f3b04 addi r4,r4,15596 +81121614: 31bfffcc andi r6,r6,65535 +81121618: 20c7883a add r3,r4,r3 +8112161c: 00800044 movi r2,1 +81121620: 19800015 stw r6,0(r3) +81121624: f800283a ret +81121628: 21003fcc andi r4,r4,255 +8112162c: 2100b524 muli r4,r4,724 +81121630: 00e04634 movhi r3,33048 +81121634: 18cf6704 addi r3,r3,15772 +81121638: 20c7883a add r3,r4,r3 +8112163c: 00800044 movi r2,1 +81121640: 19800015 stw r6,0(r3) +81121644: f800283a ret +81121648: 21003fcc andi r4,r4,255 +8112164c: 2100b524 muli r4,r4,724 +81121650: 00e04634 movhi r3,33048 +81121654: 18cf5a04 addi r3,r3,15720 +81121658: 31803fcc andi r6,r6,255 +8112165c: 20c7883a add r3,r4,r3 +81121660: 00800044 movi r2,1 +81121664: 19800015 stw r6,0(r3) +81121668: f800283a ret +8112166c: 21003fcc andi r4,r4,255 +81121670: 2100b524 muli r4,r4,724 +81121674: 00e04634 movhi r3,33048 +81121678: 18cf8f04 addi r3,r3,15932 +8112167c: 31bfffcc andi r6,r6,65535 +81121680: 20c7883a add r3,r4,r3 +81121684: 00800044 movi r2,1 +81121688: 19800015 stw r6,0(r3) +8112168c: f800283a ret +81121690: 21003fcc andi r4,r4,255 +81121694: 2100b524 muli r4,r4,724 +81121698: 00e04634 movhi r3,33048 +8112169c: 18cfb904 addi r3,r3,16100 +811216a0: 31bfffcc andi r6,r6,65535 +811216a4: 20c7883a add r3,r4,r3 +811216a8: 00800044 movi r2,1 +811216ac: 19800015 stw r6,0(r3) +811216b0: f800283a ret +811216b4: 21003fcc andi r4,r4,255 +811216b8: 2100b524 muli r4,r4,724 +811216bc: 00e04634 movhi r3,33048 +811216c0: 18cfb704 addi r3,r3,16092 +811216c4: 31bfffcc andi r6,r6,65535 +811216c8: 20c7883a add r3,r4,r3 +811216cc: 00800044 movi r2,1 +811216d0: 19800015 stw r6,0(r3) +811216d4: f800283a ret +811216d8: 21003fcc andi r4,r4,255 +811216dc: 2100b524 muli r4,r4,724 +811216e0: 00e04634 movhi r3,33048 +811216e4: 18cf4704 addi r3,r3,15644 +811216e8: 20c7883a add r3,r4,r3 +811216ec: 00800044 movi r2,1 +811216f0: 19800015 stw r6,0(r3) +811216f4: f800283a ret +811216f8: 21003fcc andi r4,r4,255 +811216fc: 2100b524 muli r4,r4,724 +81121700: 00e04634 movhi r3,33048 +81121704: 18cf4504 addi r3,r3,15636 +81121708: 31bfffcc andi r6,r6,65535 +8112170c: 20c7883a add r3,r4,r3 +81121710: 00800044 movi r2,1 +81121714: 19800015 stw r6,0(r3) +81121718: f800283a ret +8112171c: 21003fcc andi r4,r4,255 +81121720: 2100b524 muli r4,r4,724 +81121724: 00e04634 movhi r3,33048 +81121728: 18cf9a04 addi r3,r3,15976 +8112172c: 31bfffcc andi r6,r6,65535 +81121730: 20c7883a add r3,r4,r3 +81121734: 00800044 movi r2,1 +81121738: 19800015 stw r6,0(r3) +8112173c: f800283a ret +81121740: 21003fcc andi r4,r4,255 +81121744: 2100b524 muli r4,r4,724 +81121748: 00e04634 movhi r3,33048 +8112174c: 18cf9804 addi r3,r3,15968 +81121750: 31bfffcc andi r6,r6,65535 +81121754: 20c7883a add r3,r4,r3 +81121758: 00800044 movi r2,1 +8112175c: 19800015 stw r6,0(r3) +81121760: f800283a ret +81121764: 21003fcc andi r4,r4,255 +81121768: 2100b524 muli r4,r4,724 +8112176c: 00e04634 movhi r3,33048 +81121770: 18cf7204 addi r3,r3,15816 +81121774: 31bfffcc andi r6,r6,65535 +81121778: 20c7883a add r3,r4,r3 +8112177c: 00800044 movi r2,1 +81121780: 19800015 stw r6,0(r3) +81121784: f800283a ret +81121788: 21003fcc andi r4,r4,255 +8112178c: 2100b524 muli r4,r4,724 +81121790: 00e04634 movhi r3,33048 +81121794: 18cf7004 addi r3,r3,15808 +81121798: 31803fcc andi r6,r6,255 +8112179c: 20c7883a add r3,r4,r3 +811217a0: 00800044 movi r2,1 +811217a4: 19800015 stw r6,0(r3) +811217a8: f800283a ret +811217ac: 21003fcc andi r4,r4,255 +811217b0: 2100b524 muli r4,r4,724 +811217b4: 00e04634 movhi r3,33048 +811217b8: 18cf8304 addi r3,r3,15884 +811217bc: 31bfffcc andi r6,r6,65535 +811217c0: 20c7883a add r3,r4,r3 +811217c4: 00800044 movi r2,1 +811217c8: 19800015 stw r6,0(r3) +811217cc: f800283a ret +811217d0: 00810a04 movi r2,1064 +811217d4: 2880581e bne r5,r2,81121938 +811217d8: 21003fcc andi r4,r4,255 +811217dc: 2100b524 muli r4,r4,724 +811217e0: 00e04634 movhi r3,33048 +811217e4: 18cf8104 addi r3,r3,15876 +811217e8: 20c7883a add r3,r4,r3 +811217ec: 00800044 movi r2,1 +811217f0: 19800015 stw r6,0(r3) +811217f4: f800283a ret +811217f8: 21003fcc andi r4,r4,255 +811217fc: 2100b524 muli r4,r4,724 +81121800: 00e04634 movhi r3,33048 +81121804: 18cfce04 addi r3,r3,16184 +81121808: 31bfffcc andi r6,r6,65535 +8112180c: 20c7883a add r3,r4,r3 +81121810: 00800044 movi r2,1 +81121814: 19800015 stw r6,0(r3) +81121818: f800283a ret +8112181c: 21003fcc andi r4,r4,255 +81121820: 2100b524 muli r4,r4,724 +81121824: 00e04634 movhi r3,33048 +81121828: 18cfcc04 addi r3,r3,16176 +8112182c: 31bfffcc andi r6,r6,65535 +81121830: 20c7883a add r3,r4,r3 +81121834: 00800044 movi r2,1 +81121838: 19800015 stw r6,0(r3) +8112183c: f800283a ret +81121840: 21003fcc andi r4,r4,255 +81121844: 2100b524 muli r4,r4,724 +81121848: 00e04634 movhi r3,33048 +8112184c: 18cf5104 addi r3,r3,15684 +81121850: 20c7883a add r3,r4,r3 +81121854: 00800044 movi r2,1 +81121858: 19800015 stw r6,0(r3) +8112185c: f800283a ret +81121860: 21003fcc andi r4,r4,255 +81121864: 2100b524 muli r4,r4,724 +81121868: 00e04634 movhi r3,33048 +8112186c: 18cf4f04 addi r3,r3,15676 +81121870: 31803fcc andi r6,r6,255 +81121874: 20c7883a add r3,r4,r3 +81121878: 00800044 movi r2,1 +8112187c: 19800015 stw r6,0(r3) +81121880: f800283a ret +81121884: 21003fcc andi r4,r4,255 +81121888: 2100b524 muli r4,r4,724 +8112188c: 00e04634 movhi r3,33048 +81121890: 18cf3d04 addi r3,r3,15604 +81121894: 31bfffcc andi r6,r6,65535 +81121898: 20c7883a add r3,r4,r3 +8112189c: 00800044 movi r2,1 +811218a0: 19800015 stw r6,0(r3) +811218a4: f800283a ret +811218a8: 21003fcc andi r4,r4,255 +811218ac: 2100b524 muli r4,r4,724 +811218b0: 00e04634 movhi r3,33048 +811218b4: 18cfb404 addi r3,r3,16080 +811218b8: 31bfffcc andi r6,r6,65535 +811218bc: 20c7883a add r3,r4,r3 +811218c0: 00800044 movi r2,1 +811218c4: 19800015 stw r6,0(r3) +811218c8: f800283a ret +811218cc: 21003fcc andi r4,r4,255 +811218d0: 2100b524 muli r4,r4,724 +811218d4: 00e04634 movhi r3,33048 +811218d8: 18cf8a04 addi r3,r3,15912 +811218dc: 31bfffcc andi r6,r6,65535 +811218e0: 20c7883a add r3,r4,r3 +811218e4: 00800044 movi r2,1 +811218e8: 19800015 stw r6,0(r3) +811218ec: f800283a ret +811218f0: 21003fcc andi r4,r4,255 +811218f4: 2100b524 muli r4,r4,724 +811218f8: 00e04634 movhi r3,33048 +811218fc: 18cfe804 addi r3,r3,16288 +81121900: 31803fcc andi r6,r6,255 +81121904: 20c7883a add r3,r4,r3 +81121908: 00800044 movi r2,1 +8112190c: 19800015 stw r6,0(r3) +81121910: f800283a ret +81121914: 21003fcc andi r4,r4,255 +81121918: 2100b524 muli r4,r4,724 +8112191c: 00e04634 movhi r3,33048 +81121920: 18cf6f04 addi r3,r3,15804 +81121924: 31803fcc andi r6,r6,255 +81121928: 20c7883a add r3,r4,r3 +8112192c: 00800044 movi r2,1 +81121930: 19800015 stw r6,0(r3) +81121934: f800283a ret +81121938: 0005883a mov r2,zero +8112193c: f800283a ret +81121940: 21003fcc andi r4,r4,255 +81121944: 2100b524 muli r4,r4,724 +81121948: 00e04634 movhi r3,33048 +8112194c: 18cfa304 addi r3,r3,16012 +81121950: 31bfffcc andi r6,r6,65535 +81121954: 20c7883a add r3,r4,r3 +81121958: 00800044 movi r2,1 +8112195c: 19800015 stw r6,0(r3) +81121960: f800283a ret +81121964: 21003fcc andi r4,r4,255 +81121968: 2100b524 muli r4,r4,724 +8112196c: 00e04634 movhi r3,33048 +81121970: 18cf6d04 addi r3,r3,15796 +81121974: 31bfffcc andi r6,r6,65535 +81121978: 20c7883a add r3,r4,r3 +8112197c: 00800044 movi r2,1 +81121980: 19800015 stw r6,0(r3) +81121984: f800283a ret +81121988: 21003fcc andi r4,r4,255 +8112198c: 2100b524 muli r4,r4,724 +81121990: 00e04634 movhi r3,33048 +81121994: 18cf6b04 addi r3,r3,15788 +81121998: 31803fcc andi r6,r6,255 +8112199c: 20c7883a add r3,r4,r3 +811219a0: 00800044 movi r2,1 +811219a4: 19800015 stw r6,0(r3) +811219a8: f800283a ret +811219ac: 21003fcc andi r4,r4,255 +811219b0: 2100b524 muli r4,r4,724 +811219b4: 00e04634 movhi r3,33048 +811219b8: 18cf6c04 addi r3,r3,15792 +811219bc: 31803fcc andi r6,r6,255 +811219c0: 20c7883a add r3,r4,r3 +811219c4: 00800044 movi r2,1 +811219c8: 19800015 stw r6,0(r3) +811219cc: f800283a ret +811219d0: 21003fcc andi r4,r4,255 +811219d4: 2100b524 muli r4,r4,724 +811219d8: 00e04634 movhi r3,33048 +811219dc: 18cfab04 addi r3,r3,16044 +811219e0: 31bfffcc andi r6,r6,65535 +811219e4: 20c7883a add r3,r4,r3 +811219e8: 00800044 movi r2,1 +811219ec: 19800015 stw r6,0(r3) +811219f0: f800283a ret +811219f4: 21003fcc andi r4,r4,255 +811219f8: 2100b524 muli r4,r4,724 +811219fc: 00e04634 movhi r3,33048 +81121a00: 18cf9704 addi r3,r3,15964 +81121a04: 31bfffcc andi r6,r6,65535 +81121a08: 20c7883a add r3,r4,r3 +81121a0c: 00800044 movi r2,1 +81121a10: 19800015 stw r6,0(r3) +81121a14: f800283a ret +81121a18: 21003fcc andi r4,r4,255 +81121a1c: 2100b524 muli r4,r4,724 +81121a20: 00e04634 movhi r3,33048 +81121a24: 18cf6804 addi r3,r3,15776 +81121a28: 20c7883a add r3,r4,r3 +81121a2c: 00800044 movi r2,1 +81121a30: 19800015 stw r6,0(r3) +81121a34: f800283a ret +81121a38: 21003fcc andi r4,r4,255 +81121a3c: 2100b524 muli r4,r4,724 +81121a40: 00e04634 movhi r3,33048 +81121a44: 18cf6604 addi r3,r3,15768 +81121a48: 31803fcc andi r6,r6,255 +81121a4c: 20c7883a add r3,r4,r3 +81121a50: 00800044 movi r2,1 +81121a54: 19800015 stw r6,0(r3) +81121a58: f800283a ret +81121a5c: 21003fcc andi r4,r4,255 +81121a60: 2100b524 muli r4,r4,724 +81121a64: 00e04634 movhi r3,33048 +81121a68: 18cfa404 addi r3,r3,16016 +81121a6c: 31bfffcc andi r6,r6,65535 +81121a70: 20c7883a add r3,r4,r3 +81121a74: 00800044 movi r2,1 +81121a78: 19800015 stw r6,0(r3) +81121a7c: f800283a ret +81121a80: 21003fcc andi r4,r4,255 +81121a84: 2100b524 muli r4,r4,724 +81121a88: 00e04634 movhi r3,33048 +81121a8c: 18cfa204 addi r3,r3,16008 +81121a90: 31bfffcc andi r6,r6,65535 +81121a94: 20c7883a add r3,r4,r3 +81121a98: 00800044 movi r2,1 +81121a9c: 19800015 stw r6,0(r3) +81121aa0: f800283a ret +81121aa4: 21003fcc andi r4,r4,255 +81121aa8: 2100b524 muli r4,r4,724 +81121aac: 00e04634 movhi r3,33048 +81121ab0: 18cf9004 addi r3,r3,15936 +81121ab4: 31bfffcc andi r6,r6,65535 +81121ab8: 20c7883a add r3,r4,r3 +81121abc: 00800044 movi r2,1 +81121ac0: 19800015 stw r6,0(r3) +81121ac4: f800283a ret +81121ac8: 21003fcc andi r4,r4,255 +81121acc: 2100b524 muli r4,r4,724 +81121ad0: 00e04634 movhi r3,33048 +81121ad4: 18cf7f04 addi r3,r3,15868 +81121ad8: 31803fcc andi r6,r6,255 +81121adc: 20c7883a add r3,r4,r3 +81121ae0: 00800044 movi r2,1 +81121ae4: 19800015 stw r6,0(r3) +81121ae8: f800283a ret +81121aec: 21003fcc andi r4,r4,255 +81121af0: 2100b524 muli r4,r4,724 +81121af4: 00e04634 movhi r3,33048 +81121af8: 18cf8004 addi r3,r3,15872 +81121afc: 31803fcc andi r6,r6,255 +81121b00: 20c7883a add r3,r4,r3 +81121b04: 00800044 movi r2,1 +81121b08: 19800015 stw r6,0(r3) +81121b0c: f800283a ret +81121b10: 21003fcc andi r4,r4,255 +81121b14: 2100b524 muli r4,r4,724 +81121b18: 00e04634 movhi r3,33048 +81121b1c: 18cfa904 addi r3,r3,16036 +81121b20: 31bfffcc andi r6,r6,65535 +81121b24: 20c7883a add r3,r4,r3 +81121b28: 00800044 movi r2,1 +81121b2c: 19800015 stw r6,0(r3) +81121b30: f800283a ret +81121b34: 21003fcc andi r4,r4,255 +81121b38: 2100b524 muli r4,r4,724 +81121b3c: 00e04634 movhi r3,33048 +81121b40: 18cfa704 addi r3,r3,16028 +81121b44: 31bfffcc andi r6,r6,65535 +81121b48: 20c7883a add r3,r4,r3 +81121b4c: 00800044 movi r2,1 +81121b50: 19800015 stw r6,0(r3) +81121b54: f800283a ret +81121b58: 21003fcc andi r4,r4,255 +81121b5c: 2100b524 muli r4,r4,724 +81121b60: 00e04634 movhi r3,33048 +81121b64: 18cfa804 addi r3,r3,16032 +81121b68: 31bfffcc andi r6,r6,65535 +81121b6c: 20c7883a add r3,r4,r3 +81121b70: 00800044 movi r2,1 +81121b74: 19800015 stw r6,0(r3) +81121b78: f800283a ret +81121b7c: 21003fcc andi r4,r4,255 +81121b80: 2100b524 muli r4,r4,724 +81121b84: 00e04634 movhi r3,33048 +81121b88: 18cf5004 addi r3,r3,15680 +81121b8c: 20c7883a add r3,r4,r3 +81121b90: 00800044 movi r2,1 +81121b94: 19800015 stw r6,0(r3) +81121b98: f800283a ret +81121b9c: 21003fcc andi r4,r4,255 +81121ba0: 2100b524 muli r4,r4,724 +81121ba4: 00e04634 movhi r3,33048 +81121ba8: 18cf4204 addi r3,r3,15624 +81121bac: 31803fcc andi r6,r6,255 +81121bb0: 20c7883a add r3,r4,r3 +81121bb4: 00800044 movi r2,1 +81121bb8: 19800015 stw r6,0(r3) +81121bbc: f800283a ret +81121bc0: 21003fcc andi r4,r4,255 +81121bc4: 2100b524 muli r4,r4,724 +81121bc8: 00e04634 movhi r3,33048 +81121bcc: 18cf4004 addi r3,r3,15616 +81121bd0: 31bfffcc andi r6,r6,65535 +81121bd4: 20c7883a add r3,r4,r3 +81121bd8: 00800044 movi r2,1 +81121bdc: 19800015 stw r6,0(r3) +81121be0: f800283a ret +81121be4: 21003fcc andi r4,r4,255 +81121be8: 2100b524 muli r4,r4,724 +81121bec: 00e04634 movhi r3,33048 +81121bf0: 18cf4104 addi r3,r3,15620 +81121bf4: 31803fcc andi r6,r6,255 +81121bf8: 20c7883a add r3,r4,r3 +81121bfc: 00800044 movi r2,1 +81121c00: 19800015 stw r6,0(r3) +81121c04: f800283a ret +81121c08: 21003fcc andi r4,r4,255 +81121c0c: 2100b524 muli r4,r4,724 +81121c10: 00e04634 movhi r3,33048 +81121c14: 18cf3c04 addi r3,r3,15600 +81121c18: 31bfffcc andi r6,r6,65535 +81121c1c: 20c7883a add r3,r4,r3 +81121c20: 00800044 movi r2,1 +81121c24: 19800015 stw r6,0(r3) +81121c28: f800283a ret +81121c2c: 21003fcc andi r4,r4,255 +81121c30: 2100b524 muli r4,r4,724 +81121c34: 00e04634 movhi r3,33048 +81121c38: 18cf9504 addi r3,r3,15956 +81121c3c: 31bfffcc andi r6,r6,65535 +81121c40: 20c7883a add r3,r4,r3 +81121c44: 00800044 movi r2,1 +81121c48: 19800015 stw r6,0(r3) +81121c4c: f800283a ret +81121c50: 21003fcc andi r4,r4,255 +81121c54: 2100b524 muli r4,r4,724 +81121c58: 00e04634 movhi r3,33048 +81121c5c: 18cf9304 addi r3,r3,15948 +81121c60: 31bfffcc andi r6,r6,65535 +81121c64: 20c7883a add r3,r4,r3 +81121c68: 00800044 movi r2,1 +81121c6c: 19800015 stw r6,0(r3) +81121c70: f800283a ret +81121c74: 21003fcc andi r4,r4,255 +81121c78: 2100b524 muli r4,r4,724 +81121c7c: 00e04634 movhi r3,33048 +81121c80: 18cf9404 addi r3,r3,15952 +81121c84: 31bfffcc andi r6,r6,65535 +81121c88: 20c7883a add r3,r4,r3 +81121c8c: 00800044 movi r2,1 +81121c90: 19800015 stw r6,0(r3) +81121c94: f800283a ret +81121c98: 21003fcc andi r4,r4,255 +81121c9c: 2100b524 muli r4,r4,724 +81121ca0: 00e04634 movhi r3,33048 +81121ca4: 18cf8204 addi r3,r3,15880 +81121ca8: 31bfffcc andi r6,r6,65535 +81121cac: 20c7883a add r3,r4,r3 +81121cb0: 00800044 movi r2,1 +81121cb4: 19800015 stw r6,0(r3) +81121cb8: f800283a ret +81121cbc: 21003fcc andi r4,r4,255 +81121cc0: 2100b524 muli r4,r4,724 +81121cc4: 00e04634 movhi r3,33048 +81121cc8: 18cf5804 addi r3,r3,15712 +81121ccc: 31803fcc andi r6,r6,255 +81121cd0: 20c7883a add r3,r4,r3 +81121cd4: 00800044 movi r2,1 +81121cd8: 19800015 stw r6,0(r3) +81121cdc: f800283a ret +81121ce0: 21003fcc andi r4,r4,255 +81121ce4: 2100b524 muli r4,r4,724 +81121ce8: 00e04634 movhi r3,33048 +81121cec: 18cf5604 addi r3,r3,15704 +81121cf0: 20c7883a add r3,r4,r3 +81121cf4: 00800044 movi r2,1 +81121cf8: 19800015 stw r6,0(r3) +81121cfc: f800283a ret +81121d00: 21003fcc andi r4,r4,255 +81121d04: 2100b524 muli r4,r4,724 +81121d08: 00e04634 movhi r3,33048 +81121d0c: 18cf5704 addi r3,r3,15708 +81121d10: 31bfffcc andi r6,r6,65535 +81121d14: 20c7883a add r3,r4,r3 +81121d18: 00800044 movi r2,1 +81121d1c: 19800015 stw r6,0(r3) +81121d20: f800283a ret +81121d24: 21003fcc andi r4,r4,255 +81121d28: 2100b524 muli r4,r4,724 +81121d2c: 00e04634 movhi r3,33048 +81121d30: 18cfcb04 addi r3,r3,16172 +81121d34: 31bfffcc andi r6,r6,65535 +81121d38: 20c7883a add r3,r4,r3 +81121d3c: 00800044 movi r2,1 +81121d40: 19800015 stw r6,0(r3) +81121d44: f800283a ret +81121d48: 21003fcc andi r4,r4,255 +81121d4c: 2100b524 muli r4,r4,724 +81121d50: 00e04634 movhi r3,33048 +81121d54: 18cf7c04 addi r3,r3,15856 +81121d58: 31803fcc andi r6,r6,255 +81121d5c: 20c7883a add r3,r4,r3 +81121d60: 00800044 movi r2,1 +81121d64: 19800015 stw r6,0(r3) +81121d68: f800283a ret +81121d6c: 21003fcc andi r4,r4,255 +81121d70: 2100b524 muli r4,r4,724 +81121d74: 00e04634 movhi r3,33048 +81121d78: 18cf7e04 addi r3,r3,15864 +81121d7c: 31803fcc andi r6,r6,255 +81121d80: 20c7883a add r3,r4,r3 +81121d84: 00800044 movi r2,1 +81121d88: 19800015 stw r6,0(r3) +81121d8c: f800283a ret +81121d90: 21003fcc andi r4,r4,255 +81121d94: 2100b524 muli r4,r4,724 +81121d98: 00e04634 movhi r3,33048 +81121d9c: 18cfa104 addi r3,r3,16004 +81121da0: 31bfffcc andi r6,r6,65535 +81121da4: 20c7883a add r3,r4,r3 +81121da8: 00800044 movi r2,1 +81121dac: 19800015 stw r6,0(r3) +81121db0: f800283a ret +81121db4: 21003fcc andi r4,r4,255 +81121db8: 2100b524 muli r4,r4,724 +81121dbc: 00e04634 movhi r3,33048 +81121dc0: 18cfcd04 addi r3,r3,16180 +81121dc4: 31bfffcc andi r6,r6,65535 +81121dc8: 20c7883a add r3,r4,r3 +81121dcc: 00800044 movi r2,1 +81121dd0: 19800015 stw r6,0(r3) +81121dd4: f800283a ret +81121dd8: 21003fcc andi r4,r4,255 +81121ddc: 2100b524 muli r4,r4,724 +81121de0: 00e04634 movhi r3,33048 +81121de4: 18cf8804 addi r3,r3,15904 +81121de8: 31bfffcc andi r6,r6,65535 +81121dec: 20c7883a add r3,r4,r3 +81121df0: 00800044 movi r2,1 +81121df4: 19800015 stw r6,0(r3) +81121df8: f800283a ret +81121dfc: 21003fcc andi r4,r4,255 +81121e00: 2100b524 muli r4,r4,724 +81121e04: 00e04634 movhi r3,33048 +81121e08: 18cf8604 addi r3,r3,15896 +81121e0c: 31bfffcc andi r6,r6,65535 +81121e10: 20c7883a add r3,r4,r3 +81121e14: 00800044 movi r2,1 +81121e18: 19800015 stw r6,0(r3) +81121e1c: f800283a ret +81121e20: 21003fcc andi r4,r4,255 +81121e24: 2100b524 muli r4,r4,724 +81121e28: 00e04634 movhi r3,33048 +81121e2c: 18cf8704 addi r3,r3,15900 +81121e30: 31bfffcc andi r6,r6,65535 +81121e34: 20c7883a add r3,r4,r3 +81121e38: 00800044 movi r2,1 +81121e3c: 19800015 stw r6,0(r3) +81121e40: f800283a ret +81121e44: 21003fcc andi r4,r4,255 +81121e48: 2100b524 muli r4,r4,724 +81121e4c: 00e04634 movhi r3,33048 +81121e50: 18cfb804 addi r3,r3,16096 +81121e54: 31bfffcc andi r6,r6,65535 +81121e58: 20c7883a add r3,r4,r3 +81121e5c: 00800044 movi r2,1 +81121e60: 19800015 stw r6,0(r3) +81121e64: f800283a ret +81121e68: 21003fcc andi r4,r4,255 +81121e6c: 2100b524 muli r4,r4,724 +81121e70: 00e04634 movhi r3,33048 +81121e74: 18cfdc04 addi r3,r3,16240 +81121e78: 31803fcc andi r6,r6,255 +81121e7c: 20c7883a add r3,r4,r3 +81121e80: 00800044 movi r2,1 +81121e84: 19800015 stw r6,0(r3) +81121e88: f800283a ret +81121e8c: 21003fcc andi r4,r4,255 +81121e90: 2100b524 muli r4,r4,724 +81121e94: 00e04634 movhi r3,33048 +81121e98: 18cfd904 addi r3,r3,16228 +81121e9c: 31803fcc andi r6,r6,255 +81121ea0: 20c7883a add r3,r4,r3 +81121ea4: 00800044 movi r2,1 +81121ea8: 19800015 stw r6,0(r3) +81121eac: f800283a ret +81121eb0: 21003fcc andi r4,r4,255 +81121eb4: 2100b524 muli r4,r4,724 +81121eb8: 00e04634 movhi r3,33048 +81121ebc: 18cfdb04 addi r3,r3,16236 +81121ec0: 31bfffcc andi r6,r6,65535 +81121ec4: 20c7883a add r3,r4,r3 +81121ec8: 00800044 movi r2,1 +81121ecc: 19800015 stw r6,0(r3) +81121ed0: f800283a ret +81121ed4: 21003fcc andi r4,r4,255 +81121ed8: 2100b524 muli r4,r4,724 +81121edc: 00e04634 movhi r3,33048 +81121ee0: 18cf7104 addi r3,r3,15812 +81121ee4: 31bfffcc andi r6,r6,65535 +81121ee8: 20c7883a add r3,r4,r3 +81121eec: 00800044 movi r2,1 +81121ef0: 19800015 stw r6,0(r3) +81121ef4: f800283a ret +81121ef8: 21003fcc andi r4,r4,255 +81121efc: 2100b524 muli r4,r4,724 +81121f00: 00e04634 movhi r3,33048 +81121f04: 18cf9f04 addi r3,r3,15996 +81121f08: 31bfffcc andi r6,r6,65535 +81121f0c: 20c7883a add r3,r4,r3 +81121f10: 00800044 movi r2,1 +81121f14: 19800015 stw r6,0(r3) +81121f18: f800283a ret +81121f1c: 21003fcc andi r4,r4,255 +81121f20: 2100b524 muli r4,r4,724 +81121f24: 00e04634 movhi r3,33048 +81121f28: 18cf9d04 addi r3,r3,15988 +81121f2c: 31bfffcc andi r6,r6,65535 +81121f30: 20c7883a add r3,r4,r3 +81121f34: 00800044 movi r2,1 +81121f38: 19800015 stw r6,0(r3) +81121f3c: f800283a ret +81121f40: 21003fcc andi r4,r4,255 +81121f44: 2100b524 muli r4,r4,724 +81121f48: 00e04634 movhi r3,33048 +81121f4c: 18cf9e04 addi r3,r3,15992 +81121f50: 31bfffcc andi r6,r6,65535 +81121f54: 20c7883a add r3,r4,r3 +81121f58: 00800044 movi r2,1 +81121f5c: 19800015 stw r6,0(r3) +81121f60: f800283a ret +81121f64: 21003fcc andi r4,r4,255 +81121f68: 2100b524 muli r4,r4,724 +81121f6c: 00e04634 movhi r3,33048 +81121f70: 18cf5304 addi r3,r3,15692 +81121f74: 31803fcc andi r6,r6,255 +81121f78: 20c7883a add r3,r4,r3 +81121f7c: 00800044 movi r2,1 +81121f80: 19800015 stw r6,0(r3) +81121f84: f800283a ret +81121f88: 21003fcc andi r4,r4,255 +81121f8c: 2100b524 muli r4,r4,724 +81121f90: 00e04634 movhi r3,33048 +81121f94: 18cf8d04 addi r3,r3,15924 +81121f98: 31803fcc andi r6,r6,255 +81121f9c: 20c7883a add r3,r4,r3 +81121fa0: 00800044 movi r2,1 +81121fa4: 19800015 stw r6,0(r3) +81121fa8: f800283a ret +81121fac: 21003fcc andi r4,r4,255 +81121fb0: 2100b524 muli r4,r4,724 +81121fb4: 00e04634 movhi r3,33048 +81121fb8: 18cf8b04 addi r3,r3,15916 +81121fbc: 31bfffcc andi r6,r6,65535 +81121fc0: 20c7883a add r3,r4,r3 +81121fc4: 00800044 movi r2,1 +81121fc8: 19800015 stw r6,0(r3) +81121fcc: f800283a ret +81121fd0: 21003fcc andi r4,r4,255 +81121fd4: 2100b524 muli r4,r4,724 +81121fd8: 00e04634 movhi r3,33048 +81121fdc: 18cf8c04 addi r3,r3,15920 +81121fe0: 31bfffcc andi r6,r6,65535 +81121fe4: 20c7883a add r3,r4,r3 +81121fe8: 00800044 movi r2,1 +81121fec: 19800015 stw r6,0(r3) +81121ff0: f800283a ret +81121ff4: 21003fcc andi r4,r4,255 +81121ff8: 2100b524 muli r4,r4,724 +81121ffc: 00e04634 movhi r3,33048 +81122000: 18cf9904 addi r3,r3,15972 +81122004: 31bfffcc andi r6,r6,65535 +81122008: 20c7883a add r3,r4,r3 +8112200c: 00800044 movi r2,1 +81122010: 19800015 stw r6,0(r3) +81122014: f800283a ret +81122018: 21003fcc andi r4,r4,255 +8112201c: 2100b524 muli r4,r4,724 +81122020: 00e04634 movhi r3,33048 +81122024: 18cf4c04 addi r3,r3,15664 +81122028: 31bfffcc andi r6,r6,65535 +8112202c: 20c7883a add r3,r4,r3 +81122030: 00800044 movi r2,1 +81122034: 19800015 stw r6,0(r3) +81122038: f800283a ret +8112203c: 21003fcc andi r4,r4,255 +81122040: 2100b524 muli r4,r4,724 +81122044: 00e04634 movhi r3,33048 +81122048: 18cf4a04 addi r3,r3,15656 +8112204c: 20c7883a add r3,r4,r3 +81122050: 00800044 movi r2,1 +81122054: 19800015 stw r6,0(r3) +81122058: f800283a ret +8112205c: 21003fcc andi r4,r4,255 +81122060: 2100b524 muli r4,r4,724 +81122064: 00e04634 movhi r3,33048 +81122068: 18cf4b04 addi r3,r3,15660 +8112206c: 31bfffcc andi r6,r6,65535 +81122070: 20c7883a add r3,r4,r3 +81122074: 00800044 movi r2,1 +81122078: 19800015 stw r6,0(r3) +8112207c: f800283a ret +81122080: 21003fcc andi r4,r4,255 +81122084: 2100b524 muli r4,r4,724 +81122088: 00e04634 movhi r3,33048 +8112208c: 18cfb104 addi r3,r3,16068 +81122090: 31bfffcc andi r6,r6,65535 +81122094: 20c7883a add r3,r4,r3 +81122098: 00800044 movi r2,1 +8112209c: 19800015 stw r6,0(r3) +811220a0: f800283a ret +811220a4: 21003fcc andi r4,r4,255 +811220a8: 2100b524 muli r4,r4,724 +811220ac: 00e04634 movhi r3,33048 +811220b0: 18cfae04 addi r3,r3,16056 +811220b4: 31bfffcc andi r6,r6,65535 +811220b8: 20c7883a add r3,r4,r3 +811220bc: 00800044 movi r2,1 +811220c0: 19800015 stw r6,0(r3) +811220c4: f800283a ret +811220c8: 21003fcc andi r4,r4,255 +811220cc: 2100b524 muli r4,r4,724 +811220d0: 00e04634 movhi r3,33048 +811220d4: 18cfac04 addi r3,r3,16048 +811220d8: 31bfffcc andi r6,r6,65535 +811220dc: 20c7883a add r3,r4,r3 +811220e0: 00800044 movi r2,1 +811220e4: 19800015 stw r6,0(r3) +811220e8: f800283a ret +811220ec: 21003fcc andi r4,r4,255 +811220f0: 2100b524 muli r4,r4,724 +811220f4: 00e04634 movhi r3,33048 +811220f8: 18cfad04 addi r3,r3,16052 +811220fc: 31bfffcc andi r6,r6,65535 +81122100: 20c7883a add r3,r4,r3 +81122104: 00800044 movi r2,1 +81122108: 19800015 stw r6,0(r3) +8112210c: f800283a ret +81122110: 21003fcc andi r4,r4,255 +81122114: 2100b524 muli r4,r4,724 +81122118: 00e04634 movhi r3,33048 +8112211c: 18cfb004 addi r3,r3,16064 +81122120: 31bfffcc andi r6,r6,65535 +81122124: 20c7883a add r3,r4,r3 +81122128: 00800044 movi r2,1 +8112212c: 19800015 stw r6,0(r3) +81122130: f800283a ret +81122134: 21003fcc andi r4,r4,255 +81122138: 2100b524 muli r4,r4,724 +8112213c: 00e04634 movhi r3,33048 +81122140: 18cfc604 addi r3,r3,16152 +81122144: 31bfffcc andi r6,r6,65535 +81122148: 20c7883a add r3,r4,r3 +8112214c: 00800044 movi r2,1 +81122150: 19800015 stw r6,0(r3) +81122154: f800283a ret +81122158: 21003fcc andi r4,r4,255 +8112215c: 2100b524 muli r4,r4,724 +81122160: 00e04634 movhi r3,33048 +81122164: 18cfc804 addi r3,r3,16160 +81122168: 31bfffcc andi r6,r6,65535 +8112216c: 20c7883a add r3,r4,r3 +81122170: 00800044 movi r2,1 +81122174: 19800015 stw r6,0(r3) +81122178: f800283a ret +8112217c: 21003fcc andi r4,r4,255 +81122180: 2100b524 muli r4,r4,724 +81122184: 00e04634 movhi r3,33048 +81122188: 18cf6304 addi r3,r3,15756 +8112218c: 31bfffcc andi r6,r6,65535 +81122190: 20c7883a add r3,r4,r3 +81122194: 00800044 movi r2,1 +81122198: 19800015 stw r6,0(r3) +8112219c: f800283a ret +811221a0: 21003fcc andi r4,r4,255 +811221a4: 2100b524 muli r4,r4,724 +811221a8: 00e04634 movhi r3,33048 +811221ac: 18cf6004 addi r3,r3,15744 +811221b0: 31803fcc andi r6,r6,255 +811221b4: 20c7883a add r3,r4,r3 +811221b8: 00800044 movi r2,1 +811221bc: 19800015 stw r6,0(r3) +811221c0: f800283a ret +811221c4: 21003fcc andi r4,r4,255 +811221c8: 2100b524 muli r4,r4,724 +811221cc: 00e04634 movhi r3,33048 +811221d0: 18cf5d04 addi r3,r3,15732 +811221d4: 31bfffcc andi r6,r6,65535 811221d8: 20c7883a add r3,r4,r3 811221dc: 00800044 movi r2,1 811221e0: 19800015 stw r6,0(r3) @@ -37710,86 +37713,86 @@ alt_after_alt_main: 811221e8: 21003fcc andi r4,r4,255 811221ec: 2100b524 muli r4,r4,724 811221f0: 00e04634 movhi r3,33048 -811221f4: 18cfb404 addi r3,r3,16080 -811221f8: 31bfffcc andi r6,r6,65535 -811221fc: 20c7883a add r3,r4,r3 -81122200: 00800044 movi r2,1 -81122204: 19800015 stw r6,0(r3) -81122208: f800283a ret -8112220c: 21003fcc andi r4,r4,255 -81122210: 2100b524 muli r4,r4,724 -81122214: 00e04634 movhi r3,33048 -81122218: 18cfb204 addi r3,r3,16072 -8112221c: 31bfffcc andi r6,r6,65535 -81122220: 20c7883a add r3,r4,r3 -81122224: 00800044 movi r2,1 -81122228: 19800015 stw r6,0(r3) -8112222c: f800283a ret -81122230: 21003fcc andi r4,r4,255 -81122234: 2100b524 muli r4,r4,724 -81122238: 00e04634 movhi r3,33048 -8112223c: 18cfb004 addi r3,r3,16064 -81122240: 31bfffcc andi r6,r6,65535 -81122244: 20c7883a add r3,r4,r3 -81122248: 00800044 movi r2,1 -8112224c: 19800015 stw r6,0(r3) -81122250: f800283a ret -81122254: 21003fcc andi r4,r4,255 -81122258: 2100b524 muli r4,r4,724 -8112225c: 00e04634 movhi r3,33048 -81122260: 18cfb104 addi r3,r3,16068 -81122264: 31bfffcc andi r6,r6,65535 -81122268: 20c7883a add r3,r4,r3 -8112226c: 00800044 movi r2,1 -81122270: 19800015 stw r6,0(r3) -81122274: f800283a ret -81122278: 21003fcc andi r4,r4,255 -8112227c: 2100b524 muli r4,r4,724 -81122280: 00e04634 movhi r3,33048 -81122284: 18cf5104 addi r3,r3,15684 -81122288: 20c7883a add r3,r4,r3 -8112228c: 00800044 movi r2,1 -81122290: 19800015 stw r6,0(r3) -81122294: f800283a ret -81122298: 21003fcc andi r4,r4,255 -8112229c: 2100b524 muli r4,r4,724 -811222a0: 00e04634 movhi r3,33048 -811222a4: 18cf6604 addi r3,r3,15768 -811222a8: 31bfffcc andi r6,r6,65535 -811222ac: 20c7883a add r3,r4,r3 -811222b0: 00800044 movi r2,1 -811222b4: 19800015 stw r6,0(r3) -811222b8: f800283a ret -811222bc: 21003fcc andi r4,r4,255 -811222c0: 2100b524 muli r4,r4,724 -811222c4: 00e04634 movhi r3,33048 -811222c8: 18cf6404 addi r3,r3,15760 -811222cc: 31bfffcc andi r6,r6,65535 -811222d0: 20c7883a add r3,r4,r3 -811222d4: 00800044 movi r2,1 -811222d8: 19800015 stw r6,0(r3) -811222dc: f800283a ret -811222e0: 21003fcc andi r4,r4,255 -811222e4: 2100b524 muli r4,r4,724 -811222e8: 00e04634 movhi r3,33048 -811222ec: 18cf6504 addi r3,r3,15764 -811222f0: 31bfffcc andi r6,r6,65535 -811222f4: 20c7883a add r3,r4,r3 -811222f8: 00800044 movi r2,1 -811222fc: 19800015 stw r6,0(r3) -81122300: f800283a ret -81122304: 21003fcc andi r4,r4,255 -81122308: 2100b524 muli r4,r4,724 -8112230c: 00e04634 movhi r3,33048 -81122310: 18cfdc04 addi r3,r3,16240 -81122314: 20c7883a add r3,r4,r3 -81122318: 00800044 movi r2,1 -8112231c: 19800005 stb r6,0(r3) -81122320: f800283a ret -81122324: 21003fcc andi r4,r4,255 -81122328: 2100b524 muli r4,r4,724 -8112232c: 00e04634 movhi r3,33048 -81122330: 18cfdd04 addi r3,r3,16244 +811221f4: 18cf5b04 addi r3,r3,15724 +811221f8: 20c7883a add r3,r4,r3 +811221fc: 00800044 movi r2,1 +81122200: 19800015 stw r6,0(r3) +81122204: f800283a ret +81122208: 21003fcc andi r4,r4,255 +8112220c: 2100b524 muli r4,r4,724 +81122210: 00e04634 movhi r3,33048 +81122214: 18cf5c04 addi r3,r3,15728 +81122218: 20c7883a add r3,r4,r3 +8112221c: 00800044 movi r2,1 +81122220: 19800015 stw r6,0(r3) +81122224: f800283a ret +81122228: 21003fcc andi r4,r4,255 +8112222c: 2100b524 muli r4,r4,724 +81122230: 00e04634 movhi r3,33048 +81122234: 18cfc504 addi r3,r3,16148 +81122238: 31bfffcc andi r6,r6,65535 +8112223c: 20c7883a add r3,r4,r3 +81122240: 00800044 movi r2,1 +81122244: 19800015 stw r6,0(r3) +81122248: f800283a ret +8112224c: 21003fcc andi r4,r4,255 +81122250: 2100b524 muli r4,r4,724 +81122254: 00e04634 movhi r3,33048 +81122258: 18cfc304 addi r3,r3,16140 +8112225c: 31bfffcc andi r6,r6,65535 +81122260: 20c7883a add r3,r4,r3 +81122264: 00800044 movi r2,1 +81122268: 19800015 stw r6,0(r3) +8112226c: f800283a ret +81122270: 21003fcc andi r4,r4,255 +81122274: 2100b524 muli r4,r4,724 +81122278: 00e04634 movhi r3,33048 +8112227c: 18cfc104 addi r3,r3,16132 +81122280: 31bfffcc andi r6,r6,65535 +81122284: 20c7883a add r3,r4,r3 +81122288: 00800044 movi r2,1 +8112228c: 19800015 stw r6,0(r3) +81122290: f800283a ret +81122294: 21003fcc andi r4,r4,255 +81122298: 2100b524 muli r4,r4,724 +8112229c: 00e04634 movhi r3,33048 +811222a0: 18cfc204 addi r3,r3,16136 +811222a4: 31bfffcc andi r6,r6,65535 +811222a8: 20c7883a add r3,r4,r3 +811222ac: 00800044 movi r2,1 +811222b0: 19800015 stw r6,0(r3) +811222b4: f800283a ret +811222b8: 21003fcc andi r4,r4,255 +811222bc: 2100b524 muli r4,r4,724 +811222c0: 00e04634 movhi r3,33048 +811222c4: 18cf6204 addi r3,r3,15752 +811222c8: 20c7883a add r3,r4,r3 +811222cc: 00800044 movi r2,1 +811222d0: 19800015 stw r6,0(r3) +811222d4: f800283a ret +811222d8: 21003fcc andi r4,r4,255 +811222dc: 2100b524 muli r4,r4,724 +811222e0: 00e04634 movhi r3,33048 +811222e4: 18cf7704 addi r3,r3,15836 +811222e8: 31bfffcc andi r6,r6,65535 +811222ec: 20c7883a add r3,r4,r3 +811222f0: 00800044 movi r2,1 +811222f4: 19800015 stw r6,0(r3) +811222f8: f800283a ret +811222fc: 21003fcc andi r4,r4,255 +81122300: 2100b524 muli r4,r4,724 +81122304: 00e04634 movhi r3,33048 +81122308: 18cf7504 addi r3,r3,15828 +8112230c: 31bfffcc andi r6,r6,65535 +81122310: 20c7883a add r3,r4,r3 +81122314: 00800044 movi r2,1 +81122318: 19800015 stw r6,0(r3) +8112231c: f800283a ret +81122320: 21003fcc andi r4,r4,255 +81122324: 2100b524 muli r4,r4,724 +81122328: 00e04634 movhi r3,33048 +8112232c: 18cf7604 addi r3,r3,15832 +81122330: 31bfffcc andi r6,r6,65535 81122334: 20c7883a add r3,r4,r3 81122338: 00800044 movi r2,1 8112233c: 19800015 stw r6,0(r3) @@ -37797,7 +37800,7 @@ alt_after_alt_main: 81122344: 21003fcc andi r4,r4,255 81122348: 2100b524 muli r4,r4,724 8112234c: 00e04634 movhi r3,33048 -81122350: 18cfde44 addi r3,r3,16249 +81122350: 18cfed04 addi r3,r3,16308 81122354: 20c7883a add r3,r4,r3 81122358: 00800044 movi r2,1 8112235c: 19800005 stb r6,0(r3) @@ -37805,38739 +37808,38755 @@ alt_after_alt_main: 81122364: 21003fcc andi r4,r4,255 81122368: 2100b524 muli r4,r4,724 8112236c: 00e04634 movhi r3,33048 -81122370: 18cf6804 addi r3,r3,15776 -81122374: 31803fcc andi r6,r6,255 -81122378: 20c7883a add r3,r4,r3 -8112237c: 00800044 movi r2,1 -81122380: 19800015 stw r6,0(r3) -81122384: f800283a ret -81122388: 21003fcc andi r4,r4,255 -8112238c: 2100b524 muli r4,r4,724 -81122390: 00e04634 movhi r3,33048 -81122394: 18cf5404 addi r3,r3,15696 -81122398: 31803fcc andi r6,r6,255 -8112239c: 20c7883a add r3,r4,r3 -811223a0: 00800044 movi r2,1 -811223a4: 19800015 stw r6,0(r3) -811223a8: f800283a ret -811223ac: 21003fcc andi r4,r4,255 -811223b0: 2100b524 muli r4,r4,724 -811223b4: 00e04634 movhi r3,33048 -811223b8: 18cf3d04 addi r3,r3,15604 -811223bc: 20c7883a add r3,r4,r3 -811223c0: 00800044 movi r2,1 -811223c4: 19800015 stw r6,0(r3) -811223c8: f800283a ret -811223cc: 21003fcc andi r4,r4,255 -811223d0: 2100b524 muli r4,r4,724 -811223d4: 00e04634 movhi r3,33048 -811223d8: 18cf3304 addi r3,r3,15564 -811223dc: 31803fcc andi r6,r6,255 -811223e0: 20c7883a add r3,r4,r3 -811223e4: 00800044 movi r2,1 -811223e8: 19800015 stw r6,0(r3) -811223ec: f800283a ret -811223f0: 21003fcc andi r4,r4,255 -811223f4: 2100b524 muli r4,r4,724 -811223f8: 00e04634 movhi r3,33048 -811223fc: 18cfaf04 addi r3,r3,16060 -81122400: 31bfffcc andi r6,r6,65535 -81122404: 20c7883a add r3,r4,r3 -81122408: 00800044 movi r2,1 -8112240c: 19800015 stw r6,0(r3) -81122410: f800283a ret -81122414: 21003fcc andi r4,r4,255 -81122418: 2100b524 muli r4,r4,724 -8112241c: 00e04634 movhi r3,33048 -81122420: 18cf3504 addi r3,r3,15572 -81122424: 31bfffcc andi r6,r6,65535 -81122428: 20c7883a add r3,r4,r3 -8112242c: 00800044 movi r2,1 -81122430: 19800015 stw r6,0(r3) -81122434: f800283a ret -81122438: 21003fcc andi r4,r4,255 -8112243c: 2100b524 muli r4,r4,724 -81122440: 00e04634 movhi r3,33048 -81122444: 18cfad04 addi r3,r3,16052 -81122448: 31bfffcc andi r6,r6,65535 -8112244c: 20c7883a add r3,r4,r3 -81122450: 00800044 movi r2,1 -81122454: 19800015 stw r6,0(r3) -81122458: f800283a ret -8112245c: 21003fcc andi r4,r4,255 -81122460: 2100b524 muli r4,r4,724 -81122464: 00e04634 movhi r3,33048 -81122468: 18cfab04 addi r3,r3,16044 -8112246c: 31bfffcc andi r6,r6,65535 -81122470: 20c7883a add r3,r4,r3 -81122474: 00800044 movi r2,1 -81122478: 19800015 stw r6,0(r3) -8112247c: f800283a ret -81122480: 21003fcc andi r4,r4,255 -81122484: 2100b524 muli r4,r4,724 -81122488: 00e04634 movhi r3,33048 -8112248c: 18cfac04 addi r3,r3,16048 -81122490: 31bfffcc andi r6,r6,65535 -81122494: 20c7883a add r3,r4,r3 -81122498: 00800044 movi r2,1 -8112249c: 19800015 stw r6,0(r3) -811224a0: f800283a ret -811224a4: 21003fcc andi r4,r4,255 -811224a8: 2100b524 muli r4,r4,724 -811224ac: 00e04634 movhi r3,33048 -811224b0: 18cf4e04 addi r3,r3,15672 -811224b4: 31803fcc andi r6,r6,255 -811224b8: 20c7883a add r3,r4,r3 -811224bc: 00800044 movi r2,1 -811224c0: 19800015 stw r6,0(r3) -811224c4: f800283a ret -811224c8: 21003fcc andi r4,r4,255 -811224cc: 2100b524 muli r4,r4,724 -811224d0: 00e04634 movhi r3,33048 -811224d4: 18cfda04 addi r3,r3,16232 -811224d8: 20c7883a add r3,r4,r3 -811224dc: 00800044 movi r2,1 -811224e0: 19800015 stw r6,0(r3) -811224e4: f800283a ret -811224e8: 21003fcc andi r4,r4,255 -811224ec: 2100b524 muli r4,r4,724 -811224f0: 00e04634 movhi r3,33048 -811224f4: 18cfd804 addi r3,r3,16224 -811224f8: 31bfffcc andi r6,r6,65535 -811224fc: 20c7883a add r3,r4,r3 -81122500: 00800044 movi r2,1 -81122504: 19800015 stw r6,0(r3) -81122508: f800283a ret -8112250c: 20c03fcc andi r3,r4,255 -81122510: 18c0b524 muli r3,r3,724 -81122514: 01204634 movhi r4,33048 -81122518: 210fd904 addi r4,r4,16228 -8112251c: 31bfffcc andi r6,r6,65535 -81122520: 1907883a add r3,r3,r4 -81122524: 00800044 movi r2,1 -81122528: 19800015 stw r6,0(r3) -8112252c: f800283a ret -81122530: 21003fcc andi r4,r4,255 -81122534: 2100b524 muli r4,r4,724 -81122538: 00e04634 movhi r3,33048 -8112253c: 18cf6704 addi r3,r3,15772 -81122540: 31803fcc andi r6,r6,255 -81122544: 20c7883a add r3,r4,r3 -81122548: 00800044 movi r2,1 -8112254c: 19800015 stw r6,0(r3) -81122550: f800283a ret -81122554: 21003fcc andi r4,r4,255 -81122558: 2100b524 muli r4,r4,724 -8112255c: 00e04634 movhi r3,33048 -81122560: 18cfb804 addi r3,r3,16096 -81122564: 31bfffcc andi r6,r6,65535 -81122568: 20c7883a add r3,r4,r3 -8112256c: 00800044 movi r2,1 -81122570: 19800015 stw r6,0(r3) -81122574: f800283a ret -81122578: 21003fcc andi r4,r4,255 -8112257c: 2100b524 muli r4,r4,724 -81122580: 00e04634 movhi r3,33048 -81122584: 18cfa204 addi r3,r3,16008 -81122588: 31bfffcc andi r6,r6,65535 -8112258c: 20c7883a add r3,r4,r3 -81122590: 00800044 movi r2,1 -81122594: 19800015 stw r6,0(r3) -81122598: f800283a ret -8112259c: 21003fcc andi r4,r4,255 -811225a0: 2100b524 muli r4,r4,724 -811225a4: 00e04634 movhi r3,33048 -811225a8: 18cfde84 addi r3,r3,16250 -811225ac: 20c7883a add r3,r4,r3 -811225b0: 00800044 movi r2,1 -811225b4: 19800005 stb r6,0(r3) -811225b8: f800283a ret - -811225bc : -811225bc: 21363c04 addi r4,r4,-10000 -811225c0: 213fffcc andi r4,r4,65535 -811225c4: 00800204 movi r2,8 -811225c8: 11005436 bltu r2,r4,8112271c -811225cc: 200890ba slli r4,r4,2 -811225d0: 00a044b4 movhi r2,33042 -811225d4: 10897904 addi r2,r2,9700 -811225d8: 2089883a add r4,r4,r2 -811225dc: 20800017 ldw r2,0(r4) -811225e0: 1000683a jmp r2 -811225e4: 81122630 cmpltui r4,r16,18584 -811225e8: 81122644 addi r4,r16,18585 -811225ec: 81122658 cmpnei r4,r16,18585 -811225f0: 81122684 addi r4,r16,18586 -811225f4: 811226b0 cmpltui r4,r16,18586 -811225f8: 811226dc xori r4,r16,18587 -811225fc: 81122708 cmpgei r4,r16,18588 -81122600: 81122608 cmpgei r4,r16,18584 -81122604: 8112261c xori r4,r16,18584 -81122608: 00e04634 movhi r3,33048 -8112260c: 18cf2304 addi r3,r3,15500 -81122610: 00800044 movi r2,1 -81122614: 19400645 stb r5,25(r3) -81122618: f800283a ret -8112261c: 00e04634 movhi r3,33048 -81122620: 18cf2304 addi r3,r3,15500 -81122624: 00800044 movi r2,1 -81122628: 19400685 stb r5,26(r3) -8112262c: f800283a ret -81122630: 00e04634 movhi r3,33048 -81122634: 18cf2304 addi r3,r3,15500 -81122638: 00800044 movi r2,1 -8112263c: 1940000d sth r5,0(r3) -81122640: f800283a ret -81122644: 00e04634 movhi r3,33048 -81122648: 18cf2304 addi r3,r3,15500 -8112264c: 00800044 movi r2,1 -81122650: 19400115 stw r5,4(r3) -81122654: f800283a ret -81122658: 280cd63a srli r6,r5,24 -8112265c: 2808d43a srli r4,r5,16 -81122660: 00a04634 movhi r2,33048 -81122664: 108f2304 addi r2,r2,15500 -81122668: 2806d23a srli r3,r5,8 -8112266c: 11800205 stb r6,8(r2) -81122670: 11000245 stb r4,9(r2) -81122674: 10c00285 stb r3,10(r2) -81122678: 114002c5 stb r5,11(r2) -8112267c: 00800044 movi r2,1 +81122370: 18cfee04 addi r3,r3,16312 +81122374: 20c7883a add r3,r4,r3 +81122378: 00800044 movi r2,1 +8112237c: 19800015 stw r6,0(r3) +81122380: f800283a ret +81122384: 21003fcc andi r4,r4,255 +81122388: 2100b524 muli r4,r4,724 +8112238c: 00e04634 movhi r3,33048 +81122390: 18cfef44 addi r3,r3,16317 +81122394: 20c7883a add r3,r4,r3 +81122398: 00800044 movi r2,1 +8112239c: 19800005 stb r6,0(r3) +811223a0: f800283a ret +811223a4: 21003fcc andi r4,r4,255 +811223a8: 2100b524 muli r4,r4,724 +811223ac: 00e04634 movhi r3,33048 +811223b0: 18cf7904 addi r3,r3,15844 +811223b4: 31803fcc andi r6,r6,255 +811223b8: 20c7883a add r3,r4,r3 +811223bc: 00800044 movi r2,1 +811223c0: 19800015 stw r6,0(r3) +811223c4: f800283a ret +811223c8: 21003fcc andi r4,r4,255 +811223cc: 2100b524 muli r4,r4,724 +811223d0: 00e04634 movhi r3,33048 +811223d4: 18cf6504 addi r3,r3,15764 +811223d8: 31803fcc andi r6,r6,255 +811223dc: 20c7883a add r3,r4,r3 +811223e0: 00800044 movi r2,1 +811223e4: 19800015 stw r6,0(r3) +811223e8: f800283a ret +811223ec: 21003fcc andi r4,r4,255 +811223f0: 2100b524 muli r4,r4,724 +811223f4: 00e04634 movhi r3,33048 +811223f8: 18cf4e04 addi r3,r3,15672 +811223fc: 20c7883a add r3,r4,r3 +81122400: 00800044 movi r2,1 +81122404: 19800015 stw r6,0(r3) +81122408: f800283a ret +8112240c: 21003fcc andi r4,r4,255 +81122410: 2100b524 muli r4,r4,724 +81122414: 00e04634 movhi r3,33048 +81122418: 18cf4404 addi r3,r3,15632 +8112241c: 31803fcc andi r6,r6,255 +81122420: 20c7883a add r3,r4,r3 +81122424: 00800044 movi r2,1 +81122428: 19800015 stw r6,0(r3) +8112242c: f800283a ret +81122430: 21003fcc andi r4,r4,255 +81122434: 2100b524 muli r4,r4,724 +81122438: 00e04634 movhi r3,33048 +8112243c: 18cfc004 addi r3,r3,16128 +81122440: 31bfffcc andi r6,r6,65535 +81122444: 20c7883a add r3,r4,r3 +81122448: 00800044 movi r2,1 +8112244c: 19800015 stw r6,0(r3) +81122450: f800283a ret +81122454: 21003fcc andi r4,r4,255 +81122458: 2100b524 muli r4,r4,724 +8112245c: 00e04634 movhi r3,33048 +81122460: 18cf4604 addi r3,r3,15640 +81122464: 31bfffcc andi r6,r6,65535 +81122468: 20c7883a add r3,r4,r3 +8112246c: 00800044 movi r2,1 +81122470: 19800015 stw r6,0(r3) +81122474: f800283a ret +81122478: 21003fcc andi r4,r4,255 +8112247c: 2100b524 muli r4,r4,724 +81122480: 00e04634 movhi r3,33048 +81122484: 18cfbe04 addi r3,r3,16120 +81122488: 31bfffcc andi r6,r6,65535 +8112248c: 20c7883a add r3,r4,r3 +81122490: 00800044 movi r2,1 +81122494: 19800015 stw r6,0(r3) +81122498: f800283a ret +8112249c: 21003fcc andi r4,r4,255 +811224a0: 2100b524 muli r4,r4,724 +811224a4: 00e04634 movhi r3,33048 +811224a8: 18cfbc04 addi r3,r3,16112 +811224ac: 31bfffcc andi r6,r6,65535 +811224b0: 20c7883a add r3,r4,r3 +811224b4: 00800044 movi r2,1 +811224b8: 19800015 stw r6,0(r3) +811224bc: f800283a ret +811224c0: 21003fcc andi r4,r4,255 +811224c4: 2100b524 muli r4,r4,724 +811224c8: 00e04634 movhi r3,33048 +811224cc: 18cfbd04 addi r3,r3,16116 +811224d0: 31bfffcc andi r6,r6,65535 +811224d4: 20c7883a add r3,r4,r3 +811224d8: 00800044 movi r2,1 +811224dc: 19800015 stw r6,0(r3) +811224e0: f800283a ret +811224e4: 21003fcc andi r4,r4,255 +811224e8: 2100b524 muli r4,r4,724 +811224ec: 00e04634 movhi r3,33048 +811224f0: 18cf5f04 addi r3,r3,15740 +811224f4: 31803fcc andi r6,r6,255 +811224f8: 20c7883a add r3,r4,r3 +811224fc: 00800044 movi r2,1 +81122500: 19800015 stw r6,0(r3) +81122504: f800283a ret +81122508: 21003fcc andi r4,r4,255 +8112250c: 2100b524 muli r4,r4,724 +81122510: 00e04634 movhi r3,33048 +81122514: 18cfeb04 addi r3,r3,16300 +81122518: 20c7883a add r3,r4,r3 +8112251c: 00800044 movi r2,1 +81122520: 19800015 stw r6,0(r3) +81122524: f800283a ret +81122528: 21003fcc andi r4,r4,255 +8112252c: 2100b524 muli r4,r4,724 +81122530: 00e04634 movhi r3,33048 +81122534: 18cfe904 addi r3,r3,16292 +81122538: 31bfffcc andi r6,r6,65535 +8112253c: 20c7883a add r3,r4,r3 +81122540: 00800044 movi r2,1 +81122544: 19800015 stw r6,0(r3) +81122548: f800283a ret +8112254c: 20c03fcc andi r3,r4,255 +81122550: 18c0b524 muli r3,r3,724 +81122554: 01204634 movhi r4,33048 +81122558: 210fea04 addi r4,r4,16296 +8112255c: 31bfffcc andi r6,r6,65535 +81122560: 1907883a add r3,r3,r4 +81122564: 00800044 movi r2,1 +81122568: 19800015 stw r6,0(r3) +8112256c: f800283a ret +81122570: 21003fcc andi r4,r4,255 +81122574: 2100b524 muli r4,r4,724 +81122578: 00e04634 movhi r3,33048 +8112257c: 18cf7804 addi r3,r3,15840 +81122580: 31803fcc andi r6,r6,255 +81122584: 20c7883a add r3,r4,r3 +81122588: 00800044 movi r2,1 +8112258c: 19800015 stw r6,0(r3) +81122590: f800283a ret +81122594: 21003fcc andi r4,r4,255 +81122598: 2100b524 muli r4,r4,724 +8112259c: 00e04634 movhi r3,33048 +811225a0: 18cfc904 addi r3,r3,16164 +811225a4: 31bfffcc andi r6,r6,65535 +811225a8: 20c7883a add r3,r4,r3 +811225ac: 00800044 movi r2,1 +811225b0: 19800015 stw r6,0(r3) +811225b4: f800283a ret +811225b8: 21003fcc andi r4,r4,255 +811225bc: 2100b524 muli r4,r4,724 +811225c0: 00e04634 movhi r3,33048 +811225c4: 18cfb304 addi r3,r3,16076 +811225c8: 31bfffcc andi r6,r6,65535 +811225cc: 20c7883a add r3,r4,r3 +811225d0: 00800044 movi r2,1 +811225d4: 19800015 stw r6,0(r3) +811225d8: f800283a ret +811225dc: 21003fcc andi r4,r4,255 +811225e0: 2100b524 muli r4,r4,724 +811225e4: 00e04634 movhi r3,33048 +811225e8: 18cfef84 addi r3,r3,16318 +811225ec: 20c7883a add r3,r4,r3 +811225f0: 00800044 movi r2,1 +811225f4: 19800005 stb r6,0(r3) +811225f8: f800283a ret + +811225fc : +811225fc: 21363c04 addi r4,r4,-10000 +81122600: 213fffcc andi r4,r4,65535 +81122604: 00800204 movi r2,8 +81122608: 11005436 bltu r2,r4,8112275c +8112260c: 200890ba slli r4,r4,2 +81122610: 00a044b4 movhi r2,33042 +81122614: 10898904 addi r2,r2,9764 +81122618: 2089883a add r4,r4,r2 +8112261c: 20800017 ldw r2,0(r4) +81122620: 1000683a jmp r2 +81122624: 81122670 cmpltui r4,r16,18585 +81122628: 81122684 addi r4,r16,18586 +8112262c: 81122698 cmpnei r4,r16,18586 +81122630: 811226c4 addi r4,r16,18587 +81122634: 811226f0 cmpltui r4,r16,18587 +81122638: 8112271c xori r4,r16,18588 +8112263c: 81122748 cmpgei r4,r16,18589 +81122640: 81122648 cmpgei r4,r16,18585 +81122644: 8112265c xori r4,r16,18585 +81122648: 00e04634 movhi r3,33048 +8112264c: 18cf3404 addi r3,r3,15568 +81122650: 00800044 movi r2,1 +81122654: 19400645 stb r5,25(r3) +81122658: f800283a ret +8112265c: 00e04634 movhi r3,33048 +81122660: 18cf3404 addi r3,r3,15568 +81122664: 00800044 movi r2,1 +81122668: 19400685 stb r5,26(r3) +8112266c: f800283a ret +81122670: 00e04634 movhi r3,33048 +81122674: 18cf3404 addi r3,r3,15568 +81122678: 00800044 movi r2,1 +8112267c: 1940000d sth r5,0(r3) 81122680: f800283a ret -81122684: 280cd63a srli r6,r5,24 -81122688: 2808d43a srli r4,r5,16 -8112268c: 00a04634 movhi r2,33048 -81122690: 108f2304 addi r2,r2,15500 -81122694: 2806d23a srli r3,r5,8 -81122698: 11800305 stb r6,12(r2) -8112269c: 11000345 stb r4,13(r2) -811226a0: 10c00385 stb r3,14(r2) -811226a4: 114003c5 stb r5,15(r2) -811226a8: 00800044 movi r2,1 -811226ac: f800283a ret -811226b0: 280cd63a srli r6,r5,24 -811226b4: 2808d43a srli r4,r5,16 -811226b8: 00a04634 movhi r2,33048 -811226bc: 108f2304 addi r2,r2,15500 -811226c0: 2806d23a srli r3,r5,8 -811226c4: 11800405 stb r6,16(r2) -811226c8: 11000445 stb r4,17(r2) -811226cc: 10c00485 stb r3,18(r2) -811226d0: 114004c5 stb r5,19(r2) -811226d4: 00800044 movi r2,1 -811226d8: f800283a ret -811226dc: 280cd63a srli r6,r5,24 -811226e0: 2808d43a srli r4,r5,16 -811226e4: 00a04634 movhi r2,33048 -811226e8: 108f2304 addi r2,r2,15500 -811226ec: 2806d23a srli r3,r5,8 -811226f0: 11800505 stb r6,20(r2) -811226f4: 11000545 stb r4,21(r2) -811226f8: 10c00585 stb r3,22(r2) -811226fc: 114005c5 stb r5,23(r2) -81122700: 00800044 movi r2,1 -81122704: f800283a ret -81122708: 00e04634 movhi r3,33048 -8112270c: 18cf2304 addi r3,r3,15500 -81122710: 00800044 movi r2,1 -81122714: 19400605 stb r5,24(r3) +81122684: 00e04634 movhi r3,33048 +81122688: 18cf3404 addi r3,r3,15568 +8112268c: 00800044 movi r2,1 +81122690: 19400115 stw r5,4(r3) +81122694: f800283a ret +81122698: 280cd63a srli r6,r5,24 +8112269c: 2808d43a srli r4,r5,16 +811226a0: 00a04634 movhi r2,33048 +811226a4: 108f3404 addi r2,r2,15568 +811226a8: 2806d23a srli r3,r5,8 +811226ac: 11800205 stb r6,8(r2) +811226b0: 11000245 stb r4,9(r2) +811226b4: 10c00285 stb r3,10(r2) +811226b8: 114002c5 stb r5,11(r2) +811226bc: 00800044 movi r2,1 +811226c0: f800283a ret +811226c4: 280cd63a srli r6,r5,24 +811226c8: 2808d43a srli r4,r5,16 +811226cc: 00a04634 movhi r2,33048 +811226d0: 108f3404 addi r2,r2,15568 +811226d4: 2806d23a srli r3,r5,8 +811226d8: 11800305 stb r6,12(r2) +811226dc: 11000345 stb r4,13(r2) +811226e0: 10c00385 stb r3,14(r2) +811226e4: 114003c5 stb r5,15(r2) +811226e8: 00800044 movi r2,1 +811226ec: f800283a ret +811226f0: 280cd63a srli r6,r5,24 +811226f4: 2808d43a srli r4,r5,16 +811226f8: 00a04634 movhi r2,33048 +811226fc: 108f3404 addi r2,r2,15568 +81122700: 2806d23a srli r3,r5,8 +81122704: 11800405 stb r6,16(r2) +81122708: 11000445 stb r4,17(r2) +8112270c: 10c00485 stb r3,18(r2) +81122710: 114004c5 stb r5,19(r2) +81122714: 00800044 movi r2,1 81122718: f800283a ret -8112271c: 0005883a mov r2,zero -81122720: f800283a ret - -81122724 : -81122724: deffff04 addi sp,sp,-4 -81122728: dfc00015 stw ra,0(sp) -8112272c: 20ffffcc andi r3,r4,65535 -81122730: 2805883a mov r2,r5 -81122734: 18000c1e bne r3,zero,81122768 -81122738: 10ff0604 addi r3,r2,-1000 -8112273c: 18ffffcc andi r3,r3,65535 -81122740: 013f0594 movui r4,64534 -81122744: 300b883a mov r5,r6 -81122748: 20c01236 bltu r4,r3,81122794 -8112274c: 113fffcc andi r4,r2,65535 -81122750: 0089c3c4 movi r2,9999 -81122754: 11001936 bltu r2,r4,811227bc -81122758: 0005883a mov r2,zero -8112275c: dfc00017 ldw ra,0(sp) -81122760: dec00104 addi sp,sp,4 -81122764: f800283a ret -81122768: 014001c4 movi r5,7 -8112276c: 28fffa36 bltu r5,r3,81122758 <__reset+0xfb102758> -81122770: 10ff0604 addi r3,r2,-1000 -81122774: 18ffffcc andi r3,r3,65535 -81122778: 0148c9c4 movi r5,8999 -8112277c: 28fff636 bltu r5,r3,81122758 <__reset+0xfb102758> -81122780: 213fffc4 addi r4,r4,-1 -81122784: 117fffcc andi r5,r2,65535 -81122788: 21003fcc andi r4,r4,255 -8112278c: 11209b80 call 811209b8 -81122790: 00000206 br 8112279c -81122794: 113fffcc andi r4,r2,65535 -81122798: 11208140 call 81120814 -8112279c: 00c00044 movi r3,1 -811227a0: 10ffee1e bne r2,r3,8112275c <__reset+0xfb10275c> -811227a4: d0e09117 ldw r3,-32188(gp) -811227a8: 18c00044 addi r3,r3,1 -811227ac: d0e09115 stw r3,-32188(gp) -811227b0: dfc00017 ldw ra,0(sp) -811227b4: dec00104 addi sp,sp,4 -811227b8: f800283a ret -811227bc: 11225bc0 call 811225bc -811227c0: 003ff606 br 8112279c <__reset+0xfb10279c> - -811227c4 : -811227c4: 31803fcc andi r6,r6,255 -811227c8: 00800044 movi r2,1 -811227cc: 3080231e bne r6,r2,8112285c -811227d0: 00e04634 movhi r3,33048 -811227d4: 18cf0804 addi r3,r3,15392 -811227d8: 213fff04 addi r4,r4,-4 -811227dc: 213fffcc andi r4,r4,65535 -811227e0: 00800584 movi r2,22 -811227e4: 11005836 bltu r2,r4,81122948 -811227e8: 200890ba slli r4,r4,2 -811227ec: 00a044b4 movhi r2,33042 -811227f0: 108a0004 addi r2,r2,10240 -811227f4: 2089883a add r4,r4,r2 -811227f8: 20800017 ldw r2,0(r4) -811227fc: 1000683a jmp r2 -81122800: 81122878 rdprs r4,r16,18593 -81122804: 81122888 cmpgei r4,r16,18594 -81122808: 81122898 cmpnei r4,r16,18594 -8112280c: 811228a8 cmpgeui r4,r16,18594 -81122810: 811228b8 rdprs r4,r16,18594 -81122814: 811228c8 cmpgei r4,r16,18595 -81122818: 81122948 cmpgei r4,r16,18597 -8112281c: 811228d8 cmpnei r4,r16,18595 -81122820: 811228e8 cmpgeui r4,r16,18595 -81122824: 811228f8 rdprs r4,r16,18595 -81122828: 81122908 cmpgei r4,r16,18596 -8112282c: 81122918 cmpnei r4,r16,18596 -81122830: 81122948 cmpgei r4,r16,18597 -81122834: 81122948 cmpgei r4,r16,18597 -81122838: 81122948 cmpgei r4,r16,18597 -8112283c: 81122948 cmpgei r4,r16,18597 -81122840: 81122928 cmpgeui r4,r16,18596 -81122844: 81122948 cmpgei r4,r16,18597 -81122848: 81122938 rdprs r4,r16,18596 -8112284c: 81122948 cmpgei r4,r16,18597 -81122850: 81122948 cmpgei r4,r16,18597 -81122854: 81122948 cmpgei r4,r16,18597 -81122858: 81122868 cmpgeui r4,r16,18593 -8112285c: 00e04634 movhi r3,33048 -81122860: 18cf1904 addi r3,r3,15460 -81122864: 003fdc06 br 811227d8 <__reset+0xfb1027d8> -81122868: 18c00903 ldbu r3,36(r3) -8112286c: 00800044 movi r2,1 -81122870: 28c00015 stw r3,0(r5) -81122874: f800283a ret -81122878: 18c0000b ldhu r3,0(r3) -8112287c: 00800044 movi r2,1 -81122880: 28c00015 stw r3,0(r5) -81122884: f800283a ret -81122888: 18c0008b ldhu r3,2(r3) -8112288c: 00800044 movi r2,1 -81122890: 28c00015 stw r3,0(r5) -81122894: f800283a ret -81122898: 18c0010b ldhu r3,4(r3) -8112289c: 00800044 movi r2,1 -811228a0: 28c00015 stw r3,0(r5) -811228a4: f800283a ret -811228a8: 18c0018b ldhu r3,6(r3) +8112271c: 280cd63a srli r6,r5,24 +81122720: 2808d43a srli r4,r5,16 +81122724: 00a04634 movhi r2,33048 +81122728: 108f3404 addi r2,r2,15568 +8112272c: 2806d23a srli r3,r5,8 +81122730: 11800505 stb r6,20(r2) +81122734: 11000545 stb r4,21(r2) +81122738: 10c00585 stb r3,22(r2) +8112273c: 114005c5 stb r5,23(r2) +81122740: 00800044 movi r2,1 +81122744: f800283a ret +81122748: 00e04634 movhi r3,33048 +8112274c: 18cf3404 addi r3,r3,15568 +81122750: 00800044 movi r2,1 +81122754: 19400605 stb r5,24(r3) +81122758: f800283a ret +8112275c: 0005883a mov r2,zero +81122760: f800283a ret + +81122764 : +81122764: deffff04 addi sp,sp,-4 +81122768: dfc00015 stw ra,0(sp) +8112276c: 20ffffcc andi r3,r4,65535 +81122770: 2805883a mov r2,r5 +81122774: 18000c1e bne r3,zero,811227a8 +81122778: 10ff0604 addi r3,r2,-1000 +8112277c: 18ffffcc andi r3,r3,65535 +81122780: 013f0594 movui r4,64534 +81122784: 300b883a mov r5,r6 +81122788: 20c01236 bltu r4,r3,811227d4 +8112278c: 113fffcc andi r4,r2,65535 +81122790: 0089c3c4 movi r2,9999 +81122794: 11001936 bltu r2,r4,811227fc +81122798: 0005883a mov r2,zero +8112279c: dfc00017 ldw ra,0(sp) +811227a0: dec00104 addi sp,sp,4 +811227a4: f800283a ret +811227a8: 014001c4 movi r5,7 +811227ac: 28fffa36 bltu r5,r3,81122798 <__reset+0xfb102798> +811227b0: 10ff0604 addi r3,r2,-1000 +811227b4: 18ffffcc andi r3,r3,65535 +811227b8: 0148c9c4 movi r5,8999 +811227bc: 28fff636 bltu r5,r3,81122798 <__reset+0xfb102798> +811227c0: 213fffc4 addi r4,r4,-1 +811227c4: 117fffcc andi r5,r2,65535 +811227c8: 21003fcc andi r4,r4,255 +811227cc: 11209f80 call 811209f8 +811227d0: 00000206 br 811227dc +811227d4: 113fffcc andi r4,r2,65535 +811227d8: 11208540 call 81120854 +811227dc: 00c00044 movi r3,1 +811227e0: 10ffee1e bne r2,r3,8112279c <__reset+0xfb10279c> +811227e4: d0e09117 ldw r3,-32188(gp) +811227e8: 18c00044 addi r3,r3,1 +811227ec: d0e09115 stw r3,-32188(gp) +811227f0: dfc00017 ldw ra,0(sp) +811227f4: dec00104 addi sp,sp,4 +811227f8: f800283a ret +811227fc: 11225fc0 call 811225fc +81122800: 003ff606 br 811227dc <__reset+0xfb1027dc> + +81122804 : +81122804: 31803fcc andi r6,r6,255 +81122808: 00800044 movi r2,1 +8112280c: 3080231e bne r6,r2,8112289c +81122810: 00e04634 movhi r3,33048 +81122814: 18cf1904 addi r3,r3,15460 +81122818: 213fff04 addi r4,r4,-4 +8112281c: 213fffcc andi r4,r4,65535 +81122820: 00800584 movi r2,22 +81122824: 11005836 bltu r2,r4,81122988 +81122828: 200890ba slli r4,r4,2 +8112282c: 00a044b4 movhi r2,33042 +81122830: 108a1004 addi r2,r2,10304 +81122834: 2089883a add r4,r4,r2 +81122838: 20800017 ldw r2,0(r4) +8112283c: 1000683a jmp r2 +81122840: 811228b8 rdprs r4,r16,18594 +81122844: 811228c8 cmpgei r4,r16,18595 +81122848: 811228d8 cmpnei r4,r16,18595 +8112284c: 811228e8 cmpgeui r4,r16,18595 +81122850: 811228f8 rdprs r4,r16,18595 +81122854: 81122908 cmpgei r4,r16,18596 +81122858: 81122988 cmpgei r4,r16,18598 +8112285c: 81122918 cmpnei r4,r16,18596 +81122860: 81122928 cmpgeui r4,r16,18596 +81122864: 81122938 rdprs r4,r16,18596 +81122868: 81122948 cmpgei r4,r16,18597 +8112286c: 81122958 cmpnei r4,r16,18597 +81122870: 81122988 cmpgei r4,r16,18598 +81122874: 81122988 cmpgei r4,r16,18598 +81122878: 81122988 cmpgei r4,r16,18598 +8112287c: 81122988 cmpgei r4,r16,18598 +81122880: 81122968 cmpgeui r4,r16,18597 +81122884: 81122988 cmpgei r4,r16,18598 +81122888: 81122978 rdprs r4,r16,18597 +8112288c: 81122988 cmpgei r4,r16,18598 +81122890: 81122988 cmpgei r4,r16,18598 +81122894: 81122988 cmpgei r4,r16,18598 +81122898: 811228a8 cmpgeui r4,r16,18594 +8112289c: 00e04634 movhi r3,33048 +811228a0: 18cf2a04 addi r3,r3,15528 +811228a4: 003fdc06 br 81122818 <__reset+0xfb102818> +811228a8: 18c00903 ldbu r3,36(r3) 811228ac: 00800044 movi r2,1 811228b0: 28c00015 stw r3,0(r5) 811228b4: f800283a ret -811228b8: 18c0020b ldhu r3,8(r3) +811228b8: 18c0000b ldhu r3,0(r3) 811228bc: 00800044 movi r2,1 811228c0: 28c00015 stw r3,0(r5) 811228c4: f800283a ret -811228c8: 18c0028b ldhu r3,10(r3) +811228c8: 18c0008b ldhu r3,2(r3) 811228cc: 00800044 movi r2,1 811228d0: 28c00015 stw r3,0(r5) 811228d4: f800283a ret -811228d8: 18c00317 ldw r3,12(r3) +811228d8: 18c0010b ldhu r3,4(r3) 811228dc: 00800044 movi r2,1 811228e0: 28c00015 stw r3,0(r5) 811228e4: f800283a ret -811228e8: 18c00417 ldw r3,16(r3) +811228e8: 18c0018b ldhu r3,6(r3) 811228ec: 00800044 movi r2,1 811228f0: 28c00015 stw r3,0(r5) 811228f4: f800283a ret -811228f8: 18c00517 ldw r3,20(r3) +811228f8: 18c0020b ldhu r3,8(r3) 811228fc: 00800044 movi r2,1 81122900: 28c00015 stw r3,0(r5) 81122904: f800283a ret -81122908: 18c00617 ldw r3,24(r3) +81122908: 18c0028b ldhu r3,10(r3) 8112290c: 00800044 movi r2,1 81122910: 28c00015 stw r3,0(r5) 81122914: f800283a ret -81122918: 18c00717 ldw r3,28(r3) +81122918: 18c00317 ldw r3,12(r3) 8112291c: 00800044 movi r2,1 81122920: 28c00015 stw r3,0(r5) 81122924: f800283a ret -81122928: 18c00803 ldbu r3,32(r3) +81122928: 18c00417 ldw r3,16(r3) 8112292c: 00800044 movi r2,1 81122930: 28c00015 stw r3,0(r5) 81122934: f800283a ret -81122938: 18c0088b ldhu r3,34(r3) +81122938: 18c00517 ldw r3,20(r3) 8112293c: 00800044 movi r2,1 81122940: 28c00015 stw r3,0(r5) 81122944: f800283a ret -81122948: 0005883a mov r2,zero -8112294c: f800283a ret - -81122950 : -81122950: 39c03fcc andi r7,r7,255 -81122954: 38000226 beq r7,zero,81122960 -81122958: 00800044 movi r2,1 -8112295c: 38803b26 beq r7,r2,81122a4c -81122960: 21003fcc andi r4,r4,255 -81122964: 2100b524 muli r4,r4,724 -81122968: 00a04634 movhi r2,33048 -8112296c: 108f2a04 addi r2,r2,15528 -81122970: 21c05504 addi r7,r4,340 -81122974: 20c0b004 addi r3,r4,704 -81122978: 388f883a add r7,r7,r2 -8112297c: 2089883a add r4,r4,r2 -81122980: 1885883a add r2,r3,r2 -81122984: 297fffcc andi r5,r5,65535 -81122988: 00c10f04 movi r3,1084 -8112298c: 28c34026 beq r5,r3,81123690 -81122990: 1940172e bgeu r3,r5,811229f0 -81122994: 00c1fd84 movi r3,2038 -81122998: 28c33526 beq r5,r3,81123670 -8112299c: 19403636 bltu r3,r5,81122a78 -811229a0: 0081f844 movi r2,2017 -811229a4: 28833626 beq r5,r2,81123680 -811229a8: 11408e2e bgeu r2,r5,81122be4 -811229ac: 0081fac4 movi r2,2027 -811229b0: 28828d26 beq r5,r2,811233e8 -811229b4: 1140aa36 bltu r2,r5,81122c60 -811229b8: 0081f984 movi r2,2022 -811229bc: 28815d26 beq r5,r2,81122f34 -811229c0: 11417736 bltu r2,r5,81122fa0 -811229c4: 0081f8c4 movi r2,2019 -811229c8: 28829126 beq r5,r2,81123410 -811229cc: 28827e36 bltu r5,r2,811233c8 -811229d0: 0081f904 movi r2,2020 -811229d4: 28827826 beq r5,r2,811233b8 -811229d8: 0081f944 movi r2,2021 -811229dc: 28828a1e bne r5,r2,81123408 -811229e0: 38c01517 ldw r3,84(r7) -811229e4: 00800044 movi r2,1 -811229e8: 30c00015 stw r3,0(r6) -811229ec: f800283a ret -811229f0: 00810444 movi r2,1041 -811229f4: 28835626 beq r5,r2,81123750 -811229f8: 11403336 bltu r2,r5,81122ac8 -811229fc: 0080fec4 movi r2,1019 -81122a00: 28835726 beq r5,r2,81123760 -81122a04: 1140552e bgeu r2,r5,81122b5c -81122a08: 00810184 movi r2,1030 -81122a0c: 28831426 beq r5,r2,81123660 -81122a10: 1140af36 bltu r2,r5,81122cd0 -81122a14: 00810044 movi r2,1025 -81122a18: 28813e26 beq r5,r2,81122f14 -81122a1c: 11418136 bltu r2,r5,81123024 -81122a20: 0080ff44 movi r2,1021 -81122a24: 28829a26 beq r5,r2,81123490 -81122a28: 28822d36 bltu r5,r2,811232e0 -81122a2c: 0080ff84 movi r2,1022 -81122a30: 28822726 beq r5,r2,811232d0 -81122a34: 0080ffc4 movi r2,1023 -81122a38: 2882731e bne r5,r2,81123408 -81122a3c: 20c01717 ldw r3,92(r4) -81122a40: 00800044 movi r2,1 -81122a44: 30c00015 stw r3,0(r6) -81122a48: f800283a ret -81122a4c: 21003fcc andi r4,r4,255 -81122a50: 20c42f24 muli r3,r4,4284 -81122a54: 20800524 muli r2,r4,20 -81122a58: d9000017 ldw r4,0(sp) -81122a5c: 20c7883a add r3,r4,r3 -81122a60: 1900ab17 ldw r4,684(r3) -81122a64: 00e04634 movhi r3,33048 -81122a68: 18ceea04 addi r3,r3,15272 -81122a6c: 10c5883a add r2,r2,r3 -81122a70: 21c05504 addi r7,r4,340 -81122a74: 003fc306 br 81122984 <__reset+0xfb102984> -81122a78: 00c202c4 movi r3,2059 -81122a7c: 28c34026 beq r5,r3,81123780 -81122a80: 19402536 bltu r3,r5,81122b18 -81122a84: 00820004 movi r2,2048 -81122a88: 28830d26 beq r5,r2,811236c0 -81122a8c: 11408236 bltu r2,r5,81122c98 -81122a90: 0081fec4 movi r2,2043 -81122a94: 28811326 beq r5,r2,81122ee4 -81122a98: 11418336 bltu r2,r5,811230a8 -81122a9c: 0081fe04 movi r2,2040 -81122aa0: 28834b26 beq r5,r2,811237d0 -81122aa4: 2881f636 bltu r5,r2,81123280 -81122aa8: 0081fe44 movi r2,2041 -81122aac: 2881f026 beq r5,r2,81123270 -81122ab0: 0081fe84 movi r2,2042 -81122ab4: 2882541e bne r5,r2,81123408 -81122ab8: 38c02a17 ldw r3,168(r7) -81122abc: 00800044 movi r2,1 -81122ac0: 30c00015 stw r3,0(r6) -81122ac4: f800283a ret -81122ac8: 00810984 movi r2,1062 -81122acc: 28832826 beq r5,r2,81123770 -81122ad0: 1140332e bgeu r2,r5,81122ba0 -81122ad4: 00810c44 movi r2,1073 -81122ad8: 2882f126 beq r5,r2,811236a0 -81122adc: 11405236 bltu r2,r5,81122c28 -81122ae0: 00810b04 movi r2,1068 -81122ae4: 28810326 beq r5,r2,81122ef4 -81122ae8: 11415936 bltu r2,r5,81123050 -81122aec: 00810a44 movi r2,1065 -81122af0: 28828726 beq r5,r2,81123510 -81122af4: 1142022e bgeu r2,r5,81123300 -81122af8: 00810a84 movi r2,1066 -81122afc: 2881fc26 beq r5,r2,811232f0 -81122b00: 00810ac4 movi r2,1067 -81122b04: 2882401e bne r5,r2,81123408 -81122b08: 20c04917 ldw r3,292(r4) -81122b0c: 00800044 movi r2,1 -81122b10: 30c00015 stw r3,0(r6) -81122b14: f800283a ret -81122b18: 00c20584 movi r3,2070 -81122b1c: 28c2cc26 beq r5,r3,81123650 -81122b20: 19407936 bltu r3,r5,81122d08 -81122b24: 00820404 movi r2,2064 -81122b28: 2880f626 beq r5,r2,81122f04 -81122b2c: 11415336 bltu r2,r5,8112307c -81122b30: 00820344 movi r2,2061 -81122b34: 28831626 beq r5,r2,81123790 -81122b38: 2881c936 bltu r5,r2,81123260 -81122b3c: 00820384 movi r2,2062 -81122b40: 2881c326 beq r5,r2,81123250 -81122b44: 008203c4 movi r2,2063 -81122b48: 28822f1e bne r5,r2,81123408 -81122b4c: 38c03f17 ldw r3,252(r7) -81122b50: 00800044 movi r2,1 -81122b54: 30c00015 stw r3,0(r6) -81122b58: f800283a ret -81122b5c: 0080fc44 movi r2,1009 -81122b60: 2882d326 beq r5,r2,811236b0 -81122b64: 11409236 bltu r2,r5,81122db0 -81122b68: 0080fb04 movi r2,1004 -81122b6c: 2880f926 beq r5,r2,81122f54 -81122b70: 11412136 bltu r2,r5,81122ff8 -81122b74: 0080fa44 movi r2,1001 -81122b78: 28825526 beq r5,r2,811234d0 -81122b7c: 11419e2e bgeu r2,r5,811231f8 -81122b80: 0080fa84 movi r2,1002 -81122b84: 2881ec26 beq r5,r2,81123338 -81122b88: 0080fac4 movi r2,1003 -81122b8c: 28821e1e bne r5,r2,81123408 -81122b90: 20c00317 ldw r3,12(r4) -81122b94: 00800044 movi r2,1 -81122b98: 30c00015 stw r3,0(r6) -81122b9c: f800283a ret -81122ba0: 008106c4 movi r2,1051 -81122ba4: 2881ec26 beq r5,r2,81123358 -81122ba8: 11406536 bltu r2,r5,81122d40 -81122bac: 00810584 movi r2,1046 -81122bb0: 2880ec26 beq r5,r2,81122f64 -81122bb4: 11410536 bltu r2,r5,81122fcc -81122bb8: 008104c4 movi r2,1043 -81122bbc: 28822426 beq r5,r2,81123450 -81122bc0: 2881d936 bltu r5,r2,81123328 -81122bc4: 00810504 movi r2,1044 -81122bc8: 2881d326 beq r5,r2,81123318 -81122bcc: 00810544 movi r2,1045 -81122bd0: 28820d1e bne r5,r2,81123408 -81122bd4: 20c02e17 ldw r3,184(r4) -81122bd8: 00800044 movi r2,1 -81122bdc: 30c00015 stw r3,0(r6) -81122be0: f800283a ret -81122be4: 0081f5c4 movi r2,2007 -81122be8: 28820326 beq r5,r2,811233f8 -81122bec: 11406236 bltu r2,r5,81122d78 -81122bf0: 0081f484 movi r2,2002 -81122bf4: 2880d326 beq r5,r2,81122f44 -81122bf8: 1140de36 bltu r2,r5,81122f74 -81122bfc: 00810f84 movi r2,1086 -81122c00: 2881d926 beq r5,r2,81123368 -81122c04: 2881e036 bltu r5,r2,81123388 -81122c08: 0081f404 movi r2,2000 -81122c0c: 2881da26 beq r5,r2,81123378 -81122c10: 0081f444 movi r2,2001 -81122c14: 2881fc1e bne r5,r2,81123408 -81122c18: 38c00117 ldw r3,4(r7) -81122c1c: 00800044 movi r2,1 -81122c20: 30c00015 stw r3,0(r6) -81122c24: f800283a ret -81122c28: 00810d84 movi r2,1078 -81122c2c: 28833826 beq r5,r2,81123910 -81122c30: 1140782e bgeu r2,r5,81122e14 -81122c34: 00810e44 movi r2,1081 -81122c38: 28831d26 beq r5,r2,811238b0 -81122c3c: 11415e36 bltu r2,r5,811231b8 -81122c40: 00810dc4 movi r2,1079 -81122c44: 28831626 beq r5,r2,811238a0 -81122c48: 00810e04 movi r2,1080 -81122c4c: 2881ee1e bne r5,r2,81123408 -81122c50: 20c01917 ldw r3,100(r4) -81122c54: 00800044 movi r2,1 -81122c58: 30c00015 stw r3,0(r6) -81122c5c: f800283a ret -81122c60: 0081fc04 movi r2,2032 -81122c64: 28825a26 beq r5,r2,811235d0 -81122c68: 1140802e bgeu r2,r5,81122e6c -81122c6c: 0081fcc4 movi r2,2035 -81122c70: 28832f26 beq r5,r2,81123930 -81122c74: 11415836 bltu r2,r5,811231d8 -81122c78: 0081fc44 movi r2,2033 -81122c7c: 28832826 beq r5,r2,81123920 -81122c80: 0081fc84 movi r2,2034 -81122c84: 2881e01e bne r5,r2,81123408 -81122c88: 38c02217 ldw r3,136(r7) -81122c8c: 00800044 movi r2,1 -81122c90: 30c00015 stw r3,0(r6) -81122c94: f800283a ret -81122c98: 00820144 movi r2,2053 -81122c9c: 28830c26 beq r5,r2,811238d0 -81122ca0: 11407d2e bgeu r2,r5,81122e98 -81122ca4: 00820204 movi r2,2056 -81122ca8: 28816126 beq r5,r2,81123230 -81122cac: 11413236 bltu r2,r5,81123178 -81122cb0: 00820184 movi r2,2054 -81122cb4: 28822626 beq r5,r2,81123550 -81122cb8: 008201c4 movi r2,2055 -81122cbc: 2881d21e bne r5,r2,81123408 -81122cc0: 38c03717 ldw r3,220(r7) -81122cc4: 00800044 movi r2,1 -81122cc8: 30c00015 stw r3,0(r6) -81122ccc: f800283a ret -81122cd0: 008102c4 movi r2,1035 -81122cd4: 28822e26 beq r5,r2,81123590 -81122cd8: 1140432e bgeu r2,r5,81122de8 -81122cdc: 00810384 movi r2,1038 -81122ce0: 28830326 beq r5,r2,811238f0 -81122ce4: 11412c36 bltu r2,r5,81123198 -81122ce8: 00810304 movi r2,1036 -81122cec: 2882fc26 beq r5,r2,811238e0 -81122cf0: 00810344 movi r2,1037 -81122cf4: 2881c41e bne r5,r2,81123408 -81122cf8: 20c02617 ldw r3,152(r4) -81122cfc: 00800044 movi r2,1 -81122d00: 30c00015 stw r3,0(r6) -81122d04: f800283a ret -81122d08: 00c2ee84 movi r3,3002 -81122d0c: 28c2e026 beq r5,r3,81123890 -81122d10: 19404b2e bgeu r3,r5,81122e40 -81122d14: 00c2ef44 movi r3,3005 -81122d18: 28c27d26 beq r5,r3,81123710 -81122d1c: 19410e36 bltu r3,r5,81123158 -81122d20: 00c2eec4 movi r3,3003 -81122d24: 28c26a26 beq r5,r3,811236d0 -81122d28: 00c2ef04 movi r3,3004 -81122d2c: 28c1b61e bne r5,r3,81123408 -81122d30: 10c00403 ldbu r3,16(r2) -81122d34: 00800044 movi r2,1 -81122d38: 30c00015 stw r3,0(r6) -81122d3c: f800283a ret -81122d40: 00810804 movi r2,1056 -81122d44: 28807726 beq r5,r2,81122f24 -81122d48: 1140ed36 bltu r2,r5,81123100 -81122d4c: 00810744 movi r2,1053 -81122d50: 2882bf26 beq r5,r2,81123850 -81122d54: 28815a36 bltu r5,r2,811232c0 -81122d58: 00810784 movi r2,1054 -81122d5c: 28815426 beq r5,r2,811232b0 -81122d60: 008107c4 movi r2,1055 -81122d64: 2881a81e bne r5,r2,81123408 -81122d68: 20c03817 ldw r3,224(r4) -81122d6c: 00800044 movi r2,1 -81122d70: 30c00015 stw r3,0(r6) -81122d74: f800283a ret -81122d78: 0081f704 movi r2,2012 -81122d7c: 28805526 beq r5,r2,81122ed4 -81122d80: 1140d436 bltu r2,r5,811230d4 -81122d84: 0081f644 movi r2,2009 -81122d88: 28822126 beq r5,r2,81123610 -81122d8c: 28814436 bltu r5,r2,811232a0 -81122d90: 0081f684 movi r2,2010 -81122d94: 28813e26 beq r5,r2,81123290 -81122d98: 0081f6c4 movi r2,2011 -81122d9c: 28819a1e bne r5,r2,81123408 -81122da0: 38c00b17 ldw r3,44(r7) -81122da4: 00800044 movi r2,1 -81122da8: 30c00015 stw r3,0(r6) -81122dac: f800283a ret -81122db0: 0080fd84 movi r2,1014 -81122db4: 28804326 beq r5,r2,81122ec4 -81122db8: 1140dc36 bltu r2,r5,8112312c -81122dbc: 0080fcc4 movi r2,1011 -81122dc0: 28829326 beq r5,r2,81123810 -81122dc4: 28811636 bltu r5,r2,81123220 -81122dc8: 0080fd04 movi r2,1012 -81122dcc: 28811026 beq r5,r2,81123210 -81122dd0: 0080fd44 movi r2,1013 -81122dd4: 28818c1e bne r5,r2,81123408 -81122dd8: 20c00d17 ldw r3,52(r4) -81122ddc: 00800044 movi r2,1 -81122de0: 30c00015 stw r3,0(r6) -81122de4: f800283a ret -81122de8: 00810204 movi r2,1032 -81122dec: 28820426 beq r5,r2,81123600 -81122df0: 2881ff36 bltu r5,r2,811235f0 -81122df4: 00810244 movi r2,1033 -81122df8: 2881f926 beq r5,r2,811235e0 -81122dfc: 00810284 movi r2,1034 -81122e00: 2881811e bne r5,r2,81123408 -81122e04: 20c02317 ldw r3,140(r4) -81122e08: 00800044 movi r2,1 -81122e0c: 30c00015 stw r3,0(r6) -81122e10: f800283a ret -81122e14: 00810cc4 movi r2,1075 -81122e18: 28816326 beq r5,r2,811233a8 -81122e1c: 28815e36 bltu r5,r2,81123398 -81122e20: 00810d04 movi r2,1076 -81122e24: 28816c26 beq r5,r2,811233d8 -81122e28: 00810d44 movi r2,1077 -81122e2c: 2881761e bne r5,r2,81123408 -81122e30: 20c05317 ldw r3,332(r4) -81122e34: 00800044 movi r2,1 -81122e38: 30c00015 stw r3,0(r6) -81122e3c: f800283a ret -81122e40: 00c20604 movi r3,2072 -81122e44: 28c1ce26 beq r5,r3,81123580 -81122e48: 28c1c936 bltu r5,r3,81123570 -81122e4c: 00c2ee04 movi r3,3000 -81122e50: 28c1c326 beq r5,r3,81123560 -81122e54: 00c2ee44 movi r3,3001 -81122e58: 28c16b1e bne r5,r3,81123408 -81122e5c: 10c00117 ldw r3,4(r2) -81122e60: 00800044 movi r2,1 -81122e64: 30c00015 stw r3,0(r6) -81122e68: f800283a ret -81122e6c: 0081fb44 movi r2,2029 -81122e70: 2881d326 beq r5,r2,811235c0 -81122e74: 2881ce36 bltu r5,r2,811235b0 -81122e78: 0081fb84 movi r2,2030 -81122e7c: 2881c826 beq r5,r2,811235a0 -81122e80: 0081fbc4 movi r2,2031 -81122e84: 2881601e bne r5,r2,81123408 -81122e88: 38c01f17 ldw r3,124(r7) -81122e8c: 00800044 movi r2,1 -81122e90: 30c00015 stw r3,0(r6) -81122e94: f800283a ret -81122e98: 00820084 movi r2,2050 -81122e9c: 28821826 beq r5,r2,81123700 -81122ea0: 28821336 bltu r5,r2,811236f0 -81122ea4: 008200c4 movi r2,2051 -81122ea8: 28820d26 beq r5,r2,811236e0 -81122eac: 00820104 movi r2,2052 -81122eb0: 2881551e bne r5,r2,81123408 -81122eb4: 38c03417 ldw r3,208(r7) -81122eb8: 00800044 movi r2,1 -81122ebc: 30c00015 stw r3,0(r6) -81122ec0: f800283a ret -81122ec4: 20c00e17 ldw r3,56(r4) -81122ec8: 00800044 movi r2,1 -81122ecc: 30c00015 stw r3,0(r6) -81122ed0: f800283a ret -81122ed4: 38c00c17 ldw r3,48(r7) -81122ed8: 00800044 movi r2,1 -81122edc: 30c00015 stw r3,0(r6) -81122ee0: f800283a ret -81122ee4: 38c02b17 ldw r3,172(r7) -81122ee8: 00800044 movi r2,1 -81122eec: 30c00015 stw r3,0(r6) -81122ef0: f800283a ret -81122ef4: 20c04a17 ldw r3,296(r4) +81122948: 18c00617 ldw r3,24(r3) +8112294c: 00800044 movi r2,1 +81122950: 28c00015 stw r3,0(r5) +81122954: f800283a ret +81122958: 18c00717 ldw r3,28(r3) +8112295c: 00800044 movi r2,1 +81122960: 28c00015 stw r3,0(r5) +81122964: f800283a ret +81122968: 18c00803 ldbu r3,32(r3) +8112296c: 00800044 movi r2,1 +81122970: 28c00015 stw r3,0(r5) +81122974: f800283a ret +81122978: 18c0088b ldhu r3,34(r3) +8112297c: 00800044 movi r2,1 +81122980: 28c00015 stw r3,0(r5) +81122984: f800283a ret +81122988: 0005883a mov r2,zero +8112298c: f800283a ret + +81122990 : +81122990: 39c03fcc andi r7,r7,255 +81122994: 38000226 beq r7,zero,811229a0 +81122998: 00800044 movi r2,1 +8112299c: 38803b26 beq r7,r2,81122a8c +811229a0: 21003fcc andi r4,r4,255 +811229a4: 2100b524 muli r4,r4,724 +811229a8: 00a04634 movhi r2,33048 +811229ac: 108f3b04 addi r2,r2,15596 +811229b0: 21c05504 addi r7,r4,340 +811229b4: 20c0b004 addi r3,r4,704 +811229b8: 388f883a add r7,r7,r2 +811229bc: 2089883a add r4,r4,r2 +811229c0: 1885883a add r2,r3,r2 +811229c4: 297fffcc andi r5,r5,65535 +811229c8: 00c10f04 movi r3,1084 +811229cc: 28c34026 beq r5,r3,811236d0 +811229d0: 1940172e bgeu r3,r5,81122a30 +811229d4: 00c1fd84 movi r3,2038 +811229d8: 28c33526 beq r5,r3,811236b0 +811229dc: 19403636 bltu r3,r5,81122ab8 +811229e0: 0081f844 movi r2,2017 +811229e4: 28833626 beq r5,r2,811236c0 +811229e8: 11408e2e bgeu r2,r5,81122c24 +811229ec: 0081fac4 movi r2,2027 +811229f0: 28828d26 beq r5,r2,81123428 +811229f4: 1140aa36 bltu r2,r5,81122ca0 +811229f8: 0081f984 movi r2,2022 +811229fc: 28815d26 beq r5,r2,81122f74 +81122a00: 11417736 bltu r2,r5,81122fe0 +81122a04: 0081f8c4 movi r2,2019 +81122a08: 28829126 beq r5,r2,81123450 +81122a0c: 28827e36 bltu r5,r2,81123408 +81122a10: 0081f904 movi r2,2020 +81122a14: 28827826 beq r5,r2,811233f8 +81122a18: 0081f944 movi r2,2021 +81122a1c: 28828a1e bne r5,r2,81123448 +81122a20: 38c01517 ldw r3,84(r7) +81122a24: 00800044 movi r2,1 +81122a28: 30c00015 stw r3,0(r6) +81122a2c: f800283a ret +81122a30: 00810444 movi r2,1041 +81122a34: 28835626 beq r5,r2,81123790 +81122a38: 11403336 bltu r2,r5,81122b08 +81122a3c: 0080fec4 movi r2,1019 +81122a40: 28835726 beq r5,r2,811237a0 +81122a44: 1140552e bgeu r2,r5,81122b9c +81122a48: 00810184 movi r2,1030 +81122a4c: 28831426 beq r5,r2,811236a0 +81122a50: 1140af36 bltu r2,r5,81122d10 +81122a54: 00810044 movi r2,1025 +81122a58: 28813e26 beq r5,r2,81122f54 +81122a5c: 11418136 bltu r2,r5,81123064 +81122a60: 0080ff44 movi r2,1021 +81122a64: 28829a26 beq r5,r2,811234d0 +81122a68: 28822d36 bltu r5,r2,81123320 +81122a6c: 0080ff84 movi r2,1022 +81122a70: 28822726 beq r5,r2,81123310 +81122a74: 0080ffc4 movi r2,1023 +81122a78: 2882731e bne r5,r2,81123448 +81122a7c: 20c01717 ldw r3,92(r4) +81122a80: 00800044 movi r2,1 +81122a84: 30c00015 stw r3,0(r6) +81122a88: f800283a ret +81122a8c: 21003fcc andi r4,r4,255 +81122a90: 20c42f24 muli r3,r4,4284 +81122a94: 20800524 muli r2,r4,20 +81122a98: d9000017 ldw r4,0(sp) +81122a9c: 20c7883a add r3,r4,r3 +81122aa0: 1900ab17 ldw r4,684(r3) +81122aa4: 00e04634 movhi r3,33048 +81122aa8: 18cefb04 addi r3,r3,15340 +81122aac: 10c5883a add r2,r2,r3 +81122ab0: 21c05504 addi r7,r4,340 +81122ab4: 003fc306 br 811229c4 <__reset+0xfb1029c4> +81122ab8: 00c202c4 movi r3,2059 +81122abc: 28c34026 beq r5,r3,811237c0 +81122ac0: 19402536 bltu r3,r5,81122b58 +81122ac4: 00820004 movi r2,2048 +81122ac8: 28830d26 beq r5,r2,81123700 +81122acc: 11408236 bltu r2,r5,81122cd8 +81122ad0: 0081fec4 movi r2,2043 +81122ad4: 28811326 beq r5,r2,81122f24 +81122ad8: 11418336 bltu r2,r5,811230e8 +81122adc: 0081fe04 movi r2,2040 +81122ae0: 28834b26 beq r5,r2,81123810 +81122ae4: 2881f636 bltu r5,r2,811232c0 +81122ae8: 0081fe44 movi r2,2041 +81122aec: 2881f026 beq r5,r2,811232b0 +81122af0: 0081fe84 movi r2,2042 +81122af4: 2882541e bne r5,r2,81123448 +81122af8: 38c02a17 ldw r3,168(r7) +81122afc: 00800044 movi r2,1 +81122b00: 30c00015 stw r3,0(r6) +81122b04: f800283a ret +81122b08: 00810984 movi r2,1062 +81122b0c: 28832826 beq r5,r2,811237b0 +81122b10: 1140332e bgeu r2,r5,81122be0 +81122b14: 00810c44 movi r2,1073 +81122b18: 2882f126 beq r5,r2,811236e0 +81122b1c: 11405236 bltu r2,r5,81122c68 +81122b20: 00810b04 movi r2,1068 +81122b24: 28810326 beq r5,r2,81122f34 +81122b28: 11415936 bltu r2,r5,81123090 +81122b2c: 00810a44 movi r2,1065 +81122b30: 28828726 beq r5,r2,81123550 +81122b34: 1142022e bgeu r2,r5,81123340 +81122b38: 00810a84 movi r2,1066 +81122b3c: 2881fc26 beq r5,r2,81123330 +81122b40: 00810ac4 movi r2,1067 +81122b44: 2882401e bne r5,r2,81123448 +81122b48: 20c04917 ldw r3,292(r4) +81122b4c: 00800044 movi r2,1 +81122b50: 30c00015 stw r3,0(r6) +81122b54: f800283a ret +81122b58: 00c20584 movi r3,2070 +81122b5c: 28c2cc26 beq r5,r3,81123690 +81122b60: 19407936 bltu r3,r5,81122d48 +81122b64: 00820404 movi r2,2064 +81122b68: 2880f626 beq r5,r2,81122f44 +81122b6c: 11415336 bltu r2,r5,811230bc +81122b70: 00820344 movi r2,2061 +81122b74: 28831626 beq r5,r2,811237d0 +81122b78: 2881c936 bltu r5,r2,811232a0 +81122b7c: 00820384 movi r2,2062 +81122b80: 2881c326 beq r5,r2,81123290 +81122b84: 008203c4 movi r2,2063 +81122b88: 28822f1e bne r5,r2,81123448 +81122b8c: 38c03f17 ldw r3,252(r7) +81122b90: 00800044 movi r2,1 +81122b94: 30c00015 stw r3,0(r6) +81122b98: f800283a ret +81122b9c: 0080fc44 movi r2,1009 +81122ba0: 2882d326 beq r5,r2,811236f0 +81122ba4: 11409236 bltu r2,r5,81122df0 +81122ba8: 0080fb04 movi r2,1004 +81122bac: 2880f926 beq r5,r2,81122f94 +81122bb0: 11412136 bltu r2,r5,81123038 +81122bb4: 0080fa44 movi r2,1001 +81122bb8: 28825526 beq r5,r2,81123510 +81122bbc: 11419e2e bgeu r2,r5,81123238 +81122bc0: 0080fa84 movi r2,1002 +81122bc4: 2881ec26 beq r5,r2,81123378 +81122bc8: 0080fac4 movi r2,1003 +81122bcc: 28821e1e bne r5,r2,81123448 +81122bd0: 20c00317 ldw r3,12(r4) +81122bd4: 00800044 movi r2,1 +81122bd8: 30c00015 stw r3,0(r6) +81122bdc: f800283a ret +81122be0: 008106c4 movi r2,1051 +81122be4: 2881ec26 beq r5,r2,81123398 +81122be8: 11406536 bltu r2,r5,81122d80 +81122bec: 00810584 movi r2,1046 +81122bf0: 2880ec26 beq r5,r2,81122fa4 +81122bf4: 11410536 bltu r2,r5,8112300c +81122bf8: 008104c4 movi r2,1043 +81122bfc: 28822426 beq r5,r2,81123490 +81122c00: 2881d936 bltu r5,r2,81123368 +81122c04: 00810504 movi r2,1044 +81122c08: 2881d326 beq r5,r2,81123358 +81122c0c: 00810544 movi r2,1045 +81122c10: 28820d1e bne r5,r2,81123448 +81122c14: 20c02e17 ldw r3,184(r4) +81122c18: 00800044 movi r2,1 +81122c1c: 30c00015 stw r3,0(r6) +81122c20: f800283a ret +81122c24: 0081f5c4 movi r2,2007 +81122c28: 28820326 beq r5,r2,81123438 +81122c2c: 11406236 bltu r2,r5,81122db8 +81122c30: 0081f484 movi r2,2002 +81122c34: 2880d326 beq r5,r2,81122f84 +81122c38: 1140de36 bltu r2,r5,81122fb4 +81122c3c: 00810f84 movi r2,1086 +81122c40: 2881d926 beq r5,r2,811233a8 +81122c44: 2881e036 bltu r5,r2,811233c8 +81122c48: 0081f404 movi r2,2000 +81122c4c: 2881da26 beq r5,r2,811233b8 +81122c50: 0081f444 movi r2,2001 +81122c54: 2881fc1e bne r5,r2,81123448 +81122c58: 38c00117 ldw r3,4(r7) +81122c5c: 00800044 movi r2,1 +81122c60: 30c00015 stw r3,0(r6) +81122c64: f800283a ret +81122c68: 00810d84 movi r2,1078 +81122c6c: 28833826 beq r5,r2,81123950 +81122c70: 1140782e bgeu r2,r5,81122e54 +81122c74: 00810e44 movi r2,1081 +81122c78: 28831d26 beq r5,r2,811238f0 +81122c7c: 11415e36 bltu r2,r5,811231f8 +81122c80: 00810dc4 movi r2,1079 +81122c84: 28831626 beq r5,r2,811238e0 +81122c88: 00810e04 movi r2,1080 +81122c8c: 2881ee1e bne r5,r2,81123448 +81122c90: 20c01917 ldw r3,100(r4) +81122c94: 00800044 movi r2,1 +81122c98: 30c00015 stw r3,0(r6) +81122c9c: f800283a ret +81122ca0: 0081fc04 movi r2,2032 +81122ca4: 28825a26 beq r5,r2,81123610 +81122ca8: 1140802e bgeu r2,r5,81122eac +81122cac: 0081fcc4 movi r2,2035 +81122cb0: 28832f26 beq r5,r2,81123970 +81122cb4: 11415836 bltu r2,r5,81123218 +81122cb8: 0081fc44 movi r2,2033 +81122cbc: 28832826 beq r5,r2,81123960 +81122cc0: 0081fc84 movi r2,2034 +81122cc4: 2881e01e bne r5,r2,81123448 +81122cc8: 38c02217 ldw r3,136(r7) +81122ccc: 00800044 movi r2,1 +81122cd0: 30c00015 stw r3,0(r6) +81122cd4: f800283a ret +81122cd8: 00820144 movi r2,2053 +81122cdc: 28830c26 beq r5,r2,81123910 +81122ce0: 11407d2e bgeu r2,r5,81122ed8 +81122ce4: 00820204 movi r2,2056 +81122ce8: 28816126 beq r5,r2,81123270 +81122cec: 11413236 bltu r2,r5,811231b8 +81122cf0: 00820184 movi r2,2054 +81122cf4: 28822626 beq r5,r2,81123590 +81122cf8: 008201c4 movi r2,2055 +81122cfc: 2881d21e bne r5,r2,81123448 +81122d00: 38c03717 ldw r3,220(r7) +81122d04: 00800044 movi r2,1 +81122d08: 30c00015 stw r3,0(r6) +81122d0c: f800283a ret +81122d10: 008102c4 movi r2,1035 +81122d14: 28822e26 beq r5,r2,811235d0 +81122d18: 1140432e bgeu r2,r5,81122e28 +81122d1c: 00810384 movi r2,1038 +81122d20: 28830326 beq r5,r2,81123930 +81122d24: 11412c36 bltu r2,r5,811231d8 +81122d28: 00810304 movi r2,1036 +81122d2c: 2882fc26 beq r5,r2,81123920 +81122d30: 00810344 movi r2,1037 +81122d34: 2881c41e bne r5,r2,81123448 +81122d38: 20c02617 ldw r3,152(r4) +81122d3c: 00800044 movi r2,1 +81122d40: 30c00015 stw r3,0(r6) +81122d44: f800283a ret +81122d48: 00c2ee84 movi r3,3002 +81122d4c: 28c2e026 beq r5,r3,811238d0 +81122d50: 19404b2e bgeu r3,r5,81122e80 +81122d54: 00c2ef44 movi r3,3005 +81122d58: 28c27d26 beq r5,r3,81123750 +81122d5c: 19410e36 bltu r3,r5,81123198 +81122d60: 00c2eec4 movi r3,3003 +81122d64: 28c26a26 beq r5,r3,81123710 +81122d68: 00c2ef04 movi r3,3004 +81122d6c: 28c1b61e bne r5,r3,81123448 +81122d70: 10c00403 ldbu r3,16(r2) +81122d74: 00800044 movi r2,1 +81122d78: 30c00015 stw r3,0(r6) +81122d7c: f800283a ret +81122d80: 00810804 movi r2,1056 +81122d84: 28807726 beq r5,r2,81122f64 +81122d88: 1140ed36 bltu r2,r5,81123140 +81122d8c: 00810744 movi r2,1053 +81122d90: 2882bf26 beq r5,r2,81123890 +81122d94: 28815a36 bltu r5,r2,81123300 +81122d98: 00810784 movi r2,1054 +81122d9c: 28815426 beq r5,r2,811232f0 +81122da0: 008107c4 movi r2,1055 +81122da4: 2881a81e bne r5,r2,81123448 +81122da8: 20c03817 ldw r3,224(r4) +81122dac: 00800044 movi r2,1 +81122db0: 30c00015 stw r3,0(r6) +81122db4: f800283a ret +81122db8: 0081f704 movi r2,2012 +81122dbc: 28805526 beq r5,r2,81122f14 +81122dc0: 1140d436 bltu r2,r5,81123114 +81122dc4: 0081f644 movi r2,2009 +81122dc8: 28822126 beq r5,r2,81123650 +81122dcc: 28814436 bltu r5,r2,811232e0 +81122dd0: 0081f684 movi r2,2010 +81122dd4: 28813e26 beq r5,r2,811232d0 +81122dd8: 0081f6c4 movi r2,2011 +81122ddc: 28819a1e bne r5,r2,81123448 +81122de0: 38c00b17 ldw r3,44(r7) +81122de4: 00800044 movi r2,1 +81122de8: 30c00015 stw r3,0(r6) +81122dec: f800283a ret +81122df0: 0080fd84 movi r2,1014 +81122df4: 28804326 beq r5,r2,81122f04 +81122df8: 1140dc36 bltu r2,r5,8112316c +81122dfc: 0080fcc4 movi r2,1011 +81122e00: 28829326 beq r5,r2,81123850 +81122e04: 28811636 bltu r5,r2,81123260 +81122e08: 0080fd04 movi r2,1012 +81122e0c: 28811026 beq r5,r2,81123250 +81122e10: 0080fd44 movi r2,1013 +81122e14: 28818c1e bne r5,r2,81123448 +81122e18: 20c00d17 ldw r3,52(r4) +81122e1c: 00800044 movi r2,1 +81122e20: 30c00015 stw r3,0(r6) +81122e24: f800283a ret +81122e28: 00810204 movi r2,1032 +81122e2c: 28820426 beq r5,r2,81123640 +81122e30: 2881ff36 bltu r5,r2,81123630 +81122e34: 00810244 movi r2,1033 +81122e38: 2881f926 beq r5,r2,81123620 +81122e3c: 00810284 movi r2,1034 +81122e40: 2881811e bne r5,r2,81123448 +81122e44: 20c02317 ldw r3,140(r4) +81122e48: 00800044 movi r2,1 +81122e4c: 30c00015 stw r3,0(r6) +81122e50: f800283a ret +81122e54: 00810cc4 movi r2,1075 +81122e58: 28816326 beq r5,r2,811233e8 +81122e5c: 28815e36 bltu r5,r2,811233d8 +81122e60: 00810d04 movi r2,1076 +81122e64: 28816c26 beq r5,r2,81123418 +81122e68: 00810d44 movi r2,1077 +81122e6c: 2881761e bne r5,r2,81123448 +81122e70: 20c05317 ldw r3,332(r4) +81122e74: 00800044 movi r2,1 +81122e78: 30c00015 stw r3,0(r6) +81122e7c: f800283a ret +81122e80: 00c20604 movi r3,2072 +81122e84: 28c1ce26 beq r5,r3,811235c0 +81122e88: 28c1c936 bltu r5,r3,811235b0 +81122e8c: 00c2ee04 movi r3,3000 +81122e90: 28c1c326 beq r5,r3,811235a0 +81122e94: 00c2ee44 movi r3,3001 +81122e98: 28c16b1e bne r5,r3,81123448 +81122e9c: 10c00117 ldw r3,4(r2) +81122ea0: 00800044 movi r2,1 +81122ea4: 30c00015 stw r3,0(r6) +81122ea8: f800283a ret +81122eac: 0081fb44 movi r2,2029 +81122eb0: 2881d326 beq r5,r2,81123600 +81122eb4: 2881ce36 bltu r5,r2,811235f0 +81122eb8: 0081fb84 movi r2,2030 +81122ebc: 2881c826 beq r5,r2,811235e0 +81122ec0: 0081fbc4 movi r2,2031 +81122ec4: 2881601e bne r5,r2,81123448 +81122ec8: 38c01f17 ldw r3,124(r7) +81122ecc: 00800044 movi r2,1 +81122ed0: 30c00015 stw r3,0(r6) +81122ed4: f800283a ret +81122ed8: 00820084 movi r2,2050 +81122edc: 28821826 beq r5,r2,81123740 +81122ee0: 28821336 bltu r5,r2,81123730 +81122ee4: 008200c4 movi r2,2051 +81122ee8: 28820d26 beq r5,r2,81123720 +81122eec: 00820104 movi r2,2052 +81122ef0: 2881551e bne r5,r2,81123448 +81122ef4: 38c03417 ldw r3,208(r7) 81122ef8: 00800044 movi r2,1 81122efc: 30c00015 stw r3,0(r6) 81122f00: f800283a ret -81122f04: 38c04217 ldw r3,264(r7) +81122f04: 20c00e17 ldw r3,56(r4) 81122f08: 00800044 movi r2,1 81122f0c: 30c00015 stw r3,0(r6) 81122f10: f800283a ret -81122f14: 20c01a17 ldw r3,104(r4) +81122f14: 38c00c17 ldw r3,48(r7) 81122f18: 00800044 movi r2,1 81122f1c: 30c00015 stw r3,0(r6) 81122f20: f800283a ret -81122f24: 20c03917 ldw r3,228(r4) +81122f24: 38c02b17 ldw r3,172(r7) 81122f28: 00800044 movi r2,1 81122f2c: 30c00015 stw r3,0(r6) 81122f30: f800283a ret -81122f34: 38c01617 ldw r3,88(r7) +81122f34: 20c04a17 ldw r3,296(r4) 81122f38: 00800044 movi r2,1 81122f3c: 30c00015 stw r3,0(r6) 81122f40: f800283a ret -81122f44: 38c00217 ldw r3,8(r7) +81122f44: 38c04217 ldw r3,264(r7) 81122f48: 00800044 movi r2,1 81122f4c: 30c00015 stw r3,0(r6) 81122f50: f800283a ret -81122f54: 20c00417 ldw r3,16(r4) +81122f54: 20c01a17 ldw r3,104(r4) 81122f58: 00800044 movi r2,1 81122f5c: 30c00015 stw r3,0(r6) 81122f60: f800283a ret -81122f64: 20c02f17 ldw r3,188(r4) +81122f64: 20c03917 ldw r3,228(r4) 81122f68: 00800044 movi r2,1 81122f6c: 30c00015 stw r3,0(r6) 81122f70: f800283a ret -81122f74: 0081f504 movi r2,2004 -81122f78: 28813126 beq r5,r2,81123440 -81122f7c: 28812c36 bltu r5,r2,81123430 -81122f80: 0081f544 movi r2,2005 -81122f84: 28812626 beq r5,r2,81123420 -81122f88: 0081f584 movi r2,2006 -81122f8c: 28811e1e bne r5,r2,81123408 -81122f90: 38c00617 ldw r3,24(r7) -81122f94: 00800044 movi r2,1 -81122f98: 30c00015 stw r3,0(r6) -81122f9c: f800283a ret -81122fa0: 0081fa04 movi r2,2024 -81122fa4: 28813626 beq r5,r2,81123480 -81122fa8: 28813136 bltu r5,r2,81123470 -81122fac: 0081fa44 movi r2,2025 -81122fb0: 28812b26 beq r5,r2,81123460 -81122fb4: 0081fa84 movi r2,2026 -81122fb8: 2881131e bne r5,r2,81123408 -81122fbc: 38c01a17 ldw r3,104(r7) -81122fc0: 00800044 movi r2,1 -81122fc4: 30c00015 stw r3,0(r6) -81122fc8: f800283a ret -81122fcc: 00810604 movi r2,1048 -81122fd0: 28814b26 beq r5,r2,81123500 -81122fd4: 28814636 bltu r5,r2,811234f0 -81122fd8: 00810644 movi r2,1049 -81122fdc: 28814026 beq r5,r2,811234e0 -81122fe0: 00810684 movi r2,1050 -81122fe4: 2881081e bne r5,r2,81123408 -81122fe8: 20c03317 ldw r3,204(r4) -81122fec: 00800044 movi r2,1 -81122ff0: 30c00015 stw r3,0(r6) -81122ff4: f800283a ret -81122ff8: 0080fb84 movi r2,1006 -81122ffc: 28813026 beq r5,r2,811234c0 -81123000: 28812b36 bltu r5,r2,811234b0 -81123004: 0080fbc4 movi r2,1007 -81123008: 28812526 beq r5,r2,811234a0 -8112300c: 0080fc04 movi r2,1008 -81123010: 2880fd1e bne r5,r2,81123408 -81123014: 20c00817 ldw r3,32(r4) -81123018: 00800044 movi r2,1 -8112301c: 30c00015 stw r3,0(r6) -81123020: f800283a ret -81123024: 008100c4 movi r2,1027 -81123028: 28814526 beq r5,r2,81123540 -8112302c: 28814036 bltu r5,r2,81123530 -81123030: 00810104 movi r2,1028 -81123034: 28813a26 beq r5,r2,81123520 -81123038: 00810144 movi r2,1029 -8112303c: 2880f21e bne r5,r2,81123408 -81123040: 20c01e17 ldw r3,120(r4) -81123044: 00800044 movi r2,1 -81123048: 30c00015 stw r3,0(r6) -8112304c: f800283a ret -81123050: 00810b84 movi r2,1070 -81123054: 2881da26 beq r5,r2,811237c0 -81123058: 2881d536 bltu r5,r2,811237b0 -8112305c: 00810bc4 movi r2,1071 -81123060: 2881cf26 beq r5,r2,811237a0 -81123064: 00810c04 movi r2,1072 -81123068: 2880e71e bne r5,r2,81123408 -8112306c: 20c04e17 ldw r3,312(r4) -81123070: 00800044 movi r2,1 -81123074: 30c00015 stw r3,0(r6) -81123078: f800283a ret -8112307c: 00820484 movi r2,2066 -81123080: 2881df26 beq r5,r2,81123800 -81123084: 2881da36 bltu r5,r2,811237f0 -81123088: 008204c4 movi r2,2067 -8112308c: 2881d426 beq r5,r2,811237e0 -81123090: 00820544 movi r2,2069 -81123094: 2880dc1e bne r5,r2,81123408 -81123098: 38c05717 ldw r3,348(r7) -8112309c: 00800044 movi r2,1 -811230a0: 30c00015 stw r3,0(r6) -811230a4: f800283a ret -811230a8: 0081ff44 movi r2,2045 -811230ac: 28816426 beq r5,r2,81123640 -811230b0: 28815f36 bltu r5,r2,81123630 -811230b4: 0081ff84 movi r2,2046 -811230b8: 28815926 beq r5,r2,81123620 -811230bc: 0081ffc4 movi r2,2047 -811230c0: 2880d11e bne r5,r2,81123408 -811230c4: 38c02f17 ldw r3,188(r7) -811230c8: 00800044 movi r2,1 -811230cc: 30c00015 stw r3,0(r6) -811230d0: f800283a ret -811230d4: 0081f784 movi r2,2014 -811230d8: 2881e926 beq r5,r2,81123880 -811230dc: 2881e436 bltu r5,r2,81123870 -811230e0: 0081f7c4 movi r2,2015 -811230e4: 2881de26 beq r5,r2,81123860 -811230e8: 0081f804 movi r2,2016 -811230ec: 2880c61e bne r5,r2,81123408 -811230f0: 38c01017 ldw r3,64(r7) -811230f4: 00800044 movi r2,1 -811230f8: 30c00015 stw r3,0(r6) -811230fc: f800283a ret -81123100: 00810884 movi r2,1058 -81123104: 2881ce26 beq r5,r2,81123840 -81123108: 2881c936 bltu r5,r2,81123830 -8112310c: 008108c4 movi r2,1059 -81123110: 2881c326 beq r5,r2,81123820 -81123114: 00810944 movi r2,1061 -81123118: 2880bb1e bne r5,r2,81123408 -8112311c: 20c04017 ldw r3,256(r4) -81123120: 00800044 movi r2,1 -81123124: 30c00015 stw r3,0(r6) -81123128: f800283a ret -8112312c: 0080fe04 movi r2,1016 -81123130: 28818326 beq r5,r2,81123740 -81123134: 28817e36 bltu r5,r2,81123730 -81123138: 0080fe44 movi r2,1017 -8112313c: 28817826 beq r5,r2,81123720 -81123140: 0080fe84 movi r2,1018 -81123144: 2880b01e bne r5,r2,81123408 -81123148: 20c01217 ldw r3,72(r4) -8112314c: 00800044 movi r2,1 -81123150: 30c00015 stw r3,0(r6) -81123154: f800283a ret -81123158: 00c2ef84 movi r3,3006 -8112315c: 28c03826 beq r5,r3,81123240 -81123160: 00c2efc4 movi r3,3007 -81123164: 28c0a81e bne r5,r3,81123408 -81123168: 10c004c3 ldbu r3,19(r2) -8112316c: 00800044 movi r2,1 -81123170: 30c00015 stw r3,0(r6) -81123174: f800283a ret -81123178: 00820244 movi r2,2057 -8112317c: 2881e026 beq r5,r2,81123900 -81123180: 00820284 movi r2,2058 -81123184: 2880a01e bne r5,r2,81123408 -81123188: 38c03a17 ldw r3,232(r7) +81122f74: 38c01617 ldw r3,88(r7) +81122f78: 00800044 movi r2,1 +81122f7c: 30c00015 stw r3,0(r6) +81122f80: f800283a ret +81122f84: 38c00217 ldw r3,8(r7) +81122f88: 00800044 movi r2,1 +81122f8c: 30c00015 stw r3,0(r6) +81122f90: f800283a ret +81122f94: 20c00417 ldw r3,16(r4) +81122f98: 00800044 movi r2,1 +81122f9c: 30c00015 stw r3,0(r6) +81122fa0: f800283a ret +81122fa4: 20c02f17 ldw r3,188(r4) +81122fa8: 00800044 movi r2,1 +81122fac: 30c00015 stw r3,0(r6) +81122fb0: f800283a ret +81122fb4: 0081f504 movi r2,2004 +81122fb8: 28813126 beq r5,r2,81123480 +81122fbc: 28812c36 bltu r5,r2,81123470 +81122fc0: 0081f544 movi r2,2005 +81122fc4: 28812626 beq r5,r2,81123460 +81122fc8: 0081f584 movi r2,2006 +81122fcc: 28811e1e bne r5,r2,81123448 +81122fd0: 38c00617 ldw r3,24(r7) +81122fd4: 00800044 movi r2,1 +81122fd8: 30c00015 stw r3,0(r6) +81122fdc: f800283a ret +81122fe0: 0081fa04 movi r2,2024 +81122fe4: 28813626 beq r5,r2,811234c0 +81122fe8: 28813136 bltu r5,r2,811234b0 +81122fec: 0081fa44 movi r2,2025 +81122ff0: 28812b26 beq r5,r2,811234a0 +81122ff4: 0081fa84 movi r2,2026 +81122ff8: 2881131e bne r5,r2,81123448 +81122ffc: 38c01a17 ldw r3,104(r7) +81123000: 00800044 movi r2,1 +81123004: 30c00015 stw r3,0(r6) +81123008: f800283a ret +8112300c: 00810604 movi r2,1048 +81123010: 28814b26 beq r5,r2,81123540 +81123014: 28814636 bltu r5,r2,81123530 +81123018: 00810644 movi r2,1049 +8112301c: 28814026 beq r5,r2,81123520 +81123020: 00810684 movi r2,1050 +81123024: 2881081e bne r5,r2,81123448 +81123028: 20c03317 ldw r3,204(r4) +8112302c: 00800044 movi r2,1 +81123030: 30c00015 stw r3,0(r6) +81123034: f800283a ret +81123038: 0080fb84 movi r2,1006 +8112303c: 28813026 beq r5,r2,81123500 +81123040: 28812b36 bltu r5,r2,811234f0 +81123044: 0080fbc4 movi r2,1007 +81123048: 28812526 beq r5,r2,811234e0 +8112304c: 0080fc04 movi r2,1008 +81123050: 2880fd1e bne r5,r2,81123448 +81123054: 20c00817 ldw r3,32(r4) +81123058: 00800044 movi r2,1 +8112305c: 30c00015 stw r3,0(r6) +81123060: f800283a ret +81123064: 008100c4 movi r2,1027 +81123068: 28814526 beq r5,r2,81123580 +8112306c: 28814036 bltu r5,r2,81123570 +81123070: 00810104 movi r2,1028 +81123074: 28813a26 beq r5,r2,81123560 +81123078: 00810144 movi r2,1029 +8112307c: 2880f21e bne r5,r2,81123448 +81123080: 20c01e17 ldw r3,120(r4) +81123084: 00800044 movi r2,1 +81123088: 30c00015 stw r3,0(r6) +8112308c: f800283a ret +81123090: 00810b84 movi r2,1070 +81123094: 2881da26 beq r5,r2,81123800 +81123098: 2881d536 bltu r5,r2,811237f0 +8112309c: 00810bc4 movi r2,1071 +811230a0: 2881cf26 beq r5,r2,811237e0 +811230a4: 00810c04 movi r2,1072 +811230a8: 2880e71e bne r5,r2,81123448 +811230ac: 20c04e17 ldw r3,312(r4) +811230b0: 00800044 movi r2,1 +811230b4: 30c00015 stw r3,0(r6) +811230b8: f800283a ret +811230bc: 00820484 movi r2,2066 +811230c0: 2881df26 beq r5,r2,81123840 +811230c4: 2881da36 bltu r5,r2,81123830 +811230c8: 008204c4 movi r2,2067 +811230cc: 2881d426 beq r5,r2,81123820 +811230d0: 00820544 movi r2,2069 +811230d4: 2880dc1e bne r5,r2,81123448 +811230d8: 38c05717 ldw r3,348(r7) +811230dc: 00800044 movi r2,1 +811230e0: 30c00015 stw r3,0(r6) +811230e4: f800283a ret +811230e8: 0081ff44 movi r2,2045 +811230ec: 28816426 beq r5,r2,81123680 +811230f0: 28815f36 bltu r5,r2,81123670 +811230f4: 0081ff84 movi r2,2046 +811230f8: 28815926 beq r5,r2,81123660 +811230fc: 0081ffc4 movi r2,2047 +81123100: 2880d11e bne r5,r2,81123448 +81123104: 38c02f17 ldw r3,188(r7) +81123108: 00800044 movi r2,1 +8112310c: 30c00015 stw r3,0(r6) +81123110: f800283a ret +81123114: 0081f784 movi r2,2014 +81123118: 2881e926 beq r5,r2,811238c0 +8112311c: 2881e436 bltu r5,r2,811238b0 +81123120: 0081f7c4 movi r2,2015 +81123124: 2881de26 beq r5,r2,811238a0 +81123128: 0081f804 movi r2,2016 +8112312c: 2880c61e bne r5,r2,81123448 +81123130: 38c01017 ldw r3,64(r7) +81123134: 00800044 movi r2,1 +81123138: 30c00015 stw r3,0(r6) +8112313c: f800283a ret +81123140: 00810884 movi r2,1058 +81123144: 2881ce26 beq r5,r2,81123880 +81123148: 2881c936 bltu r5,r2,81123870 +8112314c: 008108c4 movi r2,1059 +81123150: 2881c326 beq r5,r2,81123860 +81123154: 00810944 movi r2,1061 +81123158: 2880bb1e bne r5,r2,81123448 +8112315c: 20c04017 ldw r3,256(r4) +81123160: 00800044 movi r2,1 +81123164: 30c00015 stw r3,0(r6) +81123168: f800283a ret +8112316c: 0080fe04 movi r2,1016 +81123170: 28818326 beq r5,r2,81123780 +81123174: 28817e36 bltu r5,r2,81123770 +81123178: 0080fe44 movi r2,1017 +8112317c: 28817826 beq r5,r2,81123760 +81123180: 0080fe84 movi r2,1018 +81123184: 2880b01e bne r5,r2,81123448 +81123188: 20c01217 ldw r3,72(r4) 8112318c: 00800044 movi r2,1 81123190: 30c00015 stw r3,0(r6) 81123194: f800283a ret -81123198: 008103c4 movi r2,1039 -8112319c: 2881c826 beq r5,r2,811238c0 -811231a0: 00810404 movi r2,1040 -811231a4: 2880981e bne r5,r2,81123408 -811231a8: 20c02917 ldw r3,164(r4) +81123198: 00c2ef84 movi r3,3006 +8112319c: 28c03826 beq r5,r3,81123280 +811231a0: 00c2efc4 movi r3,3007 +811231a4: 28c0a81e bne r5,r3,81123448 +811231a8: 10c004c3 ldbu r3,19(r2) 811231ac: 00800044 movi r2,1 811231b0: 30c00015 stw r3,0(r6) 811231b4: f800283a ret -811231b8: 00810e84 movi r2,1082 -811231bc: 2881e026 beq r5,r2,81123940 -811231c0: 00810ec4 movi r2,1083 -811231c4: 2880901e bne r5,r2,81123408 -811231c8: 20c03f17 ldw r3,252(r4) +811231b8: 00820244 movi r2,2057 +811231bc: 2881e026 beq r5,r2,81123940 +811231c0: 00820284 movi r2,2058 +811231c4: 2880a01e bne r5,r2,81123448 +811231c8: 38c03a17 ldw r3,232(r7) 811231cc: 00800044 movi r2,1 811231d0: 30c00015 stw r3,0(r6) 811231d4: f800283a ret -811231d8: 0081fd04 movi r2,2036 -811231dc: 28805a26 beq r5,r2,81123348 -811231e0: 0081fd44 movi r2,2037 -811231e4: 2880881e bne r5,r2,81123408 -811231e8: 38c02517 ldw r3,148(r7) +811231d8: 008103c4 movi r2,1039 +811231dc: 2881c826 beq r5,r2,81123900 +811231e0: 00810404 movi r2,1040 +811231e4: 2880981e bne r5,r2,81123448 +811231e8: 20c02917 ldw r3,164(r4) 811231ec: 00800044 movi r2,1 811231f0: 30c00015 stw r3,0(r6) 811231f4: f800283a ret -811231f8: 0080fa04 movi r2,1000 -811231fc: 2880821e bne r5,r2,81123408 -81123200: 20c00017 ldw r3,0(r4) -81123204: 00800044 movi r2,1 -81123208: 30c00015 stw r3,0(r6) -8112320c: f800283a ret -81123210: 20c00c17 ldw r3,48(r4) -81123214: 00800044 movi r2,1 -81123218: 30c00015 stw r3,0(r6) -8112321c: f800283a ret -81123220: 20c00a17 ldw r3,40(r4) -81123224: 00800044 movi r2,1 -81123228: 30c00015 stw r3,0(r6) -8112322c: f800283a ret -81123230: 38c03817 ldw r3,224(r7) -81123234: 00800044 movi r2,1 -81123238: 30c00015 stw r3,0(r6) -8112323c: f800283a ret -81123240: 10c00483 ldbu r3,18(r2) +811231f8: 00810e84 movi r2,1082 +811231fc: 2881e026 beq r5,r2,81123980 +81123200: 00810ec4 movi r2,1083 +81123204: 2880901e bne r5,r2,81123448 +81123208: 20c03f17 ldw r3,252(r4) +8112320c: 00800044 movi r2,1 +81123210: 30c00015 stw r3,0(r6) +81123214: f800283a ret +81123218: 0081fd04 movi r2,2036 +8112321c: 28805a26 beq r5,r2,81123388 +81123220: 0081fd44 movi r2,2037 +81123224: 2880881e bne r5,r2,81123448 +81123228: 38c02517 ldw r3,148(r7) +8112322c: 00800044 movi r2,1 +81123230: 30c00015 stw r3,0(r6) +81123234: f800283a ret +81123238: 0080fa04 movi r2,1000 +8112323c: 2880821e bne r5,r2,81123448 +81123240: 20c00017 ldw r3,0(r4) 81123244: 00800044 movi r2,1 81123248: 30c00015 stw r3,0(r6) 8112324c: f800283a ret -81123250: 38c03e17 ldw r3,248(r7) +81123250: 20c00c17 ldw r3,48(r4) 81123254: 00800044 movi r2,1 81123258: 30c00015 stw r3,0(r6) 8112325c: f800283a ret -81123260: 38c03c17 ldw r3,240(r7) +81123260: 20c00a17 ldw r3,40(r4) 81123264: 00800044 movi r2,1 81123268: 30c00015 stw r3,0(r6) 8112326c: f800283a ret -81123270: 38c02917 ldw r3,164(r7) +81123270: 38c03817 ldw r3,224(r7) 81123274: 00800044 movi r2,1 81123278: 30c00015 stw r3,0(r6) 8112327c: f800283a ret -81123280: 38c02717 ldw r3,156(r7) +81123280: 10c00483 ldbu r3,18(r2) 81123284: 00800044 movi r2,1 81123288: 30c00015 stw r3,0(r6) 8112328c: f800283a ret -81123290: 38c00a17 ldw r3,40(r7) +81123290: 38c03e17 ldw r3,248(r7) 81123294: 00800044 movi r2,1 81123298: 30c00015 stw r3,0(r6) 8112329c: f800283a ret -811232a0: 38c00817 ldw r3,32(r7) +811232a0: 38c03c17 ldw r3,240(r7) 811232a4: 00800044 movi r2,1 811232a8: 30c00015 stw r3,0(r6) 811232ac: f800283a ret -811232b0: 20c03717 ldw r3,220(r4) +811232b0: 38c02917 ldw r3,164(r7) 811232b4: 00800044 movi r2,1 811232b8: 30c00015 stw r3,0(r6) 811232bc: f800283a ret -811232c0: 20c03517 ldw r3,212(r4) +811232c0: 38c02717 ldw r3,156(r7) 811232c4: 00800044 movi r2,1 811232c8: 30c00015 stw r3,0(r6) 811232cc: f800283a ret -811232d0: 20c01617 ldw r3,88(r4) +811232d0: 38c00a17 ldw r3,40(r7) 811232d4: 00800044 movi r2,1 811232d8: 30c00015 stw r3,0(r6) 811232dc: f800283a ret -811232e0: 20c01417 ldw r3,80(r4) +811232e0: 38c00817 ldw r3,32(r7) 811232e4: 00800044 movi r2,1 811232e8: 30c00015 stw r3,0(r6) 811232ec: f800283a ret -811232f0: 20c04817 ldw r3,288(r4) +811232f0: 20c03717 ldw r3,220(r4) 811232f4: 00800044 movi r2,1 811232f8: 30c00015 stw r3,0(r6) 811232fc: f800283a ret -81123300: 00810a04 movi r2,1064 -81123304: 2880401e bne r5,r2,81123408 -81123308: 20c04617 ldw r3,280(r4) -8112330c: 00800044 movi r2,1 -81123310: 30c00015 stw r3,0(r6) -81123314: f800283a ret -81123318: 20c02d17 ldw r3,180(r4) -8112331c: 00800044 movi r2,1 -81123320: 30c00015 stw r3,0(r6) -81123324: f800283a ret -81123328: 20c02b17 ldw r3,172(r4) -8112332c: 00800044 movi r2,1 -81123330: 30c00015 stw r3,0(r6) -81123334: f800283a ret -81123338: 20c00217 ldw r3,8(r4) -8112333c: 00800044 movi r2,1 -81123340: 30c00015 stw r3,0(r6) -81123344: f800283a ret -81123348: 38c02417 ldw r3,144(r7) +81123300: 20c03517 ldw r3,212(r4) +81123304: 00800044 movi r2,1 +81123308: 30c00015 stw r3,0(r6) +8112330c: f800283a ret +81123310: 20c01617 ldw r3,88(r4) +81123314: 00800044 movi r2,1 +81123318: 30c00015 stw r3,0(r6) +8112331c: f800283a ret +81123320: 20c01417 ldw r3,80(r4) +81123324: 00800044 movi r2,1 +81123328: 30c00015 stw r3,0(r6) +8112332c: f800283a ret +81123330: 20c04817 ldw r3,288(r4) +81123334: 00800044 movi r2,1 +81123338: 30c00015 stw r3,0(r6) +8112333c: f800283a ret +81123340: 00810a04 movi r2,1064 +81123344: 2880401e bne r5,r2,81123448 +81123348: 20c04617 ldw r3,280(r4) 8112334c: 00800044 movi r2,1 81123350: 30c00015 stw r3,0(r6) 81123354: f800283a ret -81123358: 20c03417 ldw r3,208(r4) +81123358: 20c02d17 ldw r3,180(r4) 8112335c: 00800044 movi r2,1 81123360: 30c00015 stw r3,0(r6) 81123364: f800283a ret -81123368: 20c04517 ldw r3,276(r4) +81123368: 20c02b17 ldw r3,172(r4) 8112336c: 00800044 movi r2,1 81123370: 30c00015 stw r3,0(r6) 81123374: f800283a ret -81123378: 38c00017 ldw r3,0(r7) +81123378: 20c00217 ldw r3,8(r4) 8112337c: 00800044 movi r2,1 81123380: 30c00015 stw r3,0(r6) 81123384: f800283a ret -81123388: 20c04417 ldw r3,272(r4) +81123388: 38c02417 ldw r3,144(r7) 8112338c: 00800044 movi r2,1 81123390: 30c00015 stw r3,0(r6) 81123394: f800283a ret -81123398: 20c05017 ldw r3,320(r4) +81123398: 20c03417 ldw r3,208(r4) 8112339c: 00800044 movi r2,1 811233a0: 30c00015 stw r3,0(r6) 811233a4: f800283a ret -811233a8: 20c05117 ldw r3,324(r4) +811233a8: 20c04517 ldw r3,276(r4) 811233ac: 00800044 movi r2,1 811233b0: 30c00015 stw r3,0(r6) 811233b4: f800283a ret -811233b8: 38c01417 ldw r3,80(r7) +811233b8: 38c00017 ldw r3,0(r7) 811233bc: 00800044 movi r2,1 811233c0: 30c00015 stw r3,0(r6) 811233c4: f800283a ret -811233c8: 38c01217 ldw r3,72(r7) +811233c8: 20c04417 ldw r3,272(r4) 811233cc: 00800044 movi r2,1 811233d0: 30c00015 stw r3,0(r6) 811233d4: f800283a ret -811233d8: 20c05217 ldw r3,328(r4) +811233d8: 20c05017 ldw r3,320(r4) 811233dc: 00800044 movi r2,1 811233e0: 30c00015 stw r3,0(r6) 811233e4: f800283a ret -811233e8: 38c01b17 ldw r3,108(r7) +811233e8: 20c05117 ldw r3,324(r4) 811233ec: 00800044 movi r2,1 811233f0: 30c00015 stw r3,0(r6) 811233f4: f800283a ret -811233f8: 38c00717 ldw r3,28(r7) +811233f8: 38c01417 ldw r3,80(r7) 811233fc: 00800044 movi r2,1 81123400: 30c00015 stw r3,0(r6) 81123404: f800283a ret -81123408: 0005883a mov r2,zero -8112340c: f800283a ret -81123410: 38c01317 ldw r3,76(r7) -81123414: 00800044 movi r2,1 -81123418: 30c00015 stw r3,0(r6) -8112341c: f800283a ret -81123420: 38c00517 ldw r3,20(r7) -81123424: 00800044 movi r2,1 -81123428: 30c00015 stw r3,0(r6) -8112342c: f800283a ret -81123430: 38c00317 ldw r3,12(r7) -81123434: 00800044 movi r2,1 -81123438: 30c00015 stw r3,0(r6) -8112343c: f800283a ret -81123440: 38c00417 ldw r3,16(r7) -81123444: 00800044 movi r2,1 -81123448: 30c00015 stw r3,0(r6) +81123408: 38c01217 ldw r3,72(r7) +8112340c: 00800044 movi r2,1 +81123410: 30c00015 stw r3,0(r6) +81123414: f800283a ret +81123418: 20c05217 ldw r3,328(r4) +8112341c: 00800044 movi r2,1 +81123420: 30c00015 stw r3,0(r6) +81123424: f800283a ret +81123428: 38c01b17 ldw r3,108(r7) +8112342c: 00800044 movi r2,1 +81123430: 30c00015 stw r3,0(r6) +81123434: f800283a ret +81123438: 38c00717 ldw r3,28(r7) +8112343c: 00800044 movi r2,1 +81123440: 30c00015 stw r3,0(r6) +81123444: f800283a ret +81123448: 0005883a mov r2,zero 8112344c: f800283a ret -81123450: 20c02c17 ldw r3,176(r4) +81123450: 38c01317 ldw r3,76(r7) 81123454: 00800044 movi r2,1 81123458: 30c00015 stw r3,0(r6) 8112345c: f800283a ret -81123460: 38c01917 ldw r3,100(r7) +81123460: 38c00517 ldw r3,20(r7) 81123464: 00800044 movi r2,1 81123468: 30c00015 stw r3,0(r6) 8112346c: f800283a ret -81123470: 38c01717 ldw r3,92(r7) +81123470: 38c00317 ldw r3,12(r7) 81123474: 00800044 movi r2,1 81123478: 30c00015 stw r3,0(r6) 8112347c: f800283a ret -81123480: 38c01817 ldw r3,96(r7) +81123480: 38c00417 ldw r3,16(r7) 81123484: 00800044 movi r2,1 81123488: 30c00015 stw r3,0(r6) 8112348c: f800283a ret -81123490: 20c01517 ldw r3,84(r4) +81123490: 20c02c17 ldw r3,176(r4) 81123494: 00800044 movi r2,1 81123498: 30c00015 stw r3,0(r6) 8112349c: f800283a ret -811234a0: 20c00717 ldw r3,28(r4) +811234a0: 38c01917 ldw r3,100(r7) 811234a4: 00800044 movi r2,1 811234a8: 30c00015 stw r3,0(r6) 811234ac: f800283a ret -811234b0: 20c00517 ldw r3,20(r4) +811234b0: 38c01717 ldw r3,92(r7) 811234b4: 00800044 movi r2,1 811234b8: 30c00015 stw r3,0(r6) 811234bc: f800283a ret -811234c0: 20c00617 ldw r3,24(r4) +811234c0: 38c01817 ldw r3,96(r7) 811234c4: 00800044 movi r2,1 811234c8: 30c00015 stw r3,0(r6) 811234cc: f800283a ret -811234d0: 20c00117 ldw r3,4(r4) +811234d0: 20c01517 ldw r3,84(r4) 811234d4: 00800044 movi r2,1 811234d8: 30c00015 stw r3,0(r6) 811234dc: f800283a ret -811234e0: 20c03217 ldw r3,200(r4) +811234e0: 20c00717 ldw r3,28(r4) 811234e4: 00800044 movi r2,1 811234e8: 30c00015 stw r3,0(r6) 811234ec: f800283a ret -811234f0: 20c03017 ldw r3,192(r4) +811234f0: 20c00517 ldw r3,20(r4) 811234f4: 00800044 movi r2,1 811234f8: 30c00015 stw r3,0(r6) 811234fc: f800283a ret -81123500: 20c03117 ldw r3,196(r4) +81123500: 20c00617 ldw r3,24(r4) 81123504: 00800044 movi r2,1 81123508: 30c00015 stw r3,0(r6) 8112350c: f800283a ret -81123510: 20c04717 ldw r3,284(r4) +81123510: 20c00117 ldw r3,4(r4) 81123514: 00800044 movi r2,1 81123518: 30c00015 stw r3,0(r6) 8112351c: f800283a ret -81123520: 20c01d17 ldw r3,116(r4) +81123520: 20c03217 ldw r3,200(r4) 81123524: 00800044 movi r2,1 81123528: 30c00015 stw r3,0(r6) 8112352c: f800283a ret -81123530: 20c01b17 ldw r3,108(r4) +81123530: 20c03017 ldw r3,192(r4) 81123534: 00800044 movi r2,1 81123538: 30c00015 stw r3,0(r6) 8112353c: f800283a ret -81123540: 20c01c17 ldw r3,112(r4) +81123540: 20c03117 ldw r3,196(r4) 81123544: 00800044 movi r2,1 81123548: 30c00015 stw r3,0(r6) 8112354c: f800283a ret -81123550: 38c03617 ldw r3,216(r7) +81123550: 20c04717 ldw r3,284(r4) 81123554: 00800044 movi r2,1 81123558: 30c00015 stw r3,0(r6) 8112355c: f800283a ret -81123560: 10c00017 ldw r3,0(r2) +81123560: 20c01d17 ldw r3,116(r4) 81123564: 00800044 movi r2,1 81123568: 30c00015 stw r3,0(r6) 8112356c: f800283a ret -81123570: 38c05917 ldw r3,356(r7) +81123570: 20c01b17 ldw r3,108(r4) 81123574: 00800044 movi r2,1 81123578: 30c00015 stw r3,0(r6) 8112357c: f800283a ret -81123580: 38c05a17 ldw r3,360(r7) +81123580: 20c01c17 ldw r3,112(r4) 81123584: 00800044 movi r2,1 81123588: 30c00015 stw r3,0(r6) 8112358c: f800283a ret -81123590: 20c02417 ldw r3,144(r4) +81123590: 38c03617 ldw r3,216(r7) 81123594: 00800044 movi r2,1 81123598: 30c00015 stw r3,0(r6) 8112359c: f800283a ret -811235a0: 38c01e17 ldw r3,120(r7) +811235a0: 10c00017 ldw r3,0(r2) 811235a4: 00800044 movi r2,1 811235a8: 30c00015 stw r3,0(r6) 811235ac: f800283a ret -811235b0: 38c01c17 ldw r3,112(r7) +811235b0: 38c05917 ldw r3,356(r7) 811235b4: 00800044 movi r2,1 811235b8: 30c00015 stw r3,0(r6) 811235bc: f800283a ret -811235c0: 38c01d17 ldw r3,116(r7) +811235c0: 38c05a17 ldw r3,360(r7) 811235c4: 00800044 movi r2,1 811235c8: 30c00015 stw r3,0(r6) 811235cc: f800283a ret -811235d0: 38c02017 ldw r3,128(r7) +811235d0: 20c02417 ldw r3,144(r4) 811235d4: 00800044 movi r2,1 811235d8: 30c00015 stw r3,0(r6) 811235dc: f800283a ret -811235e0: 20c02217 ldw r3,136(r4) +811235e0: 38c01e17 ldw r3,120(r7) 811235e4: 00800044 movi r2,1 811235e8: 30c00015 stw r3,0(r6) 811235ec: f800283a ret -811235f0: 20c02017 ldw r3,128(r4) +811235f0: 38c01c17 ldw r3,112(r7) 811235f4: 00800044 movi r2,1 811235f8: 30c00015 stw r3,0(r6) 811235fc: f800283a ret -81123600: 20c02117 ldw r3,132(r4) +81123600: 38c01d17 ldw r3,116(r7) 81123604: 00800044 movi r2,1 81123608: 30c00015 stw r3,0(r6) 8112360c: f800283a ret -81123610: 38c00917 ldw r3,36(r7) +81123610: 38c02017 ldw r3,128(r7) 81123614: 00800044 movi r2,1 81123618: 30c00015 stw r3,0(r6) 8112361c: f800283a ret -81123620: 38c02e17 ldw r3,184(r7) +81123620: 20c02217 ldw r3,136(r4) 81123624: 00800044 movi r2,1 81123628: 30c00015 stw r3,0(r6) 8112362c: f800283a ret -81123630: 38c02c17 ldw r3,176(r7) +81123630: 20c02017 ldw r3,128(r4) 81123634: 00800044 movi r2,1 81123638: 30c00015 stw r3,0(r6) 8112363c: f800283a ret -81123640: 38c02d17 ldw r3,180(r7) +81123640: 20c02117 ldw r3,132(r4) 81123644: 00800044 movi r2,1 81123648: 30c00015 stw r3,0(r6) 8112364c: f800283a ret -81123650: 38c05817 ldw r3,352(r7) +81123650: 38c00917 ldw r3,36(r7) 81123654: 00800044 movi r2,1 81123658: 30c00015 stw r3,0(r6) 8112365c: f800283a ret -81123660: 20c01f17 ldw r3,124(r4) +81123660: 38c02e17 ldw r3,184(r7) 81123664: 00800044 movi r2,1 81123668: 30c00015 stw r3,0(r6) 8112366c: f800283a ret -81123670: 38c02617 ldw r3,152(r7) +81123670: 38c02c17 ldw r3,176(r7) 81123674: 00800044 movi r2,1 81123678: 30c00015 stw r3,0(r6) 8112367c: f800283a ret -81123680: 38c01117 ldw r3,68(r7) +81123680: 38c02d17 ldw r3,180(r7) 81123684: 00800044 movi r2,1 81123688: 30c00015 stw r3,0(r6) 8112368c: f800283a ret -81123690: 20c04317 ldw r3,268(r4) +81123690: 38c05817 ldw r3,352(r7) 81123694: 00800044 movi r2,1 81123698: 30c00015 stw r3,0(r6) 8112369c: f800283a ret -811236a0: 20c04f17 ldw r3,316(r4) +811236a0: 20c01f17 ldw r3,124(r4) 811236a4: 00800044 movi r2,1 811236a8: 30c00015 stw r3,0(r6) 811236ac: f800283a ret -811236b0: 20c00917 ldw r3,36(r4) +811236b0: 38c02617 ldw r3,152(r7) 811236b4: 00800044 movi r2,1 811236b8: 30c00015 stw r3,0(r6) 811236bc: f800283a ret -811236c0: 38c03017 ldw r3,192(r7) +811236c0: 38c01117 ldw r3,68(r7) 811236c4: 00800044 movi r2,1 811236c8: 30c00015 stw r3,0(r6) 811236cc: f800283a ret -811236d0: 10c00317 ldw r3,12(r2) +811236d0: 20c04317 ldw r3,268(r4) 811236d4: 00800044 movi r2,1 811236d8: 30c00015 stw r3,0(r6) 811236dc: f800283a ret -811236e0: 38c03317 ldw r3,204(r7) +811236e0: 20c04f17 ldw r3,316(r4) 811236e4: 00800044 movi r2,1 811236e8: 30c00015 stw r3,0(r6) 811236ec: f800283a ret -811236f0: 38c03117 ldw r3,196(r7) +811236f0: 20c00917 ldw r3,36(r4) 811236f4: 00800044 movi r2,1 811236f8: 30c00015 stw r3,0(r6) 811236fc: f800283a ret -81123700: 38c03217 ldw r3,200(r7) +81123700: 38c03017 ldw r3,192(r7) 81123704: 00800044 movi r2,1 81123708: 30c00015 stw r3,0(r6) 8112370c: f800283a ret -81123710: 10c00443 ldbu r3,17(r2) +81123710: 10c00317 ldw r3,12(r2) 81123714: 00800044 movi r2,1 81123718: 30c00015 stw r3,0(r6) 8112371c: f800283a ret -81123720: 20c01117 ldw r3,68(r4) +81123720: 38c03317 ldw r3,204(r7) 81123724: 00800044 movi r2,1 81123728: 30c00015 stw r3,0(r6) 8112372c: f800283a ret -81123730: 20c00f17 ldw r3,60(r4) +81123730: 38c03117 ldw r3,196(r7) 81123734: 00800044 movi r2,1 81123738: 30c00015 stw r3,0(r6) 8112373c: f800283a ret -81123740: 20c01017 ldw r3,64(r4) +81123740: 38c03217 ldw r3,200(r7) 81123744: 00800044 movi r2,1 81123748: 30c00015 stw r3,0(r6) 8112374c: f800283a ret -81123750: 20c02a17 ldw r3,168(r4) +81123750: 10c00443 ldbu r3,17(r2) 81123754: 00800044 movi r2,1 81123758: 30c00015 stw r3,0(r6) 8112375c: f800283a ret -81123760: 20c01317 ldw r3,76(r4) +81123760: 20c01117 ldw r3,68(r4) 81123764: 00800044 movi r2,1 81123768: 30c00015 stw r3,0(r6) 8112376c: f800283a ret -81123770: 20c04117 ldw r3,260(r4) +81123770: 20c00f17 ldw r3,60(r4) 81123774: 00800044 movi r2,1 81123778: 30c00015 stw r3,0(r6) 8112377c: f800283a ret -81123780: 38c03b17 ldw r3,236(r7) +81123780: 20c01017 ldw r3,64(r4) 81123784: 00800044 movi r2,1 81123788: 30c00015 stw r3,0(r6) 8112378c: f800283a ret -81123790: 38c03d17 ldw r3,244(r7) +81123790: 20c02a17 ldw r3,168(r4) 81123794: 00800044 movi r2,1 81123798: 30c00015 stw r3,0(r6) 8112379c: f800283a ret -811237a0: 20c04d17 ldw r3,308(r4) +811237a0: 20c01317 ldw r3,76(r4) 811237a4: 00800044 movi r2,1 811237a8: 30c00015 stw r3,0(r6) 811237ac: f800283a ret -811237b0: 20c04b17 ldw r3,300(r4) +811237b0: 20c04117 ldw r3,260(r4) 811237b4: 00800044 movi r2,1 811237b8: 30c00015 stw r3,0(r6) 811237bc: f800283a ret -811237c0: 20c04c17 ldw r3,304(r4) +811237c0: 38c03b17 ldw r3,236(r7) 811237c4: 00800044 movi r2,1 811237c8: 30c00015 stw r3,0(r6) 811237cc: f800283a ret -811237d0: 38c02817 ldw r3,160(r7) +811237d0: 38c03d17 ldw r3,244(r7) 811237d4: 00800044 movi r2,1 811237d8: 30c00015 stw r3,0(r6) 811237dc: f800283a ret -811237e0: 38c04c17 ldw r3,304(r7) +811237e0: 20c04d17 ldw r3,308(r4) 811237e4: 00800044 movi r2,1 811237e8: 30c00015 stw r3,0(r6) 811237ec: f800283a ret -811237f0: 38c04917 ldw r3,292(r7) +811237f0: 20c04b17 ldw r3,300(r4) 811237f4: 00800044 movi r2,1 811237f8: 30c00015 stw r3,0(r6) 811237fc: f800283a ret -81123800: 38c04b17 ldw r3,300(r7) +81123800: 20c04c17 ldw r3,304(r4) 81123804: 00800044 movi r2,1 81123808: 30c00015 stw r3,0(r6) 8112380c: f800283a ret -81123810: 20c00b17 ldw r3,44(r4) +81123810: 38c02817 ldw r3,160(r7) 81123814: 00800044 movi r2,1 81123818: 30c00015 stw r3,0(r6) 8112381c: f800283a ret -81123820: 20c03c17 ldw r3,240(r4) +81123820: 38c04c17 ldw r3,304(r7) 81123824: 00800044 movi r2,1 81123828: 30c00015 stw r3,0(r6) 8112382c: f800283a ret -81123830: 20c03a17 ldw r3,232(r4) +81123830: 38c04917 ldw r3,292(r7) 81123834: 00800044 movi r2,1 81123838: 30c00015 stw r3,0(r6) 8112383c: f800283a ret -81123840: 20c03b17 ldw r3,236(r4) +81123840: 38c04b17 ldw r3,300(r7) 81123844: 00800044 movi r2,1 81123848: 30c00015 stw r3,0(r6) 8112384c: f800283a ret -81123850: 20c03617 ldw r3,216(r4) +81123850: 20c00b17 ldw r3,44(r4) 81123854: 00800044 movi r2,1 81123858: 30c00015 stw r3,0(r6) 8112385c: f800283a ret -81123860: 38c00f17 ldw r3,60(r7) +81123860: 20c03c17 ldw r3,240(r4) 81123864: 00800044 movi r2,1 81123868: 30c00015 stw r3,0(r6) 8112386c: f800283a ret -81123870: 38c00d17 ldw r3,52(r7) +81123870: 20c03a17 ldw r3,232(r4) 81123874: 00800044 movi r2,1 81123878: 30c00015 stw r3,0(r6) 8112387c: f800283a ret -81123880: 38c00e17 ldw r3,56(r7) +81123880: 20c03b17 ldw r3,236(r4) 81123884: 00800044 movi r2,1 81123888: 30c00015 stw r3,0(r6) 8112388c: f800283a ret -81123890: 10c00203 ldbu r3,8(r2) +81123890: 20c03617 ldw r3,216(r4) 81123894: 00800044 movi r2,1 81123898: 30c00015 stw r3,0(r6) 8112389c: f800283a ret -811238a0: 20c01817 ldw r3,96(r4) +811238a0: 38c00f17 ldw r3,60(r7) 811238a4: 00800044 movi r2,1 811238a8: 30c00015 stw r3,0(r6) 811238ac: f800283a ret -811238b0: 20c03d17 ldw r3,244(r4) +811238b0: 38c00d17 ldw r3,52(r7) 811238b4: 00800044 movi r2,1 811238b8: 30c00015 stw r3,0(r6) 811238bc: f800283a ret -811238c0: 20c02817 ldw r3,160(r4) +811238c0: 38c00e17 ldw r3,56(r7) 811238c4: 00800044 movi r2,1 811238c8: 30c00015 stw r3,0(r6) 811238cc: f800283a ret -811238d0: 38c03517 ldw r3,212(r7) +811238d0: 10c00203 ldbu r3,8(r2) 811238d4: 00800044 movi r2,1 811238d8: 30c00015 stw r3,0(r6) 811238dc: f800283a ret -811238e0: 20c02517 ldw r3,148(r4) +811238e0: 20c01817 ldw r3,96(r4) 811238e4: 00800044 movi r2,1 811238e8: 30c00015 stw r3,0(r6) 811238ec: f800283a ret -811238f0: 20c02717 ldw r3,156(r4) +811238f0: 20c03d17 ldw r3,244(r4) 811238f4: 00800044 movi r2,1 811238f8: 30c00015 stw r3,0(r6) 811238fc: f800283a ret -81123900: 38c03917 ldw r3,228(r7) +81123900: 20c02817 ldw r3,160(r4) 81123904: 00800044 movi r2,1 81123908: 30c00015 stw r3,0(r6) 8112390c: f800283a ret -81123910: 20c05417 ldw r3,336(r4) +81123910: 38c03517 ldw r3,212(r7) 81123914: 00800044 movi r2,1 81123918: 30c00015 stw r3,0(r6) 8112391c: f800283a ret -81123920: 38c02117 ldw r3,132(r7) +81123920: 20c02517 ldw r3,148(r4) 81123924: 00800044 movi r2,1 81123928: 30c00015 stw r3,0(r6) 8112392c: f800283a ret -81123930: 38c02317 ldw r3,140(r7) +81123930: 20c02717 ldw r3,156(r4) 81123934: 00800044 movi r2,1 81123938: 30c00015 stw r3,0(r6) 8112393c: f800283a ret -81123940: 20c03e17 ldw r3,248(r4) +81123940: 38c03917 ldw r3,228(r7) 81123944: 00800044 movi r2,1 81123948: 30c00015 stw r3,0(r6) 8112394c: f800283a ret - -81123950 : -81123950: 31803fcc andi r6,r6,255 +81123950: 20c05417 ldw r3,336(r4) 81123954: 00800044 movi r2,1 -81123958: 3080151e bne r6,r2,811239b0 -8112395c: 21363c04 addi r4,r4,-10000 -81123960: 00e04634 movhi r3,33048 -81123964: 213fffcc andi r4,r4,65535 -81123968: 00800204 movi r2,8 -8112396c: 18cf1204 addi r3,r3,15432 -81123970: 11001536 bltu r2,r4,811239c8 -81123974: 200890ba slli r4,r4,2 -81123978: 00a044b4 movhi r2,33042 -8112397c: 108e6304 addi r2,r2,14732 -81123980: 2089883a add r4,r4,r2 -81123984: 20800017 ldw r2,0(r4) -81123988: 1000683a jmp r2 -8112398c: 811239f0 cmpltui r4,r16,18663 -81123990: 81123a00 call 881123a0 <__reset+0x20f23a0> -81123994: 81123a10 cmplti r4,r16,18664 -81123998: 81123a44 addi r4,r16,18665 -8112399c: 81123a60 cmpeqi r4,r16,18665 -811239a0: 81123a7c xorhi r4,r16,18665 -811239a4: 81123a98 cmpnei r4,r16,18666 -811239a8: 811239d0 cmplti r4,r16,18663 -811239ac: 811239e0 cmpeqi r4,r16,18663 -811239b0: 21363c04 addi r4,r4,-10000 -811239b4: 00e04634 movhi r3,33048 -811239b8: 213fffcc andi r4,r4,65535 -811239bc: 00800204 movi r2,8 -811239c0: 18cf2304 addi r3,r3,15500 -811239c4: 113feb2e bgeu r2,r4,81123974 <__reset+0xfb103974> -811239c8: 0005883a mov r2,zero -811239cc: f800283a ret -811239d0: 18c00643 ldbu r3,25(r3) -811239d4: 00800044 movi r2,1 -811239d8: 28c00015 stw r3,0(r5) -811239dc: f800283a ret -811239e0: 18c00683 ldbu r3,26(r3) -811239e4: 00800044 movi r2,1 -811239e8: 28c00015 stw r3,0(r5) -811239ec: f800283a ret -811239f0: 18c0000b ldhu r3,0(r3) -811239f4: 00800044 movi r2,1 -811239f8: 28c00015 stw r3,0(r5) -811239fc: f800283a ret -81123a00: 18c00117 ldw r3,4(r3) -81123a04: 00800044 movi r2,1 -81123a08: 28c00015 stw r3,0(r5) +81123958: 30c00015 stw r3,0(r6) +8112395c: f800283a ret +81123960: 38c02117 ldw r3,132(r7) +81123964: 00800044 movi r2,1 +81123968: 30c00015 stw r3,0(r6) +8112396c: f800283a ret +81123970: 38c02317 ldw r3,140(r7) +81123974: 00800044 movi r2,1 +81123978: 30c00015 stw r3,0(r6) +8112397c: f800283a ret +81123980: 20c03e17 ldw r3,248(r4) +81123984: 00800044 movi r2,1 +81123988: 30c00015 stw r3,0(r6) +8112398c: f800283a ret + +81123990 : +81123990: 31803fcc andi r6,r6,255 +81123994: 00800044 movi r2,1 +81123998: 3080151e bne r6,r2,811239f0 +8112399c: 21363c04 addi r4,r4,-10000 +811239a0: 00e04634 movhi r3,33048 +811239a4: 213fffcc andi r4,r4,65535 +811239a8: 00800204 movi r2,8 +811239ac: 18cf2304 addi r3,r3,15500 +811239b0: 11001536 bltu r2,r4,81123a08 +811239b4: 200890ba slli r4,r4,2 +811239b8: 00a044b4 movhi r2,33042 +811239bc: 108e7304 addi r2,r2,14796 +811239c0: 2089883a add r4,r4,r2 +811239c4: 20800017 ldw r2,0(r4) +811239c8: 1000683a jmp r2 +811239cc: 81123a30 cmpltui r4,r16,18664 +811239d0: 81123a40 call 881123a4 <__reset+0x20f23a4> +811239d4: 81123a50 cmplti r4,r16,18665 +811239d8: 81123a84 addi r4,r16,18666 +811239dc: 81123aa0 cmpeqi r4,r16,18666 +811239e0: 81123abc xorhi r4,r16,18666 +811239e4: 81123ad8 cmpnei r4,r16,18667 +811239e8: 81123a10 cmplti r4,r16,18664 +811239ec: 81123a20 cmpeqi r4,r16,18664 +811239f0: 21363c04 addi r4,r4,-10000 +811239f4: 00e04634 movhi r3,33048 +811239f8: 213fffcc andi r4,r4,65535 +811239fc: 00800204 movi r2,8 +81123a00: 18cf3404 addi r3,r3,15568 +81123a04: 113feb2e bgeu r2,r4,811239b4 <__reset+0xfb1039b4> +81123a08: 0005883a mov r2,zero 81123a0c: f800283a ret -81123a10: 19c00203 ldbu r7,8(r3) -81123a14: 19000243 ldbu r4,9(r3) -81123a18: 18800283 ldbu r2,10(r3) -81123a1c: 380e963a slli r7,r7,24 -81123a20: 2008943a slli r4,r4,16 -81123a24: 198002c3 ldbu r6,11(r3) -81123a28: 1006923a slli r3,r2,8 -81123a2c: 3904b03a or r2,r7,r4 -81123a30: 1184b03a or r2,r2,r6 -81123a34: 10c4b03a or r2,r2,r3 -81123a38: 28800015 stw r2,0(r5) -81123a3c: 00800044 movi r2,1 -81123a40: f800283a ret -81123a44: 19c00303 ldbu r7,12(r3) -81123a48: 19000343 ldbu r4,13(r3) -81123a4c: 18800383 ldbu r2,14(r3) -81123a50: 380e963a slli r7,r7,24 -81123a54: 2008943a slli r4,r4,16 -81123a58: 198003c3 ldbu r6,15(r3) -81123a5c: 003ff206 br 81123a28 <__reset+0xfb103a28> -81123a60: 19c00403 ldbu r7,16(r3) -81123a64: 19000443 ldbu r4,17(r3) -81123a68: 18800483 ldbu r2,18(r3) -81123a6c: 380e963a slli r7,r7,24 -81123a70: 2008943a slli r4,r4,16 -81123a74: 198004c3 ldbu r6,19(r3) -81123a78: 003feb06 br 81123a28 <__reset+0xfb103a28> -81123a7c: 19c00503 ldbu r7,20(r3) -81123a80: 19000543 ldbu r4,21(r3) -81123a84: 18800583 ldbu r2,22(r3) -81123a88: 380e963a slli r7,r7,24 -81123a8c: 2008943a slli r4,r4,16 -81123a90: 198005c3 ldbu r6,23(r3) -81123a94: 003fe406 br 81123a28 <__reset+0xfb103a28> -81123a98: 18c00603 ldbu r3,24(r3) -81123a9c: 00800044 movi r2,1 -81123aa0: 28c00015 stw r3,0(r5) -81123aa4: f800283a ret - -81123aa8 : -81123aa8: 20ffffcc andi r3,r4,65535 -81123aac: 2805883a mov r2,r5 -81123ab0: 18000a1e bne r3,zero,81123adc -81123ab4: 10ff0604 addi r3,r2,-1000 -81123ab8: 18ffffcc andi r3,r3,65535 -81123abc: 013f0594 movui r4,64534 -81123ac0: 300b883a mov r5,r6 -81123ac4: 20c01836 bltu r4,r3,81123b28 -81123ac8: 113fffcc andi r4,r2,65535 -81123acc: 0089c3c4 movi r2,9999 -81123ad0: 11001336 bltu r2,r4,81123b20 -81123ad4: 0005883a mov r2,zero -81123ad8: f800283a ret -81123adc: 01400084 movi r5,2 -81123ae0: 28fffc36 bltu r5,r3,81123ad4 <__reset+0xfb103ad4> -81123ae4: 10ff0604 addi r3,r2,-1000 -81123ae8: 18ffffcc andi r3,r3,65535 -81123aec: 0148c9c4 movi r5,8999 -81123af0: 28fff836 bltu r5,r3,81123ad4 <__reset+0xfb103ad4> -81123af4: defffe04 addi sp,sp,-8 -81123af8: 213fffc4 addi r4,r4,-1 -81123afc: d8000015 stw zero,0(sp) -81123b00: 000f883a mov r7,zero -81123b04: 117fffcc andi r5,r2,65535 -81123b08: 21003fcc andi r4,r4,255 -81123b0c: dfc00115 stw ra,4(sp) -81123b10: 11229500 call 81122950 -81123b14: dfc00117 ldw ra,4(sp) -81123b18: dec00204 addi sp,sp,8 -81123b1c: f800283a ret -81123b20: 000d883a mov r6,zero -81123b24: 11239501 jmpi 81123950 -81123b28: 000d883a mov r6,zero -81123b2c: 113fffcc andi r4,r2,65535 -81123b30: 11227c41 jmpi 811227c4 - -81123b34 : -81123b34: 20ffffcc andi r3,r4,65535 -81123b38: 2805883a mov r2,r5 -81123b3c: 18000a1e bne r3,zero,81123b68 -81123b40: 10ff0604 addi r3,r2,-1000 -81123b44: 18ffffcc andi r3,r3,65535 -81123b48: 013f0594 movui r4,64534 -81123b4c: 300b883a mov r5,r6 -81123b50: 20c01836 bltu r4,r3,81123bb4 -81123b54: 113fffcc andi r4,r2,65535 -81123b58: 0089c3c4 movi r2,9999 -81123b5c: 11001336 bltu r2,r4,81123bac -81123b60: 0005883a mov r2,zero -81123b64: f800283a ret -81123b68: 01400084 movi r5,2 -81123b6c: 28fffc36 bltu r5,r3,81123b60 <__reset+0xfb103b60> -81123b70: 10ff0604 addi r3,r2,-1000 -81123b74: 18ffffcc andi r3,r3,65535 -81123b78: 0148c9c4 movi r5,8999 -81123b7c: 28fff836 bltu r5,r3,81123b60 <__reset+0xfb103b60> -81123b80: defffe04 addi sp,sp,-8 -81123b84: 213fffc4 addi r4,r4,-1 -81123b88: d9c00015 stw r7,0(sp) -81123b8c: 117fffcc andi r5,r2,65535 -81123b90: 01c00044 movi r7,1 -81123b94: 21003fcc andi r4,r4,255 -81123b98: dfc00115 stw ra,4(sp) -81123b9c: 11229500 call 81122950 -81123ba0: dfc00117 ldw ra,4(sp) -81123ba4: dec00204 addi sp,sp,8 -81123ba8: f800283a ret -81123bac: 01800044 movi r6,1 -81123bb0: 11239501 jmpi 81123950 -81123bb4: 01800044 movi r6,1 -81123bb8: 113fffcc andi r4,r2,65535 -81123bbc: 11227c41 jmpi 811227c4 - -81123bc0 : -81123bc0: defffb04 addi sp,sp,-20 -81123bc4: 01604574 movhi r5,33045 -81123bc8: 21803fcc andi r6,r4,255 -81123bcc: 29756704 addi r5,r5,-10852 -81123bd0: d809883a mov r4,sp -81123bd4: dfc00415 stw ra,16(sp) -81123bd8: d8000015 stw zero,0(sp) -81123bdc: d8000115 stw zero,4(sp) -81123be0: d8000215 stw zero,8(sp) -81123be4: d8000315 stw zero,12(sp) -81123be8: 112d6780 call 8112d678 -81123bec: d1207917 ldw r4,-32284(gp) -81123bf0: d80b883a mov r5,sp -81123bf4: 112b5e00 call 8112b5e0 -81123bf8: dfc00417 ldw ra,16(sp) -81123bfc: dec00504 addi sp,sp,20 -81123c00: f800283a ret - -81123c04 : -81123c04: 01400834 movhi r5,32 -81123c08: 297fffc4 addi r5,r5,-1 -81123c0c: 01000044 movi r4,1 -81123c10: 11065dc1 jmpi 811065dc - -81123c14 : -81123c14: 00a04634 movhi r2,33048 -81123c18: 108f0804 addi r2,r2,15392 -81123c1c: 10800803 ldbu r2,32(r2) -81123c20: 00c001c4 movi r3,7 -81123c24: 1880012e bgeu r3,r2,81123c2c -81123c28: f800283a ret -81123c2c: d1e07917 ldw r7,-32284(gp) -81123c30: 01204574 movhi r4,33045 -81123c34: 21356a04 addi r4,r4,-10840 -81123c38: 01800884 movi r6,34 -81123c3c: 01400044 movi r5,1 -81123c40: 112bc4c1 jmpi 8112bc4c - -81123c44 : -81123c44: 00a04634 movhi r2,33048 -81123c48: 108f0804 addi r2,r2,15392 -81123c4c: 10800803 ldbu r2,32(r2) -81123c50: 00c001c4 movi r3,7 -81123c54: 1880012e bgeu r3,r2,81123c5c -81123c58: f800283a ret -81123c5c: d1e07917 ldw r7,-32284(gp) -81123c60: 01204574 movhi r4,33045 -81123c64: 21357304 addi r4,r4,-10804 -81123c68: 018007c4 movi r6,31 -81123c6c: 01400044 movi r5,1 -81123c70: 112bc4c1 jmpi 8112bc4c - -81123c74 : -81123c74: 00a04634 movhi r2,33048 -81123c78: 108f0804 addi r2,r2,15392 -81123c7c: 10800803 ldbu r2,32(r2) -81123c80: 00c001c4 movi r3,7 -81123c84: 1880012e bgeu r3,r2,81123c8c -81123c88: f800283a ret -81123c8c: d1e07917 ldw r7,-32284(gp) -81123c90: 01204574 movhi r4,33045 -81123c94: 21357b04 addi r4,r4,-10772 -81123c98: 01800b84 movi r6,46 -81123c9c: 01400044 movi r5,1 -81123ca0: 112bc4c1 jmpi 8112bc4c - -81123ca4 : -81123ca4: 00a04634 movhi r2,33048 -81123ca8: 108f0804 addi r2,r2,15392 -81123cac: 10800803 ldbu r2,32(r2) -81123cb0: 00c001c4 movi r3,7 -81123cb4: 1880012e bgeu r3,r2,81123cbc -81123cb8: f800283a ret -81123cbc: d1e07917 ldw r7,-32284(gp) -81123cc0: 01204574 movhi r4,33045 -81123cc4: 21358704 addi r4,r4,-10724 -81123cc8: 01800b04 movi r6,44 -81123ccc: 01400044 movi r5,1 -81123cd0: 112bc4c1 jmpi 8112bc4c - -81123cd4 : -81123cd4: 00a04634 movhi r2,33048 -81123cd8: 108f0804 addi r2,r2,15392 -81123cdc: 10800803 ldbu r2,32(r2) -81123ce0: 00c001c4 movi r3,7 -81123ce4: 1880012e bgeu r3,r2,81123cec -81123ce8: f800283a ret -81123cec: d1e07917 ldw r7,-32284(gp) -81123cf0: 01204574 movhi r4,33045 -81123cf4: 21359304 addi r4,r4,-10676 -81123cf8: 01800b44 movi r6,45 -81123cfc: 01400044 movi r5,1 -81123d00: 112bc4c1 jmpi 8112bc4c - -81123d04 : -81123d04: 00a04634 movhi r2,33048 -81123d08: 108f0804 addi r2,r2,15392 -81123d0c: 10800803 ldbu r2,32(r2) -81123d10: 00c001c4 movi r3,7 -81123d14: 1880012e bgeu r3,r2,81123d1c -81123d18: f800283a ret -81123d1c: d1e07917 ldw r7,-32284(gp) -81123d20: 01204574 movhi r4,33045 -81123d24: 21359f04 addi r4,r4,-10628 -81123d28: 01800744 movi r6,29 -81123d2c: 01400044 movi r5,1 -81123d30: 112bc4c1 jmpi 8112bc4c - -81123d34 : -81123d34: 00a04634 movhi r2,33048 -81123d38: 108f0804 addi r2,r2,15392 -81123d3c: 10800803 ldbu r2,32(r2) -81123d40: 00c001c4 movi r3,7 -81123d44: 1880012e bgeu r3,r2,81123d4c -81123d48: f800283a ret -81123d4c: defffe04 addi sp,sp,-8 -81123d50: d1e07917 ldw r7,-32284(gp) -81123d54: dc000015 stw r16,0(sp) -81123d58: 2021883a mov r16,r4 -81123d5c: 01204574 movhi r4,33045 -81123d60: 2135a704 addi r4,r4,-10596 -81123d64: 018008c4 movi r6,35 -81123d68: 01400044 movi r5,1 -81123d6c: dfc00115 stw ra,4(sp) -81123d70: 112bc4c0 call 8112bc4c -81123d74: 81003fcc andi r4,r16,255 -81123d78: dfc00117 ldw ra,4(sp) -81123d7c: dc000017 ldw r16,0(sp) -81123d80: dec00204 addi sp,sp,8 -81123d84: 1123bc01 jmpi 81123bc0 - -81123d88 : -81123d88: 00a04634 movhi r2,33048 -81123d8c: 108f0804 addi r2,r2,15392 -81123d90: 10800803 ldbu r2,32(r2) -81123d94: 00c001c4 movi r3,7 -81123d98: 1880012e bgeu r3,r2,81123da0 -81123d9c: f800283a ret -81123da0: d1e07917 ldw r7,-32284(gp) -81123da4: 01204574 movhi r4,33045 -81123da8: 2135b004 addi r4,r4,-10560 -81123dac: 018009c4 movi r6,39 -81123db0: 01400044 movi r5,1 -81123db4: 112bc4c1 jmpi 8112bc4c - -81123db8 : -81123db8: 00a04634 movhi r2,33048 -81123dbc: 108f0804 addi r2,r2,15392 -81123dc0: 10800803 ldbu r2,32(r2) -81123dc4: 00c001c4 movi r3,7 -81123dc8: 1880012e bgeu r3,r2,81123dd0 -81123dcc: f800283a ret -81123dd0: d1e07917 ldw r7,-32284(gp) -81123dd4: 01204574 movhi r4,33045 -81123dd8: 2135ba04 addi r4,r4,-10520 -81123ddc: 01800644 movi r6,25 -81123de0: 01400044 movi r5,1 -81123de4: 112bc4c1 jmpi 8112bc4c - -81123de8 : -81123de8: 00a04634 movhi r2,33048 -81123dec: 108f0804 addi r2,r2,15392 -81123df0: 10800803 ldbu r2,32(r2) -81123df4: 00c001c4 movi r3,7 -81123df8: 1880012e bgeu r3,r2,81123e00 -81123dfc: f800283a ret -81123e00: d1e07917 ldw r7,-32284(gp) -81123e04: 01204574 movhi r4,33045 -81123e08: 2135c104 addi r4,r4,-10492 -81123e0c: 01800984 movi r6,38 -81123e10: 01400044 movi r5,1 -81123e14: 112bc4c1 jmpi 8112bc4c - -81123e18 : -81123e18: 00a04634 movhi r2,33048 -81123e1c: 108f0804 addi r2,r2,15392 -81123e20: 10800803 ldbu r2,32(r2) -81123e24: 00c001c4 movi r3,7 -81123e28: 1880042e bgeu r3,r2,81123e3c -81123e2c: 01400834 movhi r5,32 -81123e30: 297fffc4 addi r5,r5,-1 -81123e34: 01000044 movi r4,1 -81123e38: 11065dc1 jmpi 811065dc -81123e3c: d1e07917 ldw r7,-32284(gp) -81123e40: 01204574 movhi r4,33045 -81123e44: deffff04 addi sp,sp,-4 -81123e48: 2135cb04 addi r4,r4,-10452 -81123e4c: 018007c4 movi r6,31 +81123a10: 18c00643 ldbu r3,25(r3) +81123a14: 00800044 movi r2,1 +81123a18: 28c00015 stw r3,0(r5) +81123a1c: f800283a ret +81123a20: 18c00683 ldbu r3,26(r3) +81123a24: 00800044 movi r2,1 +81123a28: 28c00015 stw r3,0(r5) +81123a2c: f800283a ret +81123a30: 18c0000b ldhu r3,0(r3) +81123a34: 00800044 movi r2,1 +81123a38: 28c00015 stw r3,0(r5) +81123a3c: f800283a ret +81123a40: 18c00117 ldw r3,4(r3) +81123a44: 00800044 movi r2,1 +81123a48: 28c00015 stw r3,0(r5) +81123a4c: f800283a ret +81123a50: 19c00203 ldbu r7,8(r3) +81123a54: 19000243 ldbu r4,9(r3) +81123a58: 18800283 ldbu r2,10(r3) +81123a5c: 380e963a slli r7,r7,24 +81123a60: 2008943a slli r4,r4,16 +81123a64: 198002c3 ldbu r6,11(r3) +81123a68: 1006923a slli r3,r2,8 +81123a6c: 3904b03a or r2,r7,r4 +81123a70: 1184b03a or r2,r2,r6 +81123a74: 10c4b03a or r2,r2,r3 +81123a78: 28800015 stw r2,0(r5) +81123a7c: 00800044 movi r2,1 +81123a80: f800283a ret +81123a84: 19c00303 ldbu r7,12(r3) +81123a88: 19000343 ldbu r4,13(r3) +81123a8c: 18800383 ldbu r2,14(r3) +81123a90: 380e963a slli r7,r7,24 +81123a94: 2008943a slli r4,r4,16 +81123a98: 198003c3 ldbu r6,15(r3) +81123a9c: 003ff206 br 81123a68 <__reset+0xfb103a68> +81123aa0: 19c00403 ldbu r7,16(r3) +81123aa4: 19000443 ldbu r4,17(r3) +81123aa8: 18800483 ldbu r2,18(r3) +81123aac: 380e963a slli r7,r7,24 +81123ab0: 2008943a slli r4,r4,16 +81123ab4: 198004c3 ldbu r6,19(r3) +81123ab8: 003feb06 br 81123a68 <__reset+0xfb103a68> +81123abc: 19c00503 ldbu r7,20(r3) +81123ac0: 19000543 ldbu r4,21(r3) +81123ac4: 18800583 ldbu r2,22(r3) +81123ac8: 380e963a slli r7,r7,24 +81123acc: 2008943a slli r4,r4,16 +81123ad0: 198005c3 ldbu r6,23(r3) +81123ad4: 003fe406 br 81123a68 <__reset+0xfb103a68> +81123ad8: 18c00603 ldbu r3,24(r3) +81123adc: 00800044 movi r2,1 +81123ae0: 28c00015 stw r3,0(r5) +81123ae4: f800283a ret + +81123ae8 : +81123ae8: 20ffffcc andi r3,r4,65535 +81123aec: 2805883a mov r2,r5 +81123af0: 18000a1e bne r3,zero,81123b1c +81123af4: 10ff0604 addi r3,r2,-1000 +81123af8: 18ffffcc andi r3,r3,65535 +81123afc: 013f0594 movui r4,64534 +81123b00: 300b883a mov r5,r6 +81123b04: 20c01836 bltu r4,r3,81123b68 +81123b08: 113fffcc andi r4,r2,65535 +81123b0c: 0089c3c4 movi r2,9999 +81123b10: 11001336 bltu r2,r4,81123b60 +81123b14: 0005883a mov r2,zero +81123b18: f800283a ret +81123b1c: 01400084 movi r5,2 +81123b20: 28fffc36 bltu r5,r3,81123b14 <__reset+0xfb103b14> +81123b24: 10ff0604 addi r3,r2,-1000 +81123b28: 18ffffcc andi r3,r3,65535 +81123b2c: 0148c9c4 movi r5,8999 +81123b30: 28fff836 bltu r5,r3,81123b14 <__reset+0xfb103b14> +81123b34: defffe04 addi sp,sp,-8 +81123b38: 213fffc4 addi r4,r4,-1 +81123b3c: d8000015 stw zero,0(sp) +81123b40: 000f883a mov r7,zero +81123b44: 117fffcc andi r5,r2,65535 +81123b48: 21003fcc andi r4,r4,255 +81123b4c: dfc00115 stw ra,4(sp) +81123b50: 11229900 call 81122990 +81123b54: dfc00117 ldw ra,4(sp) +81123b58: dec00204 addi sp,sp,8 +81123b5c: f800283a ret +81123b60: 000d883a mov r6,zero +81123b64: 11239901 jmpi 81123990 +81123b68: 000d883a mov r6,zero +81123b6c: 113fffcc andi r4,r2,65535 +81123b70: 11228041 jmpi 81122804 + +81123b74 : +81123b74: 20ffffcc andi r3,r4,65535 +81123b78: 2805883a mov r2,r5 +81123b7c: 18000a1e bne r3,zero,81123ba8 +81123b80: 10ff0604 addi r3,r2,-1000 +81123b84: 18ffffcc andi r3,r3,65535 +81123b88: 013f0594 movui r4,64534 +81123b8c: 300b883a mov r5,r6 +81123b90: 20c01836 bltu r4,r3,81123bf4 +81123b94: 113fffcc andi r4,r2,65535 +81123b98: 0089c3c4 movi r2,9999 +81123b9c: 11001336 bltu r2,r4,81123bec +81123ba0: 0005883a mov r2,zero +81123ba4: f800283a ret +81123ba8: 01400084 movi r5,2 +81123bac: 28fffc36 bltu r5,r3,81123ba0 <__reset+0xfb103ba0> +81123bb0: 10ff0604 addi r3,r2,-1000 +81123bb4: 18ffffcc andi r3,r3,65535 +81123bb8: 0148c9c4 movi r5,8999 +81123bbc: 28fff836 bltu r5,r3,81123ba0 <__reset+0xfb103ba0> +81123bc0: defffe04 addi sp,sp,-8 +81123bc4: 213fffc4 addi r4,r4,-1 +81123bc8: d9c00015 stw r7,0(sp) +81123bcc: 117fffcc andi r5,r2,65535 +81123bd0: 01c00044 movi r7,1 +81123bd4: 21003fcc andi r4,r4,255 +81123bd8: dfc00115 stw ra,4(sp) +81123bdc: 11229900 call 81122990 +81123be0: dfc00117 ldw ra,4(sp) +81123be4: dec00204 addi sp,sp,8 +81123be8: f800283a ret +81123bec: 01800044 movi r6,1 +81123bf0: 11239901 jmpi 81123990 +81123bf4: 01800044 movi r6,1 +81123bf8: 113fffcc andi r4,r2,65535 +81123bfc: 11228041 jmpi 81122804 + +81123c00 : +81123c00: defffb04 addi sp,sp,-20 +81123c04: 01604574 movhi r5,33045 +81123c08: 21803fcc andi r6,r4,255 +81123c0c: 29757804 addi r5,r5,-10784 +81123c10: d809883a mov r4,sp +81123c14: dfc00415 stw ra,16(sp) +81123c18: d8000015 stw zero,0(sp) +81123c1c: d8000115 stw zero,4(sp) +81123c20: d8000215 stw zero,8(sp) +81123c24: d8000315 stw zero,12(sp) +81123c28: 112d6b80 call 8112d6b8 +81123c2c: d1207917 ldw r4,-32284(gp) +81123c30: d80b883a mov r5,sp +81123c34: 112b6200 call 8112b620 +81123c38: dfc00417 ldw ra,16(sp) +81123c3c: dec00504 addi sp,sp,20 +81123c40: f800283a ret + +81123c44 : +81123c44: 01400834 movhi r5,32 +81123c48: 297fffc4 addi r5,r5,-1 +81123c4c: 01000044 movi r4,1 +81123c50: 11066101 jmpi 81106610 + +81123c54 : +81123c54: 00a04634 movhi r2,33048 +81123c58: 108f1904 addi r2,r2,15460 +81123c5c: 10800803 ldbu r2,32(r2) +81123c60: 00c001c4 movi r3,7 +81123c64: 1880012e bgeu r3,r2,81123c6c +81123c68: f800283a ret +81123c6c: d1e07917 ldw r7,-32284(gp) +81123c70: 01204574 movhi r4,33045 +81123c74: 21357b04 addi r4,r4,-10772 +81123c78: 01800884 movi r6,34 +81123c7c: 01400044 movi r5,1 +81123c80: 112bc8c1 jmpi 8112bc8c + +81123c84 : +81123c84: 00a04634 movhi r2,33048 +81123c88: 108f1904 addi r2,r2,15460 +81123c8c: 10800803 ldbu r2,32(r2) +81123c90: 00c001c4 movi r3,7 +81123c94: 1880012e bgeu r3,r2,81123c9c +81123c98: f800283a ret +81123c9c: d1e07917 ldw r7,-32284(gp) +81123ca0: 01204574 movhi r4,33045 +81123ca4: 21358404 addi r4,r4,-10736 +81123ca8: 018007c4 movi r6,31 +81123cac: 01400044 movi r5,1 +81123cb0: 112bc8c1 jmpi 8112bc8c + +81123cb4 : +81123cb4: 00a04634 movhi r2,33048 +81123cb8: 108f1904 addi r2,r2,15460 +81123cbc: 10800803 ldbu r2,32(r2) +81123cc0: 00c001c4 movi r3,7 +81123cc4: 1880012e bgeu r3,r2,81123ccc +81123cc8: f800283a ret +81123ccc: d1e07917 ldw r7,-32284(gp) +81123cd0: 01204574 movhi r4,33045 +81123cd4: 21358c04 addi r4,r4,-10704 +81123cd8: 01800b84 movi r6,46 +81123cdc: 01400044 movi r5,1 +81123ce0: 112bc8c1 jmpi 8112bc8c + +81123ce4 : +81123ce4: 00a04634 movhi r2,33048 +81123ce8: 108f1904 addi r2,r2,15460 +81123cec: 10800803 ldbu r2,32(r2) +81123cf0: 00c001c4 movi r3,7 +81123cf4: 1880012e bgeu r3,r2,81123cfc +81123cf8: f800283a ret +81123cfc: d1e07917 ldw r7,-32284(gp) +81123d00: 01204574 movhi r4,33045 +81123d04: 21359804 addi r4,r4,-10656 +81123d08: 01800b04 movi r6,44 +81123d0c: 01400044 movi r5,1 +81123d10: 112bc8c1 jmpi 8112bc8c + +81123d14 : +81123d14: 00a04634 movhi r2,33048 +81123d18: 108f1904 addi r2,r2,15460 +81123d1c: 10800803 ldbu r2,32(r2) +81123d20: 00c001c4 movi r3,7 +81123d24: 1880012e bgeu r3,r2,81123d2c +81123d28: f800283a ret +81123d2c: d1e07917 ldw r7,-32284(gp) +81123d30: 01204574 movhi r4,33045 +81123d34: 2135a404 addi r4,r4,-10608 +81123d38: 01800b44 movi r6,45 +81123d3c: 01400044 movi r5,1 +81123d40: 112bc8c1 jmpi 8112bc8c + +81123d44 : +81123d44: 00a04634 movhi r2,33048 +81123d48: 108f1904 addi r2,r2,15460 +81123d4c: 10800803 ldbu r2,32(r2) +81123d50: 00c001c4 movi r3,7 +81123d54: 1880012e bgeu r3,r2,81123d5c +81123d58: f800283a ret +81123d5c: d1e07917 ldw r7,-32284(gp) +81123d60: 01204574 movhi r4,33045 +81123d64: 2135b004 addi r4,r4,-10560 +81123d68: 01800744 movi r6,29 +81123d6c: 01400044 movi r5,1 +81123d70: 112bc8c1 jmpi 8112bc8c + +81123d74 : +81123d74: 00a04634 movhi r2,33048 +81123d78: 108f1904 addi r2,r2,15460 +81123d7c: 10800803 ldbu r2,32(r2) +81123d80: 00c001c4 movi r3,7 +81123d84: 1880012e bgeu r3,r2,81123d8c +81123d88: f800283a ret +81123d8c: defffe04 addi sp,sp,-8 +81123d90: d1e07917 ldw r7,-32284(gp) +81123d94: dc000015 stw r16,0(sp) +81123d98: 2021883a mov r16,r4 +81123d9c: 01204574 movhi r4,33045 +81123da0: 2135b804 addi r4,r4,-10528 +81123da4: 018008c4 movi r6,35 +81123da8: 01400044 movi r5,1 +81123dac: dfc00115 stw ra,4(sp) +81123db0: 112bc8c0 call 8112bc8c +81123db4: 81003fcc andi r4,r16,255 +81123db8: dfc00117 ldw ra,4(sp) +81123dbc: dc000017 ldw r16,0(sp) +81123dc0: dec00204 addi sp,sp,8 +81123dc4: 1123c001 jmpi 81123c00 + +81123dc8 : +81123dc8: 00a04634 movhi r2,33048 +81123dcc: 108f1904 addi r2,r2,15460 +81123dd0: 10800803 ldbu r2,32(r2) +81123dd4: 00c001c4 movi r3,7 +81123dd8: 1880012e bgeu r3,r2,81123de0 +81123ddc: f800283a ret +81123de0: d1e07917 ldw r7,-32284(gp) +81123de4: 01204574 movhi r4,33045 +81123de8: 2135c104 addi r4,r4,-10492 +81123dec: 018009c4 movi r6,39 +81123df0: 01400044 movi r5,1 +81123df4: 112bc8c1 jmpi 8112bc8c + +81123df8 : +81123df8: 00a04634 movhi r2,33048 +81123dfc: 108f1904 addi r2,r2,15460 +81123e00: 10800803 ldbu r2,32(r2) +81123e04: 00c001c4 movi r3,7 +81123e08: 1880012e bgeu r3,r2,81123e10 +81123e0c: f800283a ret +81123e10: d1e07917 ldw r7,-32284(gp) +81123e14: 01204574 movhi r4,33045 +81123e18: 2135cb04 addi r4,r4,-10452 +81123e1c: 01800644 movi r6,25 +81123e20: 01400044 movi r5,1 +81123e24: 112bc8c1 jmpi 8112bc8c + +81123e28 : +81123e28: 00a04634 movhi r2,33048 +81123e2c: 108f1904 addi r2,r2,15460 +81123e30: 10800803 ldbu r2,32(r2) +81123e34: 00c001c4 movi r3,7 +81123e38: 1880012e bgeu r3,r2,81123e40 +81123e3c: f800283a ret +81123e40: d1e07917 ldw r7,-32284(gp) +81123e44: 01204574 movhi r4,33045 +81123e48: 2135d204 addi r4,r4,-10424 +81123e4c: 01800984 movi r6,38 81123e50: 01400044 movi r5,1 -81123e54: dfc00015 stw ra,0(sp) -81123e58: 112bc4c0 call 8112bc4c -81123e5c: 01400834 movhi r5,32 -81123e60: 297fffc4 addi r5,r5,-1 -81123e64: 01000044 movi r4,1 -81123e68: dfc00017 ldw ra,0(sp) -81123e6c: dec00104 addi sp,sp,4 -81123e70: 11065dc1 jmpi 811065dc - -81123e74 : -81123e74: 00a04634 movhi r2,33048 -81123e78: 108f0804 addi r2,r2,15392 -81123e7c: 10800803 ldbu r2,32(r2) -81123e80: 00c001c4 movi r3,7 -81123e84: 1880042e bgeu r3,r2,81123e98 -81123e88: 01400834 movhi r5,32 -81123e8c: 297fffc4 addi r5,r5,-1 -81123e90: 01000044 movi r4,1 -81123e94: 11065dc1 jmpi 811065dc -81123e98: d1e07917 ldw r7,-32284(gp) -81123e9c: 01204574 movhi r4,33045 -81123ea0: deffff04 addi sp,sp,-4 -81123ea4: 2135d304 addi r4,r4,-10420 -81123ea8: 01800504 movi r6,20 -81123eac: 01400044 movi r5,1 -81123eb0: dfc00015 stw ra,0(sp) -81123eb4: 112bc4c0 call 8112bc4c -81123eb8: 01400834 movhi r5,32 -81123ebc: 297fffc4 addi r5,r5,-1 -81123ec0: 01000044 movi r4,1 -81123ec4: dfc00017 ldw ra,0(sp) -81123ec8: dec00104 addi sp,sp,4 -81123ecc: 11065dc1 jmpi 811065dc - -81123ed0 : -81123ed0: 00a04634 movhi r2,33048 -81123ed4: 108f0804 addi r2,r2,15392 -81123ed8: 10800803 ldbu r2,32(r2) -81123edc: 00c001c4 movi r3,7 -81123ee0: 1880012e bgeu r3,r2,81123ee8 -81123ee4: f800283a ret -81123ee8: d1e07917 ldw r7,-32284(gp) -81123eec: 01204574 movhi r4,33045 -81123ef0: deffff04 addi sp,sp,-4 -81123ef4: 2135d904 addi r4,r4,-10396 -81123ef8: 01800744 movi r6,29 -81123efc: 01400044 movi r5,1 -81123f00: dfc00015 stw ra,0(sp) -81123f04: 112bc4c0 call 8112bc4c -81123f08: d1e07917 ldw r7,-32284(gp) -81123f0c: 01204574 movhi r4,33045 -81123f10: 2135e104 addi r4,r4,-10364 -81123f14: 01800a44 movi r6,41 -81123f18: 01400044 movi r5,1 -81123f1c: dfc00017 ldw ra,0(sp) -81123f20: dec00104 addi sp,sp,4 -81123f24: 112bc4c1 jmpi 8112bc4c - -81123f28 : -81123f28: 00a04634 movhi r2,33048 -81123f2c: 108f0804 addi r2,r2,15392 -81123f30: 10800803 ldbu r2,32(r2) -81123f34: 00c001c4 movi r3,7 -81123f38: 1880012e bgeu r3,r2,81123f40 -81123f3c: f800283a ret -81123f40: d1e07917 ldw r7,-32284(gp) -81123f44: 01204574 movhi r4,33045 -81123f48: 2135ec04 addi r4,r4,-10320 -81123f4c: 01800904 movi r6,36 -81123f50: 01400044 movi r5,1 -81123f54: 112bc4c1 jmpi 8112bc4c - -81123f58 : -81123f58: 00a04634 movhi r2,33048 -81123f5c: 108f0804 addi r2,r2,15392 -81123f60: 10800803 ldbu r2,32(r2) -81123f64: 00c001c4 movi r3,7 -81123f68: 1880012e bgeu r3,r2,81123f70 -81123f6c: f800283a ret -81123f70: d1e07917 ldw r7,-32284(gp) -81123f74: 01204574 movhi r4,33045 -81123f78: 2135f604 addi r4,r4,-10280 -81123f7c: 01800a44 movi r6,41 -81123f80: 01400044 movi r5,1 -81123f84: 112bc4c1 jmpi 8112bc4c - -81123f88 : -81123f88: 00a04634 movhi r2,33048 -81123f8c: 108f0804 addi r2,r2,15392 -81123f90: 10800803 ldbu r2,32(r2) -81123f94: 00c001c4 movi r3,7 -81123f98: 1880012e bgeu r3,r2,81123fa0 -81123f9c: f800283a ret -81123fa0: d1e07917 ldw r7,-32284(gp) -81123fa4: 01204574 movhi r4,33045 -81123fa8: 21360104 addi r4,r4,-10236 -81123fac: 018009c4 movi r6,39 -81123fb0: 01400044 movi r5,1 -81123fb4: 112bc4c1 jmpi 8112bc4c - -81123fb8 : -81123fb8: 00a04634 movhi r2,33048 -81123fbc: 108f0804 addi r2,r2,15392 -81123fc0: 10800803 ldbu r2,32(r2) -81123fc4: 00c001c4 movi r3,7 -81123fc8: 1880012e bgeu r3,r2,81123fd0 -81123fcc: f800283a ret -81123fd0: d1e07917 ldw r7,-32284(gp) -81123fd4: 01204574 movhi r4,33045 -81123fd8: deffff04 addi sp,sp,-4 -81123fdc: 21360b04 addi r4,r4,-10196 -81123fe0: 01800a44 movi r6,41 -81123fe4: 01400044 movi r5,1 -81123fe8: dfc00015 stw ra,0(sp) -81123fec: 112bc4c0 call 8112bc4c -81123ff0: d1e07917 ldw r7,-32284(gp) -81123ff4: 01204574 movhi r4,33045 -81123ff8: 21361604 addi r4,r4,-10152 -81123ffc: 01801104 movi r6,68 -81124000: 01400044 movi r5,1 -81124004: dfc00017 ldw ra,0(sp) -81124008: dec00104 addi sp,sp,4 -8112400c: 112bc4c1 jmpi 8112bc4c - -81124010 : -81124010: 00a04634 movhi r2,33048 -81124014: 108f0804 addi r2,r2,15392 -81124018: 10800803 ldbu r2,32(r2) -8112401c: 00c001c4 movi r3,7 -81124020: 1880012e bgeu r3,r2,81124028 -81124024: f800283a ret -81124028: d1e07917 ldw r7,-32284(gp) -8112402c: 01204574 movhi r4,33045 -81124030: deffff04 addi sp,sp,-4 -81124034: 21362804 addi r4,r4,-10080 -81124038: 01800804 movi r6,32 -8112403c: 01400044 movi r5,1 -81124040: dfc00015 stw ra,0(sp) -81124044: 112bc4c0 call 8112bc4c -81124048: d1e07917 ldw r7,-32284(gp) -8112404c: 01204574 movhi r4,33045 -81124050: 21363104 addi r4,r4,-10044 -81124054: 01801084 movi r6,66 -81124058: 01400044 movi r5,1 -8112405c: dfc00017 ldw ra,0(sp) -81124060: dec00104 addi sp,sp,4 -81124064: 112bc4c1 jmpi 8112bc4c - -81124068 : -81124068: 00a04634 movhi r2,33048 -8112406c: 108f0804 addi r2,r2,15392 -81124070: 10800803 ldbu r2,32(r2) -81124074: 00c001c4 movi r3,7 -81124078: 1880012e bgeu r3,r2,81124080 -8112407c: f800283a ret -81124080: d1e07917 ldw r7,-32284(gp) -81124084: 01204574 movhi r4,33045 -81124088: deffff04 addi sp,sp,-4 -8112408c: 21364204 addi r4,r4,-9976 -81124090: 01800ac4 movi r6,43 -81124094: 01400044 movi r5,1 -81124098: dfc00015 stw ra,0(sp) -8112409c: 112bc4c0 call 8112bc4c -811240a0: d1e07917 ldw r7,-32284(gp) -811240a4: 01204574 movhi r4,33045 -811240a8: 21364d04 addi r4,r4,-9932 -811240ac: 01801184 movi r6,70 -811240b0: 01400044 movi r5,1 -811240b4: dfc00017 ldw ra,0(sp) -811240b8: dec00104 addi sp,sp,4 -811240bc: 112bc4c1 jmpi 8112bc4c - -811240c0 : -811240c0: 00a04634 movhi r2,33048 -811240c4: 108f0804 addi r2,r2,15392 -811240c8: 10800803 ldbu r2,32(r2) -811240cc: 00c001c4 movi r3,7 -811240d0: 1880012e bgeu r3,r2,811240d8 -811240d4: f800283a ret -811240d8: d1e07917 ldw r7,-32284(gp) -811240dc: 01204574 movhi r4,33045 -811240e0: deffff04 addi sp,sp,-4 -811240e4: 21365f04 addi r4,r4,-9860 -811240e8: 01800884 movi r6,34 -811240ec: 01400044 movi r5,1 -811240f0: dfc00015 stw ra,0(sp) -811240f4: 112bc4c0 call 8112bc4c -811240f8: d1e07917 ldw r7,-32284(gp) -811240fc: 01204574 movhi r4,33045 -81124100: 21366804 addi r4,r4,-9824 -81124104: 01801104 movi r6,68 -81124108: 01400044 movi r5,1 -8112410c: dfc00017 ldw ra,0(sp) -81124110: dec00104 addi sp,sp,4 -81124114: 112bc4c1 jmpi 8112bc4c - -81124118 : -81124118: 00a04634 movhi r2,33048 -8112411c: 108f0804 addi r2,r2,15392 -81124120: 10800803 ldbu r2,32(r2) -81124124: 00c001c4 movi r3,7 -81124128: 1880012e bgeu r3,r2,81124130 -8112412c: f800283a ret -81124130: d1e07917 ldw r7,-32284(gp) -81124134: 01204574 movhi r4,33045 -81124138: deffff04 addi sp,sp,-4 -8112413c: 21367a04 addi r4,r4,-9752 -81124140: 01800984 movi r6,38 -81124144: 01400044 movi r5,1 -81124148: dfc00015 stw ra,0(sp) -8112414c: 112bc4c0 call 8112bc4c -81124150: d1e07917 ldw r7,-32284(gp) -81124154: 01204574 movhi r4,33045 -81124158: 21368404 addi r4,r4,-9712 -8112415c: 01801044 movi r6,65 -81124160: 01400044 movi r5,1 -81124164: dfc00017 ldw ra,0(sp) -81124168: dec00104 addi sp,sp,4 -8112416c: 112bc4c1 jmpi 8112bc4c - -81124170 : -81124170: 00a04634 movhi r2,33048 -81124174: 108f0804 addi r2,r2,15392 -81124178: 10800803 ldbu r2,32(r2) -8112417c: 00c001c4 movi r3,7 -81124180: 1880012e bgeu r3,r2,81124188 -81124184: f800283a ret -81124188: d1e07917 ldw r7,-32284(gp) -8112418c: 01204574 movhi r4,33045 -81124190: 21369504 addi r4,r4,-9644 -81124194: 018003c4 movi r6,15 -81124198: 01400044 movi r5,1 -8112419c: 112bc4c1 jmpi 8112bc4c - -811241a0 : -811241a0: 00a04634 movhi r2,33048 -811241a4: 108f0804 addi r2,r2,15392 -811241a8: 10800803 ldbu r2,32(r2) -811241ac: 00c001c4 movi r3,7 -811241b0: 1880042e bgeu r3,r2,811241c4 -811241b4: 01400834 movhi r5,32 -811241b8: 297fffc4 addi r5,r5,-1 -811241bc: 01000044 movi r4,1 -811241c0: 11065dc1 jmpi 811065dc -811241c4: d1e07917 ldw r7,-32284(gp) -811241c8: 01204574 movhi r4,33045 -811241cc: deffff04 addi sp,sp,-4 -811241d0: 21369904 addi r4,r4,-9628 -811241d4: 01800504 movi r6,20 +81123e54: 112bc8c1 jmpi 8112bc8c + +81123e58 : +81123e58: 00a04634 movhi r2,33048 +81123e5c: 108f1904 addi r2,r2,15460 +81123e60: 10800803 ldbu r2,32(r2) +81123e64: 00c001c4 movi r3,7 +81123e68: 1880042e bgeu r3,r2,81123e7c +81123e6c: 01400834 movhi r5,32 +81123e70: 297fffc4 addi r5,r5,-1 +81123e74: 01000044 movi r4,1 +81123e78: 11066101 jmpi 81106610 +81123e7c: d1e07917 ldw r7,-32284(gp) +81123e80: 01204574 movhi r4,33045 +81123e84: deffff04 addi sp,sp,-4 +81123e88: 2135dc04 addi r4,r4,-10384 +81123e8c: 018007c4 movi r6,31 +81123e90: 01400044 movi r5,1 +81123e94: dfc00015 stw ra,0(sp) +81123e98: 112bc8c0 call 8112bc8c +81123e9c: 01400834 movhi r5,32 +81123ea0: 297fffc4 addi r5,r5,-1 +81123ea4: 01000044 movi r4,1 +81123ea8: dfc00017 ldw ra,0(sp) +81123eac: dec00104 addi sp,sp,4 +81123eb0: 11066101 jmpi 81106610 + +81123eb4 : +81123eb4: 00a04634 movhi r2,33048 +81123eb8: 108f1904 addi r2,r2,15460 +81123ebc: 10800803 ldbu r2,32(r2) +81123ec0: 00c001c4 movi r3,7 +81123ec4: 1880042e bgeu r3,r2,81123ed8 +81123ec8: 01400834 movhi r5,32 +81123ecc: 297fffc4 addi r5,r5,-1 +81123ed0: 01000044 movi r4,1 +81123ed4: 11066101 jmpi 81106610 +81123ed8: d1e07917 ldw r7,-32284(gp) +81123edc: 01204574 movhi r4,33045 +81123ee0: deffff04 addi sp,sp,-4 +81123ee4: 2135e404 addi r4,r4,-10352 +81123ee8: 01800504 movi r6,20 +81123eec: 01400044 movi r5,1 +81123ef0: dfc00015 stw ra,0(sp) +81123ef4: 112bc8c0 call 8112bc8c +81123ef8: 01400834 movhi r5,32 +81123efc: 297fffc4 addi r5,r5,-1 +81123f00: 01000044 movi r4,1 +81123f04: dfc00017 ldw ra,0(sp) +81123f08: dec00104 addi sp,sp,4 +81123f0c: 11066101 jmpi 81106610 + +81123f10 : +81123f10: 00a04634 movhi r2,33048 +81123f14: 108f1904 addi r2,r2,15460 +81123f18: 10800803 ldbu r2,32(r2) +81123f1c: 00c001c4 movi r3,7 +81123f20: 1880012e bgeu r3,r2,81123f28 +81123f24: f800283a ret +81123f28: d1e07917 ldw r7,-32284(gp) +81123f2c: 01204574 movhi r4,33045 +81123f30: deffff04 addi sp,sp,-4 +81123f34: 2135ea04 addi r4,r4,-10328 +81123f38: 01800744 movi r6,29 +81123f3c: 01400044 movi r5,1 +81123f40: dfc00015 stw ra,0(sp) +81123f44: 112bc8c0 call 8112bc8c +81123f48: d1e07917 ldw r7,-32284(gp) +81123f4c: 01204574 movhi r4,33045 +81123f50: 2135f204 addi r4,r4,-10296 +81123f54: 01800a44 movi r6,41 +81123f58: 01400044 movi r5,1 +81123f5c: dfc00017 ldw ra,0(sp) +81123f60: dec00104 addi sp,sp,4 +81123f64: 112bc8c1 jmpi 8112bc8c + +81123f68 : +81123f68: 00a04634 movhi r2,33048 +81123f6c: 108f1904 addi r2,r2,15460 +81123f70: 10800803 ldbu r2,32(r2) +81123f74: 00c001c4 movi r3,7 +81123f78: 1880012e bgeu r3,r2,81123f80 +81123f7c: f800283a ret +81123f80: d1e07917 ldw r7,-32284(gp) +81123f84: 01204574 movhi r4,33045 +81123f88: 2135fd04 addi r4,r4,-10252 +81123f8c: 01800904 movi r6,36 +81123f90: 01400044 movi r5,1 +81123f94: 112bc8c1 jmpi 8112bc8c + +81123f98 : +81123f98: 00a04634 movhi r2,33048 +81123f9c: 108f1904 addi r2,r2,15460 +81123fa0: 10800803 ldbu r2,32(r2) +81123fa4: 00c001c4 movi r3,7 +81123fa8: 1880012e bgeu r3,r2,81123fb0 +81123fac: f800283a ret +81123fb0: d1e07917 ldw r7,-32284(gp) +81123fb4: 01204574 movhi r4,33045 +81123fb8: 21360704 addi r4,r4,-10212 +81123fbc: 01800a44 movi r6,41 +81123fc0: 01400044 movi r5,1 +81123fc4: 112bc8c1 jmpi 8112bc8c + +81123fc8 : +81123fc8: 00a04634 movhi r2,33048 +81123fcc: 108f1904 addi r2,r2,15460 +81123fd0: 10800803 ldbu r2,32(r2) +81123fd4: 00c001c4 movi r3,7 +81123fd8: 1880012e bgeu r3,r2,81123fe0 +81123fdc: f800283a ret +81123fe0: d1e07917 ldw r7,-32284(gp) +81123fe4: 01204574 movhi r4,33045 +81123fe8: 21361204 addi r4,r4,-10168 +81123fec: 018009c4 movi r6,39 +81123ff0: 01400044 movi r5,1 +81123ff4: 112bc8c1 jmpi 8112bc8c + +81123ff8 : +81123ff8: 00a04634 movhi r2,33048 +81123ffc: 108f1904 addi r2,r2,15460 +81124000: 10800803 ldbu r2,32(r2) +81124004: 00c001c4 movi r3,7 +81124008: 1880012e bgeu r3,r2,81124010 +8112400c: f800283a ret +81124010: d1e07917 ldw r7,-32284(gp) +81124014: 01204574 movhi r4,33045 +81124018: deffff04 addi sp,sp,-4 +8112401c: 21361c04 addi r4,r4,-10128 +81124020: 01800a44 movi r6,41 +81124024: 01400044 movi r5,1 +81124028: dfc00015 stw ra,0(sp) +8112402c: 112bc8c0 call 8112bc8c +81124030: d1e07917 ldw r7,-32284(gp) +81124034: 01204574 movhi r4,33045 +81124038: 21362704 addi r4,r4,-10084 +8112403c: 01801104 movi r6,68 +81124040: 01400044 movi r5,1 +81124044: dfc00017 ldw ra,0(sp) +81124048: dec00104 addi sp,sp,4 +8112404c: 112bc8c1 jmpi 8112bc8c + +81124050 : +81124050: 00a04634 movhi r2,33048 +81124054: 108f1904 addi r2,r2,15460 +81124058: 10800803 ldbu r2,32(r2) +8112405c: 00c001c4 movi r3,7 +81124060: 1880012e bgeu r3,r2,81124068 +81124064: f800283a ret +81124068: d1e07917 ldw r7,-32284(gp) +8112406c: 01204574 movhi r4,33045 +81124070: deffff04 addi sp,sp,-4 +81124074: 21363904 addi r4,r4,-10012 +81124078: 01800804 movi r6,32 +8112407c: 01400044 movi r5,1 +81124080: dfc00015 stw ra,0(sp) +81124084: 112bc8c0 call 8112bc8c +81124088: d1e07917 ldw r7,-32284(gp) +8112408c: 01204574 movhi r4,33045 +81124090: 21364204 addi r4,r4,-9976 +81124094: 01801084 movi r6,66 +81124098: 01400044 movi r5,1 +8112409c: dfc00017 ldw ra,0(sp) +811240a0: dec00104 addi sp,sp,4 +811240a4: 112bc8c1 jmpi 8112bc8c + +811240a8 : +811240a8: 00a04634 movhi r2,33048 +811240ac: 108f1904 addi r2,r2,15460 +811240b0: 10800803 ldbu r2,32(r2) +811240b4: 00c001c4 movi r3,7 +811240b8: 1880012e bgeu r3,r2,811240c0 +811240bc: f800283a ret +811240c0: d1e07917 ldw r7,-32284(gp) +811240c4: 01204574 movhi r4,33045 +811240c8: deffff04 addi sp,sp,-4 +811240cc: 21365304 addi r4,r4,-9908 +811240d0: 01800ac4 movi r6,43 +811240d4: 01400044 movi r5,1 +811240d8: dfc00015 stw ra,0(sp) +811240dc: 112bc8c0 call 8112bc8c +811240e0: d1e07917 ldw r7,-32284(gp) +811240e4: 01204574 movhi r4,33045 +811240e8: 21365e04 addi r4,r4,-9864 +811240ec: 01801184 movi r6,70 +811240f0: 01400044 movi r5,1 +811240f4: dfc00017 ldw ra,0(sp) +811240f8: dec00104 addi sp,sp,4 +811240fc: 112bc8c1 jmpi 8112bc8c + +81124100 : +81124100: 00a04634 movhi r2,33048 +81124104: 108f1904 addi r2,r2,15460 +81124108: 10800803 ldbu r2,32(r2) +8112410c: 00c001c4 movi r3,7 +81124110: 1880012e bgeu r3,r2,81124118 +81124114: f800283a ret +81124118: d1e07917 ldw r7,-32284(gp) +8112411c: 01204574 movhi r4,33045 +81124120: deffff04 addi sp,sp,-4 +81124124: 21367004 addi r4,r4,-9792 +81124128: 01800884 movi r6,34 +8112412c: 01400044 movi r5,1 +81124130: dfc00015 stw ra,0(sp) +81124134: 112bc8c0 call 8112bc8c +81124138: d1e07917 ldw r7,-32284(gp) +8112413c: 01204574 movhi r4,33045 +81124140: 21367904 addi r4,r4,-9756 +81124144: 01801104 movi r6,68 +81124148: 01400044 movi r5,1 +8112414c: dfc00017 ldw ra,0(sp) +81124150: dec00104 addi sp,sp,4 +81124154: 112bc8c1 jmpi 8112bc8c + +81124158 : +81124158: 00a04634 movhi r2,33048 +8112415c: 108f1904 addi r2,r2,15460 +81124160: 10800803 ldbu r2,32(r2) +81124164: 00c001c4 movi r3,7 +81124168: 1880012e bgeu r3,r2,81124170 +8112416c: f800283a ret +81124170: d1e07917 ldw r7,-32284(gp) +81124174: 01204574 movhi r4,33045 +81124178: deffff04 addi sp,sp,-4 +8112417c: 21368b04 addi r4,r4,-9684 +81124180: 01800984 movi r6,38 +81124184: 01400044 movi r5,1 +81124188: dfc00015 stw ra,0(sp) +8112418c: 112bc8c0 call 8112bc8c +81124190: d1e07917 ldw r7,-32284(gp) +81124194: 01204574 movhi r4,33045 +81124198: 21369504 addi r4,r4,-9644 +8112419c: 01801044 movi r6,65 +811241a0: 01400044 movi r5,1 +811241a4: dfc00017 ldw ra,0(sp) +811241a8: dec00104 addi sp,sp,4 +811241ac: 112bc8c1 jmpi 8112bc8c + +811241b0 : +811241b0: 00a04634 movhi r2,33048 +811241b4: 108f1904 addi r2,r2,15460 +811241b8: 10800803 ldbu r2,32(r2) +811241bc: 00c001c4 movi r3,7 +811241c0: 1880012e bgeu r3,r2,811241c8 +811241c4: f800283a ret +811241c8: d1e07917 ldw r7,-32284(gp) +811241cc: 01204574 movhi r4,33045 +811241d0: 2136a604 addi r4,r4,-9576 +811241d4: 018003c4 movi r6,15 811241d8: 01400044 movi r5,1 -811241dc: dfc00015 stw ra,0(sp) -811241e0: 112bc4c0 call 8112bc4c -811241e4: 01400834 movhi r5,32 -811241e8: 297fffc4 addi r5,r5,-1 -811241ec: 01000044 movi r4,1 -811241f0: dfc00017 ldw ra,0(sp) -811241f4: dec00104 addi sp,sp,4 -811241f8: 11065dc1 jmpi 811065dc - -811241fc : -811241fc: 00a04634 movhi r2,33048 -81124200: 108f0804 addi r2,r2,15392 -81124204: 10800803 ldbu r2,32(r2) -81124208: 00c001c4 movi r3,7 -8112420c: 1880012e bgeu r3,r2,81124214 -81124210: f800283a ret -81124214: d1e07917 ldw r7,-32284(gp) -81124218: 01204574 movhi r4,33045 -8112421c: 21369f04 addi r4,r4,-9604 -81124220: 01800484 movi r6,18 -81124224: 01400044 movi r5,1 -81124228: 112bc4c1 jmpi 8112bc4c - -8112422c : -8112422c: 00a04634 movhi r2,33048 -81124230: 108f0804 addi r2,r2,15392 -81124234: 10800803 ldbu r2,32(r2) -81124238: 00c001c4 movi r3,7 -8112423c: 1880012e bgeu r3,r2,81124244 -81124240: f800283a ret -81124244: d1e07917 ldw r7,-32284(gp) -81124248: 01204574 movhi r4,33045 -8112424c: 2136a404 addi r4,r4,-9584 -81124250: 01800484 movi r6,18 -81124254: 01400044 movi r5,1 -81124258: 112bc4c1 jmpi 8112bc4c - -8112425c : -8112425c: 00a04634 movhi r2,33048 -81124260: 108f0804 addi r2,r2,15392 -81124264: 10800803 ldbu r2,32(r2) -81124268: 00c001c4 movi r3,7 -8112426c: 1880012e bgeu r3,r2,81124274 -81124270: f800283a ret -81124274: d1e07917 ldw r7,-32284(gp) -81124278: 01204574 movhi r4,33045 -8112427c: 2136a904 addi r4,r4,-9564 -81124280: 01800684 movi r6,26 -81124284: 01400044 movi r5,1 -81124288: 112bc4c1 jmpi 8112bc4c - -8112428c : -8112428c: 00a04634 movhi r2,33048 -81124290: 108f0804 addi r2,r2,15392 -81124294: 10800803 ldbu r2,32(r2) -81124298: 00c001c4 movi r3,7 -8112429c: 1880012e bgeu r3,r2,811242a4 -811242a0: f800283a ret -811242a4: d1e07917 ldw r7,-32284(gp) -811242a8: 01204574 movhi r4,33045 -811242ac: deffff04 addi sp,sp,-4 -811242b0: 2136b004 addi r4,r4,-9536 -811242b4: 01800a04 movi r6,40 -811242b8: 01400044 movi r5,1 -811242bc: dfc00015 stw ra,0(sp) -811242c0: 112bc4c0 call 8112bc4c -811242c4: d1e07917 ldw r7,-32284(gp) -811242c8: 01204574 movhi r4,33045 -811242cc: 2136bb04 addi r4,r4,-9492 -811242d0: 018008c4 movi r6,35 -811242d4: 01400044 movi r5,1 -811242d8: dfc00017 ldw ra,0(sp) -811242dc: dec00104 addi sp,sp,4 -811242e0: 112bc4c1 jmpi 8112bc4c - -811242e4 : -811242e4: 00a04634 movhi r2,33048 -811242e8: 108f0804 addi r2,r2,15392 -811242ec: 10800803 ldbu r2,32(r2) -811242f0: 00c001c4 movi r3,7 -811242f4: 1880012e bgeu r3,r2,811242fc -811242f8: f800283a ret -811242fc: d1e07917 ldw r7,-32284(gp) -81124300: 01204574 movhi r4,33045 -81124304: deffff04 addi sp,sp,-4 -81124308: 2136c404 addi r4,r4,-9456 -8112430c: 01800a04 movi r6,40 -81124310: 01400044 movi r5,1 -81124314: dfc00015 stw ra,0(sp) -81124318: 112bc4c0 call 8112bc4c -8112431c: d1e07917 ldw r7,-32284(gp) -81124320: 01204574 movhi r4,33045 -81124324: 2136bb04 addi r4,r4,-9492 -81124328: 018008c4 movi r6,35 -8112432c: 01400044 movi r5,1 -81124330: dfc00017 ldw ra,0(sp) -81124334: dec00104 addi sp,sp,4 -81124338: 112bc4c1 jmpi 8112bc4c - -8112433c : -8112433c: 00a04634 movhi r2,33048 -81124340: 108f0804 addi r2,r2,15392 -81124344: 10800803 ldbu r2,32(r2) -81124348: 00c001c4 movi r3,7 -8112434c: 1880012e bgeu r3,r2,81124354 -81124350: f800283a ret -81124354: d1e07917 ldw r7,-32284(gp) -81124358: 01204574 movhi r4,33045 -8112435c: deffff04 addi sp,sp,-4 -81124360: 2136cf04 addi r4,r4,-9412 -81124364: 01800a44 movi r6,41 -81124368: 01400044 movi r5,1 -8112436c: dfc00015 stw ra,0(sp) -81124370: 112bc4c0 call 8112bc4c -81124374: d1e07917 ldw r7,-32284(gp) -81124378: 01204574 movhi r4,33045 -8112437c: 2136bb04 addi r4,r4,-9492 -81124380: 018008c4 movi r6,35 -81124384: 01400044 movi r5,1 -81124388: dfc00017 ldw ra,0(sp) -8112438c: dec00104 addi sp,sp,4 -81124390: 112bc4c1 jmpi 8112bc4c - -81124394 : -81124394: 00a04634 movhi r2,33048 -81124398: 108f0804 addi r2,r2,15392 -8112439c: 10800803 ldbu r2,32(r2) -811243a0: 00c001c4 movi r3,7 -811243a4: 1880012e bgeu r3,r2,811243ac -811243a8: f800283a ret -811243ac: d1e07917 ldw r7,-32284(gp) -811243b0: 01204574 movhi r4,33045 -811243b4: deffff04 addi sp,sp,-4 -811243b8: 2136da04 addi r4,r4,-9368 -811243bc: 01800a44 movi r6,41 -811243c0: 01400044 movi r5,1 -811243c4: dfc00015 stw ra,0(sp) -811243c8: 112bc4c0 call 8112bc4c -811243cc: d1e07917 ldw r7,-32284(gp) -811243d0: 01204574 movhi r4,33045 -811243d4: 2136bb04 addi r4,r4,-9492 -811243d8: 018008c4 movi r6,35 -811243dc: 01400044 movi r5,1 -811243e0: dfc00017 ldw ra,0(sp) -811243e4: dec00104 addi sp,sp,4 -811243e8: 112bc4c1 jmpi 8112bc4c - -811243ec : -811243ec: 00a04634 movhi r2,33048 -811243f0: 108f0804 addi r2,r2,15392 -811243f4: 10800803 ldbu r2,32(r2) -811243f8: 00c001c4 movi r3,7 -811243fc: 1880012e bgeu r3,r2,81124404 -81124400: f800283a ret -81124404: d1e07917 ldw r7,-32284(gp) -81124408: 01204574 movhi r4,33045 -8112440c: deffff04 addi sp,sp,-4 -81124410: 2136e504 addi r4,r4,-9324 -81124414: 01800a44 movi r6,41 -81124418: 01400044 movi r5,1 -8112441c: dfc00015 stw ra,0(sp) -81124420: 112bc4c0 call 8112bc4c -81124424: d1e07917 ldw r7,-32284(gp) -81124428: 01204574 movhi r4,33045 -8112442c: 2136f004 addi r4,r4,-9280 -81124430: 01800884 movi r6,34 -81124434: 01400044 movi r5,1 -81124438: dfc00017 ldw ra,0(sp) -8112443c: dec00104 addi sp,sp,4 -81124440: 112bc4c1 jmpi 8112bc4c - -81124444 : -81124444: 00a04634 movhi r2,33048 -81124448: 108f0804 addi r2,r2,15392 -8112444c: 10800803 ldbu r2,32(r2) -81124450: 00c001c4 movi r3,7 -81124454: 1880012e bgeu r3,r2,8112445c -81124458: f800283a ret -8112445c: d1e07917 ldw r7,-32284(gp) -81124460: 01204574 movhi r4,33045 -81124464: deffff04 addi sp,sp,-4 -81124468: 2136f904 addi r4,r4,-9244 -8112446c: 01800a44 movi r6,41 -81124470: 01400044 movi r5,1 -81124474: dfc00015 stw ra,0(sp) -81124478: 112bc4c0 call 8112bc4c -8112447c: d1e07917 ldw r7,-32284(gp) -81124480: 01204574 movhi r4,33045 -81124484: 2136f004 addi r4,r4,-9280 -81124488: 01800884 movi r6,34 -8112448c: 01400044 movi r5,1 -81124490: dfc00017 ldw ra,0(sp) -81124494: dec00104 addi sp,sp,4 -81124498: 112bc4c1 jmpi 8112bc4c - -8112449c : -8112449c: 00a04634 movhi r2,33048 -811244a0: 108f0804 addi r2,r2,15392 -811244a4: 10800803 ldbu r2,32(r2) -811244a8: 00c001c4 movi r3,7 -811244ac: 1880012e bgeu r3,r2,811244b4 -811244b0: f800283a ret -811244b4: d1e07917 ldw r7,-32284(gp) -811244b8: 01204574 movhi r4,33045 -811244bc: deffff04 addi sp,sp,-4 -811244c0: 21370404 addi r4,r4,-9200 -811244c4: 01800a04 movi r6,40 -811244c8: 01400044 movi r5,1 -811244cc: dfc00015 stw ra,0(sp) -811244d0: 112bc4c0 call 8112bc4c -811244d4: d1e07917 ldw r7,-32284(gp) -811244d8: 01204574 movhi r4,33045 -811244dc: 2136f004 addi r4,r4,-9280 -811244e0: 01800884 movi r6,34 -811244e4: 01400044 movi r5,1 -811244e8: dfc00017 ldw ra,0(sp) -811244ec: dec00104 addi sp,sp,4 -811244f0: 112bc4c1 jmpi 8112bc4c - -811244f4 : -811244f4: 00a04634 movhi r2,33048 -811244f8: 108f0804 addi r2,r2,15392 -811244fc: 10800803 ldbu r2,32(r2) -81124500: 00c001c4 movi r3,7 -81124504: 1880012e bgeu r3,r2,8112450c -81124508: f800283a ret -8112450c: d1e07917 ldw r7,-32284(gp) -81124510: 01204574 movhi r4,33045 -81124514: deffff04 addi sp,sp,-4 -81124518: 21370f04 addi r4,r4,-9156 -8112451c: 01800a04 movi r6,40 -81124520: 01400044 movi r5,1 -81124524: dfc00015 stw ra,0(sp) -81124528: 112bc4c0 call 8112bc4c -8112452c: d1e07917 ldw r7,-32284(gp) -81124530: 01204574 movhi r4,33045 -81124534: 2136f004 addi r4,r4,-9280 -81124538: 01800884 movi r6,34 -8112453c: 01400044 movi r5,1 -81124540: dfc00017 ldw ra,0(sp) -81124544: dec00104 addi sp,sp,4 -81124548: 112bc4c1 jmpi 8112bc4c - -8112454c : -8112454c: 00a04634 movhi r2,33048 -81124550: 108f0804 addi r2,r2,15392 -81124554: 10800803 ldbu r2,32(r2) -81124558: 00c001c4 movi r3,7 -8112455c: 1880012e bgeu r3,r2,81124564 -81124560: f800283a ret -81124564: d1e07917 ldw r7,-32284(gp) -81124568: 01204574 movhi r4,33045 -8112456c: deffff04 addi sp,sp,-4 -81124570: 21371a04 addi r4,r4,-9112 -81124574: 01800a84 movi r6,42 -81124578: 01400044 movi r5,1 -8112457c: dfc00015 stw ra,0(sp) -81124580: 112bc4c0 call 8112bc4c -81124584: d1e07917 ldw r7,-32284(gp) -81124588: 01204574 movhi r4,33045 -8112458c: 21372504 addi r4,r4,-9068 -81124590: 01801204 movi r6,72 -81124594: 01400044 movi r5,1 -81124598: dfc00017 ldw ra,0(sp) -8112459c: dec00104 addi sp,sp,4 -811245a0: 112bc4c1 jmpi 8112bc4c - -811245a4 : -811245a4: 00a04634 movhi r2,33048 -811245a8: 108f0804 addi r2,r2,15392 -811245ac: 10800803 ldbu r2,32(r2) -811245b0: 00c001c4 movi r3,7 -811245b4: 1880012e bgeu r3,r2,811245bc -811245b8: f800283a ret -811245bc: d1e07917 ldw r7,-32284(gp) -811245c0: 01204574 movhi r4,33045 -811245c4: deffff04 addi sp,sp,-4 -811245c8: 21373804 addi r4,r4,-8992 -811245cc: 01800ac4 movi r6,43 -811245d0: 01400044 movi r5,1 -811245d4: dfc00015 stw ra,0(sp) -811245d8: 112bc4c0 call 8112bc4c -811245dc: d1e07917 ldw r7,-32284(gp) -811245e0: 01204574 movhi r4,33045 -811245e4: 21374304 addi r4,r4,-8948 -811245e8: 01801044 movi r6,65 -811245ec: 01400044 movi r5,1 -811245f0: dfc00017 ldw ra,0(sp) -811245f4: dec00104 addi sp,sp,4 -811245f8: 112bc4c1 jmpi 8112bc4c - -811245fc : -811245fc: 00a04634 movhi r2,33048 -81124600: 108f0804 addi r2,r2,15392 -81124604: 10800803 ldbu r2,32(r2) -81124608: 00c001c4 movi r3,7 -8112460c: 1880012e bgeu r3,r2,81124614 -81124610: f800283a ret -81124614: d1e07917 ldw r7,-32284(gp) -81124618: 01204574 movhi r4,33045 -8112461c: deffff04 addi sp,sp,-4 -81124620: 21375404 addi r4,r4,-8880 -81124624: 01800b84 movi r6,46 -81124628: 01400044 movi r5,1 -8112462c: dfc00015 stw ra,0(sp) -81124630: 112bc4c0 call 8112bc4c -81124634: d1e07917 ldw r7,-32284(gp) -81124638: 01204574 movhi r4,33045 -8112463c: 21376004 addi r4,r4,-8832 -81124640: 018010c4 movi r6,67 -81124644: 01400044 movi r5,1 -81124648: dfc00017 ldw ra,0(sp) -8112464c: dec00104 addi sp,sp,4 -81124650: 112bc4c1 jmpi 8112bc4c - -81124654 : -81124654: 00a04634 movhi r2,33048 -81124658: 108f0804 addi r2,r2,15392 -8112465c: 10800803 ldbu r2,32(r2) -81124660: 00c001c4 movi r3,7 -81124664: 1880012e bgeu r3,r2,8112466c -81124668: f800283a ret -8112466c: d1e07917 ldw r7,-32284(gp) -81124670: 01204574 movhi r4,33045 -81124674: deffff04 addi sp,sp,-4 -81124678: 21377104 addi r4,r4,-8764 -8112467c: 01800b04 movi r6,44 -81124680: 01400044 movi r5,1 -81124684: dfc00015 stw ra,0(sp) -81124688: 112bc4c0 call 8112bc4c -8112468c: d1e07917 ldw r7,-32284(gp) -81124690: 01204574 movhi r4,33045 -81124694: 21377d04 addi r4,r4,-8716 -81124698: 01800fc4 movi r6,63 -8112469c: 01400044 movi r5,1 -811246a0: dfc00017 ldw ra,0(sp) -811246a4: dec00104 addi sp,sp,4 -811246a8: 112bc4c1 jmpi 8112bc4c - -811246ac : -811246ac: 00a04634 movhi r2,33048 -811246b0: 108f0804 addi r2,r2,15392 -811246b4: 10800803 ldbu r2,32(r2) -811246b8: 00c001c4 movi r3,7 -811246bc: 1880012e bgeu r3,r2,811246c4 -811246c0: f800283a ret -811246c4: d1e07917 ldw r7,-32284(gp) -811246c8: 01204574 movhi r4,33045 -811246cc: deffff04 addi sp,sp,-4 -811246d0: 21378d04 addi r4,r4,-8652 -811246d4: 01800984 movi r6,38 -811246d8: 01400044 movi r5,1 -811246dc: dfc00015 stw ra,0(sp) -811246e0: 112bc4c0 call 8112bc4c -811246e4: d1e07917 ldw r7,-32284(gp) -811246e8: 01204574 movhi r4,33045 -811246ec: 21379704 addi r4,r4,-8612 -811246f0: 01800e44 movi r6,57 -811246f4: 01400044 movi r5,1 -811246f8: dfc00017 ldw ra,0(sp) -811246fc: dec00104 addi sp,sp,4 -81124700: 112bc4c1 jmpi 8112bc4c - -81124704 : -81124704: 00a04634 movhi r2,33048 -81124708: 108f0804 addi r2,r2,15392 -8112470c: 10800803 ldbu r2,32(r2) -81124710: 00c001c4 movi r3,7 -81124714: 1880012e bgeu r3,r2,8112471c -81124718: f800283a ret -8112471c: d1e07917 ldw r7,-32284(gp) -81124720: 01204574 movhi r4,33045 -81124724: deffff04 addi sp,sp,-4 -81124728: 2137a604 addi r4,r4,-8552 -8112472c: 01800904 movi r6,36 -81124730: 01400044 movi r5,1 -81124734: dfc00015 stw ra,0(sp) -81124738: 112bc4c0 call 8112bc4c -8112473c: d1e07917 ldw r7,-32284(gp) -81124740: 01204574 movhi r4,33045 -81124744: 2137b004 addi r4,r4,-8512 -81124748: 01801684 movi r6,90 -8112474c: 01400044 movi r5,1 -81124750: dfc00017 ldw ra,0(sp) -81124754: dec00104 addi sp,sp,4 -81124758: 112bc4c1 jmpi 8112bc4c - -8112475c : -8112475c: 00a04634 movhi r2,33048 -81124760: 108f0804 addi r2,r2,15392 -81124764: 10800803 ldbu r2,32(r2) -81124768: 00c001c4 movi r3,7 -8112476c: 1880012e bgeu r3,r2,81124774 -81124770: f800283a ret -81124774: d1e07917 ldw r7,-32284(gp) -81124778: 01204574 movhi r4,33045 -8112477c: deffff04 addi sp,sp,-4 -81124780: 2137c704 addi r4,r4,-8420 -81124784: 01800844 movi r6,33 -81124788: 01400044 movi r5,1 -8112478c: dfc00015 stw ra,0(sp) -81124790: 112bc4c0 call 8112bc4c -81124794: d1e07917 ldw r7,-32284(gp) -81124798: 01204574 movhi r4,33045 -8112479c: 2137d004 addi r4,r4,-8384 -811247a0: 01800f04 movi r6,60 -811247a4: 01400044 movi r5,1 -811247a8: dfc00017 ldw ra,0(sp) -811247ac: dec00104 addi sp,sp,4 -811247b0: 112bc4c1 jmpi 8112bc4c - -811247b4 : -811247b4: 00a04634 movhi r2,33048 -811247b8: 108f0804 addi r2,r2,15392 -811247bc: 10800803 ldbu r2,32(r2) -811247c0: 00c001c4 movi r3,7 -811247c4: 1880012e bgeu r3,r2,811247cc -811247c8: f800283a ret -811247cc: d1e07917 ldw r7,-32284(gp) -811247d0: 01204574 movhi r4,33045 -811247d4: deffff04 addi sp,sp,-4 -811247d8: 2137e004 addi r4,r4,-8320 -811247dc: 01800844 movi r6,33 -811247e0: 01400044 movi r5,1 -811247e4: dfc00015 stw ra,0(sp) -811247e8: 112bc4c0 call 8112bc4c -811247ec: d1e07917 ldw r7,-32284(gp) -811247f0: 01204574 movhi r4,33045 -811247f4: 2137e904 addi r4,r4,-8284 -811247f8: 01801784 movi r6,94 -811247fc: 01400044 movi r5,1 -81124800: dfc00017 ldw ra,0(sp) -81124804: dec00104 addi sp,sp,4 -81124808: 112bc4c1 jmpi 8112bc4c - -8112480c : -8112480c: 00a04634 movhi r2,33048 -81124810: 108f0804 addi r2,r2,15392 -81124814: 10800803 ldbu r2,32(r2) -81124818: 00c001c4 movi r3,7 -8112481c: 1880012e bgeu r3,r2,81124824 -81124820: f800283a ret -81124824: d1e07917 ldw r7,-32284(gp) -81124828: 01204574 movhi r4,33045 -8112482c: deffff04 addi sp,sp,-4 -81124830: 21380104 addi r4,r4,-8188 -81124834: 01800584 movi r6,22 -81124838: 01400044 movi r5,1 -8112483c: dfc00015 stw ra,0(sp) -81124840: 112bc4c0 call 8112bc4c -81124844: d1e07917 ldw r7,-32284(gp) -81124848: 01204574 movhi r4,33045 -8112484c: 21380704 addi r4,r4,-8164 -81124850: 01800a84 movi r6,42 -81124854: 01400044 movi r5,1 -81124858: dfc00017 ldw ra,0(sp) -8112485c: dec00104 addi sp,sp,4 -81124860: 112bc4c1 jmpi 8112bc4c - -81124864 : -81124864: 00a04634 movhi r2,33048 -81124868: 108f0804 addi r2,r2,15392 -8112486c: 10800803 ldbu r2,32(r2) -81124870: 00c001c4 movi r3,7 -81124874: 1880012e bgeu r3,r2,8112487c -81124878: f800283a ret -8112487c: d1e07917 ldw r7,-32284(gp) -81124880: 01204574 movhi r4,33045 -81124884: deffff04 addi sp,sp,-4 -81124888: 21381204 addi r4,r4,-8120 -8112488c: 018008c4 movi r6,35 -81124890: 01400044 movi r5,1 -81124894: dfc00015 stw ra,0(sp) -81124898: 112bc4c0 call 8112bc4c -8112489c: d1e07917 ldw r7,-32284(gp) -811248a0: 01204574 movhi r4,33045 -811248a4: 21381b04 addi r4,r4,-8084 -811248a8: 01801584 movi r6,86 -811248ac: 01400044 movi r5,1 -811248b0: dfc00017 ldw ra,0(sp) -811248b4: dec00104 addi sp,sp,4 -811248b8: 112bc4c1 jmpi 8112bc4c - -811248bc : -811248bc: 00a04634 movhi r2,33048 -811248c0: 108f0804 addi r2,r2,15392 -811248c4: 10800803 ldbu r2,32(r2) -811248c8: 00c001c4 movi r3,7 -811248cc: 1880012e bgeu r3,r2,811248d4 -811248d0: f800283a ret -811248d4: d1e07917 ldw r7,-32284(gp) -811248d8: 01204574 movhi r4,33045 -811248dc: deffff04 addi sp,sp,-4 -811248e0: 21383104 addi r4,r4,-7996 -811248e4: 01800804 movi r6,32 -811248e8: 01400044 movi r5,1 -811248ec: dfc00015 stw ra,0(sp) -811248f0: 112bc4c0 call 8112bc4c -811248f4: d1e07917 ldw r7,-32284(gp) -811248f8: 01204574 movhi r4,33045 -811248fc: 21383a04 addi r4,r4,-7960 -81124900: 018013c4 movi r6,79 -81124904: 01400044 movi r5,1 -81124908: dfc00017 ldw ra,0(sp) -8112490c: dec00104 addi sp,sp,4 -81124910: 112bc4c1 jmpi 8112bc4c - -81124914 : -81124914: 00a04634 movhi r2,33048 -81124918: 108f0804 addi r2,r2,15392 -8112491c: 10800803 ldbu r2,32(r2) -81124920: 00c001c4 movi r3,7 -81124924: 1880012e bgeu r3,r2,8112492c -81124928: f800283a ret -8112492c: d1e07917 ldw r7,-32284(gp) -81124930: 01204574 movhi r4,33045 -81124934: deffff04 addi sp,sp,-4 -81124938: 21384e04 addi r4,r4,-7880 -8112493c: 01800944 movi r6,37 -81124940: 01400044 movi r5,1 -81124944: dfc00015 stw ra,0(sp) -81124948: 112bc4c0 call 8112bc4c -8112494c: d1e07917 ldw r7,-32284(gp) -81124950: 01204574 movhi r4,33045 -81124954: 21385804 addi r4,r4,-7840 -81124958: 018015c4 movi r6,87 -8112495c: 01400044 movi r5,1 -81124960: dfc00017 ldw ra,0(sp) -81124964: dec00104 addi sp,sp,4 -81124968: 112bc4c1 jmpi 8112bc4c - -8112496c : -8112496c: 00a04634 movhi r2,33048 -81124970: 108f0804 addi r2,r2,15392 -81124974: 10800803 ldbu r2,32(r2) -81124978: 00c001c4 movi r3,7 -8112497c: 1880012e bgeu r3,r2,81124984 -81124980: f800283a ret -81124984: d1e07917 ldw r7,-32284(gp) -81124988: 01204574 movhi r4,33045 -8112498c: 21386e04 addi r4,r4,-7752 -81124990: 01800684 movi r6,26 -81124994: 01400044 movi r5,1 -81124998: 112bc4c1 jmpi 8112bc4c - -8112499c : -8112499c: 00a04634 movhi r2,33048 -811249a0: 108f0804 addi r2,r2,15392 -811249a4: 10800803 ldbu r2,32(r2) -811249a8: 00c001c4 movi r3,7 -811249ac: 1880012e bgeu r3,r2,811249b4 -811249b0: f800283a ret -811249b4: d1e07917 ldw r7,-32284(gp) -811249b8: 01204574 movhi r4,33045 -811249bc: 21387504 addi r4,r4,-7724 -811249c0: 01800704 movi r6,28 -811249c4: 01400044 movi r5,1 -811249c8: 112bc4c1 jmpi 8112bc4c - -811249cc : -811249cc: 112499c1 jmpi 8112499c - -811249d0 : -811249d0: 00a04634 movhi r2,33048 -811249d4: 108f0804 addi r2,r2,15392 -811249d8: 10800803 ldbu r2,32(r2) -811249dc: 00c001c4 movi r3,7 -811249e0: 1880012e bgeu r3,r2,811249e8 -811249e4: f800283a ret -811249e8: d1e07917 ldw r7,-32284(gp) -811249ec: 01204574 movhi r4,33045 -811249f0: 21387d04 addi r4,r4,-7692 -811249f4: 018007c4 movi r6,31 -811249f8: 01400044 movi r5,1 -811249fc: 112bc4c1 jmpi 8112bc4c - -81124a00 : -81124a00: 00a04634 movhi r2,33048 -81124a04: 108f0804 addi r2,r2,15392 -81124a08: 10800803 ldbu r2,32(r2) -81124a0c: 00c001c4 movi r3,7 -81124a10: 1880012e bgeu r3,r2,81124a18 -81124a14: f800283a ret -81124a18: d1e07917 ldw r7,-32284(gp) -81124a1c: 01204574 movhi r4,33045 -81124a20: 21388504 addi r4,r4,-7660 -81124a24: 01800904 movi r6,36 -81124a28: 01400044 movi r5,1 -81124a2c: 112bc4c1 jmpi 8112bc4c - -81124a30 : -81124a30: 00a04634 movhi r2,33048 -81124a34: 108f0804 addi r2,r2,15392 -81124a38: 10800803 ldbu r2,32(r2) -81124a3c: 00c001c4 movi r3,7 -81124a40: 1880012e bgeu r3,r2,81124a48 -81124a44: f800283a ret -81124a48: d1e07917 ldw r7,-32284(gp) -81124a4c: 01204574 movhi r4,33045 -81124a50: 21388f04 addi r4,r4,-7620 -81124a54: 01800a04 movi r6,40 -81124a58: 01400044 movi r5,1 -81124a5c: 112bc4c1 jmpi 8112bc4c - -81124a60 : -81124a60: 00a04634 movhi r2,33048 -81124a64: 108f0804 addi r2,r2,15392 -81124a68: 10800803 ldbu r2,32(r2) -81124a6c: 00c001c4 movi r3,7 -81124a70: 1880012e bgeu r3,r2,81124a78 -81124a74: f800283a ret -81124a78: d1e07917 ldw r7,-32284(gp) -81124a7c: 01204574 movhi r4,33045 -81124a80: deffff04 addi sp,sp,-4 -81124a84: 21389a04 addi r4,r4,-7576 -81124a88: 018009c4 movi r6,39 -81124a8c: 01400044 movi r5,1 -81124a90: dfc00015 stw ra,0(sp) -81124a94: 112bc4c0 call 8112bc4c -81124a98: d1e07917 ldw r7,-32284(gp) -81124a9c: 01204574 movhi r4,33045 -81124aa0: 2138a404 addi r4,r4,-7536 -81124aa4: 01800cc4 movi r6,51 -81124aa8: 01400044 movi r5,1 -81124aac: dfc00017 ldw ra,0(sp) -81124ab0: dec00104 addi sp,sp,4 -81124ab4: 112bc4c1 jmpi 8112bc4c - -81124ab8 : -81124ab8: 00a04634 movhi r2,33048 -81124abc: 108f0804 addi r2,r2,15392 -81124ac0: 10800803 ldbu r2,32(r2) -81124ac4: 00c001c4 movi r3,7 -81124ac8: 1880012e bgeu r3,r2,81124ad0 -81124acc: f800283a ret -81124ad0: d1e07917 ldw r7,-32284(gp) -81124ad4: 01204574 movhi r4,33045 -81124ad8: deffff04 addi sp,sp,-4 -81124adc: 2138b104 addi r4,r4,-7484 -81124ae0: 01800a04 movi r6,40 -81124ae4: 01400044 movi r5,1 -81124ae8: dfc00015 stw ra,0(sp) -81124aec: 112bc4c0 call 8112bc4c -81124af0: d1e07917 ldw r7,-32284(gp) -81124af4: 01204574 movhi r4,33045 -81124af8: 2138bc04 addi r4,r4,-7440 -81124afc: 01800c84 movi r6,50 -81124b00: 01400044 movi r5,1 -81124b04: dfc00017 ldw ra,0(sp) -81124b08: dec00104 addi sp,sp,4 -81124b0c: 112bc4c1 jmpi 8112bc4c - -81124b10 : -81124b10: 00a04634 movhi r2,33048 -81124b14: 108f0804 addi r2,r2,15392 -81124b18: 10800803 ldbu r2,32(r2) -81124b1c: 00c001c4 movi r3,7 -81124b20: 1880012e bgeu r3,r2,81124b28 -81124b24: f800283a ret -81124b28: d1e07917 ldw r7,-32284(gp) -81124b2c: 01204574 movhi r4,33045 -81124b30: deffff04 addi sp,sp,-4 -81124b34: 2138c904 addi r4,r4,-7388 -81124b38: 01800ac4 movi r6,43 -81124b3c: 01400044 movi r5,1 -81124b40: dfc00015 stw ra,0(sp) -81124b44: 112bc4c0 call 8112bc4c -81124b48: d1e07917 ldw r7,-32284(gp) -81124b4c: 01204574 movhi r4,33045 -81124b50: 2138d404 addi r4,r4,-7344 -81124b54: 01801644 movi r6,89 -81124b58: 01400044 movi r5,1 -81124b5c: dfc00017 ldw ra,0(sp) -81124b60: dec00104 addi sp,sp,4 -81124b64: 112bc4c1 jmpi 8112bc4c - -81124b68 : -81124b68: 00a04634 movhi r2,33048 -81124b6c: 108f0804 addi r2,r2,15392 -81124b70: 10800803 ldbu r2,32(r2) -81124b74: 00c001c4 movi r3,7 -81124b78: 1880012e bgeu r3,r2,81124b80 -81124b7c: f800283a ret -81124b80: d1e07917 ldw r7,-32284(gp) -81124b84: 01204574 movhi r4,33045 -81124b88: deffff04 addi sp,sp,-4 -81124b8c: 2138eb04 addi r4,r4,-7252 -81124b90: 01800a84 movi r6,42 -81124b94: 01400044 movi r5,1 -81124b98: dfc00015 stw ra,0(sp) -81124b9c: 112bc4c0 call 8112bc4c -81124ba0: d1e07917 ldw r7,-32284(gp) -81124ba4: 01204574 movhi r4,33045 -81124ba8: 2138f604 addi r4,r4,-7208 -81124bac: 01801444 movi r6,81 -81124bb0: 01400044 movi r5,1 -81124bb4: dfc00017 ldw ra,0(sp) -81124bb8: dec00104 addi sp,sp,4 -81124bbc: 112bc4c1 jmpi 8112bc4c - -81124bc0 : -81124bc0: 00a04634 movhi r2,33048 -81124bc4: 108f0804 addi r2,r2,15392 -81124bc8: 10800803 ldbu r2,32(r2) -81124bcc: 00c001c4 movi r3,7 -81124bd0: 1880012e bgeu r3,r2,81124bd8 -81124bd4: f800283a ret -81124bd8: d1e07917 ldw r7,-32284(gp) -81124bdc: 01204574 movhi r4,33045 -81124be0: deffff04 addi sp,sp,-4 -81124be4: 21390b04 addi r4,r4,-7124 -81124be8: 01800a84 movi r6,42 -81124bec: 01400044 movi r5,1 -81124bf0: dfc00015 stw ra,0(sp) -81124bf4: 112bc4c0 call 8112bc4c -81124bf8: d1e07917 ldw r7,-32284(gp) -81124bfc: 01204574 movhi r4,33045 -81124c00: 21391604 addi r4,r4,-7080 -81124c04: 01801444 movi r6,81 -81124c08: 01400044 movi r5,1 -81124c0c: dfc00017 ldw ra,0(sp) -81124c10: dec00104 addi sp,sp,4 -81124c14: 112bc4c1 jmpi 8112bc4c - -81124c18 : -81124c18: 00a04634 movhi r2,33048 -81124c1c: 108f0804 addi r2,r2,15392 -81124c20: 10800803 ldbu r2,32(r2) -81124c24: 00c001c4 movi r3,7 -81124c28: 1880012e bgeu r3,r2,81124c30 -81124c2c: f800283a ret -81124c30: d1e07917 ldw r7,-32284(gp) -81124c34: 01204574 movhi r4,33045 -81124c38: deffff04 addi sp,sp,-4 -81124c3c: 21392b04 addi r4,r4,-6996 -81124c40: 01800ac4 movi r6,43 -81124c44: 01400044 movi r5,1 -81124c48: dfc00015 stw ra,0(sp) -81124c4c: 112bc4c0 call 8112bc4c -81124c50: d1e07917 ldw r7,-32284(gp) -81124c54: 01204574 movhi r4,33045 -81124c58: 21393604 addi r4,r4,-6952 -81124c5c: 01801484 movi r6,82 -81124c60: 01400044 movi r5,1 -81124c64: dfc00017 ldw ra,0(sp) -81124c68: dec00104 addi sp,sp,4 -81124c6c: 112bc4c1 jmpi 8112bc4c - -81124c70 : -81124c70: 00a04634 movhi r2,33048 -81124c74: 108f0804 addi r2,r2,15392 -81124c78: 10800803 ldbu r2,32(r2) -81124c7c: 00c001c4 movi r3,7 -81124c80: 1880012e bgeu r3,r2,81124c88 -81124c84: f800283a ret -81124c88: d1e07917 ldw r7,-32284(gp) -81124c8c: 01204574 movhi r4,33045 -81124c90: deffff04 addi sp,sp,-4 -81124c94: 21394b04 addi r4,r4,-6868 -81124c98: 01800984 movi r6,38 -81124c9c: 01400044 movi r5,1 -81124ca0: dfc00015 stw ra,0(sp) -81124ca4: 112bc4c0 call 8112bc4c -81124ca8: d1e07917 ldw r7,-32284(gp) -81124cac: 01204574 movhi r4,33045 -81124cb0: 21395504 addi r4,r4,-6828 -81124cb4: 01800d44 movi r6,53 -81124cb8: 01400044 movi r5,1 -81124cbc: dfc00017 ldw ra,0(sp) -81124cc0: dec00104 addi sp,sp,4 -81124cc4: 112bc4c1 jmpi 8112bc4c - -81124cc8 : -81124cc8: 00a04634 movhi r2,33048 -81124ccc: 108f0804 addi r2,r2,15392 -81124cd0: 10800803 ldbu r2,32(r2) -81124cd4: 00c001c4 movi r3,7 -81124cd8: 1880012e bgeu r3,r2,81124ce0 -81124cdc: f800283a ret -81124ce0: d1e07917 ldw r7,-32284(gp) -81124ce4: 01204574 movhi r4,33045 -81124ce8: deffff04 addi sp,sp,-4 -81124cec: 21396304 addi r4,r4,-6772 -81124cf0: 01800744 movi r6,29 -81124cf4: 01400044 movi r5,1 -81124cf8: dfc00015 stw ra,0(sp) -81124cfc: 112bc4c0 call 8112bc4c -81124d00: d1e07917 ldw r7,-32284(gp) -81124d04: 01204574 movhi r4,33045 -81124d08: 21396b04 addi r4,r4,-6740 -81124d0c: 01800984 movi r6,38 -81124d10: 01400044 movi r5,1 -81124d14: dfc00017 ldw ra,0(sp) -81124d18: dec00104 addi sp,sp,4 -81124d1c: 112bc4c1 jmpi 8112bc4c - -81124d20 : -81124d20: 00a04634 movhi r2,33048 -81124d24: 108f0804 addi r2,r2,15392 -81124d28: 10800803 ldbu r2,32(r2) -81124d2c: 00c001c4 movi r3,7 -81124d30: 1880012e bgeu r3,r2,81124d38 -81124d34: f800283a ret -81124d38: d1e07917 ldw r7,-32284(gp) -81124d3c: 01204574 movhi r4,33045 -81124d40: 21397504 addi r4,r4,-6700 -81124d44: 01800bc4 movi r6,47 -81124d48: 01400044 movi r5,1 -81124d4c: 112bc4c1 jmpi 8112bc4c - -81124d50 : -81124d50: 00a04634 movhi r2,33048 -81124d54: 108f0804 addi r2,r2,15392 -81124d58: 10800803 ldbu r2,32(r2) -81124d5c: 00c001c4 movi r3,7 -81124d60: 1880012e bgeu r3,r2,81124d68 -81124d64: f800283a ret -81124d68: d1e07917 ldw r7,-32284(gp) -81124d6c: 01204574 movhi r4,33045 -81124d70: deffff04 addi sp,sp,-4 -81124d74: 21398104 addi r4,r4,-6652 -81124d78: 018006c4 movi r6,27 -81124d7c: 01400044 movi r5,1 -81124d80: dfc00015 stw ra,0(sp) -81124d84: 112bc4c0 call 8112bc4c -81124d88: d1e07917 ldw r7,-32284(gp) -81124d8c: 01204574 movhi r4,33045 -81124d90: 21398804 addi r4,r4,-6624 -81124d94: 018008c4 movi r6,35 -81124d98: 01400044 movi r5,1 -81124d9c: dfc00017 ldw ra,0(sp) -81124da0: dec00104 addi sp,sp,4 -81124da4: 112bc4c1 jmpi 8112bc4c - -81124da8 : -81124da8: 00a04634 movhi r2,33048 -81124dac: 108f0804 addi r2,r2,15392 -81124db0: 10800803 ldbu r2,32(r2) -81124db4: 00c001c4 movi r3,7 -81124db8: 1880012e bgeu r3,r2,81124dc0 -81124dbc: f800283a ret -81124dc0: d1e07917 ldw r7,-32284(gp) -81124dc4: 01204574 movhi r4,33045 -81124dc8: deffff04 addi sp,sp,-4 -81124dcc: 21399104 addi r4,r4,-6588 -81124dd0: 01800644 movi r6,25 -81124dd4: 01400044 movi r5,1 -81124dd8: dfc00015 stw ra,0(sp) -81124ddc: 112bc4c0 call 8112bc4c -81124de0: d1e07917 ldw r7,-32284(gp) -81124de4: 01204574 movhi r4,33045 -81124de8: 21399804 addi r4,r4,-6560 -81124dec: 018008c4 movi r6,35 -81124df0: 01400044 movi r5,1 -81124df4: dfc00017 ldw ra,0(sp) -81124df8: dec00104 addi sp,sp,4 -81124dfc: 112bc4c1 jmpi 8112bc4c - -81124e00 : -81124e00: 00a04634 movhi r2,33048 -81124e04: 108f0804 addi r2,r2,15392 -81124e08: 10800803 ldbu r2,32(r2) -81124e0c: 00c001c4 movi r3,7 -81124e10: 1880012e bgeu r3,r2,81124e18 -81124e14: f800283a ret -81124e18: d1e07917 ldw r7,-32284(gp) -81124e1c: 01204574 movhi r4,33045 -81124e20: deffff04 addi sp,sp,-4 -81124e24: 2139a104 addi r4,r4,-6524 -81124e28: 01800884 movi r6,34 -81124e2c: 01400044 movi r5,1 -81124e30: dfc00015 stw ra,0(sp) -81124e34: 112bc4c0 call 8112bc4c -81124e38: d1e07917 ldw r7,-32284(gp) -81124e3c: 01204574 movhi r4,33045 -81124e40: 2139aa04 addi r4,r4,-6488 -81124e44: 01800ac4 movi r6,43 -81124e48: 01400044 movi r5,1 -81124e4c: 112bc4c0 call 8112bc4c -81124e50: d1e07917 ldw r7,-32284(gp) -81124e54: 01204574 movhi r4,33045 -81124e58: 2139b504 addi r4,r4,-6444 -81124e5c: 01800144 movi r6,5 -81124e60: 01400044 movi r5,1 -81124e64: dfc00017 ldw ra,0(sp) -81124e68: dec00104 addi sp,sp,4 -81124e6c: 112bc4c1 jmpi 8112bc4c - -81124e70 : -81124e70: 00a04634 movhi r2,33048 -81124e74: 108f0804 addi r2,r2,15392 -81124e78: 10800803 ldbu r2,32(r2) -81124e7c: 00c001c4 movi r3,7 -81124e80: 1880012e bgeu r3,r2,81124e88 -81124e84: f800283a ret -81124e88: d1e07917 ldw r7,-32284(gp) -81124e8c: 01204574 movhi r4,33045 -81124e90: deffff04 addi sp,sp,-4 -81124e94: 2139b704 addi r4,r4,-6436 -81124e98: 01800a04 movi r6,40 -81124e9c: 01400044 movi r5,1 -81124ea0: dfc00015 stw ra,0(sp) -81124ea4: 112bc4c0 call 8112bc4c -81124ea8: d1e07917 ldw r7,-32284(gp) -81124eac: 01204574 movhi r4,33045 -81124eb0: 2139c204 addi r4,r4,-6392 -81124eb4: 018014c4 movi r6,83 -81124eb8: 01400044 movi r5,1 -81124ebc: dfc00017 ldw ra,0(sp) -81124ec0: dec00104 addi sp,sp,4 -81124ec4: 112bc4c1 jmpi 8112bc4c - -81124ec8 : -81124ec8: 00a04634 movhi r2,33048 -81124ecc: 108f0804 addi r2,r2,15392 -81124ed0: 10800803 ldbu r2,32(r2) -81124ed4: 00c001c4 movi r3,7 -81124ed8: 1880012e bgeu r3,r2,81124ee0 -81124edc: f800283a ret -81124ee0: d1e07917 ldw r7,-32284(gp) -81124ee4: 01204574 movhi r4,33045 -81124ee8: deffff04 addi sp,sp,-4 -81124eec: 2139d704 addi r4,r4,-6308 -81124ef0: 01800844 movi r6,33 -81124ef4: 01400044 movi r5,1 -81124ef8: dfc00015 stw ra,0(sp) -81124efc: 112bc4c0 call 8112bc4c -81124f00: d1e07917 ldw r7,-32284(gp) -81124f04: 01204574 movhi r4,33045 -81124f08: 2139e004 addi r4,r4,-6272 -81124f0c: 01801204 movi r6,72 -81124f10: 01400044 movi r5,1 -81124f14: dfc00017 ldw ra,0(sp) -81124f18: dec00104 addi sp,sp,4 -81124f1c: 112bc4c1 jmpi 8112bc4c - -81124f20 : -81124f20: 00a04634 movhi r2,33048 -81124f24: 108f0804 addi r2,r2,15392 -81124f28: 10800803 ldbu r2,32(r2) -81124f2c: 00c001c4 movi r3,7 -81124f30: 1880012e bgeu r3,r2,81124f38 -81124f34: f800283a ret -81124f38: defffe04 addi sp,sp,-8 -81124f3c: d1e07917 ldw r7,-32284(gp) -81124f40: dc000015 stw r16,0(sp) -81124f44: 2021883a mov r16,r4 -81124f48: 01204574 movhi r4,33045 -81124f4c: 2139f304 addi r4,r4,-6196 -81124f50: 01800744 movi r6,29 -81124f54: 01400044 movi r5,1 -81124f58: dfc00115 stw ra,4(sp) -81124f5c: 112bc4c0 call 8112bc4c -81124f60: d1207917 ldw r4,-32284(gp) -81124f64: 01604574 movhi r5,33045 -81124f68: 2979fb04 addi r5,r5,-6164 -81124f6c: 81803fcc andi r6,r16,255 -81124f70: dfc00117 ldw ra,4(sp) -81124f74: dc000017 ldw r16,0(sp) -81124f78: dec00204 addi sp,sp,8 -81124f7c: 112b5e01 jmpi 8112b5e0 - -81124f80 : -81124f80: 00a04634 movhi r2,33048 -81124f84: 108f0804 addi r2,r2,15392 -81124f88: 10800803 ldbu r2,32(r2) -81124f8c: 00c001c4 movi r3,7 -81124f90: 1880012e bgeu r3,r2,81124f98 -81124f94: f800283a ret -81124f98: d1e07917 ldw r7,-32284(gp) -81124f9c: 01204574 movhi r4,33045 -81124fa0: deffff04 addi sp,sp,-4 -81124fa4: 213a0904 addi r4,r4,-6108 -81124fa8: 01800704 movi r6,28 -81124fac: 01400044 movi r5,1 -81124fb0: dfc00015 stw ra,0(sp) -81124fb4: 112bc4c0 call 8112bc4c -81124fb8: d1e07917 ldw r7,-32284(gp) -81124fbc: 01204574 movhi r4,33045 -81124fc0: 213a1104 addi r4,r4,-6076 -81124fc4: 01800c84 movi r6,50 -81124fc8: 01400044 movi r5,1 -81124fcc: dfc00017 ldw ra,0(sp) -81124fd0: dec00104 addi sp,sp,4 -81124fd4: 112bc4c1 jmpi 8112bc4c - -81124fd8 : -81124fd8: 00a04634 movhi r2,33048 -81124fdc: 108f0804 addi r2,r2,15392 -81124fe0: 10800803 ldbu r2,32(r2) -81124fe4: 00c001c4 movi r3,7 -81124fe8: 1880012e bgeu r3,r2,81124ff0 -81124fec: f800283a ret -81124ff0: d1e07917 ldw r7,-32284(gp) -81124ff4: 01204574 movhi r4,33045 -81124ff8: deffff04 addi sp,sp,-4 -81124ffc: 213a1e04 addi r4,r4,-6024 -81125000: 01800704 movi r6,28 -81125004: 01400044 movi r5,1 -81125008: dfc00015 stw ra,0(sp) -8112500c: 112bc4c0 call 8112bc4c -81125010: d1e07917 ldw r7,-32284(gp) -81125014: 01204574 movhi r4,33045 -81125018: 213a1104 addi r4,r4,-6076 -8112501c: 01800c84 movi r6,50 -81125020: 01400044 movi r5,1 -81125024: dfc00017 ldw ra,0(sp) -81125028: dec00104 addi sp,sp,4 -8112502c: 112bc4c1 jmpi 8112bc4c - -81125030 : -81125030: 00a04634 movhi r2,33048 -81125034: 108f0804 addi r2,r2,15392 -81125038: 10800803 ldbu r2,32(r2) -8112503c: 00c001c4 movi r3,7 -81125040: 1880012e bgeu r3,r2,81125048 -81125044: f800283a ret -81125048: defffe04 addi sp,sp,-8 -8112504c: d1e07917 ldw r7,-32284(gp) -81125050: dc000015 stw r16,0(sp) -81125054: 2021883a mov r16,r4 -81125058: 01204574 movhi r4,33045 -8112505c: 213a2604 addi r4,r4,-5992 -81125060: 01800844 movi r6,33 -81125064: 01400044 movi r5,1 -81125068: dfc00115 stw ra,4(sp) -8112506c: 112bc4c0 call 8112bc4c -81125070: d1207917 ldw r4,-32284(gp) -81125074: 01604574 movhi r5,33045 -81125078: 297a2f04 addi r5,r5,-5956 -8112507c: 81803fcc andi r6,r16,255 -81125080: dfc00117 ldw ra,4(sp) -81125084: dc000017 ldw r16,0(sp) -81125088: dec00204 addi sp,sp,8 -8112508c: 112b5e01 jmpi 8112b5e0 - -81125090 : -81125090: 00a04634 movhi r2,33048 -81125094: 108f0804 addi r2,r2,15392 -81125098: 10800803 ldbu r2,32(r2) -8112509c: 00c001c4 movi r3,7 -811250a0: 1880012e bgeu r3,r2,811250a8 -811250a4: f800283a ret -811250a8: d1e07917 ldw r7,-32284(gp) -811250ac: 01204574 movhi r4,33045 -811250b0: deffff04 addi sp,sp,-4 -811250b4: 213a3d04 addi r4,r4,-5900 -811250b8: 01800844 movi r6,33 -811250bc: 01400044 movi r5,1 -811250c0: dfc00015 stw ra,0(sp) -811250c4: 112bc4c0 call 8112bc4c -811250c8: d1e07917 ldw r7,-32284(gp) -811250cc: 01204574 movhi r4,33045 -811250d0: 213a4604 addi r4,r4,-5864 -811250d4: 01800784 movi r6,30 -811250d8: 01400044 movi r5,1 -811250dc: dfc00017 ldw ra,0(sp) -811250e0: dec00104 addi sp,sp,4 -811250e4: 112bc4c1 jmpi 8112bc4c - -811250e8 : -811250e8: 00a04634 movhi r2,33048 -811250ec: 108f0804 addi r2,r2,15392 -811250f0: 10800803 ldbu r2,32(r2) -811250f4: 00c001c4 movi r3,7 -811250f8: 1880012e bgeu r3,r2,81125100 -811250fc: f800283a ret -81125100: d1e07917 ldw r7,-32284(gp) -81125104: 01204574 movhi r4,33045 -81125108: deffff04 addi sp,sp,-4 -8112510c: 213a4e04 addi r4,r4,-5832 -81125110: 01800844 movi r6,33 -81125114: 01400044 movi r5,1 -81125118: dfc00015 stw ra,0(sp) -8112511c: 112bc4c0 call 8112bc4c -81125120: d1e07917 ldw r7,-32284(gp) -81125124: 01204574 movhi r4,33045 -81125128: 213a5704 addi r4,r4,-5796 -8112512c: 01800784 movi r6,30 -81125130: 01400044 movi r5,1 -81125134: dfc00017 ldw ra,0(sp) -81125138: dec00104 addi sp,sp,4 -8112513c: 112bc4c1 jmpi 8112bc4c - -81125140 : -81125140: 00a04634 movhi r2,33048 -81125144: 108f0804 addi r2,r2,15392 -81125148: 10800803 ldbu r2,32(r2) -8112514c: 00c001c4 movi r3,7 -81125150: 1880012e bgeu r3,r2,81125158 -81125154: f800283a ret -81125158: d1e07917 ldw r7,-32284(gp) -8112515c: 01204574 movhi r4,33045 -81125160: deffff04 addi sp,sp,-4 -81125164: 213a5f04 addi r4,r4,-5764 -81125168: 01800844 movi r6,33 -8112516c: 01400044 movi r5,1 -81125170: dfc00015 stw ra,0(sp) -81125174: 112bc4c0 call 8112bc4c -81125178: d1e07917 ldw r7,-32284(gp) -8112517c: 01204574 movhi r4,33045 -81125180: 213a6804 addi r4,r4,-5728 -81125184: 01800784 movi r6,30 -81125188: 01400044 movi r5,1 -8112518c: dfc00017 ldw ra,0(sp) -81125190: dec00104 addi sp,sp,4 -81125194: 112bc4c1 jmpi 8112bc4c - -81125198 : -81125198: 00a04634 movhi r2,33048 -8112519c: 108f0804 addi r2,r2,15392 -811251a0: 10800803 ldbu r2,32(r2) -811251a4: 00c001c4 movi r3,7 -811251a8: 1880012e bgeu r3,r2,811251b0 -811251ac: f800283a ret -811251b0: d1e07917 ldw r7,-32284(gp) -811251b4: 01204574 movhi r4,33045 -811251b8: deffff04 addi sp,sp,-4 -811251bc: 213a7004 addi r4,r4,-5696 -811251c0: 01800844 movi r6,33 -811251c4: 01400044 movi r5,1 -811251c8: dfc00015 stw ra,0(sp) -811251cc: 112bc4c0 call 8112bc4c -811251d0: d1e07917 ldw r7,-32284(gp) -811251d4: 01204574 movhi r4,33045 -811251d8: 213a7904 addi r4,r4,-5660 -811251dc: 01800784 movi r6,30 -811251e0: 01400044 movi r5,1 -811251e4: dfc00017 ldw ra,0(sp) -811251e8: dec00104 addi sp,sp,4 -811251ec: 112bc4c1 jmpi 8112bc4c - -811251f0 : -811251f0: 00a04634 movhi r2,33048 -811251f4: 108f0804 addi r2,r2,15392 -811251f8: 10800803 ldbu r2,32(r2) -811251fc: 00c001c4 movi r3,7 -81125200: 1880012e bgeu r3,r2,81125208 -81125204: f800283a ret -81125208: d1e07917 ldw r7,-32284(gp) -8112520c: 01204574 movhi r4,33045 -81125210: deffff04 addi sp,sp,-4 -81125214: 213a8104 addi r4,r4,-5628 -81125218: 01800844 movi r6,33 -8112521c: 01400044 movi r5,1 -81125220: dfc00015 stw ra,0(sp) -81125224: 112bc4c0 call 8112bc4c -81125228: d1e07917 ldw r7,-32284(gp) -8112522c: 01204574 movhi r4,33045 -81125230: 213a8a04 addi r4,r4,-5592 -81125234: 01800784 movi r6,30 -81125238: 01400044 movi r5,1 -8112523c: dfc00017 ldw ra,0(sp) -81125240: dec00104 addi sp,sp,4 -81125244: 112bc4c1 jmpi 8112bc4c - -81125248 : -81125248: 00a04634 movhi r2,33048 -8112524c: 108f0804 addi r2,r2,15392 -81125250: 10800803 ldbu r2,32(r2) -81125254: 00c001c4 movi r3,7 -81125258: 1880012e bgeu r3,r2,81125260 -8112525c: f800283a ret -81125260: d1e07917 ldw r7,-32284(gp) -81125264: 01204574 movhi r4,33045 -81125268: deffff04 addi sp,sp,-4 -8112526c: 213a9204 addi r4,r4,-5560 -81125270: 01800844 movi r6,33 -81125274: 01400044 movi r5,1 -81125278: dfc00015 stw ra,0(sp) -8112527c: 112bc4c0 call 8112bc4c -81125280: d1e07917 ldw r7,-32284(gp) -81125284: 01204574 movhi r4,33045 -81125288: 213a9b04 addi r4,r4,-5524 -8112528c: 01800784 movi r6,30 -81125290: 01400044 movi r5,1 -81125294: dfc00017 ldw ra,0(sp) -81125298: dec00104 addi sp,sp,4 -8112529c: 112bc4c1 jmpi 8112bc4c - -811252a0 : -811252a0: 00a04634 movhi r2,33048 -811252a4: 108f0804 addi r2,r2,15392 -811252a8: 10800803 ldbu r2,32(r2) -811252ac: 00c001c4 movi r3,7 -811252b0: 1880012e bgeu r3,r2,811252b8 -811252b4: f800283a ret -811252b8: d1e07917 ldw r7,-32284(gp) -811252bc: 01204574 movhi r4,33045 -811252c0: deffff04 addi sp,sp,-4 -811252c4: 213aa304 addi r4,r4,-5492 -811252c8: 01800a84 movi r6,42 -811252cc: 01400044 movi r5,1 -811252d0: dfc00015 stw ra,0(sp) -811252d4: 112bc4c0 call 8112bc4c -811252d8: d1e07917 ldw r7,-32284(gp) -811252dc: 01204574 movhi r4,33045 -811252e0: 213aae04 addi r4,r4,-5448 -811252e4: 018009c4 movi r6,39 -811252e8: 01400044 movi r5,1 -811252ec: dfc00017 ldw ra,0(sp) -811252f0: dec00104 addi sp,sp,4 -811252f4: 112bc4c1 jmpi 8112bc4c - -811252f8 : -811252f8: 00a04634 movhi r2,33048 -811252fc: 108f0804 addi r2,r2,15392 -81125300: 10800803 ldbu r2,32(r2) -81125304: 00c001c4 movi r3,7 -81125308: 1880012e bgeu r3,r2,81125310 -8112530c: f800283a ret -81125310: d1e07917 ldw r7,-32284(gp) -81125314: 01204574 movhi r4,33045 -81125318: deffff04 addi sp,sp,-4 -8112531c: 213ab804 addi r4,r4,-5408 -81125320: 01800a84 movi r6,42 -81125324: 01400044 movi r5,1 -81125328: dfc00015 stw ra,0(sp) -8112532c: 112bc4c0 call 8112bc4c -81125330: d1e07917 ldw r7,-32284(gp) -81125334: 01204574 movhi r4,33045 -81125338: 213ac304 addi r4,r4,-5364 -8112533c: 018009c4 movi r6,39 -81125340: 01400044 movi r5,1 -81125344: dfc00017 ldw ra,0(sp) -81125348: dec00104 addi sp,sp,4 -8112534c: 112bc4c1 jmpi 8112bc4c - -81125350 : -81125350: 00a04634 movhi r2,33048 -81125354: 108f0804 addi r2,r2,15392 -81125358: 10800803 ldbu r2,32(r2) -8112535c: 00c001c4 movi r3,7 -81125360: 1880012e bgeu r3,r2,81125368 -81125364: f800283a ret -81125368: d1e07917 ldw r7,-32284(gp) -8112536c: 01204574 movhi r4,33045 -81125370: deffff04 addi sp,sp,-4 -81125374: 213acd04 addi r4,r4,-5324 -81125378: 018007c4 movi r6,31 -8112537c: 01400044 movi r5,1 -81125380: dfc00015 stw ra,0(sp) -81125384: 112bc4c0 call 8112bc4c -81125388: d1e07917 ldw r7,-32284(gp) -8112538c: 01204574 movhi r4,33045 -81125390: 213ad504 addi r4,r4,-5292 -81125394: 018006c4 movi r6,27 -81125398: 01400044 movi r5,1 -8112539c: dfc00017 ldw ra,0(sp) -811253a0: dec00104 addi sp,sp,4 -811253a4: 112bc4c1 jmpi 8112bc4c - -811253a8 : -811253a8: 00a04634 movhi r2,33048 -811253ac: 108f0804 addi r2,r2,15392 -811253b0: 10800803 ldbu r2,32(r2) -811253b4: 00c001c4 movi r3,7 -811253b8: 1880012e bgeu r3,r2,811253c0 -811253bc: f800283a ret -811253c0: defffe04 addi sp,sp,-8 -811253c4: d1e07917 ldw r7,-32284(gp) -811253c8: dc000015 stw r16,0(sp) -811253cc: 2021883a mov r16,r4 -811253d0: 01204574 movhi r4,33045 -811253d4: 213adc04 addi r4,r4,-5264 -811253d8: 01800944 movi r6,37 -811253dc: 01400044 movi r5,1 -811253e0: dfc00115 stw ra,4(sp) -811253e4: 112bc4c0 call 8112bc4c -811253e8: 81003fcc andi r4,r16,255 -811253ec: dfc00117 ldw ra,4(sp) -811253f0: dc000017 ldw r16,0(sp) -811253f4: dec00204 addi sp,sp,8 -811253f8: 1123bc01 jmpi 81123bc0 - -811253fc : -811253fc: 00a04634 movhi r2,33048 -81125400: 108f0804 addi r2,r2,15392 -81125404: 10800803 ldbu r2,32(r2) -81125408: 00c001c4 movi r3,7 -8112540c: 1880012e bgeu r3,r2,81125414 -81125410: f800283a ret -81125414: d1e07917 ldw r7,-32284(gp) -81125418: 01204574 movhi r4,33045 -8112541c: 213ae604 addi r4,r4,-5224 -81125420: 01800784 movi r6,30 -81125424: 01400044 movi r5,1 -81125428: 112bc4c1 jmpi 8112bc4c - -8112542c : -8112542c: 00a04634 movhi r2,33048 -81125430: 108f0804 addi r2,r2,15392 -81125434: 10800803 ldbu r2,32(r2) -81125438: 00c001c4 movi r3,7 -8112543c: 1880012e bgeu r3,r2,81125444 -81125440: f800283a ret -81125444: d1e07917 ldw r7,-32284(gp) -81125448: 01204574 movhi r4,33045 -8112544c: deffff04 addi sp,sp,-4 -81125450: 213aee04 addi r4,r4,-5192 -81125454: 01800a84 movi r6,42 -81125458: 01400044 movi r5,1 -8112545c: dfc00015 stw ra,0(sp) -81125460: 112bc4c0 call 8112bc4c -81125464: d1e07917 ldw r7,-32284(gp) -81125468: 01204574 movhi r4,33045 -8112546c: 213af904 addi r4,r4,-5148 -81125470: 01800cc4 movi r6,51 -81125474: 01400044 movi r5,1 -81125478: dfc00017 ldw ra,0(sp) -8112547c: dec00104 addi sp,sp,4 -81125480: 112bc4c1 jmpi 8112bc4c - -81125484 : -81125484: 00a04634 movhi r2,33048 -81125488: 108f0804 addi r2,r2,15392 -8112548c: 10800803 ldbu r2,32(r2) -81125490: 00c001c4 movi r3,7 -81125494: 1880012e bgeu r3,r2,8112549c -81125498: f800283a ret -8112549c: d1e07917 ldw r7,-32284(gp) -811254a0: 01204574 movhi r4,33045 -811254a4: 213b0604 addi r4,r4,-5096 -811254a8: 01800804 movi r6,32 -811254ac: 01400044 movi r5,1 -811254b0: 112bc4c1 jmpi 8112bc4c - -811254b4 : -811254b4: 00a04634 movhi r2,33048 -811254b8: 108f0804 addi r2,r2,15392 -811254bc: 10800803 ldbu r2,32(r2) -811254c0: 00c001c4 movi r3,7 -811254c4: 1880012e bgeu r3,r2,811254cc -811254c8: f800283a ret -811254cc: d1e07917 ldw r7,-32284(gp) -811254d0: 01204574 movhi r4,33045 -811254d4: deffff04 addi sp,sp,-4 -811254d8: 213b0f04 addi r4,r4,-5060 -811254dc: 01800a44 movi r6,41 -811254e0: 01400044 movi r5,1 -811254e4: dfc00015 stw ra,0(sp) -811254e8: 112bc4c0 call 8112bc4c -811254ec: d1e07917 ldw r7,-32284(gp) -811254f0: 01204574 movhi r4,33045 -811254f4: 213b1a04 addi r4,r4,-5016 -811254f8: 01800c84 movi r6,50 -811254fc: 01400044 movi r5,1 -81125500: dfc00017 ldw ra,0(sp) -81125504: dec00104 addi sp,sp,4 -81125508: 112bc4c1 jmpi 8112bc4c - -8112550c : -8112550c: 00a04634 movhi r2,33048 -81125510: 108f0804 addi r2,r2,15392 -81125514: 10800803 ldbu r2,32(r2) -81125518: 00c001c4 movi r3,7 -8112551c: 1880012e bgeu r3,r2,81125524 -81125520: f800283a ret -81125524: d1e07917 ldw r7,-32284(gp) -81125528: 01204574 movhi r4,33045 -8112552c: deffff04 addi sp,sp,-4 -81125530: 213b2704 addi r4,r4,-4964 -81125534: 01800a44 movi r6,41 -81125538: 01400044 movi r5,1 -8112553c: dfc00015 stw ra,0(sp) -81125540: 112bc4c0 call 8112bc4c -81125544: d1e07917 ldw r7,-32284(gp) -81125548: 01204574 movhi r4,33045 -8112554c: 213b3204 addi r4,r4,-4920 -81125550: 01800c84 movi r6,50 -81125554: 01400044 movi r5,1 -81125558: dfc00017 ldw ra,0(sp) -8112555c: dec00104 addi sp,sp,4 -81125560: 112bc4c1 jmpi 8112bc4c - -81125564 : -81125564: 00a04634 movhi r2,33048 -81125568: 108f0804 addi r2,r2,15392 -8112556c: 10800803 ldbu r2,32(r2) -81125570: 00c001c4 movi r3,7 -81125574: 1880012e bgeu r3,r2,8112557c -81125578: f800283a ret -8112557c: d1e07917 ldw r7,-32284(gp) -81125580: 01204574 movhi r4,33045 -81125584: deffff04 addi sp,sp,-4 -81125588: 213b3f04 addi r4,r4,-4868 -8112558c: 01800984 movi r6,38 -81125590: 01400044 movi r5,1 -81125594: dfc00015 stw ra,0(sp) -81125598: 112bc4c0 call 8112bc4c -8112559c: d1e07917 ldw r7,-32284(gp) -811255a0: 01204574 movhi r4,33045 -811255a4: 213b4904 addi r4,r4,-4828 -811255a8: 01800bc4 movi r6,47 -811255ac: 01400044 movi r5,1 -811255b0: dfc00017 ldw ra,0(sp) -811255b4: dec00104 addi sp,sp,4 -811255b8: 112bc4c1 jmpi 8112bc4c - -811255bc : -811255bc: 00a04634 movhi r2,33048 -811255c0: 108f0804 addi r2,r2,15392 -811255c4: 10800803 ldbu r2,32(r2) -811255c8: 00c001c4 movi r3,7 -811255cc: 1880012e bgeu r3,r2,811255d4 -811255d0: f800283a ret -811255d4: d1e07917 ldw r7,-32284(gp) -811255d8: 01204574 movhi r4,33045 -811255dc: deffff04 addi sp,sp,-4 -811255e0: 213b5504 addi r4,r4,-4780 -811255e4: 01800984 movi r6,38 -811255e8: 01400044 movi r5,1 -811255ec: dfc00015 stw ra,0(sp) -811255f0: 112bc4c0 call 8112bc4c -811255f4: d1e07917 ldw r7,-32284(gp) -811255f8: 01204574 movhi r4,33045 -811255fc: 213b5f04 addi r4,r4,-4740 -81125600: 01800bc4 movi r6,47 -81125604: 01400044 movi r5,1 -81125608: dfc00017 ldw ra,0(sp) -8112560c: dec00104 addi sp,sp,4 -81125610: 112bc4c1 jmpi 8112bc4c - -81125614 : -81125614: 00a04634 movhi r2,33048 -81125618: 108f0804 addi r2,r2,15392 -8112561c: 10800803 ldbu r2,32(r2) -81125620: 00c001c4 movi r3,7 -81125624: 200d883a mov r6,r4 -81125628: 1880012e bgeu r3,r2,81125630 -8112562c: f800283a ret -81125630: d1207917 ldw r4,-32284(gp) -81125634: 01604574 movhi r5,33045 -81125638: 297b6b04 addi r5,r5,-4692 -8112563c: 31803fcc andi r6,r6,255 -81125640: 112b5e01 jmpi 8112b5e0 - -81125644 : -81125644: 00a04634 movhi r2,33048 -81125648: 108f0804 addi r2,r2,15392 -8112564c: 10800803 ldbu r2,32(r2) -81125650: 00c001c4 movi r3,7 -81125654: 200d883a mov r6,r4 -81125658: 1880012e bgeu r3,r2,81125660 -8112565c: f800283a ret -81125660: d1207917 ldw r4,-32284(gp) -81125664: 01604574 movhi r5,33045 -81125668: 297b7404 addi r5,r5,-4656 -8112566c: 31803fcc andi r6,r6,255 -81125670: 112b5e01 jmpi 8112b5e0 - -81125674 : -81125674: 00a04634 movhi r2,33048 -81125678: 108f0804 addi r2,r2,15392 -8112567c: 10800803 ldbu r2,32(r2) -81125680: 00c001c4 movi r3,7 -81125684: 1880012e bgeu r3,r2,8112568c -81125688: f800283a ret -8112568c: d1e07917 ldw r7,-32284(gp) -81125690: 01204574 movhi r4,33045 -81125694: 213b7c04 addi r4,r4,-4624 -81125698: 01800704 movi r6,28 -8112569c: 01400044 movi r5,1 -811256a0: 112bc4c1 jmpi 8112bc4c - -811256a4 : -811256a4: 00a04634 movhi r2,33048 -811256a8: 108f0804 addi r2,r2,15392 -811256ac: 10800803 ldbu r2,32(r2) -811256b0: 00c001c4 movi r3,7 -811256b4: 1880012e bgeu r3,r2,811256bc -811256b8: f800283a ret -811256bc: d1e07917 ldw r7,-32284(gp) -811256c0: 01204574 movhi r4,33045 -811256c4: 213b8404 addi r4,r4,-4592 -811256c8: 01800544 movi r6,21 -811256cc: 01400044 movi r5,1 -811256d0: 112bc4c1 jmpi 8112bc4c - -811256d4 : -811256d4: 00a04634 movhi r2,33048 -811256d8: 108f0804 addi r2,r2,15392 -811256dc: 10800803 ldbu r2,32(r2) -811256e0: 00c001c4 movi r3,7 -811256e4: 1880012e bgeu r3,r2,811256ec -811256e8: f800283a ret -811256ec: d1e07917 ldw r7,-32284(gp) -811256f0: 01204574 movhi r4,33045 -811256f4: 213b8a04 addi r4,r4,-4568 -811256f8: 01800744 movi r6,29 -811256fc: 01400044 movi r5,1 -81125700: 112bc4c1 jmpi 8112bc4c - -81125704 : -81125704: 00a04634 movhi r2,33048 -81125708: 108f0804 addi r2,r2,15392 -8112570c: 10800803 ldbu r2,32(r2) -81125710: 00c001c4 movi r3,7 -81125714: 1880012e bgeu r3,r2,8112571c -81125718: f800283a ret -8112571c: d1e07917 ldw r7,-32284(gp) -81125720: 01204574 movhi r4,33045 -81125724: 213b9204 addi r4,r4,-4536 -81125728: 01800604 movi r6,24 -8112572c: 01400044 movi r5,1 -81125730: 112bc4c1 jmpi 8112bc4c - -81125734 : -81125734: 00a04634 movhi r2,33048 -81125738: 108f0804 addi r2,r2,15392 -8112573c: 10800803 ldbu r2,32(r2) -81125740: 00c001c4 movi r3,7 -81125744: 1880012e bgeu r3,r2,8112574c -81125748: f800283a ret -8112574c: d1e07917 ldw r7,-32284(gp) -81125750: 01204574 movhi r4,33045 -81125754: 213b9904 addi r4,r4,-4508 -81125758: 01800744 movi r6,29 -8112575c: 01400044 movi r5,1 -81125760: 112bc4c1 jmpi 8112bc4c - -81125764 : -81125764: 11257341 jmpi 81125734 - -81125768 : -81125768: 11257341 jmpi 81125734 - -8112576c : -8112576c: 11257341 jmpi 81125734 - -81125770 : -81125770: 00a04634 movhi r2,33048 -81125774: 108f0804 addi r2,r2,15392 -81125778: 10800803 ldbu r2,32(r2) -8112577c: 00c001c4 movi r3,7 -81125780: 200d883a mov r6,r4 -81125784: 1880012e bgeu r3,r2,8112578c +811241dc: 112bc8c1 jmpi 8112bc8c + +811241e0 : +811241e0: 00a04634 movhi r2,33048 +811241e4: 108f1904 addi r2,r2,15460 +811241e8: 10800803 ldbu r2,32(r2) +811241ec: 00c001c4 movi r3,7 +811241f0: 1880042e bgeu r3,r2,81124204 +811241f4: 01400834 movhi r5,32 +811241f8: 297fffc4 addi r5,r5,-1 +811241fc: 01000044 movi r4,1 +81124200: 11066101 jmpi 81106610 +81124204: d1e07917 ldw r7,-32284(gp) +81124208: 01204574 movhi r4,33045 +8112420c: deffff04 addi sp,sp,-4 +81124210: 2136aa04 addi r4,r4,-9560 +81124214: 01800504 movi r6,20 +81124218: 01400044 movi r5,1 +8112421c: dfc00015 stw ra,0(sp) +81124220: 112bc8c0 call 8112bc8c +81124224: 01400834 movhi r5,32 +81124228: 297fffc4 addi r5,r5,-1 +8112422c: 01000044 movi r4,1 +81124230: dfc00017 ldw ra,0(sp) +81124234: dec00104 addi sp,sp,4 +81124238: 11066101 jmpi 81106610 + +8112423c : +8112423c: 00a04634 movhi r2,33048 +81124240: 108f1904 addi r2,r2,15460 +81124244: 10800803 ldbu r2,32(r2) +81124248: 00c001c4 movi r3,7 +8112424c: 1880012e bgeu r3,r2,81124254 +81124250: f800283a ret +81124254: d1e07917 ldw r7,-32284(gp) +81124258: 01204574 movhi r4,33045 +8112425c: 2136b004 addi r4,r4,-9536 +81124260: 01800484 movi r6,18 +81124264: 01400044 movi r5,1 +81124268: 112bc8c1 jmpi 8112bc8c + +8112426c : +8112426c: 00a04634 movhi r2,33048 +81124270: 108f1904 addi r2,r2,15460 +81124274: 10800803 ldbu r2,32(r2) +81124278: 00c001c4 movi r3,7 +8112427c: 1880012e bgeu r3,r2,81124284 +81124280: f800283a ret +81124284: d1e07917 ldw r7,-32284(gp) +81124288: 01204574 movhi r4,33045 +8112428c: 2136b504 addi r4,r4,-9516 +81124290: 01800484 movi r6,18 +81124294: 01400044 movi r5,1 +81124298: 112bc8c1 jmpi 8112bc8c + +8112429c : +8112429c: 00a04634 movhi r2,33048 +811242a0: 108f1904 addi r2,r2,15460 +811242a4: 10800803 ldbu r2,32(r2) +811242a8: 00c001c4 movi r3,7 +811242ac: 1880012e bgeu r3,r2,811242b4 +811242b0: f800283a ret +811242b4: d1e07917 ldw r7,-32284(gp) +811242b8: 01204574 movhi r4,33045 +811242bc: 2136ba04 addi r4,r4,-9496 +811242c0: 01800684 movi r6,26 +811242c4: 01400044 movi r5,1 +811242c8: 112bc8c1 jmpi 8112bc8c + +811242cc : +811242cc: 00a04634 movhi r2,33048 +811242d0: 108f1904 addi r2,r2,15460 +811242d4: 10800803 ldbu r2,32(r2) +811242d8: 00c001c4 movi r3,7 +811242dc: 1880012e bgeu r3,r2,811242e4 +811242e0: f800283a ret +811242e4: d1e07917 ldw r7,-32284(gp) +811242e8: 01204574 movhi r4,33045 +811242ec: deffff04 addi sp,sp,-4 +811242f0: 2136c104 addi r4,r4,-9468 +811242f4: 01800a04 movi r6,40 +811242f8: 01400044 movi r5,1 +811242fc: dfc00015 stw ra,0(sp) +81124300: 112bc8c0 call 8112bc8c +81124304: d1e07917 ldw r7,-32284(gp) +81124308: 01204574 movhi r4,33045 +8112430c: 2136cc04 addi r4,r4,-9424 +81124310: 018008c4 movi r6,35 +81124314: 01400044 movi r5,1 +81124318: dfc00017 ldw ra,0(sp) +8112431c: dec00104 addi sp,sp,4 +81124320: 112bc8c1 jmpi 8112bc8c + +81124324 : +81124324: 00a04634 movhi r2,33048 +81124328: 108f1904 addi r2,r2,15460 +8112432c: 10800803 ldbu r2,32(r2) +81124330: 00c001c4 movi r3,7 +81124334: 1880012e bgeu r3,r2,8112433c +81124338: f800283a ret +8112433c: d1e07917 ldw r7,-32284(gp) +81124340: 01204574 movhi r4,33045 +81124344: deffff04 addi sp,sp,-4 +81124348: 2136d504 addi r4,r4,-9388 +8112434c: 01800a04 movi r6,40 +81124350: 01400044 movi r5,1 +81124354: dfc00015 stw ra,0(sp) +81124358: 112bc8c0 call 8112bc8c +8112435c: d1e07917 ldw r7,-32284(gp) +81124360: 01204574 movhi r4,33045 +81124364: 2136cc04 addi r4,r4,-9424 +81124368: 018008c4 movi r6,35 +8112436c: 01400044 movi r5,1 +81124370: dfc00017 ldw ra,0(sp) +81124374: dec00104 addi sp,sp,4 +81124378: 112bc8c1 jmpi 8112bc8c + +8112437c : +8112437c: 00a04634 movhi r2,33048 +81124380: 108f1904 addi r2,r2,15460 +81124384: 10800803 ldbu r2,32(r2) +81124388: 00c001c4 movi r3,7 +8112438c: 1880012e bgeu r3,r2,81124394 +81124390: f800283a ret +81124394: d1e07917 ldw r7,-32284(gp) +81124398: 01204574 movhi r4,33045 +8112439c: deffff04 addi sp,sp,-4 +811243a0: 2136e004 addi r4,r4,-9344 +811243a4: 01800a44 movi r6,41 +811243a8: 01400044 movi r5,1 +811243ac: dfc00015 stw ra,0(sp) +811243b0: 112bc8c0 call 8112bc8c +811243b4: d1e07917 ldw r7,-32284(gp) +811243b8: 01204574 movhi r4,33045 +811243bc: 2136cc04 addi r4,r4,-9424 +811243c0: 018008c4 movi r6,35 +811243c4: 01400044 movi r5,1 +811243c8: dfc00017 ldw ra,0(sp) +811243cc: dec00104 addi sp,sp,4 +811243d0: 112bc8c1 jmpi 8112bc8c + +811243d4 : +811243d4: 00a04634 movhi r2,33048 +811243d8: 108f1904 addi r2,r2,15460 +811243dc: 10800803 ldbu r2,32(r2) +811243e0: 00c001c4 movi r3,7 +811243e4: 1880012e bgeu r3,r2,811243ec +811243e8: f800283a ret +811243ec: d1e07917 ldw r7,-32284(gp) +811243f0: 01204574 movhi r4,33045 +811243f4: deffff04 addi sp,sp,-4 +811243f8: 2136eb04 addi r4,r4,-9300 +811243fc: 01800a44 movi r6,41 +81124400: 01400044 movi r5,1 +81124404: dfc00015 stw ra,0(sp) +81124408: 112bc8c0 call 8112bc8c +8112440c: d1e07917 ldw r7,-32284(gp) +81124410: 01204574 movhi r4,33045 +81124414: 2136cc04 addi r4,r4,-9424 +81124418: 018008c4 movi r6,35 +8112441c: 01400044 movi r5,1 +81124420: dfc00017 ldw ra,0(sp) +81124424: dec00104 addi sp,sp,4 +81124428: 112bc8c1 jmpi 8112bc8c + +8112442c : +8112442c: 00a04634 movhi r2,33048 +81124430: 108f1904 addi r2,r2,15460 +81124434: 10800803 ldbu r2,32(r2) +81124438: 00c001c4 movi r3,7 +8112443c: 1880012e bgeu r3,r2,81124444 +81124440: f800283a ret +81124444: d1e07917 ldw r7,-32284(gp) +81124448: 01204574 movhi r4,33045 +8112444c: deffff04 addi sp,sp,-4 +81124450: 2136f604 addi r4,r4,-9256 +81124454: 01800a44 movi r6,41 +81124458: 01400044 movi r5,1 +8112445c: dfc00015 stw ra,0(sp) +81124460: 112bc8c0 call 8112bc8c +81124464: d1e07917 ldw r7,-32284(gp) +81124468: 01204574 movhi r4,33045 +8112446c: 21370104 addi r4,r4,-9212 +81124470: 01800884 movi r6,34 +81124474: 01400044 movi r5,1 +81124478: dfc00017 ldw ra,0(sp) +8112447c: dec00104 addi sp,sp,4 +81124480: 112bc8c1 jmpi 8112bc8c + +81124484 : +81124484: 00a04634 movhi r2,33048 +81124488: 108f1904 addi r2,r2,15460 +8112448c: 10800803 ldbu r2,32(r2) +81124490: 00c001c4 movi r3,7 +81124494: 1880012e bgeu r3,r2,8112449c +81124498: f800283a ret +8112449c: d1e07917 ldw r7,-32284(gp) +811244a0: 01204574 movhi r4,33045 +811244a4: deffff04 addi sp,sp,-4 +811244a8: 21370a04 addi r4,r4,-9176 +811244ac: 01800a44 movi r6,41 +811244b0: 01400044 movi r5,1 +811244b4: dfc00015 stw ra,0(sp) +811244b8: 112bc8c0 call 8112bc8c +811244bc: d1e07917 ldw r7,-32284(gp) +811244c0: 01204574 movhi r4,33045 +811244c4: 21370104 addi r4,r4,-9212 +811244c8: 01800884 movi r6,34 +811244cc: 01400044 movi r5,1 +811244d0: dfc00017 ldw ra,0(sp) +811244d4: dec00104 addi sp,sp,4 +811244d8: 112bc8c1 jmpi 8112bc8c + +811244dc : +811244dc: 00a04634 movhi r2,33048 +811244e0: 108f1904 addi r2,r2,15460 +811244e4: 10800803 ldbu r2,32(r2) +811244e8: 00c001c4 movi r3,7 +811244ec: 1880012e bgeu r3,r2,811244f4 +811244f0: f800283a ret +811244f4: d1e07917 ldw r7,-32284(gp) +811244f8: 01204574 movhi r4,33045 +811244fc: deffff04 addi sp,sp,-4 +81124500: 21371504 addi r4,r4,-9132 +81124504: 01800a04 movi r6,40 +81124508: 01400044 movi r5,1 +8112450c: dfc00015 stw ra,0(sp) +81124510: 112bc8c0 call 8112bc8c +81124514: d1e07917 ldw r7,-32284(gp) +81124518: 01204574 movhi r4,33045 +8112451c: 21370104 addi r4,r4,-9212 +81124520: 01800884 movi r6,34 +81124524: 01400044 movi r5,1 +81124528: dfc00017 ldw ra,0(sp) +8112452c: dec00104 addi sp,sp,4 +81124530: 112bc8c1 jmpi 8112bc8c + +81124534 : +81124534: 00a04634 movhi r2,33048 +81124538: 108f1904 addi r2,r2,15460 +8112453c: 10800803 ldbu r2,32(r2) +81124540: 00c001c4 movi r3,7 +81124544: 1880012e bgeu r3,r2,8112454c +81124548: f800283a ret +8112454c: d1e07917 ldw r7,-32284(gp) +81124550: 01204574 movhi r4,33045 +81124554: deffff04 addi sp,sp,-4 +81124558: 21372004 addi r4,r4,-9088 +8112455c: 01800a04 movi r6,40 +81124560: 01400044 movi r5,1 +81124564: dfc00015 stw ra,0(sp) +81124568: 112bc8c0 call 8112bc8c +8112456c: d1e07917 ldw r7,-32284(gp) +81124570: 01204574 movhi r4,33045 +81124574: 21370104 addi r4,r4,-9212 +81124578: 01800884 movi r6,34 +8112457c: 01400044 movi r5,1 +81124580: dfc00017 ldw ra,0(sp) +81124584: dec00104 addi sp,sp,4 +81124588: 112bc8c1 jmpi 8112bc8c + +8112458c : +8112458c: 00a04634 movhi r2,33048 +81124590: 108f1904 addi r2,r2,15460 +81124594: 10800803 ldbu r2,32(r2) +81124598: 00c001c4 movi r3,7 +8112459c: 1880012e bgeu r3,r2,811245a4 +811245a0: f800283a ret +811245a4: d1e07917 ldw r7,-32284(gp) +811245a8: 01204574 movhi r4,33045 +811245ac: deffff04 addi sp,sp,-4 +811245b0: 21372b04 addi r4,r4,-9044 +811245b4: 01800a84 movi r6,42 +811245b8: 01400044 movi r5,1 +811245bc: dfc00015 stw ra,0(sp) +811245c0: 112bc8c0 call 8112bc8c +811245c4: d1e07917 ldw r7,-32284(gp) +811245c8: 01204574 movhi r4,33045 +811245cc: 21373604 addi r4,r4,-9000 +811245d0: 01801204 movi r6,72 +811245d4: 01400044 movi r5,1 +811245d8: dfc00017 ldw ra,0(sp) +811245dc: dec00104 addi sp,sp,4 +811245e0: 112bc8c1 jmpi 8112bc8c + +811245e4 : +811245e4: 00a04634 movhi r2,33048 +811245e8: 108f1904 addi r2,r2,15460 +811245ec: 10800803 ldbu r2,32(r2) +811245f0: 00c001c4 movi r3,7 +811245f4: 1880012e bgeu r3,r2,811245fc +811245f8: f800283a ret +811245fc: d1e07917 ldw r7,-32284(gp) +81124600: 01204574 movhi r4,33045 +81124604: deffff04 addi sp,sp,-4 +81124608: 21374904 addi r4,r4,-8924 +8112460c: 01800ac4 movi r6,43 +81124610: 01400044 movi r5,1 +81124614: dfc00015 stw ra,0(sp) +81124618: 112bc8c0 call 8112bc8c +8112461c: d1e07917 ldw r7,-32284(gp) +81124620: 01204574 movhi r4,33045 +81124624: 21375404 addi r4,r4,-8880 +81124628: 01801044 movi r6,65 +8112462c: 01400044 movi r5,1 +81124630: dfc00017 ldw ra,0(sp) +81124634: dec00104 addi sp,sp,4 +81124638: 112bc8c1 jmpi 8112bc8c + +8112463c : +8112463c: 00a04634 movhi r2,33048 +81124640: 108f1904 addi r2,r2,15460 +81124644: 10800803 ldbu r2,32(r2) +81124648: 00c001c4 movi r3,7 +8112464c: 1880012e bgeu r3,r2,81124654 +81124650: f800283a ret +81124654: d1e07917 ldw r7,-32284(gp) +81124658: 01204574 movhi r4,33045 +8112465c: deffff04 addi sp,sp,-4 +81124660: 21376504 addi r4,r4,-8812 +81124664: 01800b84 movi r6,46 +81124668: 01400044 movi r5,1 +8112466c: dfc00015 stw ra,0(sp) +81124670: 112bc8c0 call 8112bc8c +81124674: d1e07917 ldw r7,-32284(gp) +81124678: 01204574 movhi r4,33045 +8112467c: 21377104 addi r4,r4,-8764 +81124680: 018010c4 movi r6,67 +81124684: 01400044 movi r5,1 +81124688: dfc00017 ldw ra,0(sp) +8112468c: dec00104 addi sp,sp,4 +81124690: 112bc8c1 jmpi 8112bc8c + +81124694 : +81124694: 00a04634 movhi r2,33048 +81124698: 108f1904 addi r2,r2,15460 +8112469c: 10800803 ldbu r2,32(r2) +811246a0: 00c001c4 movi r3,7 +811246a4: 1880012e bgeu r3,r2,811246ac +811246a8: f800283a ret +811246ac: d1e07917 ldw r7,-32284(gp) +811246b0: 01204574 movhi r4,33045 +811246b4: deffff04 addi sp,sp,-4 +811246b8: 21378204 addi r4,r4,-8696 +811246bc: 01800b04 movi r6,44 +811246c0: 01400044 movi r5,1 +811246c4: dfc00015 stw ra,0(sp) +811246c8: 112bc8c0 call 8112bc8c +811246cc: d1e07917 ldw r7,-32284(gp) +811246d0: 01204574 movhi r4,33045 +811246d4: 21378e04 addi r4,r4,-8648 +811246d8: 01800fc4 movi r6,63 +811246dc: 01400044 movi r5,1 +811246e0: dfc00017 ldw ra,0(sp) +811246e4: dec00104 addi sp,sp,4 +811246e8: 112bc8c1 jmpi 8112bc8c + +811246ec : +811246ec: 00a04634 movhi r2,33048 +811246f0: 108f1904 addi r2,r2,15460 +811246f4: 10800803 ldbu r2,32(r2) +811246f8: 00c001c4 movi r3,7 +811246fc: 1880012e bgeu r3,r2,81124704 +81124700: f800283a ret +81124704: d1e07917 ldw r7,-32284(gp) +81124708: 01204574 movhi r4,33045 +8112470c: deffff04 addi sp,sp,-4 +81124710: 21379e04 addi r4,r4,-8584 +81124714: 01800984 movi r6,38 +81124718: 01400044 movi r5,1 +8112471c: dfc00015 stw ra,0(sp) +81124720: 112bc8c0 call 8112bc8c +81124724: d1e07917 ldw r7,-32284(gp) +81124728: 01204574 movhi r4,33045 +8112472c: 2137a804 addi r4,r4,-8544 +81124730: 01800e44 movi r6,57 +81124734: 01400044 movi r5,1 +81124738: dfc00017 ldw ra,0(sp) +8112473c: dec00104 addi sp,sp,4 +81124740: 112bc8c1 jmpi 8112bc8c + +81124744 : +81124744: 00a04634 movhi r2,33048 +81124748: 108f1904 addi r2,r2,15460 +8112474c: 10800803 ldbu r2,32(r2) +81124750: 00c001c4 movi r3,7 +81124754: 1880012e bgeu r3,r2,8112475c +81124758: f800283a ret +8112475c: d1e07917 ldw r7,-32284(gp) +81124760: 01204574 movhi r4,33045 +81124764: deffff04 addi sp,sp,-4 +81124768: 2137b704 addi r4,r4,-8484 +8112476c: 01800904 movi r6,36 +81124770: 01400044 movi r5,1 +81124774: dfc00015 stw ra,0(sp) +81124778: 112bc8c0 call 8112bc8c +8112477c: d1e07917 ldw r7,-32284(gp) +81124780: 01204574 movhi r4,33045 +81124784: 2137c104 addi r4,r4,-8444 +81124788: 01801684 movi r6,90 +8112478c: 01400044 movi r5,1 +81124790: dfc00017 ldw ra,0(sp) +81124794: dec00104 addi sp,sp,4 +81124798: 112bc8c1 jmpi 8112bc8c + +8112479c : +8112479c: 00a04634 movhi r2,33048 +811247a0: 108f1904 addi r2,r2,15460 +811247a4: 10800803 ldbu r2,32(r2) +811247a8: 00c001c4 movi r3,7 +811247ac: 1880012e bgeu r3,r2,811247b4 +811247b0: f800283a ret +811247b4: d1e07917 ldw r7,-32284(gp) +811247b8: 01204574 movhi r4,33045 +811247bc: deffff04 addi sp,sp,-4 +811247c0: 2137d804 addi r4,r4,-8352 +811247c4: 01800844 movi r6,33 +811247c8: 01400044 movi r5,1 +811247cc: dfc00015 stw ra,0(sp) +811247d0: 112bc8c0 call 8112bc8c +811247d4: d1e07917 ldw r7,-32284(gp) +811247d8: 01204574 movhi r4,33045 +811247dc: 2137e104 addi r4,r4,-8316 +811247e0: 01800f04 movi r6,60 +811247e4: 01400044 movi r5,1 +811247e8: dfc00017 ldw ra,0(sp) +811247ec: dec00104 addi sp,sp,4 +811247f0: 112bc8c1 jmpi 8112bc8c + +811247f4 : +811247f4: 00a04634 movhi r2,33048 +811247f8: 108f1904 addi r2,r2,15460 +811247fc: 10800803 ldbu r2,32(r2) +81124800: 00c001c4 movi r3,7 +81124804: 1880012e bgeu r3,r2,8112480c +81124808: f800283a ret +8112480c: d1e07917 ldw r7,-32284(gp) +81124810: 01204574 movhi r4,33045 +81124814: deffff04 addi sp,sp,-4 +81124818: 2137f104 addi r4,r4,-8252 +8112481c: 01800844 movi r6,33 +81124820: 01400044 movi r5,1 +81124824: dfc00015 stw ra,0(sp) +81124828: 112bc8c0 call 8112bc8c +8112482c: d1e07917 ldw r7,-32284(gp) +81124830: 01204574 movhi r4,33045 +81124834: 2137fa04 addi r4,r4,-8216 +81124838: 01801784 movi r6,94 +8112483c: 01400044 movi r5,1 +81124840: dfc00017 ldw ra,0(sp) +81124844: dec00104 addi sp,sp,4 +81124848: 112bc8c1 jmpi 8112bc8c + +8112484c : +8112484c: 00a04634 movhi r2,33048 +81124850: 108f1904 addi r2,r2,15460 +81124854: 10800803 ldbu r2,32(r2) +81124858: 00c001c4 movi r3,7 +8112485c: 1880012e bgeu r3,r2,81124864 +81124860: f800283a ret +81124864: d1e07917 ldw r7,-32284(gp) +81124868: 01204574 movhi r4,33045 +8112486c: deffff04 addi sp,sp,-4 +81124870: 21381204 addi r4,r4,-8120 +81124874: 01800584 movi r6,22 +81124878: 01400044 movi r5,1 +8112487c: dfc00015 stw ra,0(sp) +81124880: 112bc8c0 call 8112bc8c +81124884: d1e07917 ldw r7,-32284(gp) +81124888: 01204574 movhi r4,33045 +8112488c: 21381804 addi r4,r4,-8096 +81124890: 01800a84 movi r6,42 +81124894: 01400044 movi r5,1 +81124898: dfc00017 ldw ra,0(sp) +8112489c: dec00104 addi sp,sp,4 +811248a0: 112bc8c1 jmpi 8112bc8c + +811248a4 : +811248a4: 00a04634 movhi r2,33048 +811248a8: 108f1904 addi r2,r2,15460 +811248ac: 10800803 ldbu r2,32(r2) +811248b0: 00c001c4 movi r3,7 +811248b4: 1880012e bgeu r3,r2,811248bc +811248b8: f800283a ret +811248bc: d1e07917 ldw r7,-32284(gp) +811248c0: 01204574 movhi r4,33045 +811248c4: deffff04 addi sp,sp,-4 +811248c8: 21382304 addi r4,r4,-8052 +811248cc: 018008c4 movi r6,35 +811248d0: 01400044 movi r5,1 +811248d4: dfc00015 stw ra,0(sp) +811248d8: 112bc8c0 call 8112bc8c +811248dc: d1e07917 ldw r7,-32284(gp) +811248e0: 01204574 movhi r4,33045 +811248e4: 21382c04 addi r4,r4,-8016 +811248e8: 01801584 movi r6,86 +811248ec: 01400044 movi r5,1 +811248f0: dfc00017 ldw ra,0(sp) +811248f4: dec00104 addi sp,sp,4 +811248f8: 112bc8c1 jmpi 8112bc8c + +811248fc : +811248fc: 00a04634 movhi r2,33048 +81124900: 108f1904 addi r2,r2,15460 +81124904: 10800803 ldbu r2,32(r2) +81124908: 00c001c4 movi r3,7 +8112490c: 1880012e bgeu r3,r2,81124914 +81124910: f800283a ret +81124914: d1e07917 ldw r7,-32284(gp) +81124918: 01204574 movhi r4,33045 +8112491c: deffff04 addi sp,sp,-4 +81124920: 21384204 addi r4,r4,-7928 +81124924: 01800804 movi r6,32 +81124928: 01400044 movi r5,1 +8112492c: dfc00015 stw ra,0(sp) +81124930: 112bc8c0 call 8112bc8c +81124934: d1e07917 ldw r7,-32284(gp) +81124938: 01204574 movhi r4,33045 +8112493c: 21384b04 addi r4,r4,-7892 +81124940: 018013c4 movi r6,79 +81124944: 01400044 movi r5,1 +81124948: dfc00017 ldw ra,0(sp) +8112494c: dec00104 addi sp,sp,4 +81124950: 112bc8c1 jmpi 8112bc8c + +81124954 : +81124954: 00a04634 movhi r2,33048 +81124958: 108f1904 addi r2,r2,15460 +8112495c: 10800803 ldbu r2,32(r2) +81124960: 00c001c4 movi r3,7 +81124964: 1880012e bgeu r3,r2,8112496c +81124968: f800283a ret +8112496c: d1e07917 ldw r7,-32284(gp) +81124970: 01204574 movhi r4,33045 +81124974: deffff04 addi sp,sp,-4 +81124978: 21385f04 addi r4,r4,-7812 +8112497c: 01800944 movi r6,37 +81124980: 01400044 movi r5,1 +81124984: dfc00015 stw ra,0(sp) +81124988: 112bc8c0 call 8112bc8c +8112498c: d1e07917 ldw r7,-32284(gp) +81124990: 01204574 movhi r4,33045 +81124994: 21386904 addi r4,r4,-7772 +81124998: 018015c4 movi r6,87 +8112499c: 01400044 movi r5,1 +811249a0: dfc00017 ldw ra,0(sp) +811249a4: dec00104 addi sp,sp,4 +811249a8: 112bc8c1 jmpi 8112bc8c + +811249ac : +811249ac: 00a04634 movhi r2,33048 +811249b0: 108f1904 addi r2,r2,15460 +811249b4: 10800803 ldbu r2,32(r2) +811249b8: 00c001c4 movi r3,7 +811249bc: 1880012e bgeu r3,r2,811249c4 +811249c0: f800283a ret +811249c4: d1e07917 ldw r7,-32284(gp) +811249c8: 01204574 movhi r4,33045 +811249cc: 21387f04 addi r4,r4,-7684 +811249d0: 01800684 movi r6,26 +811249d4: 01400044 movi r5,1 +811249d8: 112bc8c1 jmpi 8112bc8c + +811249dc : +811249dc: 00a04634 movhi r2,33048 +811249e0: 108f1904 addi r2,r2,15460 +811249e4: 10800803 ldbu r2,32(r2) +811249e8: 00c001c4 movi r3,7 +811249ec: 1880012e bgeu r3,r2,811249f4 +811249f0: f800283a ret +811249f4: d1e07917 ldw r7,-32284(gp) +811249f8: 01204574 movhi r4,33045 +811249fc: 21388604 addi r4,r4,-7656 +81124a00: 01800704 movi r6,28 +81124a04: 01400044 movi r5,1 +81124a08: 112bc8c1 jmpi 8112bc8c + +81124a0c : +81124a0c: 11249dc1 jmpi 811249dc + +81124a10 : +81124a10: 00a04634 movhi r2,33048 +81124a14: 108f1904 addi r2,r2,15460 +81124a18: 10800803 ldbu r2,32(r2) +81124a1c: 00c001c4 movi r3,7 +81124a20: 1880012e bgeu r3,r2,81124a28 +81124a24: f800283a ret +81124a28: d1e07917 ldw r7,-32284(gp) +81124a2c: 01204574 movhi r4,33045 +81124a30: 21388e04 addi r4,r4,-7624 +81124a34: 018007c4 movi r6,31 +81124a38: 01400044 movi r5,1 +81124a3c: 112bc8c1 jmpi 8112bc8c + +81124a40 : +81124a40: 00a04634 movhi r2,33048 +81124a44: 108f1904 addi r2,r2,15460 +81124a48: 10800803 ldbu r2,32(r2) +81124a4c: 00c001c4 movi r3,7 +81124a50: 1880012e bgeu r3,r2,81124a58 +81124a54: f800283a ret +81124a58: d1e07917 ldw r7,-32284(gp) +81124a5c: 01204574 movhi r4,33045 +81124a60: 21389604 addi r4,r4,-7592 +81124a64: 01800904 movi r6,36 +81124a68: 01400044 movi r5,1 +81124a6c: 112bc8c1 jmpi 8112bc8c + +81124a70 : +81124a70: 00a04634 movhi r2,33048 +81124a74: 108f1904 addi r2,r2,15460 +81124a78: 10800803 ldbu r2,32(r2) +81124a7c: 00c001c4 movi r3,7 +81124a80: 1880012e bgeu r3,r2,81124a88 +81124a84: f800283a ret +81124a88: d1e07917 ldw r7,-32284(gp) +81124a8c: 01204574 movhi r4,33045 +81124a90: 2138a004 addi r4,r4,-7552 +81124a94: 01800a04 movi r6,40 +81124a98: 01400044 movi r5,1 +81124a9c: 112bc8c1 jmpi 8112bc8c + +81124aa0 : +81124aa0: 00a04634 movhi r2,33048 +81124aa4: 108f1904 addi r2,r2,15460 +81124aa8: 10800803 ldbu r2,32(r2) +81124aac: 00c001c4 movi r3,7 +81124ab0: 1880012e bgeu r3,r2,81124ab8 +81124ab4: f800283a ret +81124ab8: d1e07917 ldw r7,-32284(gp) +81124abc: 01204574 movhi r4,33045 +81124ac0: deffff04 addi sp,sp,-4 +81124ac4: 2138ab04 addi r4,r4,-7508 +81124ac8: 018009c4 movi r6,39 +81124acc: 01400044 movi r5,1 +81124ad0: dfc00015 stw ra,0(sp) +81124ad4: 112bc8c0 call 8112bc8c +81124ad8: d1e07917 ldw r7,-32284(gp) +81124adc: 01204574 movhi r4,33045 +81124ae0: 2138b504 addi r4,r4,-7468 +81124ae4: 01800cc4 movi r6,51 +81124ae8: 01400044 movi r5,1 +81124aec: dfc00017 ldw ra,0(sp) +81124af0: dec00104 addi sp,sp,4 +81124af4: 112bc8c1 jmpi 8112bc8c + +81124af8 : +81124af8: 00a04634 movhi r2,33048 +81124afc: 108f1904 addi r2,r2,15460 +81124b00: 10800803 ldbu r2,32(r2) +81124b04: 00c001c4 movi r3,7 +81124b08: 1880012e bgeu r3,r2,81124b10 +81124b0c: f800283a ret +81124b10: d1e07917 ldw r7,-32284(gp) +81124b14: 01204574 movhi r4,33045 +81124b18: deffff04 addi sp,sp,-4 +81124b1c: 2138c204 addi r4,r4,-7416 +81124b20: 01800a04 movi r6,40 +81124b24: 01400044 movi r5,1 +81124b28: dfc00015 stw ra,0(sp) +81124b2c: 112bc8c0 call 8112bc8c +81124b30: d1e07917 ldw r7,-32284(gp) +81124b34: 01204574 movhi r4,33045 +81124b38: 2138cd04 addi r4,r4,-7372 +81124b3c: 01800c84 movi r6,50 +81124b40: 01400044 movi r5,1 +81124b44: dfc00017 ldw ra,0(sp) +81124b48: dec00104 addi sp,sp,4 +81124b4c: 112bc8c1 jmpi 8112bc8c + +81124b50 : +81124b50: 00a04634 movhi r2,33048 +81124b54: 108f1904 addi r2,r2,15460 +81124b58: 10800803 ldbu r2,32(r2) +81124b5c: 00c001c4 movi r3,7 +81124b60: 1880012e bgeu r3,r2,81124b68 +81124b64: f800283a ret +81124b68: d1e07917 ldw r7,-32284(gp) +81124b6c: 01204574 movhi r4,33045 +81124b70: deffff04 addi sp,sp,-4 +81124b74: 2138da04 addi r4,r4,-7320 +81124b78: 01800ac4 movi r6,43 +81124b7c: 01400044 movi r5,1 +81124b80: dfc00015 stw ra,0(sp) +81124b84: 112bc8c0 call 8112bc8c +81124b88: d1e07917 ldw r7,-32284(gp) +81124b8c: 01204574 movhi r4,33045 +81124b90: 2138e504 addi r4,r4,-7276 +81124b94: 01801644 movi r6,89 +81124b98: 01400044 movi r5,1 +81124b9c: dfc00017 ldw ra,0(sp) +81124ba0: dec00104 addi sp,sp,4 +81124ba4: 112bc8c1 jmpi 8112bc8c + +81124ba8 : +81124ba8: 00a04634 movhi r2,33048 +81124bac: 108f1904 addi r2,r2,15460 +81124bb0: 10800803 ldbu r2,32(r2) +81124bb4: 00c001c4 movi r3,7 +81124bb8: 1880012e bgeu r3,r2,81124bc0 +81124bbc: f800283a ret +81124bc0: d1e07917 ldw r7,-32284(gp) +81124bc4: 01204574 movhi r4,33045 +81124bc8: deffff04 addi sp,sp,-4 +81124bcc: 2138fc04 addi r4,r4,-7184 +81124bd0: 01800a84 movi r6,42 +81124bd4: 01400044 movi r5,1 +81124bd8: dfc00015 stw ra,0(sp) +81124bdc: 112bc8c0 call 8112bc8c +81124be0: d1e07917 ldw r7,-32284(gp) +81124be4: 01204574 movhi r4,33045 +81124be8: 21390704 addi r4,r4,-7140 +81124bec: 01801444 movi r6,81 +81124bf0: 01400044 movi r5,1 +81124bf4: dfc00017 ldw ra,0(sp) +81124bf8: dec00104 addi sp,sp,4 +81124bfc: 112bc8c1 jmpi 8112bc8c + +81124c00 : +81124c00: 00a04634 movhi r2,33048 +81124c04: 108f1904 addi r2,r2,15460 +81124c08: 10800803 ldbu r2,32(r2) +81124c0c: 00c001c4 movi r3,7 +81124c10: 1880012e bgeu r3,r2,81124c18 +81124c14: f800283a ret +81124c18: d1e07917 ldw r7,-32284(gp) +81124c1c: 01204574 movhi r4,33045 +81124c20: deffff04 addi sp,sp,-4 +81124c24: 21391c04 addi r4,r4,-7056 +81124c28: 01800a84 movi r6,42 +81124c2c: 01400044 movi r5,1 +81124c30: dfc00015 stw ra,0(sp) +81124c34: 112bc8c0 call 8112bc8c +81124c38: d1e07917 ldw r7,-32284(gp) +81124c3c: 01204574 movhi r4,33045 +81124c40: 21392704 addi r4,r4,-7012 +81124c44: 01801444 movi r6,81 +81124c48: 01400044 movi r5,1 +81124c4c: dfc00017 ldw ra,0(sp) +81124c50: dec00104 addi sp,sp,4 +81124c54: 112bc8c1 jmpi 8112bc8c + +81124c58 : +81124c58: 00a04634 movhi r2,33048 +81124c5c: 108f1904 addi r2,r2,15460 +81124c60: 10800803 ldbu r2,32(r2) +81124c64: 00c001c4 movi r3,7 +81124c68: 1880012e bgeu r3,r2,81124c70 +81124c6c: f800283a ret +81124c70: d1e07917 ldw r7,-32284(gp) +81124c74: 01204574 movhi r4,33045 +81124c78: deffff04 addi sp,sp,-4 +81124c7c: 21393c04 addi r4,r4,-6928 +81124c80: 01800ac4 movi r6,43 +81124c84: 01400044 movi r5,1 +81124c88: dfc00015 stw ra,0(sp) +81124c8c: 112bc8c0 call 8112bc8c +81124c90: d1e07917 ldw r7,-32284(gp) +81124c94: 01204574 movhi r4,33045 +81124c98: 21394704 addi r4,r4,-6884 +81124c9c: 01801484 movi r6,82 +81124ca0: 01400044 movi r5,1 +81124ca4: dfc00017 ldw ra,0(sp) +81124ca8: dec00104 addi sp,sp,4 +81124cac: 112bc8c1 jmpi 8112bc8c + +81124cb0 : +81124cb0: 00a04634 movhi r2,33048 +81124cb4: 108f1904 addi r2,r2,15460 +81124cb8: 10800803 ldbu r2,32(r2) +81124cbc: 00c001c4 movi r3,7 +81124cc0: 1880012e bgeu r3,r2,81124cc8 +81124cc4: f800283a ret +81124cc8: d1e07917 ldw r7,-32284(gp) +81124ccc: 01204574 movhi r4,33045 +81124cd0: deffff04 addi sp,sp,-4 +81124cd4: 21395c04 addi r4,r4,-6800 +81124cd8: 01800984 movi r6,38 +81124cdc: 01400044 movi r5,1 +81124ce0: dfc00015 stw ra,0(sp) +81124ce4: 112bc8c0 call 8112bc8c +81124ce8: d1e07917 ldw r7,-32284(gp) +81124cec: 01204574 movhi r4,33045 +81124cf0: 21396604 addi r4,r4,-6760 +81124cf4: 01800d44 movi r6,53 +81124cf8: 01400044 movi r5,1 +81124cfc: dfc00017 ldw ra,0(sp) +81124d00: dec00104 addi sp,sp,4 +81124d04: 112bc8c1 jmpi 8112bc8c + +81124d08 : +81124d08: 00a04634 movhi r2,33048 +81124d0c: 108f1904 addi r2,r2,15460 +81124d10: 10800803 ldbu r2,32(r2) +81124d14: 00c001c4 movi r3,7 +81124d18: 1880012e bgeu r3,r2,81124d20 +81124d1c: f800283a ret +81124d20: d1e07917 ldw r7,-32284(gp) +81124d24: 01204574 movhi r4,33045 +81124d28: deffff04 addi sp,sp,-4 +81124d2c: 21397404 addi r4,r4,-6704 +81124d30: 01800744 movi r6,29 +81124d34: 01400044 movi r5,1 +81124d38: dfc00015 stw ra,0(sp) +81124d3c: 112bc8c0 call 8112bc8c +81124d40: d1e07917 ldw r7,-32284(gp) +81124d44: 01204574 movhi r4,33045 +81124d48: 21397c04 addi r4,r4,-6672 +81124d4c: 01800984 movi r6,38 +81124d50: 01400044 movi r5,1 +81124d54: dfc00017 ldw ra,0(sp) +81124d58: dec00104 addi sp,sp,4 +81124d5c: 112bc8c1 jmpi 8112bc8c + +81124d60 : +81124d60: 00a04634 movhi r2,33048 +81124d64: 108f1904 addi r2,r2,15460 +81124d68: 10800803 ldbu r2,32(r2) +81124d6c: 00c001c4 movi r3,7 +81124d70: 1880012e bgeu r3,r2,81124d78 +81124d74: f800283a ret +81124d78: d1e07917 ldw r7,-32284(gp) +81124d7c: 01204574 movhi r4,33045 +81124d80: 21398604 addi r4,r4,-6632 +81124d84: 01800bc4 movi r6,47 +81124d88: 01400044 movi r5,1 +81124d8c: 112bc8c1 jmpi 8112bc8c + +81124d90 : +81124d90: 00a04634 movhi r2,33048 +81124d94: 108f1904 addi r2,r2,15460 +81124d98: 10800803 ldbu r2,32(r2) +81124d9c: 00c001c4 movi r3,7 +81124da0: 1880012e bgeu r3,r2,81124da8 +81124da4: f800283a ret +81124da8: d1e07917 ldw r7,-32284(gp) +81124dac: 01204574 movhi r4,33045 +81124db0: deffff04 addi sp,sp,-4 +81124db4: 21399204 addi r4,r4,-6584 +81124db8: 018006c4 movi r6,27 +81124dbc: 01400044 movi r5,1 +81124dc0: dfc00015 stw ra,0(sp) +81124dc4: 112bc8c0 call 8112bc8c +81124dc8: d1e07917 ldw r7,-32284(gp) +81124dcc: 01204574 movhi r4,33045 +81124dd0: 21399904 addi r4,r4,-6556 +81124dd4: 018008c4 movi r6,35 +81124dd8: 01400044 movi r5,1 +81124ddc: dfc00017 ldw ra,0(sp) +81124de0: dec00104 addi sp,sp,4 +81124de4: 112bc8c1 jmpi 8112bc8c + +81124de8 : +81124de8: 00a04634 movhi r2,33048 +81124dec: 108f1904 addi r2,r2,15460 +81124df0: 10800803 ldbu r2,32(r2) +81124df4: 00c001c4 movi r3,7 +81124df8: 1880012e bgeu r3,r2,81124e00 +81124dfc: f800283a ret +81124e00: d1e07917 ldw r7,-32284(gp) +81124e04: 01204574 movhi r4,33045 +81124e08: deffff04 addi sp,sp,-4 +81124e0c: 2139a204 addi r4,r4,-6520 +81124e10: 01800644 movi r6,25 +81124e14: 01400044 movi r5,1 +81124e18: dfc00015 stw ra,0(sp) +81124e1c: 112bc8c0 call 8112bc8c +81124e20: d1e07917 ldw r7,-32284(gp) +81124e24: 01204574 movhi r4,33045 +81124e28: 2139a904 addi r4,r4,-6492 +81124e2c: 018008c4 movi r6,35 +81124e30: 01400044 movi r5,1 +81124e34: dfc00017 ldw ra,0(sp) +81124e38: dec00104 addi sp,sp,4 +81124e3c: 112bc8c1 jmpi 8112bc8c + +81124e40 : +81124e40: 00a04634 movhi r2,33048 +81124e44: 108f1904 addi r2,r2,15460 +81124e48: 10800803 ldbu r2,32(r2) +81124e4c: 00c001c4 movi r3,7 +81124e50: 1880012e bgeu r3,r2,81124e58 +81124e54: f800283a ret +81124e58: d1e07917 ldw r7,-32284(gp) +81124e5c: 01204574 movhi r4,33045 +81124e60: deffff04 addi sp,sp,-4 +81124e64: 2139b204 addi r4,r4,-6456 +81124e68: 01800884 movi r6,34 +81124e6c: 01400044 movi r5,1 +81124e70: dfc00015 stw ra,0(sp) +81124e74: 112bc8c0 call 8112bc8c +81124e78: d1e07917 ldw r7,-32284(gp) +81124e7c: 01204574 movhi r4,33045 +81124e80: 2139bb04 addi r4,r4,-6420 +81124e84: 01800ac4 movi r6,43 +81124e88: 01400044 movi r5,1 +81124e8c: 112bc8c0 call 8112bc8c +81124e90: d1e07917 ldw r7,-32284(gp) +81124e94: 01204574 movhi r4,33045 +81124e98: 2139c604 addi r4,r4,-6376 +81124e9c: 01800144 movi r6,5 +81124ea0: 01400044 movi r5,1 +81124ea4: dfc00017 ldw ra,0(sp) +81124ea8: dec00104 addi sp,sp,4 +81124eac: 112bc8c1 jmpi 8112bc8c + +81124eb0 : +81124eb0: 00a04634 movhi r2,33048 +81124eb4: 108f1904 addi r2,r2,15460 +81124eb8: 10800803 ldbu r2,32(r2) +81124ebc: 00c001c4 movi r3,7 +81124ec0: 1880012e bgeu r3,r2,81124ec8 +81124ec4: f800283a ret +81124ec8: d1e07917 ldw r7,-32284(gp) +81124ecc: 01204574 movhi r4,33045 +81124ed0: deffff04 addi sp,sp,-4 +81124ed4: 2139c804 addi r4,r4,-6368 +81124ed8: 01800a04 movi r6,40 +81124edc: 01400044 movi r5,1 +81124ee0: dfc00015 stw ra,0(sp) +81124ee4: 112bc8c0 call 8112bc8c +81124ee8: d1e07917 ldw r7,-32284(gp) +81124eec: 01204574 movhi r4,33045 +81124ef0: 2139d304 addi r4,r4,-6324 +81124ef4: 018014c4 movi r6,83 +81124ef8: 01400044 movi r5,1 +81124efc: dfc00017 ldw ra,0(sp) +81124f00: dec00104 addi sp,sp,4 +81124f04: 112bc8c1 jmpi 8112bc8c + +81124f08 : +81124f08: 00a04634 movhi r2,33048 +81124f0c: 108f1904 addi r2,r2,15460 +81124f10: 10800803 ldbu r2,32(r2) +81124f14: 00c001c4 movi r3,7 +81124f18: 1880012e bgeu r3,r2,81124f20 +81124f1c: f800283a ret +81124f20: d1e07917 ldw r7,-32284(gp) +81124f24: 01204574 movhi r4,33045 +81124f28: deffff04 addi sp,sp,-4 +81124f2c: 2139e804 addi r4,r4,-6240 +81124f30: 01800844 movi r6,33 +81124f34: 01400044 movi r5,1 +81124f38: dfc00015 stw ra,0(sp) +81124f3c: 112bc8c0 call 8112bc8c +81124f40: d1e07917 ldw r7,-32284(gp) +81124f44: 01204574 movhi r4,33045 +81124f48: 2139f104 addi r4,r4,-6204 +81124f4c: 01801204 movi r6,72 +81124f50: 01400044 movi r5,1 +81124f54: dfc00017 ldw ra,0(sp) +81124f58: dec00104 addi sp,sp,4 +81124f5c: 112bc8c1 jmpi 8112bc8c + +81124f60 : +81124f60: 00a04634 movhi r2,33048 +81124f64: 108f1904 addi r2,r2,15460 +81124f68: 10800803 ldbu r2,32(r2) +81124f6c: 00c001c4 movi r3,7 +81124f70: 1880012e bgeu r3,r2,81124f78 +81124f74: f800283a ret +81124f78: defffe04 addi sp,sp,-8 +81124f7c: d1e07917 ldw r7,-32284(gp) +81124f80: dc000015 stw r16,0(sp) +81124f84: 2021883a mov r16,r4 +81124f88: 01204574 movhi r4,33045 +81124f8c: 213a0404 addi r4,r4,-6128 +81124f90: 01800744 movi r6,29 +81124f94: 01400044 movi r5,1 +81124f98: dfc00115 stw ra,4(sp) +81124f9c: 112bc8c0 call 8112bc8c +81124fa0: d1207917 ldw r4,-32284(gp) +81124fa4: 01604574 movhi r5,33045 +81124fa8: 297a0c04 addi r5,r5,-6096 +81124fac: 81803fcc andi r6,r16,255 +81124fb0: dfc00117 ldw ra,4(sp) +81124fb4: dc000017 ldw r16,0(sp) +81124fb8: dec00204 addi sp,sp,8 +81124fbc: 112b6201 jmpi 8112b620 + +81124fc0 : +81124fc0: 00a04634 movhi r2,33048 +81124fc4: 108f1904 addi r2,r2,15460 +81124fc8: 10800803 ldbu r2,32(r2) +81124fcc: 00c001c4 movi r3,7 +81124fd0: 1880012e bgeu r3,r2,81124fd8 +81124fd4: f800283a ret +81124fd8: d1e07917 ldw r7,-32284(gp) +81124fdc: 01204574 movhi r4,33045 +81124fe0: deffff04 addi sp,sp,-4 +81124fe4: 213a1a04 addi r4,r4,-6040 +81124fe8: 01800704 movi r6,28 +81124fec: 01400044 movi r5,1 +81124ff0: dfc00015 stw ra,0(sp) +81124ff4: 112bc8c0 call 8112bc8c +81124ff8: d1e07917 ldw r7,-32284(gp) +81124ffc: 01204574 movhi r4,33045 +81125000: 213a2204 addi r4,r4,-6008 +81125004: 01800c84 movi r6,50 +81125008: 01400044 movi r5,1 +8112500c: dfc00017 ldw ra,0(sp) +81125010: dec00104 addi sp,sp,4 +81125014: 112bc8c1 jmpi 8112bc8c + +81125018 : +81125018: 00a04634 movhi r2,33048 +8112501c: 108f1904 addi r2,r2,15460 +81125020: 10800803 ldbu r2,32(r2) +81125024: 00c001c4 movi r3,7 +81125028: 1880012e bgeu r3,r2,81125030 +8112502c: f800283a ret +81125030: d1e07917 ldw r7,-32284(gp) +81125034: 01204574 movhi r4,33045 +81125038: deffff04 addi sp,sp,-4 +8112503c: 213a2f04 addi r4,r4,-5956 +81125040: 01800704 movi r6,28 +81125044: 01400044 movi r5,1 +81125048: dfc00015 stw ra,0(sp) +8112504c: 112bc8c0 call 8112bc8c +81125050: d1e07917 ldw r7,-32284(gp) +81125054: 01204574 movhi r4,33045 +81125058: 213a2204 addi r4,r4,-6008 +8112505c: 01800c84 movi r6,50 +81125060: 01400044 movi r5,1 +81125064: dfc00017 ldw ra,0(sp) +81125068: dec00104 addi sp,sp,4 +8112506c: 112bc8c1 jmpi 8112bc8c + +81125070 : +81125070: 00a04634 movhi r2,33048 +81125074: 108f1904 addi r2,r2,15460 +81125078: 10800803 ldbu r2,32(r2) +8112507c: 00c001c4 movi r3,7 +81125080: 1880012e bgeu r3,r2,81125088 +81125084: f800283a ret +81125088: defffe04 addi sp,sp,-8 +8112508c: d1e07917 ldw r7,-32284(gp) +81125090: dc000015 stw r16,0(sp) +81125094: 2021883a mov r16,r4 +81125098: 01204574 movhi r4,33045 +8112509c: 213a3704 addi r4,r4,-5924 +811250a0: 01800844 movi r6,33 +811250a4: 01400044 movi r5,1 +811250a8: dfc00115 stw ra,4(sp) +811250ac: 112bc8c0 call 8112bc8c +811250b0: d1207917 ldw r4,-32284(gp) +811250b4: 01604574 movhi r5,33045 +811250b8: 297a4004 addi r5,r5,-5888 +811250bc: 81803fcc andi r6,r16,255 +811250c0: dfc00117 ldw ra,4(sp) +811250c4: dc000017 ldw r16,0(sp) +811250c8: dec00204 addi sp,sp,8 +811250cc: 112b6201 jmpi 8112b620 + +811250d0 : +811250d0: 00a04634 movhi r2,33048 +811250d4: 108f1904 addi r2,r2,15460 +811250d8: 10800803 ldbu r2,32(r2) +811250dc: 00c001c4 movi r3,7 +811250e0: 1880012e bgeu r3,r2,811250e8 +811250e4: f800283a ret +811250e8: d1e07917 ldw r7,-32284(gp) +811250ec: 01204574 movhi r4,33045 +811250f0: deffff04 addi sp,sp,-4 +811250f4: 213a4e04 addi r4,r4,-5832 +811250f8: 01800844 movi r6,33 +811250fc: 01400044 movi r5,1 +81125100: dfc00015 stw ra,0(sp) +81125104: 112bc8c0 call 8112bc8c +81125108: d1e07917 ldw r7,-32284(gp) +8112510c: 01204574 movhi r4,33045 +81125110: 213a5704 addi r4,r4,-5796 +81125114: 01800784 movi r6,30 +81125118: 01400044 movi r5,1 +8112511c: dfc00017 ldw ra,0(sp) +81125120: dec00104 addi sp,sp,4 +81125124: 112bc8c1 jmpi 8112bc8c + +81125128 : +81125128: 00a04634 movhi r2,33048 +8112512c: 108f1904 addi r2,r2,15460 +81125130: 10800803 ldbu r2,32(r2) +81125134: 00c001c4 movi r3,7 +81125138: 1880012e bgeu r3,r2,81125140 +8112513c: f800283a ret +81125140: d1e07917 ldw r7,-32284(gp) +81125144: 01204574 movhi r4,33045 +81125148: deffff04 addi sp,sp,-4 +8112514c: 213a5f04 addi r4,r4,-5764 +81125150: 01800844 movi r6,33 +81125154: 01400044 movi r5,1 +81125158: dfc00015 stw ra,0(sp) +8112515c: 112bc8c0 call 8112bc8c +81125160: d1e07917 ldw r7,-32284(gp) +81125164: 01204574 movhi r4,33045 +81125168: 213a6804 addi r4,r4,-5728 +8112516c: 01800784 movi r6,30 +81125170: 01400044 movi r5,1 +81125174: dfc00017 ldw ra,0(sp) +81125178: dec00104 addi sp,sp,4 +8112517c: 112bc8c1 jmpi 8112bc8c + +81125180 : +81125180: 00a04634 movhi r2,33048 +81125184: 108f1904 addi r2,r2,15460 +81125188: 10800803 ldbu r2,32(r2) +8112518c: 00c001c4 movi r3,7 +81125190: 1880012e bgeu r3,r2,81125198 +81125194: f800283a ret +81125198: d1e07917 ldw r7,-32284(gp) +8112519c: 01204574 movhi r4,33045 +811251a0: deffff04 addi sp,sp,-4 +811251a4: 213a7004 addi r4,r4,-5696 +811251a8: 01800844 movi r6,33 +811251ac: 01400044 movi r5,1 +811251b0: dfc00015 stw ra,0(sp) +811251b4: 112bc8c0 call 8112bc8c +811251b8: d1e07917 ldw r7,-32284(gp) +811251bc: 01204574 movhi r4,33045 +811251c0: 213a7904 addi r4,r4,-5660 +811251c4: 01800784 movi r6,30 +811251c8: 01400044 movi r5,1 +811251cc: dfc00017 ldw ra,0(sp) +811251d0: dec00104 addi sp,sp,4 +811251d4: 112bc8c1 jmpi 8112bc8c + +811251d8 : +811251d8: 00a04634 movhi r2,33048 +811251dc: 108f1904 addi r2,r2,15460 +811251e0: 10800803 ldbu r2,32(r2) +811251e4: 00c001c4 movi r3,7 +811251e8: 1880012e bgeu r3,r2,811251f0 +811251ec: f800283a ret +811251f0: d1e07917 ldw r7,-32284(gp) +811251f4: 01204574 movhi r4,33045 +811251f8: deffff04 addi sp,sp,-4 +811251fc: 213a8104 addi r4,r4,-5628 +81125200: 01800844 movi r6,33 +81125204: 01400044 movi r5,1 +81125208: dfc00015 stw ra,0(sp) +8112520c: 112bc8c0 call 8112bc8c +81125210: d1e07917 ldw r7,-32284(gp) +81125214: 01204574 movhi r4,33045 +81125218: 213a8a04 addi r4,r4,-5592 +8112521c: 01800784 movi r6,30 +81125220: 01400044 movi r5,1 +81125224: dfc00017 ldw ra,0(sp) +81125228: dec00104 addi sp,sp,4 +8112522c: 112bc8c1 jmpi 8112bc8c + +81125230 : +81125230: 00a04634 movhi r2,33048 +81125234: 108f1904 addi r2,r2,15460 +81125238: 10800803 ldbu r2,32(r2) +8112523c: 00c001c4 movi r3,7 +81125240: 1880012e bgeu r3,r2,81125248 +81125244: f800283a ret +81125248: d1e07917 ldw r7,-32284(gp) +8112524c: 01204574 movhi r4,33045 +81125250: deffff04 addi sp,sp,-4 +81125254: 213a9204 addi r4,r4,-5560 +81125258: 01800844 movi r6,33 +8112525c: 01400044 movi r5,1 +81125260: dfc00015 stw ra,0(sp) +81125264: 112bc8c0 call 8112bc8c +81125268: d1e07917 ldw r7,-32284(gp) +8112526c: 01204574 movhi r4,33045 +81125270: 213a9b04 addi r4,r4,-5524 +81125274: 01800784 movi r6,30 +81125278: 01400044 movi r5,1 +8112527c: dfc00017 ldw ra,0(sp) +81125280: dec00104 addi sp,sp,4 +81125284: 112bc8c1 jmpi 8112bc8c + +81125288 : +81125288: 00a04634 movhi r2,33048 +8112528c: 108f1904 addi r2,r2,15460 +81125290: 10800803 ldbu r2,32(r2) +81125294: 00c001c4 movi r3,7 +81125298: 1880012e bgeu r3,r2,811252a0 +8112529c: f800283a ret +811252a0: d1e07917 ldw r7,-32284(gp) +811252a4: 01204574 movhi r4,33045 +811252a8: deffff04 addi sp,sp,-4 +811252ac: 213aa304 addi r4,r4,-5492 +811252b0: 01800844 movi r6,33 +811252b4: 01400044 movi r5,1 +811252b8: dfc00015 stw ra,0(sp) +811252bc: 112bc8c0 call 8112bc8c +811252c0: d1e07917 ldw r7,-32284(gp) +811252c4: 01204574 movhi r4,33045 +811252c8: 213aac04 addi r4,r4,-5456 +811252cc: 01800784 movi r6,30 +811252d0: 01400044 movi r5,1 +811252d4: dfc00017 ldw ra,0(sp) +811252d8: dec00104 addi sp,sp,4 +811252dc: 112bc8c1 jmpi 8112bc8c + +811252e0 : +811252e0: 00a04634 movhi r2,33048 +811252e4: 108f1904 addi r2,r2,15460 +811252e8: 10800803 ldbu r2,32(r2) +811252ec: 00c001c4 movi r3,7 +811252f0: 1880012e bgeu r3,r2,811252f8 +811252f4: f800283a ret +811252f8: d1e07917 ldw r7,-32284(gp) +811252fc: 01204574 movhi r4,33045 +81125300: deffff04 addi sp,sp,-4 +81125304: 213ab404 addi r4,r4,-5424 +81125308: 01800a84 movi r6,42 +8112530c: 01400044 movi r5,1 +81125310: dfc00015 stw ra,0(sp) +81125314: 112bc8c0 call 8112bc8c +81125318: d1e07917 ldw r7,-32284(gp) +8112531c: 01204574 movhi r4,33045 +81125320: 213abf04 addi r4,r4,-5380 +81125324: 018009c4 movi r6,39 +81125328: 01400044 movi r5,1 +8112532c: dfc00017 ldw ra,0(sp) +81125330: dec00104 addi sp,sp,4 +81125334: 112bc8c1 jmpi 8112bc8c + +81125338 : +81125338: 00a04634 movhi r2,33048 +8112533c: 108f1904 addi r2,r2,15460 +81125340: 10800803 ldbu r2,32(r2) +81125344: 00c001c4 movi r3,7 +81125348: 1880012e bgeu r3,r2,81125350 +8112534c: f800283a ret +81125350: d1e07917 ldw r7,-32284(gp) +81125354: 01204574 movhi r4,33045 +81125358: deffff04 addi sp,sp,-4 +8112535c: 213ac904 addi r4,r4,-5340 +81125360: 01800a84 movi r6,42 +81125364: 01400044 movi r5,1 +81125368: dfc00015 stw ra,0(sp) +8112536c: 112bc8c0 call 8112bc8c +81125370: d1e07917 ldw r7,-32284(gp) +81125374: 01204574 movhi r4,33045 +81125378: 213ad404 addi r4,r4,-5296 +8112537c: 018009c4 movi r6,39 +81125380: 01400044 movi r5,1 +81125384: dfc00017 ldw ra,0(sp) +81125388: dec00104 addi sp,sp,4 +8112538c: 112bc8c1 jmpi 8112bc8c + +81125390 : +81125390: 00a04634 movhi r2,33048 +81125394: 108f1904 addi r2,r2,15460 +81125398: 10800803 ldbu r2,32(r2) +8112539c: 00c001c4 movi r3,7 +811253a0: 1880012e bgeu r3,r2,811253a8 +811253a4: f800283a ret +811253a8: d1e07917 ldw r7,-32284(gp) +811253ac: 01204574 movhi r4,33045 +811253b0: deffff04 addi sp,sp,-4 +811253b4: 213ade04 addi r4,r4,-5256 +811253b8: 018007c4 movi r6,31 +811253bc: 01400044 movi r5,1 +811253c0: dfc00015 stw ra,0(sp) +811253c4: 112bc8c0 call 8112bc8c +811253c8: d1e07917 ldw r7,-32284(gp) +811253cc: 01204574 movhi r4,33045 +811253d0: 213ae604 addi r4,r4,-5224 +811253d4: 018006c4 movi r6,27 +811253d8: 01400044 movi r5,1 +811253dc: dfc00017 ldw ra,0(sp) +811253e0: dec00104 addi sp,sp,4 +811253e4: 112bc8c1 jmpi 8112bc8c + +811253e8 : +811253e8: 00a04634 movhi r2,33048 +811253ec: 108f1904 addi r2,r2,15460 +811253f0: 10800803 ldbu r2,32(r2) +811253f4: 00c001c4 movi r3,7 +811253f8: 1880012e bgeu r3,r2,81125400 +811253fc: f800283a ret +81125400: defffe04 addi sp,sp,-8 +81125404: d1e07917 ldw r7,-32284(gp) +81125408: dc000015 stw r16,0(sp) +8112540c: 2021883a mov r16,r4 +81125410: 01204574 movhi r4,33045 +81125414: 213aed04 addi r4,r4,-5196 +81125418: 01800944 movi r6,37 +8112541c: 01400044 movi r5,1 +81125420: dfc00115 stw ra,4(sp) +81125424: 112bc8c0 call 8112bc8c +81125428: 81003fcc andi r4,r16,255 +8112542c: dfc00117 ldw ra,4(sp) +81125430: dc000017 ldw r16,0(sp) +81125434: dec00204 addi sp,sp,8 +81125438: 1123c001 jmpi 81123c00 + +8112543c : +8112543c: 00a04634 movhi r2,33048 +81125440: 108f1904 addi r2,r2,15460 +81125444: 10800803 ldbu r2,32(r2) +81125448: 00c001c4 movi r3,7 +8112544c: 1880012e bgeu r3,r2,81125454 +81125450: f800283a ret +81125454: d1e07917 ldw r7,-32284(gp) +81125458: 01204574 movhi r4,33045 +8112545c: 213af704 addi r4,r4,-5156 +81125460: 01800784 movi r6,30 +81125464: 01400044 movi r5,1 +81125468: 112bc8c1 jmpi 8112bc8c + +8112546c : +8112546c: 00a04634 movhi r2,33048 +81125470: 108f1904 addi r2,r2,15460 +81125474: 10800803 ldbu r2,32(r2) +81125478: 00c001c4 movi r3,7 +8112547c: 1880012e bgeu r3,r2,81125484 +81125480: f800283a ret +81125484: d1e07917 ldw r7,-32284(gp) +81125488: 01204574 movhi r4,33045 +8112548c: deffff04 addi sp,sp,-4 +81125490: 213aff04 addi r4,r4,-5124 +81125494: 01800a84 movi r6,42 +81125498: 01400044 movi r5,1 +8112549c: dfc00015 stw ra,0(sp) +811254a0: 112bc8c0 call 8112bc8c +811254a4: d1e07917 ldw r7,-32284(gp) +811254a8: 01204574 movhi r4,33045 +811254ac: 213b0a04 addi r4,r4,-5080 +811254b0: 01800cc4 movi r6,51 +811254b4: 01400044 movi r5,1 +811254b8: dfc00017 ldw ra,0(sp) +811254bc: dec00104 addi sp,sp,4 +811254c0: 112bc8c1 jmpi 8112bc8c + +811254c4 : +811254c4: 00a04634 movhi r2,33048 +811254c8: 108f1904 addi r2,r2,15460 +811254cc: 10800803 ldbu r2,32(r2) +811254d0: 00c001c4 movi r3,7 +811254d4: 1880012e bgeu r3,r2,811254dc +811254d8: f800283a ret +811254dc: d1e07917 ldw r7,-32284(gp) +811254e0: 01204574 movhi r4,33045 +811254e4: 213b1704 addi r4,r4,-5028 +811254e8: 01800804 movi r6,32 +811254ec: 01400044 movi r5,1 +811254f0: 112bc8c1 jmpi 8112bc8c + +811254f4 : +811254f4: 00a04634 movhi r2,33048 +811254f8: 108f1904 addi r2,r2,15460 +811254fc: 10800803 ldbu r2,32(r2) +81125500: 00c001c4 movi r3,7 +81125504: 1880012e bgeu r3,r2,8112550c +81125508: f800283a ret +8112550c: d1e07917 ldw r7,-32284(gp) +81125510: 01204574 movhi r4,33045 +81125514: deffff04 addi sp,sp,-4 +81125518: 213b2004 addi r4,r4,-4992 +8112551c: 01800a44 movi r6,41 +81125520: 01400044 movi r5,1 +81125524: dfc00015 stw ra,0(sp) +81125528: 112bc8c0 call 8112bc8c +8112552c: d1e07917 ldw r7,-32284(gp) +81125530: 01204574 movhi r4,33045 +81125534: 213b2b04 addi r4,r4,-4948 +81125538: 01800c84 movi r6,50 +8112553c: 01400044 movi r5,1 +81125540: dfc00017 ldw ra,0(sp) +81125544: dec00104 addi sp,sp,4 +81125548: 112bc8c1 jmpi 8112bc8c + +8112554c : +8112554c: 00a04634 movhi r2,33048 +81125550: 108f1904 addi r2,r2,15460 +81125554: 10800803 ldbu r2,32(r2) +81125558: 00c001c4 movi r3,7 +8112555c: 1880012e bgeu r3,r2,81125564 +81125560: f800283a ret +81125564: d1e07917 ldw r7,-32284(gp) +81125568: 01204574 movhi r4,33045 +8112556c: deffff04 addi sp,sp,-4 +81125570: 213b3804 addi r4,r4,-4896 +81125574: 01800a44 movi r6,41 +81125578: 01400044 movi r5,1 +8112557c: dfc00015 stw ra,0(sp) +81125580: 112bc8c0 call 8112bc8c +81125584: d1e07917 ldw r7,-32284(gp) +81125588: 01204574 movhi r4,33045 +8112558c: 213b4304 addi r4,r4,-4852 +81125590: 01800c84 movi r6,50 +81125594: 01400044 movi r5,1 +81125598: dfc00017 ldw ra,0(sp) +8112559c: dec00104 addi sp,sp,4 +811255a0: 112bc8c1 jmpi 8112bc8c + +811255a4 : +811255a4: 00a04634 movhi r2,33048 +811255a8: 108f1904 addi r2,r2,15460 +811255ac: 10800803 ldbu r2,32(r2) +811255b0: 00c001c4 movi r3,7 +811255b4: 1880012e bgeu r3,r2,811255bc +811255b8: f800283a ret +811255bc: d1e07917 ldw r7,-32284(gp) +811255c0: 01204574 movhi r4,33045 +811255c4: deffff04 addi sp,sp,-4 +811255c8: 213b5004 addi r4,r4,-4800 +811255cc: 01800984 movi r6,38 +811255d0: 01400044 movi r5,1 +811255d4: dfc00015 stw ra,0(sp) +811255d8: 112bc8c0 call 8112bc8c +811255dc: d1e07917 ldw r7,-32284(gp) +811255e0: 01204574 movhi r4,33045 +811255e4: 213b5a04 addi r4,r4,-4760 +811255e8: 01800bc4 movi r6,47 +811255ec: 01400044 movi r5,1 +811255f0: dfc00017 ldw ra,0(sp) +811255f4: dec00104 addi sp,sp,4 +811255f8: 112bc8c1 jmpi 8112bc8c + +811255fc : +811255fc: 00a04634 movhi r2,33048 +81125600: 108f1904 addi r2,r2,15460 +81125604: 10800803 ldbu r2,32(r2) +81125608: 00c001c4 movi r3,7 +8112560c: 1880012e bgeu r3,r2,81125614 +81125610: f800283a ret +81125614: d1e07917 ldw r7,-32284(gp) +81125618: 01204574 movhi r4,33045 +8112561c: deffff04 addi sp,sp,-4 +81125620: 213b6604 addi r4,r4,-4712 +81125624: 01800984 movi r6,38 +81125628: 01400044 movi r5,1 +8112562c: dfc00015 stw ra,0(sp) +81125630: 112bc8c0 call 8112bc8c +81125634: d1e07917 ldw r7,-32284(gp) +81125638: 01204574 movhi r4,33045 +8112563c: 213b7004 addi r4,r4,-4672 +81125640: 01800bc4 movi r6,47 +81125644: 01400044 movi r5,1 +81125648: dfc00017 ldw ra,0(sp) +8112564c: dec00104 addi sp,sp,4 +81125650: 112bc8c1 jmpi 8112bc8c + +81125654 : +81125654: 00a04634 movhi r2,33048 +81125658: 108f1904 addi r2,r2,15460 +8112565c: 10800803 ldbu r2,32(r2) +81125660: 00c001c4 movi r3,7 +81125664: 200d883a mov r6,r4 +81125668: 1880012e bgeu r3,r2,81125670 +8112566c: f800283a ret +81125670: d1207917 ldw r4,-32284(gp) +81125674: 01604574 movhi r5,33045 +81125678: 297b7c04 addi r5,r5,-4624 +8112567c: 31803fcc andi r6,r6,255 +81125680: 112b6201 jmpi 8112b620 + +81125684 : +81125684: 00a04634 movhi r2,33048 +81125688: 108f1904 addi r2,r2,15460 +8112568c: 10800803 ldbu r2,32(r2) +81125690: 00c001c4 movi r3,7 +81125694: 200d883a mov r6,r4 +81125698: 1880012e bgeu r3,r2,811256a0 +8112569c: f800283a ret +811256a0: d1207917 ldw r4,-32284(gp) +811256a4: 01604574 movhi r5,33045 +811256a8: 297b8504 addi r5,r5,-4588 +811256ac: 31803fcc andi r6,r6,255 +811256b0: 112b6201 jmpi 8112b620 + +811256b4 : +811256b4: 00a04634 movhi r2,33048 +811256b8: 108f1904 addi r2,r2,15460 +811256bc: 10800803 ldbu r2,32(r2) +811256c0: 00c001c4 movi r3,7 +811256c4: 1880012e bgeu r3,r2,811256cc +811256c8: f800283a ret +811256cc: d1e07917 ldw r7,-32284(gp) +811256d0: 01204574 movhi r4,33045 +811256d4: 213b8d04 addi r4,r4,-4556 +811256d8: 01800704 movi r6,28 +811256dc: 01400044 movi r5,1 +811256e0: 112bc8c1 jmpi 8112bc8c + +811256e4 : +811256e4: 00a04634 movhi r2,33048 +811256e8: 108f1904 addi r2,r2,15460 +811256ec: 10800803 ldbu r2,32(r2) +811256f0: 00c001c4 movi r3,7 +811256f4: 1880012e bgeu r3,r2,811256fc +811256f8: f800283a ret +811256fc: d1e07917 ldw r7,-32284(gp) +81125700: 01204574 movhi r4,33045 +81125704: 213b9504 addi r4,r4,-4524 +81125708: 01800544 movi r6,21 +8112570c: 01400044 movi r5,1 +81125710: 112bc8c1 jmpi 8112bc8c + +81125714 : +81125714: 00a04634 movhi r2,33048 +81125718: 108f1904 addi r2,r2,15460 +8112571c: 10800803 ldbu r2,32(r2) +81125720: 00c001c4 movi r3,7 +81125724: 1880012e bgeu r3,r2,8112572c +81125728: f800283a ret +8112572c: d1e07917 ldw r7,-32284(gp) +81125730: 01204574 movhi r4,33045 +81125734: 213b9b04 addi r4,r4,-4500 +81125738: 01800744 movi r6,29 +8112573c: 01400044 movi r5,1 +81125740: 112bc8c1 jmpi 8112bc8c + +81125744 : +81125744: 00a04634 movhi r2,33048 +81125748: 108f1904 addi r2,r2,15460 +8112574c: 10800803 ldbu r2,32(r2) +81125750: 00c001c4 movi r3,7 +81125754: 1880012e bgeu r3,r2,8112575c +81125758: f800283a ret +8112575c: d1e07917 ldw r7,-32284(gp) +81125760: 01204574 movhi r4,33045 +81125764: 213ba304 addi r4,r4,-4468 +81125768: 01800604 movi r6,24 +8112576c: 01400044 movi r5,1 +81125770: 112bc8c1 jmpi 8112bc8c + +81125774 : +81125774: 00a04634 movhi r2,33048 +81125778: 108f1904 addi r2,r2,15460 +8112577c: 10800803 ldbu r2,32(r2) +81125780: 00c001c4 movi r3,7 +81125784: 1880012e bgeu r3,r2,8112578c 81125788: f800283a ret -8112578c: d1207917 ldw r4,-32284(gp) -81125790: 01604574 movhi r5,33045 -81125794: 297ba104 addi r5,r5,-4476 -81125798: 31803fcc andi r6,r6,255 -8112579c: 112b5e01 jmpi 8112b5e0 - -811257a0 : -811257a0: 00a04634 movhi r2,33048 -811257a4: 108f0804 addi r2,r2,15392 -811257a8: 10800803 ldbu r2,32(r2) -811257ac: 00c001c4 movi r3,7 -811257b0: 200d883a mov r6,r4 -811257b4: 1880012e bgeu r3,r2,811257bc -811257b8: f800283a ret -811257bc: d1207917 ldw r4,-32284(gp) -811257c0: 01604574 movhi r5,33045 -811257c4: 297bab04 addi r5,r5,-4436 -811257c8: 31803fcc andi r6,r6,255 -811257cc: 112b5e01 jmpi 8112b5e0 - -811257d0 : -811257d0: 00a04634 movhi r2,33048 -811257d4: 108f0804 addi r2,r2,15392 -811257d8: 10800803 ldbu r2,32(r2) -811257dc: 00c001c4 movi r3,7 -811257e0: 200d883a mov r6,r4 -811257e4: 1880012e bgeu r3,r2,811257ec -811257e8: f800283a ret -811257ec: d1207917 ldw r4,-32284(gp) -811257f0: 01604574 movhi r5,33045 -811257f4: 297bb404 addi r5,r5,-4400 -811257f8: 31803fcc andi r6,r6,255 -811257fc: 112b5e01 jmpi 8112b5e0 - -81125800 : -81125800: 00a04634 movhi r2,33048 -81125804: 108f0804 addi r2,r2,15392 -81125808: 10800803 ldbu r2,32(r2) -8112580c: 00c001c4 movi r3,7 -81125810: 200d883a mov r6,r4 -81125814: 1880012e bgeu r3,r2,8112581c -81125818: f800283a ret -8112581c: d1207917 ldw r4,-32284(gp) -81125820: 01604574 movhi r5,33045 -81125824: 297bbc04 addi r5,r5,-4368 -81125828: 31803fcc andi r6,r6,255 -8112582c: 112b5e01 jmpi 8112b5e0 - -81125830 : -81125830: 00a04634 movhi r2,33048 -81125834: 108f0804 addi r2,r2,15392 -81125838: 10800803 ldbu r2,32(r2) -8112583c: 00c001c4 movi r3,7 -81125840: 1880012e bgeu r3,r2,81125848 -81125844: f800283a ret -81125848: d1e07917 ldw r7,-32284(gp) -8112584c: 01204574 movhi r4,33045 -81125850: 213bca04 addi r4,r4,-4312 -81125854: 018006c4 movi r6,27 -81125858: 01400044 movi r5,1 -8112585c: 112bc4c1 jmpi 8112bc4c - -81125860 : -81125860: 00a04634 movhi r2,33048 -81125864: 108f0804 addi r2,r2,15392 -81125868: 10800803 ldbu r2,32(r2) -8112586c: 00c001c4 movi r3,7 -81125870: 1880012e bgeu r3,r2,81125878 -81125874: f800283a ret -81125878: d1e07917 ldw r7,-32284(gp) -8112587c: 01204574 movhi r4,33045 -81125880: 213bd104 addi r4,r4,-4284 -81125884: 018006c4 movi r6,27 -81125888: 01400044 movi r5,1 -8112588c: 112bc4c1 jmpi 8112bc4c - -81125890 : -81125890: 00a04634 movhi r2,33048 -81125894: 108f0804 addi r2,r2,15392 -81125898: 10800803 ldbu r2,32(r2) -8112589c: 00c001c4 movi r3,7 -811258a0: 1880012e bgeu r3,r2,811258a8 -811258a4: f800283a ret -811258a8: d1e07917 ldw r7,-32284(gp) -811258ac: 01204574 movhi r4,33045 -811258b0: 213bd804 addi r4,r4,-4256 -811258b4: 018006c4 movi r6,27 -811258b8: 01400044 movi r5,1 -811258bc: 112bc4c1 jmpi 8112bc4c - -811258c0 : -811258c0: 00a04634 movhi r2,33048 -811258c4: 108f0804 addi r2,r2,15392 -811258c8: 10800803 ldbu r2,32(r2) -811258cc: 00c001c4 movi r3,7 -811258d0: 1880012e bgeu r3,r2,811258d8 -811258d4: f800283a ret -811258d8: d1e07917 ldw r7,-32284(gp) -811258dc: 01204574 movhi r4,33045 -811258e0: 213bdf04 addi r4,r4,-4228 -811258e4: 018005c4 movi r6,23 -811258e8: 01400044 movi r5,1 -811258ec: 112bc4c1 jmpi 8112bc4c - -811258f0 : -811258f0: 00a04634 movhi r2,33048 -811258f4: 108f0804 addi r2,r2,15392 -811258f8: 10800803 ldbu r2,32(r2) -811258fc: 00c001c4 movi r3,7 -81125900: 1880012e bgeu r3,r2,81125908 -81125904: f800283a ret -81125908: d1e07917 ldw r7,-32284(gp) -8112590c: 01204574 movhi r4,33045 -81125910: 213be504 addi r4,r4,-4204 -81125914: 01800604 movi r6,24 -81125918: 01400044 movi r5,1 -8112591c: 112bc4c1 jmpi 8112bc4c - -81125920 : -81125920: 00a04634 movhi r2,33048 -81125924: 108f0804 addi r2,r2,15392 -81125928: 10800803 ldbu r2,32(r2) -8112592c: 00c001c4 movi r3,7 -81125930: 1880012e bgeu r3,r2,81125938 -81125934: f800283a ret -81125938: d1e07917 ldw r7,-32284(gp) -8112593c: 01204574 movhi r4,33045 -81125940: 213bec04 addi r4,r4,-4176 -81125944: 01800744 movi r6,29 -81125948: 01400044 movi r5,1 -8112594c: 112bc4c1 jmpi 8112bc4c - -81125950 : -81125950: 00a04634 movhi r2,33048 -81125954: 108f0804 addi r2,r2,15392 -81125958: 10800803 ldbu r2,32(r2) -8112595c: 00c001c4 movi r3,7 -81125960: 1880012e bgeu r3,r2,81125968 -81125964: f800283a ret -81125968: d1e07917 ldw r7,-32284(gp) -8112596c: 01204574 movhi r4,33045 -81125970: 213bf404 addi r4,r4,-4144 -81125974: 01800844 movi r6,33 -81125978: 01400044 movi r5,1 -8112597c: 112bc4c1 jmpi 8112bc4c - -81125980 : -81125980: 00a04634 movhi r2,33048 -81125984: 108f0804 addi r2,r2,15392 -81125988: 10800803 ldbu r2,32(r2) -8112598c: 00c001c4 movi r3,7 -81125990: 1880012e bgeu r3,r2,81125998 -81125994: f800283a ret -81125998: d1e07917 ldw r7,-32284(gp) -8112599c: 01204574 movhi r4,33045 -811259a0: 213bfd04 addi r4,r4,-4108 -811259a4: 01800804 movi r6,32 -811259a8: 01400044 movi r5,1 -811259ac: 112bc4c1 jmpi 8112bc4c - -811259b0 : -811259b0: 00a04634 movhi r2,33048 -811259b4: 108f0804 addi r2,r2,15392 -811259b8: 10800803 ldbu r2,32(r2) -811259bc: 00c001c4 movi r3,7 -811259c0: 1880012e bgeu r3,r2,811259c8 -811259c4: f800283a ret -811259c8: d1e07917 ldw r7,-32284(gp) -811259cc: 01204574 movhi r4,33045 -811259d0: 213c0604 addi r4,r4,-4072 -811259d4: 01800844 movi r6,33 -811259d8: 01400044 movi r5,1 -811259dc: 112bc4c1 jmpi 8112bc4c - -811259e0 : -811259e0: 00a04634 movhi r2,33048 -811259e4: 108f0804 addi r2,r2,15392 -811259e8: 10800803 ldbu r2,32(r2) -811259ec: 00c001c4 movi r3,7 -811259f0: 1880012e bgeu r3,r2,811259f8 -811259f4: f800283a ret -811259f8: d1e07917 ldw r7,-32284(gp) -811259fc: 01204574 movhi r4,33045 -81125a00: 213c0f04 addi r4,r4,-4036 -81125a04: 018003c4 movi r6,15 -81125a08: 01400044 movi r5,1 -81125a0c: 112bc4c1 jmpi 8112bc4c - -81125a10 : -81125a10: f800283a ret - -81125a14 : -81125a14: f800283a ret - -81125a18 : -81125a18: f800283a ret - -81125a1c : -81125a1c: 21c04b8b ldhu r7,302(r4) -81125a20: 21804a8b ldhu r6,298(r4) -81125a24: 22004a0b ldhu r8,296(r4) -81125a28: 20c04c0b ldhu r3,304(r4) -81125a2c: 21404b0b ldhu r5,300(r4) -81125a30: 20800003 ldbu r2,0(r4) -81125a34: 398d883a add r6,r7,r6 -81125a38: 02435a34 movhi r9,3432 -81125a3c: 4a749004 addi r9,r9,-11712 -81125a40: 320d883a add r6,r6,r8 -81125a44: 1947883a add r3,r3,r5 -81125a48: 124f383a mul r7,r2,r9 -81125a4c: 30c7383a mul r3,r6,r3 -81125a50: 00833234 movhi r2,3272 -81125a54: 10b49004 addi r2,r2,-11712 -81125a58: 18c7883a add r3,r3,r3 -81125a5c: 3885883a add r2,r7,r2 -81125a60: 20800315 stw r2,12(r4) -81125a64: 20c00515 stw r3,20(r4) -81125a68: 188001cc andi r2,r3,7 -81125a6c: 22400215 stw r9,8(r4) -81125a70: 21c00115 stw r7,4(r4) -81125a74: 1806d0fa srli r3,r3,3 -81125a78: 10003d26 beq r2,zero,81125b70 -81125a7c: 01400204 movi r5,8 -81125a80: 288bc83a sub r5,r5,r2 -81125a84: 18c00044 addi r3,r3,1 -81125a88: 21400605 stb r5,24(r4) -81125a8c: 194003cc andi r5,r3,15 -81125a90: 1810d13a srli r8,r3,4 -81125a94: 28003426 beq r5,zero,81125b68 -81125a98: 42000044 addi r8,r8,1 -81125a9c: 18c00404 addi r3,r3,16 -81125aa0: 1a07883a add r3,r3,r8 -81125aa4: 1947c83a sub r3,r3,r5 -81125aa8: 180690fa slli r3,r3,3 -81125aac: 20c00515 stw r3,20(r4) -81125ab0: 10002a26 beq r2,zero,81125b5c -81125ab4: 1004d07a srli r2,r2,1 -81125ab8: 294b883a add r5,r5,r5 -81125abc: 294b883a add r5,r5,r5 -81125ac0: 288b883a add r5,r5,r2 -81125ac4: 01801004 movi r6,64 -81125ac8: 314bc83a sub r5,r6,r5 -81125acc: 29403fcc andi r5,r5,255 -81125ad0: 29bff804 addi r6,r5,-32 -81125ad4: 30002816 blt r6,zero,81125b78 -81125ad8: 00bfffc4 movi r2,-1 -81125adc: 1184983a sll r2,r2,r6 -81125ae0: 000b883a mov r5,zero -81125ae4: 20800815 stw r2,32(r4) -81125ae8: 22000415 stw r8,16(r4) -81125aec: 008004b4 movhi r2,18 -81125af0: 020000b4 movhi r8,2 -81125af4: 10a40004 addi r2,r2,-28672 -81125af8: 42240004 addi r8,r8,-28672 -81125afc: 21400715 stw r5,28(r4) -81125b00: 3885883a add r2,r7,r2 -81125b04: 21401004 addi r5,r4,64 -81125b08: 21c00d04 addi r7,r4,52 -81125b0c: 1a11883a add r8,r3,r8 -81125b10: 21002804 addi r4,r4,160 -81125b14: 118007cc andi r6,r2,31 -81125b18: 1006d17a srli r3,r2,5 -81125b1c: 30000226 beq r6,zero,81125b28 -81125b20: 18800044 addi r2,r3,1 -81125b24: 1004917a slli r2,r2,5 -81125b28: 1207883a add r3,r2,r8 -81125b2c: 180cd17a srli r6,r3,5 -81125b30: 38800015 stw r2,0(r7) -81125b34: 188007cc andi r2,r3,31 -81125b38: 31800044 addi r6,r6,1 -81125b3c: 10000126 beq r2,zero,81125b44 -81125b40: 3006917a slli r3,r6,5 -81125b44: 29400604 addi r5,r5,24 -81125b48: 28fffa15 stw r3,-24(r5) -81125b4c: 39c00604 addi r7,r7,24 -81125b50: 1a05883a add r2,r3,r8 -81125b54: 293fef1e bne r5,r4,81125b14 <__reset+0xfb105b14> -81125b58: f800283a ret -81125b5c: 294b883a add r5,r5,r5 -81125b60: 294b883a add r5,r5,r5 -81125b64: 003fd706 br 81125ac4 <__reset+0xfb105ac4> -81125b68: 1a07883a add r3,r3,r8 -81125b6c: 003fce06 br 81125aa8 <__reset+0xfb105aa8> -81125b70: 20000605 stb zero,24(r4) -81125b74: 003fc506 br 81125a8c <__reset+0xfb105a8c> -81125b78: 01bfffc4 movi r6,-1 -81125b7c: 024007c4 movi r9,31 -81125b80: 00a00034 movhi r2,32768 -81125b84: 4953c83a sub r9,r9,r5 -81125b88: 1185883a add r2,r2,r6 -81125b8c: 1252d83a srl r9,r2,r9 -81125b90: 3144983a sll r2,r6,r5 -81125b94: 100b883a mov r5,r2 -81125b98: 4884b03a or r2,r9,r2 -81125b9c: 003fd106 br 81125ae4 <__reset+0xfb105ae4> - -81125ba0 : -81125ba0: defffc04 addi sp,sp,-16 -81125ba4: dfc00315 stw ra,12(sp) -81125ba8: dc400115 stw r17,4(sp) -81125bac: dc000015 stw r16,0(sp) -81125bb0: dc800215 stw r18,8(sp) -81125bb4: 2021883a mov r16,r4 -81125bb8: 21400005 stb r5,0(r4) -81125bbc: 21004a04 addi r4,r4,296 -81125bc0: 2823883a mov r17,r5 -81125bc4: 111d1580 call 8111d158 -81125bc8: 8009883a mov r4,r16 -81125bcc: 1125a1c0 call 81125a1c -81125bd0: 01604634 movhi r5,33048 -81125bd4: 294ee104 addi r5,r5,15236 -81125bd8: 8c403fcc andi r17,r17,255 -81125bdc: 8963883a add r17,r17,r5 -81125be0: 89400003 ldbu r5,0(r17) -81125be4: 00800044 movi r2,1 -81125be8: 80802515 stw r2,148(r16) -81125bec: 81400045 stb r5,1(r16) -81125bf0: 80002915 stw zero,164(r16) -81125bf4: 80002a15 stw zero,168(r16) -81125bf8: 80002b15 stw zero,172(r16) -81125bfc: 80002815 stw zero,160(r16) -81125c00: 80002715 stw zero,156(r16) -81125c04: 80002c15 stw zero,176(r16) -81125c08: 80003015 stw zero,192(r16) -81125c0c: 80002e05 stb zero,184(r16) -81125c10: 80003115 stw zero,196(r16) -81125c14: 80003315 stw zero,204(r16) -81125c18: 80003415 stw zero,208(r16) -81125c1c: 80003515 stw zero,212(r16) -81125c20: 80003615 stw zero,216(r16) -81125c24: 80004915 stw zero,292(r16) -81125c28: 29403fcc andi r5,r5,255 -81125c2c: 81004d04 addi r4,r16,308 -81125c30: 04604634 movhi r17,33048 -81125c34: 11022b00 call 811022b0 -81125c38: 8c4f0804 addi r17,r17,15392 -81125c3c: 1000031e bne r2,zero,81125c4c -81125c40: 88800803 ldbu r2,32(r17) -81125c44: 00c001c4 movi r3,7 -81125c48: 1880852e bgeu r3,r2,81125e60 -81125c4c: 81400043 ldbu r5,1(r16) -81125c50: 01000044 movi r4,1 -81125c54: 110220c0 call 8110220c -81125c58: 1000031e bne r2,zero,81125c68 -81125c5c: 88800803 ldbu r2,32(r17) -81125c60: 00c001c4 movi r3,7 -81125c64: 1880782e bgeu r3,r2,81125e48 -81125c68: 8480b304 addi r18,r16,716 -81125c6c: 9009883a mov r4,r18 -81125c70: 11024800 call 81102480 -81125c74: 89000417 ldw r4,16(r17) -81125c78: 11030b00 call 811030b0 -81125c7c: 89000517 ldw r4,20(r17) -81125c80: 8080ca15 stw r2,808(r16) -81125c84: 110309c0 call 8110309c -81125c88: 89000617 ldw r4,24(r17) -81125c8c: 8080cb15 stw r2,812(r16) -81125c90: 110309c0 call 8110309c -81125c94: 89000717 ldw r4,28(r17) -81125c98: 8080cc15 stw r2,816(r16) -81125c9c: 110309c0 call 8110309c -81125ca0: 9009883a mov r4,r18 -81125ca4: 8080cd15 stw r2,820(r16) -81125ca8: 11024480 call 81102448 -81125cac: 8080ab17 ldw r2,684(r16) -81125cb0: 9009883a mov r4,r18 -81125cb4: 10c00617 ldw r3,24(r2) -81125cb8: 80c02e45 stb r3,185(r16) -81125cbc: 10c00717 ldw r3,28(r2) -81125cc0: 80c02e85 stb r3,186(r16) -81125cc4: 10c00817 ldw r3,32(r2) -81125cc8: 80c02ec5 stb r3,187(r16) -81125ccc: 10800917 ldw r2,36(r2) -81125cd0: 80017515 stw zero,1492(r16) -81125cd4: 80017815 stw zero,1504(r16) -81125cd8: 80802f05 stb r2,188(r16) -81125cdc: 80017715 stw zero,1500(r16) -81125ce0: 80017615 stw zero,1496(r16) -81125ce4: 80017905 stb zero,1508(r16) -81125ce8: 80017a0d sth zero,1512(r16) -81125cec: 80017a8d sth zero,1514(r16) -81125cf0: 8001798d sth zero,1510(r16) -81125cf4: 80017b15 stw zero,1516(r16) -81125cf8: 80017e15 stw zero,1528(r16) -81125cfc: 80017d15 stw zero,1524(r16) -81125d00: 80017c15 stw zero,1520(r16) -81125d04: 80017f05 stb zero,1532(r16) -81125d08: 8001800d sth zero,1536(r16) -81125d0c: 8001808d sth zero,1538(r16) -81125d10: 80017f8d sth zero,1534(r16) -81125d14: 11026880 call 81102688 -81125d18: 82417817 ldw r9,1504(r16) -81125d1c: 82017717 ldw r8,1500(r16) -81125d20: 81c17617 ldw r7,1496(r16) -81125d24: 81817903 ldbu r6,1508(r16) -81125d28: 81417a0b ldhu r5,1512(r16) -81125d2c: 80c17a8b ldhu r3,1514(r16) -81125d30: 8081798b ldhu r2,1510(r16) -81125d34: 8240dd15 stw r9,884(r16) -81125d38: 8200dc15 stw r8,880(r16) -81125d3c: 81c0db15 stw r7,876(r16) -81125d40: 9009883a mov r4,r18 -81125d44: 8180de15 stw r6,888(r16) -81125d48: 8140e015 stw r5,896(r16) -81125d4c: 80c0e115 stw r3,900(r16) -81125d50: 8080df15 stw r2,892(r16) -81125d54: 11026380 call 81102638 -81125d58: 80c0cb17 ldw r3,812(r16) -81125d5c: 8140cd17 ldw r5,820(r16) -81125d60: 8100ca17 ldw r4,808(r16) -81125d64: 8080cc17 ldw r2,816(r16) -81125d68: 01802504 movi r6,148 -81125d6c: 80c04615 stw r3,280(r16) -81125d70: 81404815 stw r5,288(r16) -81125d74: 81004515 stw r4,276(r16) -81125d78: 818b883a add r5,r16,r6 -81125d7c: 81014c04 addi r4,r16,1328 -81125d80: 80018115 stw zero,1540(r16) -81125d84: 80018205 stb zero,1544(r16) -81125d88: 80018a05 stb zero,1576(r16) -81125d8c: 8001ab15 stw zero,1708(r16) -81125d90: 8001ac05 stb zero,1712(r16) -81125d94: 8001ac45 stb zero,1713(r16) -81125d98: 80042d15 stw zero,4276(r16) -81125d9c: 80042e15 stw zero,4280(r16) -81125da0: 80003715 stw zero,220(r16) -81125da4: 80003815 stw zero,224(r16) -81125da8: 80003915 stw zero,228(r16) -81125dac: 80003a15 stw zero,232(r16) -81125db0: 80003c15 stw zero,240(r16) -81125db4: 80003d15 stw zero,244(r16) -81125db8: 80003e15 stw zero,248(r16) -81125dbc: 80003f15 stw zero,252(r16) -81125dc0: 80004015 stw zero,256(r16) -81125dc4: 80004115 stw zero,260(r16) -81125dc8: 8000420d sth zero,264(r16) -81125dcc: 8000428d sth zero,266(r16) -81125dd0: 80004315 stw zero,268(r16) -81125dd4: 8000440d sth zero,272(r16) -81125dd8: 8000448d sth zero,274(r16) -81125ddc: 80003b8d sth zero,238(r16) -81125de0: 80003b0d sth zero,236(r16) -81125de4: 80804715 stw r2,284(r16) -81125de8: 112c6480 call 8112c648 -81125dec: 81012804 addi r4,r16,1184 -81125df0: 01802404 movi r6,144 -81125df4: 81400104 addi r5,r16,4 -81125df8: 112c6480 call 8112c648 -81125dfc: 8100c017 ldw r4,768(r16) -81125e00: 80c06b17 ldw r3,428(r16) -81125e04: 80806c17 ldw r2,432(r16) -81125e08: 8101710d sth r4,1476(r16) -81125e0c: 80c17215 stw r3,1480(r16) -81125e10: 80817315 stw r2,1484(r16) -81125e14: 80017415 stw zero,1488(r16) -81125e18: 80012615 stw zero,1176(r16) -81125e1c: 80012415 stw zero,1168(r16) -81125e20: 80012715 stw zero,1180(r16) -81125e24: 80012515 stw zero,1172(r16) -81125e28: 80012215 stw zero,1160(r16) -81125e2c: 80012315 stw zero,1164(r16) -81125e30: dfc00317 ldw ra,12(sp) -81125e34: dc800217 ldw r18,8(sp) -81125e38: dc400117 ldw r17,4(sp) -81125e3c: dc000017 ldw r16,0(sp) -81125e40: dec00404 addi sp,sp,16 -81125e44: f800283a ret -81125e48: 81800003 ldbu r6,0(r16) -81125e4c: d1207917 ldw r4,-32284(gp) -81125e50: 01604574 movhi r5,33045 -81125e54: 297c1f04 addi r5,r5,-3972 -81125e58: 112b5e00 call 8112b5e0 -81125e5c: 003f8206 br 81125c68 <__reset+0xfb105c68> -81125e60: 81800003 ldbu r6,0(r16) -81125e64: d1207917 ldw r4,-32284(gp) -81125e68: 01604574 movhi r5,33045 -81125e6c: 297c1304 addi r5,r5,-4020 -81125e70: 112b5e00 call 8112b5e0 -81125e74: 003f7506 br 81125c4c <__reset+0xfb105c4c> - -81125e78 : -81125e78: 20804c0b ldhu r2,304(r4) -81125e7c: 20c04b0b ldhu r3,300(r4) -81125e80: 31bfffcc andi r6,r6,65535 -81125e84: 10c7883a add r3,r2,r3 -81125e88: 19800316 blt r3,r6,81125e98 -81125e8c: 297fffcc andi r5,r5,65535 -81125e90: 2985803a cmpltu r2,r5,r6 -81125e94: f800283a ret -81125e98: 0005883a mov r2,zero -81125e9c: f800283a ret - -81125ea0 : -81125ea0: 20800f04 addi r2,r4,60 -81125ea4: 21800e04 addi r6,r4,56 -81125ea8: 21401204 addi r5,r4,72 -81125eac: 20c01104 addi r3,r4,68 -81125eb0: 21002704 addi r4,r4,156 -81125eb4: 10000015 stw zero,0(r2) -81125eb8: 30000015 stw zero,0(r6) -81125ebc: 28000015 stw zero,0(r5) -81125ec0: 18000015 stw zero,0(r3) -81125ec4: 10800604 addi r2,r2,24 -81125ec8: 31800604 addi r6,r6,24 -81125ecc: 29400604 addi r5,r5,24 -81125ed0: 18c00604 addi r3,r3,24 -81125ed4: 113ff71e bne r2,r4,81125eb4 <__reset+0xfb105eb4> -81125ed8: f800283a ret - -81125edc : -81125edc: defff904 addi sp,sp,-28 -81125ee0: dd400515 stw r21,20(sp) -81125ee4: dd000415 stw r20,16(sp) -81125ee8: dcc00315 stw r19,12(sp) -81125eec: dc800215 stw r18,8(sp) -81125ef0: dc400115 stw r17,4(sp) -81125ef4: dc000015 stw r16,0(sp) -81125ef8: dfc00615 stw ra,24(sp) -81125efc: 25592104 addi r21,r4,25732 -81125f00: 2029883a mov r20,r4 -81125f04: 20192705 stb zero,25756(r4) -81125f08: 20192745 stb zero,25757(r4) -81125f0c: 20192815 stw zero,25760(r4) -81125f10: 24591b04 addi r17,r4,25708 -81125f14: 24002d04 addi r16,r4,180 -81125f18: a827883a mov r19,r21 -81125f1c: 0025883a mov r18,zero -81125f20: 900b883a mov r5,r18 -81125f24: 813fd304 addi r4,r16,-180 -81125f28: 1125ba00 call 81125ba0 -81125f2c: 80bff804 addi r2,r16,-32 -81125f30: 88800015 stw r2,0(r17) -81125f34: 80bffd04 addi r2,r16,-12 -81125f38: 98800015 stw r2,0(r19) -81125f3c: a0991a17 ldw r2,25704(r20) -81125f40: 8c400104 addi r17,r17,4 -81125f44: 94800044 addi r18,r18,1 -81125f48: 80800015 stw r2,0(r16) -81125f4c: 9cc00104 addi r19,r19,4 -81125f50: 84042f04 addi r16,r16,4284 -81125f54: 8d7ff21e bne r17,r21,81125f20 <__reset+0xfb105f20> -81125f58: dfc00617 ldw ra,24(sp) -81125f5c: dd400517 ldw r21,20(sp) -81125f60: dd000417 ldw r20,16(sp) -81125f64: dcc00317 ldw r19,12(sp) -81125f68: dc800217 ldw r18,8(sp) -81125f6c: dc400117 ldw r17,4(sp) -81125f70: dc000017 ldw r16,0(sp) -81125f74: dec00704 addi sp,sp,28 -81125f78: f800283a ret - -81125f7c : -81125f7c: 21592705 stb r5,25756(r4) -81125f80: f800283a ret - -81125f84 : -81125f84: 20192705 stb zero,25756(r4) -81125f88: f800283a ret - -81125f8c : -81125f8c: 20192745 stb zero,25757(r4) -81125f90: f800283a ret - -81125f94 : -81125f94: 21592745 stb r5,25757(r4) -81125f98: f800283a ret - -81125f9c : -81125f9c: f800283a ret - -81125fa0 : -81125fa0: deffff04 addi sp,sp,-4 -81125fa4: 0009883a mov r4,zero -81125fa8: dfc00015 stw ra,0(sp) -81125fac: 1106b180 call 81106b18 -81125fb0: 00a048b4 movhi r2,33058 -81125fb4: 10abd004 addi r2,r2,-20672 -81125fb8: 11000017 ldw r4,0(r2) -81125fbc: 00c01c44 movi r3,113 -81125fc0: 20c00426 beq r4,r3,81125fd4 -81125fc4: 0005883a mov r2,zero -81125fc8: dfc00017 ldw ra,0(sp) -81125fcc: dec00104 addi sp,sp,4 +8112578c: d1e07917 ldw r7,-32284(gp) +81125790: 01204574 movhi r4,33045 +81125794: 213baa04 addi r4,r4,-4440 +81125798: 01800744 movi r6,29 +8112579c: 01400044 movi r5,1 +811257a0: 112bc8c1 jmpi 8112bc8c + +811257a4 : +811257a4: 11257741 jmpi 81125774 + +811257a8 : +811257a8: 11257741 jmpi 81125774 + +811257ac : +811257ac: 11257741 jmpi 81125774 + +811257b0 : +811257b0: 00a04634 movhi r2,33048 +811257b4: 108f1904 addi r2,r2,15460 +811257b8: 10800803 ldbu r2,32(r2) +811257bc: 00c001c4 movi r3,7 +811257c0: 200d883a mov r6,r4 +811257c4: 1880012e bgeu r3,r2,811257cc +811257c8: f800283a ret +811257cc: d1207917 ldw r4,-32284(gp) +811257d0: 01604574 movhi r5,33045 +811257d4: 297bb204 addi r5,r5,-4408 +811257d8: 31803fcc andi r6,r6,255 +811257dc: 112b6201 jmpi 8112b620 + +811257e0 : +811257e0: 00a04634 movhi r2,33048 +811257e4: 108f1904 addi r2,r2,15460 +811257e8: 10800803 ldbu r2,32(r2) +811257ec: 00c001c4 movi r3,7 +811257f0: 200d883a mov r6,r4 +811257f4: 1880012e bgeu r3,r2,811257fc +811257f8: f800283a ret +811257fc: d1207917 ldw r4,-32284(gp) +81125800: 01604574 movhi r5,33045 +81125804: 297bbc04 addi r5,r5,-4368 +81125808: 31803fcc andi r6,r6,255 +8112580c: 112b6201 jmpi 8112b620 + +81125810 : +81125810: 00a04634 movhi r2,33048 +81125814: 108f1904 addi r2,r2,15460 +81125818: 10800803 ldbu r2,32(r2) +8112581c: 00c001c4 movi r3,7 +81125820: 200d883a mov r6,r4 +81125824: 1880012e bgeu r3,r2,8112582c +81125828: f800283a ret +8112582c: d1207917 ldw r4,-32284(gp) +81125830: 01604574 movhi r5,33045 +81125834: 297bc504 addi r5,r5,-4332 +81125838: 31803fcc andi r6,r6,255 +8112583c: 112b6201 jmpi 8112b620 + +81125840 : +81125840: 00a04634 movhi r2,33048 +81125844: 108f1904 addi r2,r2,15460 +81125848: 10800803 ldbu r2,32(r2) +8112584c: 00c001c4 movi r3,7 +81125850: 200d883a mov r6,r4 +81125854: 1880012e bgeu r3,r2,8112585c +81125858: f800283a ret +8112585c: d1207917 ldw r4,-32284(gp) +81125860: 01604574 movhi r5,33045 +81125864: 297bcd04 addi r5,r5,-4300 +81125868: 31803fcc andi r6,r6,255 +8112586c: 112b6201 jmpi 8112b620 + +81125870 : +81125870: 00a04634 movhi r2,33048 +81125874: 108f1904 addi r2,r2,15460 +81125878: 10800803 ldbu r2,32(r2) +8112587c: 00c001c4 movi r3,7 +81125880: 1880012e bgeu r3,r2,81125888 +81125884: f800283a ret +81125888: d1e07917 ldw r7,-32284(gp) +8112588c: 01204574 movhi r4,33045 +81125890: 213bdb04 addi r4,r4,-4244 +81125894: 018006c4 movi r6,27 +81125898: 01400044 movi r5,1 +8112589c: 112bc8c1 jmpi 8112bc8c + +811258a0 : +811258a0: 00a04634 movhi r2,33048 +811258a4: 108f1904 addi r2,r2,15460 +811258a8: 10800803 ldbu r2,32(r2) +811258ac: 00c001c4 movi r3,7 +811258b0: 1880012e bgeu r3,r2,811258b8 +811258b4: f800283a ret +811258b8: d1e07917 ldw r7,-32284(gp) +811258bc: 01204574 movhi r4,33045 +811258c0: 213be204 addi r4,r4,-4216 +811258c4: 018006c4 movi r6,27 +811258c8: 01400044 movi r5,1 +811258cc: 112bc8c1 jmpi 8112bc8c + +811258d0 : +811258d0: 00a04634 movhi r2,33048 +811258d4: 108f1904 addi r2,r2,15460 +811258d8: 10800803 ldbu r2,32(r2) +811258dc: 00c001c4 movi r3,7 +811258e0: 1880012e bgeu r3,r2,811258e8 +811258e4: f800283a ret +811258e8: d1e07917 ldw r7,-32284(gp) +811258ec: 01204574 movhi r4,33045 +811258f0: 213be904 addi r4,r4,-4188 +811258f4: 018006c4 movi r6,27 +811258f8: 01400044 movi r5,1 +811258fc: 112bc8c1 jmpi 8112bc8c + +81125900 : +81125900: 00a04634 movhi r2,33048 +81125904: 108f1904 addi r2,r2,15460 +81125908: 10800803 ldbu r2,32(r2) +8112590c: 00c001c4 movi r3,7 +81125910: 1880012e bgeu r3,r2,81125918 +81125914: f800283a ret +81125918: d1e07917 ldw r7,-32284(gp) +8112591c: 01204574 movhi r4,33045 +81125920: 213bf004 addi r4,r4,-4160 +81125924: 018005c4 movi r6,23 +81125928: 01400044 movi r5,1 +8112592c: 112bc8c1 jmpi 8112bc8c + +81125930 : +81125930: 00a04634 movhi r2,33048 +81125934: 108f1904 addi r2,r2,15460 +81125938: 10800803 ldbu r2,32(r2) +8112593c: 00c001c4 movi r3,7 +81125940: 1880012e bgeu r3,r2,81125948 +81125944: f800283a ret +81125948: d1e07917 ldw r7,-32284(gp) +8112594c: 01204574 movhi r4,33045 +81125950: 213bf604 addi r4,r4,-4136 +81125954: 01800604 movi r6,24 +81125958: 01400044 movi r5,1 +8112595c: 112bc8c1 jmpi 8112bc8c + +81125960 : +81125960: 00a04634 movhi r2,33048 +81125964: 108f1904 addi r2,r2,15460 +81125968: 10800803 ldbu r2,32(r2) +8112596c: 00c001c4 movi r3,7 +81125970: 1880012e bgeu r3,r2,81125978 +81125974: f800283a ret +81125978: d1e07917 ldw r7,-32284(gp) +8112597c: 01204574 movhi r4,33045 +81125980: 213bfd04 addi r4,r4,-4108 +81125984: 01800744 movi r6,29 +81125988: 01400044 movi r5,1 +8112598c: 112bc8c1 jmpi 8112bc8c + +81125990 : +81125990: 00a04634 movhi r2,33048 +81125994: 108f1904 addi r2,r2,15460 +81125998: 10800803 ldbu r2,32(r2) +8112599c: 00c001c4 movi r3,7 +811259a0: 1880012e bgeu r3,r2,811259a8 +811259a4: f800283a ret +811259a8: d1e07917 ldw r7,-32284(gp) +811259ac: 01204574 movhi r4,33045 +811259b0: 213c0504 addi r4,r4,-4076 +811259b4: 01800844 movi r6,33 +811259b8: 01400044 movi r5,1 +811259bc: 112bc8c1 jmpi 8112bc8c + +811259c0 : +811259c0: 00a04634 movhi r2,33048 +811259c4: 108f1904 addi r2,r2,15460 +811259c8: 10800803 ldbu r2,32(r2) +811259cc: 00c001c4 movi r3,7 +811259d0: 1880012e bgeu r3,r2,811259d8 +811259d4: f800283a ret +811259d8: d1e07917 ldw r7,-32284(gp) +811259dc: 01204574 movhi r4,33045 +811259e0: 213c0e04 addi r4,r4,-4040 +811259e4: 01800804 movi r6,32 +811259e8: 01400044 movi r5,1 +811259ec: 112bc8c1 jmpi 8112bc8c + +811259f0 : +811259f0: 00a04634 movhi r2,33048 +811259f4: 108f1904 addi r2,r2,15460 +811259f8: 10800803 ldbu r2,32(r2) +811259fc: 00c001c4 movi r3,7 +81125a00: 1880012e bgeu r3,r2,81125a08 +81125a04: f800283a ret +81125a08: d1e07917 ldw r7,-32284(gp) +81125a0c: 01204574 movhi r4,33045 +81125a10: 213c1704 addi r4,r4,-4004 +81125a14: 01800844 movi r6,33 +81125a18: 01400044 movi r5,1 +81125a1c: 112bc8c1 jmpi 8112bc8c + +81125a20 : +81125a20: 00a04634 movhi r2,33048 +81125a24: 108f1904 addi r2,r2,15460 +81125a28: 10800803 ldbu r2,32(r2) +81125a2c: 00c001c4 movi r3,7 +81125a30: 1880012e bgeu r3,r2,81125a38 +81125a34: f800283a ret +81125a38: d1e07917 ldw r7,-32284(gp) +81125a3c: 01204574 movhi r4,33045 +81125a40: 213c2004 addi r4,r4,-3968 +81125a44: 018003c4 movi r6,15 +81125a48: 01400044 movi r5,1 +81125a4c: 112bc8c1 jmpi 8112bc8c + +81125a50 : +81125a50: f800283a ret + +81125a54 : +81125a54: f800283a ret + +81125a58 : +81125a58: f800283a ret + +81125a5c : +81125a5c: 21c04b8b ldhu r7,302(r4) +81125a60: 21804a8b ldhu r6,298(r4) +81125a64: 22004a0b ldhu r8,296(r4) +81125a68: 20c04c0b ldhu r3,304(r4) +81125a6c: 21404b0b ldhu r5,300(r4) +81125a70: 20800003 ldbu r2,0(r4) +81125a74: 398d883a add r6,r7,r6 +81125a78: 02435a34 movhi r9,3432 +81125a7c: 4a749004 addi r9,r9,-11712 +81125a80: 320d883a add r6,r6,r8 +81125a84: 1947883a add r3,r3,r5 +81125a88: 124f383a mul r7,r2,r9 +81125a8c: 30c7383a mul r3,r6,r3 +81125a90: 00833234 movhi r2,3272 +81125a94: 10b49004 addi r2,r2,-11712 +81125a98: 18c7883a add r3,r3,r3 +81125a9c: 3885883a add r2,r7,r2 +81125aa0: 20800315 stw r2,12(r4) +81125aa4: 20c00515 stw r3,20(r4) +81125aa8: 188001cc andi r2,r3,7 +81125aac: 22400215 stw r9,8(r4) +81125ab0: 21c00115 stw r7,4(r4) +81125ab4: 1806d0fa srli r3,r3,3 +81125ab8: 10003d26 beq r2,zero,81125bb0 +81125abc: 01400204 movi r5,8 +81125ac0: 288bc83a sub r5,r5,r2 +81125ac4: 18c00044 addi r3,r3,1 +81125ac8: 21400605 stb r5,24(r4) +81125acc: 194003cc andi r5,r3,15 +81125ad0: 1810d13a srli r8,r3,4 +81125ad4: 28003426 beq r5,zero,81125ba8 +81125ad8: 42000044 addi r8,r8,1 +81125adc: 18c00404 addi r3,r3,16 +81125ae0: 1a07883a add r3,r3,r8 +81125ae4: 1947c83a sub r3,r3,r5 +81125ae8: 180690fa slli r3,r3,3 +81125aec: 20c00515 stw r3,20(r4) +81125af0: 10002a26 beq r2,zero,81125b9c +81125af4: 1004d07a srli r2,r2,1 +81125af8: 294b883a add r5,r5,r5 +81125afc: 294b883a add r5,r5,r5 +81125b00: 288b883a add r5,r5,r2 +81125b04: 01801004 movi r6,64 +81125b08: 314bc83a sub r5,r6,r5 +81125b0c: 29403fcc andi r5,r5,255 +81125b10: 29bff804 addi r6,r5,-32 +81125b14: 30002816 blt r6,zero,81125bb8 +81125b18: 00bfffc4 movi r2,-1 +81125b1c: 1184983a sll r2,r2,r6 +81125b20: 000b883a mov r5,zero +81125b24: 20800815 stw r2,32(r4) +81125b28: 22000415 stw r8,16(r4) +81125b2c: 008004b4 movhi r2,18 +81125b30: 020000b4 movhi r8,2 +81125b34: 10a40004 addi r2,r2,-28672 +81125b38: 42240004 addi r8,r8,-28672 +81125b3c: 21400715 stw r5,28(r4) +81125b40: 3885883a add r2,r7,r2 +81125b44: 21401004 addi r5,r4,64 +81125b48: 21c00d04 addi r7,r4,52 +81125b4c: 1a11883a add r8,r3,r8 +81125b50: 21002804 addi r4,r4,160 +81125b54: 118007cc andi r6,r2,31 +81125b58: 1006d17a srli r3,r2,5 +81125b5c: 30000226 beq r6,zero,81125b68 +81125b60: 18800044 addi r2,r3,1 +81125b64: 1004917a slli r2,r2,5 +81125b68: 1207883a add r3,r2,r8 +81125b6c: 180cd17a srli r6,r3,5 +81125b70: 38800015 stw r2,0(r7) +81125b74: 188007cc andi r2,r3,31 +81125b78: 31800044 addi r6,r6,1 +81125b7c: 10000126 beq r2,zero,81125b84 +81125b80: 3006917a slli r3,r6,5 +81125b84: 29400604 addi r5,r5,24 +81125b88: 28fffa15 stw r3,-24(r5) +81125b8c: 39c00604 addi r7,r7,24 +81125b90: 1a05883a add r2,r3,r8 +81125b94: 293fef1e bne r5,r4,81125b54 <__reset+0xfb105b54> +81125b98: f800283a ret +81125b9c: 294b883a add r5,r5,r5 +81125ba0: 294b883a add r5,r5,r5 +81125ba4: 003fd706 br 81125b04 <__reset+0xfb105b04> +81125ba8: 1a07883a add r3,r3,r8 +81125bac: 003fce06 br 81125ae8 <__reset+0xfb105ae8> +81125bb0: 20000605 stb zero,24(r4) +81125bb4: 003fc506 br 81125acc <__reset+0xfb105acc> +81125bb8: 01bfffc4 movi r6,-1 +81125bbc: 024007c4 movi r9,31 +81125bc0: 00a00034 movhi r2,32768 +81125bc4: 4953c83a sub r9,r9,r5 +81125bc8: 1185883a add r2,r2,r6 +81125bcc: 1252d83a srl r9,r2,r9 +81125bd0: 3144983a sll r2,r6,r5 +81125bd4: 100b883a mov r5,r2 +81125bd8: 4884b03a or r2,r9,r2 +81125bdc: 003fd106 br 81125b24 <__reset+0xfb105b24> + +81125be0 : +81125be0: defffc04 addi sp,sp,-16 +81125be4: dfc00315 stw ra,12(sp) +81125be8: dc400115 stw r17,4(sp) +81125bec: dc000015 stw r16,0(sp) +81125bf0: dc800215 stw r18,8(sp) +81125bf4: 2021883a mov r16,r4 +81125bf8: 21400005 stb r5,0(r4) +81125bfc: 21004a04 addi r4,r4,296 +81125c00: 2823883a mov r17,r5 +81125c04: 111d1980 call 8111d198 +81125c08: 8009883a mov r4,r16 +81125c0c: 1125a5c0 call 81125a5c +81125c10: 01604634 movhi r5,33048 +81125c14: 294ef204 addi r5,r5,15304 +81125c18: 8c403fcc andi r17,r17,255 +81125c1c: 8963883a add r17,r17,r5 +81125c20: 89400003 ldbu r5,0(r17) +81125c24: 00800044 movi r2,1 +81125c28: 80802515 stw r2,148(r16) +81125c2c: 81400045 stb r5,1(r16) +81125c30: 80002915 stw zero,164(r16) +81125c34: 80002a15 stw zero,168(r16) +81125c38: 80002b15 stw zero,172(r16) +81125c3c: 80002815 stw zero,160(r16) +81125c40: 80002715 stw zero,156(r16) +81125c44: 80002c15 stw zero,176(r16) +81125c48: 80003015 stw zero,192(r16) +81125c4c: 80002e05 stb zero,184(r16) +81125c50: 80003115 stw zero,196(r16) +81125c54: 80003315 stw zero,204(r16) +81125c58: 80003415 stw zero,208(r16) +81125c5c: 80003515 stw zero,212(r16) +81125c60: 80003615 stw zero,216(r16) +81125c64: 80004915 stw zero,292(r16) +81125c68: 29403fcc andi r5,r5,255 +81125c6c: 81004d04 addi r4,r16,308 +81125c70: 04604634 movhi r17,33048 +81125c74: 11022b00 call 811022b0 +81125c78: 8c4f1904 addi r17,r17,15460 +81125c7c: 1000031e bne r2,zero,81125c8c +81125c80: 88800803 ldbu r2,32(r17) +81125c84: 00c001c4 movi r3,7 +81125c88: 1880852e bgeu r3,r2,81125ea0 +81125c8c: 81400043 ldbu r5,1(r16) +81125c90: 01000044 movi r4,1 +81125c94: 110220c0 call 8110220c +81125c98: 1000031e bne r2,zero,81125ca8 +81125c9c: 88800803 ldbu r2,32(r17) +81125ca0: 00c001c4 movi r3,7 +81125ca4: 1880782e bgeu r3,r2,81125e88 +81125ca8: 8480b304 addi r18,r16,716 +81125cac: 9009883a mov r4,r18 +81125cb0: 11024800 call 81102480 +81125cb4: 89000417 ldw r4,16(r17) +81125cb8: 11030b00 call 811030b0 +81125cbc: 89000517 ldw r4,20(r17) +81125cc0: 8080ca15 stw r2,808(r16) +81125cc4: 110309c0 call 8110309c +81125cc8: 89000617 ldw r4,24(r17) +81125ccc: 8080cb15 stw r2,812(r16) +81125cd0: 110309c0 call 8110309c +81125cd4: 89000717 ldw r4,28(r17) +81125cd8: 8080cc15 stw r2,816(r16) +81125cdc: 110309c0 call 8110309c +81125ce0: 9009883a mov r4,r18 +81125ce4: 8080cd15 stw r2,820(r16) +81125ce8: 11024480 call 81102448 +81125cec: 8080ab17 ldw r2,684(r16) +81125cf0: 9009883a mov r4,r18 +81125cf4: 10c00617 ldw r3,24(r2) +81125cf8: 80c02e45 stb r3,185(r16) +81125cfc: 10c00717 ldw r3,28(r2) +81125d00: 80c02e85 stb r3,186(r16) +81125d04: 10c00817 ldw r3,32(r2) +81125d08: 80c02ec5 stb r3,187(r16) +81125d0c: 10800917 ldw r2,36(r2) +81125d10: 80017515 stw zero,1492(r16) +81125d14: 80017815 stw zero,1504(r16) +81125d18: 80802f05 stb r2,188(r16) +81125d1c: 80017715 stw zero,1500(r16) +81125d20: 80017615 stw zero,1496(r16) +81125d24: 80017905 stb zero,1508(r16) +81125d28: 80017a0d sth zero,1512(r16) +81125d2c: 80017a8d sth zero,1514(r16) +81125d30: 8001798d sth zero,1510(r16) +81125d34: 80017b15 stw zero,1516(r16) +81125d38: 80017e15 stw zero,1528(r16) +81125d3c: 80017d15 stw zero,1524(r16) +81125d40: 80017c15 stw zero,1520(r16) +81125d44: 80017f05 stb zero,1532(r16) +81125d48: 8001800d sth zero,1536(r16) +81125d4c: 8001808d sth zero,1538(r16) +81125d50: 80017f8d sth zero,1534(r16) +81125d54: 11026880 call 81102688 +81125d58: 82417817 ldw r9,1504(r16) +81125d5c: 82017717 ldw r8,1500(r16) +81125d60: 81c17617 ldw r7,1496(r16) +81125d64: 81817903 ldbu r6,1508(r16) +81125d68: 81417a0b ldhu r5,1512(r16) +81125d6c: 80c17a8b ldhu r3,1514(r16) +81125d70: 8081798b ldhu r2,1510(r16) +81125d74: 8240dd15 stw r9,884(r16) +81125d78: 8200dc15 stw r8,880(r16) +81125d7c: 81c0db15 stw r7,876(r16) +81125d80: 9009883a mov r4,r18 +81125d84: 8180de15 stw r6,888(r16) +81125d88: 8140e015 stw r5,896(r16) +81125d8c: 80c0e115 stw r3,900(r16) +81125d90: 8080df15 stw r2,892(r16) +81125d94: 11026380 call 81102638 +81125d98: 80c0cb17 ldw r3,812(r16) +81125d9c: 8140cd17 ldw r5,820(r16) +81125da0: 8100ca17 ldw r4,808(r16) +81125da4: 8080cc17 ldw r2,816(r16) +81125da8: 01802504 movi r6,148 +81125dac: 80c04615 stw r3,280(r16) +81125db0: 81404815 stw r5,288(r16) +81125db4: 81004515 stw r4,276(r16) +81125db8: 818b883a add r5,r16,r6 +81125dbc: 81014c04 addi r4,r16,1328 +81125dc0: 80018115 stw zero,1540(r16) +81125dc4: 80018205 stb zero,1544(r16) +81125dc8: 80018a05 stb zero,1576(r16) +81125dcc: 8001ab15 stw zero,1708(r16) +81125dd0: 8001ac05 stb zero,1712(r16) +81125dd4: 8001ac45 stb zero,1713(r16) +81125dd8: 80042d15 stw zero,4276(r16) +81125ddc: 80042e15 stw zero,4280(r16) +81125de0: 80003715 stw zero,220(r16) +81125de4: 80003815 stw zero,224(r16) +81125de8: 80003915 stw zero,228(r16) +81125dec: 80003a15 stw zero,232(r16) +81125df0: 80003c15 stw zero,240(r16) +81125df4: 80003d15 stw zero,244(r16) +81125df8: 80003e15 stw zero,248(r16) +81125dfc: 80003f15 stw zero,252(r16) +81125e00: 80004015 stw zero,256(r16) +81125e04: 80004115 stw zero,260(r16) +81125e08: 8000420d sth zero,264(r16) +81125e0c: 8000428d sth zero,266(r16) +81125e10: 80004315 stw zero,268(r16) +81125e14: 8000440d sth zero,272(r16) +81125e18: 8000448d sth zero,274(r16) +81125e1c: 80003b8d sth zero,238(r16) +81125e20: 80003b0d sth zero,236(r16) +81125e24: 80804715 stw r2,284(r16) +81125e28: 112c6880 call 8112c688 +81125e2c: 81012804 addi r4,r16,1184 +81125e30: 01802404 movi r6,144 +81125e34: 81400104 addi r5,r16,4 +81125e38: 112c6880 call 8112c688 +81125e3c: 8100c017 ldw r4,768(r16) +81125e40: 80c06b17 ldw r3,428(r16) +81125e44: 80806c17 ldw r2,432(r16) +81125e48: 8101710d sth r4,1476(r16) +81125e4c: 80c17215 stw r3,1480(r16) +81125e50: 80817315 stw r2,1484(r16) +81125e54: 80017415 stw zero,1488(r16) +81125e58: 80012615 stw zero,1176(r16) +81125e5c: 80012415 stw zero,1168(r16) +81125e60: 80012715 stw zero,1180(r16) +81125e64: 80012515 stw zero,1172(r16) +81125e68: 80012215 stw zero,1160(r16) +81125e6c: 80012315 stw zero,1164(r16) +81125e70: dfc00317 ldw ra,12(sp) +81125e74: dc800217 ldw r18,8(sp) +81125e78: dc400117 ldw r17,4(sp) +81125e7c: dc000017 ldw r16,0(sp) +81125e80: dec00404 addi sp,sp,16 +81125e84: f800283a ret +81125e88: 81800003 ldbu r6,0(r16) +81125e8c: d1207917 ldw r4,-32284(gp) +81125e90: 01604574 movhi r5,33045 +81125e94: 297c3004 addi r5,r5,-3904 +81125e98: 112b6200 call 8112b620 +81125e9c: 003f8206 br 81125ca8 <__reset+0xfb105ca8> +81125ea0: 81800003 ldbu r6,0(r16) +81125ea4: d1207917 ldw r4,-32284(gp) +81125ea8: 01604574 movhi r5,33045 +81125eac: 297c2404 addi r5,r5,-3952 +81125eb0: 112b6200 call 8112b620 +81125eb4: 003f7506 br 81125c8c <__reset+0xfb105c8c> + +81125eb8 : +81125eb8: 20804c0b ldhu r2,304(r4) +81125ebc: 20c04b0b ldhu r3,300(r4) +81125ec0: 31bfffcc andi r6,r6,65535 +81125ec4: 10c7883a add r3,r2,r3 +81125ec8: 19800316 blt r3,r6,81125ed8 +81125ecc: 297fffcc andi r5,r5,65535 +81125ed0: 2985803a cmpltu r2,r5,r6 +81125ed4: f800283a ret +81125ed8: 0005883a mov r2,zero +81125edc: f800283a ret + +81125ee0 : +81125ee0: 20800f04 addi r2,r4,60 +81125ee4: 21800e04 addi r6,r4,56 +81125ee8: 21401204 addi r5,r4,72 +81125eec: 20c01104 addi r3,r4,68 +81125ef0: 21002704 addi r4,r4,156 +81125ef4: 10000015 stw zero,0(r2) +81125ef8: 30000015 stw zero,0(r6) +81125efc: 28000015 stw zero,0(r5) +81125f00: 18000015 stw zero,0(r3) +81125f04: 10800604 addi r2,r2,24 +81125f08: 31800604 addi r6,r6,24 +81125f0c: 29400604 addi r5,r5,24 +81125f10: 18c00604 addi r3,r3,24 +81125f14: 113ff71e bne r2,r4,81125ef4 <__reset+0xfb105ef4> +81125f18: f800283a ret + +81125f1c : +81125f1c: defff904 addi sp,sp,-28 +81125f20: dd400515 stw r21,20(sp) +81125f24: dd000415 stw r20,16(sp) +81125f28: dcc00315 stw r19,12(sp) +81125f2c: dc800215 stw r18,8(sp) +81125f30: dc400115 stw r17,4(sp) +81125f34: dc000015 stw r16,0(sp) +81125f38: dfc00615 stw ra,24(sp) +81125f3c: 25592104 addi r21,r4,25732 +81125f40: 2029883a mov r20,r4 +81125f44: 20192705 stb zero,25756(r4) +81125f48: 20192745 stb zero,25757(r4) +81125f4c: 20192815 stw zero,25760(r4) +81125f50: 24591b04 addi r17,r4,25708 +81125f54: 24002d04 addi r16,r4,180 +81125f58: a827883a mov r19,r21 +81125f5c: 0025883a mov r18,zero +81125f60: 900b883a mov r5,r18 +81125f64: 813fd304 addi r4,r16,-180 +81125f68: 1125be00 call 81125be0 +81125f6c: 80bff804 addi r2,r16,-32 +81125f70: 88800015 stw r2,0(r17) +81125f74: 80bffd04 addi r2,r16,-12 +81125f78: 98800015 stw r2,0(r19) +81125f7c: a0991a17 ldw r2,25704(r20) +81125f80: 8c400104 addi r17,r17,4 +81125f84: 94800044 addi r18,r18,1 +81125f88: 80800015 stw r2,0(r16) +81125f8c: 9cc00104 addi r19,r19,4 +81125f90: 84042f04 addi r16,r16,4284 +81125f94: 8d7ff21e bne r17,r21,81125f60 <__reset+0xfb105f60> +81125f98: dfc00617 ldw ra,24(sp) +81125f9c: dd400517 ldw r21,20(sp) +81125fa0: dd000417 ldw r20,16(sp) +81125fa4: dcc00317 ldw r19,12(sp) +81125fa8: dc800217 ldw r18,8(sp) +81125fac: dc400117 ldw r17,4(sp) +81125fb0: dc000017 ldw r16,0(sp) +81125fb4: dec00704 addi sp,sp,28 +81125fb8: f800283a ret + +81125fbc : +81125fbc: 21592705 stb r5,25756(r4) +81125fc0: f800283a ret + +81125fc4 : +81125fc4: 20192705 stb zero,25756(r4) +81125fc8: f800283a ret + +81125fcc : +81125fcc: 20192745 stb zero,25757(r4) 81125fd0: f800283a ret -81125fd4: 10c00117 ldw r3,4(r2) -81125fd8: 00985ef4 movhi r2,24955 -81125fdc: 10a52844 addi r2,r2,-27487 -81125fe0: 1885003a cmpeq r2,r3,r2 -81125fe4: dfc00017 ldw ra,0(sp) -81125fe8: dec00104 addi sp,sp,4 -81125fec: f800283a ret - -81125ff0 : -81125ff0: defffb04 addi sp,sp,-20 -81125ff4: dc400115 stw r17,4(sp) -81125ff8: 01403fc4 movi r5,255 -81125ffc: 0009883a mov r4,zero -81126000: 04400834 movhi r17,32 -81126004: dfc00415 stw ra,16(sp) -81126008: 8c7fffc4 addi r17,r17,-1 -8112600c: dcc00315 stw r19,12(sp) -81126010: dc800215 stw r18,8(sp) -81126014: dc000015 stw r16,0(sp) -81126018: 11065940 call 81106594 -8112601c: 880b883a mov r5,r17 -81126020: 0009883a mov r4,zero -81126024: 11065dc0 call 811065dc -81126028: 04000044 movi r16,1 -8112602c: 04800074 movhi r18,1 -81126030: 900b883a mov r5,r18 -81126034: 8009883a mov r4,r16 -81126038: 11065dc0 call 811065dc -8112603c: 04c08004 movi r19,512 -81126040: 9809883a mov r4,r19 -81126044: 1106b6c0 call 81106b6c -81126048: 9809883a mov r4,r19 -8112604c: 1106b500 call 81106b50 -81126050: 1105bc00 call 81105bc0 -81126054: 1105a680 call 81105a68 -81126058: 1105ab40 call 81105ab4 -8112605c: 0009883a mov r4,zero -81126060: 1105adc0 call 81105adc -81126064: 880b883a mov r5,r17 -81126068: 8009883a mov r4,r16 -8112606c: 11065dc0 call 811065dc -81126070: 01001334 movhi r4,76 -81126074: 2112d004 addi r4,r4,19264 -81126078: 113f9c40 call 8113f9c4 -8112607c: 880b883a mov r5,r17 -81126080: 0009883a mov r4,zero -81126084: 11065dc0 call 811065dc -81126088: 900b883a mov r5,r18 -8112608c: 8009883a mov r4,r16 -81126090: dfc00417 ldw ra,16(sp) -81126094: dcc00317 ldw r19,12(sp) -81126098: dc800217 ldw r18,8(sp) -8112609c: dc400117 ldw r17,4(sp) -811260a0: dc000017 ldw r16,0(sp) -811260a4: dec00504 addi sp,sp,20 -811260a8: 11065dc1 jmpi 811065dc - -811260ac : -811260ac: 00800044 movi r2,1 -811260b0: f800283a ret - -811260b4 : -811260b4: 111de581 jmpi 8111de58 - -811260b8 : -811260b8: 02002034 movhi r8,128 -811260bc: 42010004 addi r8,r8,1024 -811260c0: 21400c04 addi r5,r4,48 -811260c4: 21c01204 addi r7,r4,72 -811260c8: 019e7034 movhi r6,31168 -811260cc: 0007883a mov r3,zero -811260d0: 18c5883a add r2,r3,r3 -811260d4: 1085883a add r2,r2,r2 -811260d8: 2085883a add r2,r4,r2 -811260dc: 10000015 stw zero,0(r2) -811260e0: 10000615 stw zero,24(r2) -811260e4: 29800015 stw r6,0(r5) -811260e8: 29400104 addi r5,r5,4 -811260ec: 18c00044 addi r3,r3,1 -811260f0: 320d883a add r6,r6,r8 -811260f4: 29fff61e bne r5,r7,811260d0 <__reset+0xfb1060d0> -811260f8: 00800044 movi r2,1 -811260fc: 20801305 stb r2,76(r4) -81126100: 00802034 movhi r2,128 -81126104: 20001415 stw zero,80(r4) -81126108: 20801215 stw r2,72(r4) -8112610c: f800283a ret - -81126110 : -81126110: defffd04 addi sp,sp,-12 -81126114: dfc00215 stw ra,8(sp) -81126118: dc400115 stw r17,4(sp) -8112611c: dc000015 stw r16,0(sp) -81126120: 00d86a04 movi r3,25000 -81126124: 20c0038d sth r3,14(r4) -81126128: 00800044 movi r2,1 -8112612c: 00c3cf04 movi r3,3900 -81126130: 20c0040d sth r3,16(r4) -81126134: 20800815 stw r2,32(r4) -81126138: 20800305 stb r2,12(r4) -8112613c: 00c07d04 movi r3,500 -81126140: 00b27014 movui r2,51648 -81126144: 20c0048d sth r3,18(r4) -81126148: 20000015 stw zero,0(r4) -8112614c: 20000115 stw zero,4(r4) -81126150: 20000215 stw zero,8(r4) -81126154: 20000715 stw zero,28(r4) -81126158: 20000515 stw zero,20(r4) -8112615c: 20000615 stw zero,24(r4) -81126160: 20000345 stb zero,13(r4) -81126164: 2085883a add r2,r4,r2 -81126168: 10000005 stb zero,0(r2) -8112616c: 00b26f14 movui r2,51644 -81126170: 2085883a add r2,r4,r2 -81126174: 10000015 stw zero,0(r2) -81126178: 00b25f14 movui r2,51580 -8112617c: 20c00304 addi r3,r4,12 -81126180: 2085883a add r2,r4,r2 -81126184: 2021883a mov r16,r4 -81126188: 10c00015 stw r3,0(r2) -8112618c: 24594504 addi r17,r4,25876 -81126190: 20800344 addi r2,r4,13 -81126194: 80802715 stw r2,156(r16) -81126198: 8809883a mov r4,r17 -8112619c: 1125edc0 call 81125edc -811261a0: 81000904 addi r4,r16,36 -811261a4: 880b883a mov r5,r17 -811261a8: 11205800 call 81120580 -811261ac: 01327114 movui r4,51652 -811261b0: 8109883a add r4,r16,r4 -811261b4: dfc00217 ldw ra,8(sp) -811261b8: dc400117 ldw r17,4(sp) -811261bc: dc000017 ldw r16,0(sp) -811261c0: dec00304 addi sp,sp,12 -811261c4: 11260b81 jmpi 811260b8 - -811261c8 : -811261c8: 00986a04 movi r2,25000 -811261cc: 2080038d sth r2,14(r4) -811261d0: f800283a ret - -811261d4 : -811261d4: 2140038d sth r5,14(r4) -811261d8: f800283a ret - -811261dc : -811261dc: f800283a ret - -811261e0 : -811261e0: 0083cf04 movi r2,3900 -811261e4: 2080040d sth r2,16(r4) -811261e8: f800283a ret - -811261ec : -811261ec: 2140040d sth r5,16(r4) -811261f0: f800283a ret - -811261f4 : -811261f4: f800283a ret - -811261f8 : -811261f8: 20000715 stw zero,28(r4) -811261fc: f800283a ret - -81126200 : -81126200: 21400715 stw r5,28(r4) -81126204: f800283a ret - -81126208 : -81126208: f800283a ret - -8112620c : -8112620c: 00800044 movi r2,1 -81126210: 20800815 stw r2,32(r4) -81126214: f800283a ret - -81126218 : -81126218: 21400815 stw r5,32(r4) + +81125fd4 : +81125fd4: 21592745 stb r5,25757(r4) +81125fd8: f800283a ret + +81125fdc : +81125fdc: f800283a ret + +81125fe0 : +81125fe0: deffff04 addi sp,sp,-4 +81125fe4: 0009883a mov r4,zero +81125fe8: dfc00015 stw ra,0(sp) +81125fec: 1106b4c0 call 81106b4c +81125ff0: 00a048b4 movhi r2,33058 +81125ff4: 10abd004 addi r2,r2,-20672 +81125ff8: 11000017 ldw r4,0(r2) +81125ffc: 00c01c44 movi r3,113 +81126000: 20c00426 beq r4,r3,81126014 +81126004: 0005883a mov r2,zero +81126008: dfc00017 ldw ra,0(sp) +8112600c: dec00104 addi sp,sp,4 +81126010: f800283a ret +81126014: 10c00117 ldw r3,4(r2) +81126018: 00990cf4 movhi r2,25651 +8112601c: 10b69d04 addi r2,r2,-9612 +81126020: 1885003a cmpeq r2,r3,r2 +81126024: dfc00017 ldw ra,0(sp) +81126028: dec00104 addi sp,sp,4 +8112602c: f800283a ret + +81126030 : +81126030: defffb04 addi sp,sp,-20 +81126034: dc400115 stw r17,4(sp) +81126038: 01403fc4 movi r5,255 +8112603c: 0009883a mov r4,zero +81126040: 04400834 movhi r17,32 +81126044: dfc00415 stw ra,16(sp) +81126048: 8c7fffc4 addi r17,r17,-1 +8112604c: dcc00315 stw r19,12(sp) +81126050: dc800215 stw r18,8(sp) +81126054: dc000015 stw r16,0(sp) +81126058: 11065c80 call 811065c8 +8112605c: 880b883a mov r5,r17 +81126060: 0009883a mov r4,zero +81126064: 11066100 call 81106610 +81126068: 04000044 movi r16,1 +8112606c: 04800074 movhi r18,1 +81126070: 900b883a mov r5,r18 +81126074: 8009883a mov r4,r16 +81126078: 11066100 call 81106610 +8112607c: 04c08004 movi r19,512 +81126080: 9809883a mov r4,r19 +81126084: 1106ba00 call 81106ba0 +81126088: 9809883a mov r4,r19 +8112608c: 1106b840 call 81106b84 +81126090: 1105bf40 call 81105bf4 +81126094: 1105a9c0 call 81105a9c +81126098: 1105ae80 call 81105ae8 +8112609c: 0009883a mov r4,zero +811260a0: 1105b100 call 81105b10 +811260a4: 880b883a mov r5,r17 +811260a8: 8009883a mov r4,r16 +811260ac: 11066100 call 81106610 +811260b0: 01001334 movhi r4,76 +811260b4: 2112d004 addi r4,r4,19264 +811260b8: 113fa040 call 8113fa04 +811260bc: 880b883a mov r5,r17 +811260c0: 0009883a mov r4,zero +811260c4: 11066100 call 81106610 +811260c8: 900b883a mov r5,r18 +811260cc: 8009883a mov r4,r16 +811260d0: dfc00417 ldw ra,16(sp) +811260d4: dcc00317 ldw r19,12(sp) +811260d8: dc800217 ldw r18,8(sp) +811260dc: dc400117 ldw r17,4(sp) +811260e0: dc000017 ldw r16,0(sp) +811260e4: dec00504 addi sp,sp,20 +811260e8: 11066101 jmpi 81106610 + +811260ec : +811260ec: 00800044 movi r2,1 +811260f0: f800283a ret + +811260f4 : +811260f4: 111de981 jmpi 8111de98 + +811260f8 : +811260f8: 02002034 movhi r8,128 +811260fc: 42010004 addi r8,r8,1024 +81126100: 21400c04 addi r5,r4,48 +81126104: 21c01204 addi r7,r4,72 +81126108: 019e7034 movhi r6,31168 +8112610c: 0007883a mov r3,zero +81126110: 18c5883a add r2,r3,r3 +81126114: 1085883a add r2,r2,r2 +81126118: 2085883a add r2,r4,r2 +8112611c: 10000015 stw zero,0(r2) +81126120: 10000615 stw zero,24(r2) +81126124: 29800015 stw r6,0(r5) +81126128: 29400104 addi r5,r5,4 +8112612c: 18c00044 addi r3,r3,1 +81126130: 320d883a add r6,r6,r8 +81126134: 29fff61e bne r5,r7,81126110 <__reset+0xfb106110> +81126138: 00800044 movi r2,1 +8112613c: 20801305 stb r2,76(r4) +81126140: 00802034 movhi r2,128 +81126144: 20001415 stw zero,80(r4) +81126148: 20801215 stw r2,72(r4) +8112614c: f800283a ret + +81126150 : +81126150: defffd04 addi sp,sp,-12 +81126154: dfc00215 stw ra,8(sp) +81126158: dc400115 stw r17,4(sp) +8112615c: dc000015 stw r16,0(sp) +81126160: 00d86a04 movi r3,25000 +81126164: 20c0038d sth r3,14(r4) +81126168: 00800044 movi r2,1 +8112616c: 00c3cf04 movi r3,3900 +81126170: 20c0040d sth r3,16(r4) +81126174: 20800815 stw r2,32(r4) +81126178: 20800305 stb r2,12(r4) +8112617c: 00c07d04 movi r3,500 +81126180: 00b27014 movui r2,51648 +81126184: 20c0048d sth r3,18(r4) +81126188: 20000015 stw zero,0(r4) +8112618c: 20000115 stw zero,4(r4) +81126190: 20000215 stw zero,8(r4) +81126194: 20000715 stw zero,28(r4) +81126198: 20000515 stw zero,20(r4) +8112619c: 20000615 stw zero,24(r4) +811261a0: 20000345 stb zero,13(r4) +811261a4: 2085883a add r2,r4,r2 +811261a8: 10000005 stb zero,0(r2) +811261ac: 00b26f14 movui r2,51644 +811261b0: 2085883a add r2,r4,r2 +811261b4: 10000015 stw zero,0(r2) +811261b8: 00b25f14 movui r2,51580 +811261bc: 20c00304 addi r3,r4,12 +811261c0: 2085883a add r2,r4,r2 +811261c4: 2021883a mov r16,r4 +811261c8: 10c00015 stw r3,0(r2) +811261cc: 24594504 addi r17,r4,25876 +811261d0: 20800344 addi r2,r4,13 +811261d4: 80802715 stw r2,156(r16) +811261d8: 8809883a mov r4,r17 +811261dc: 1125f1c0 call 81125f1c +811261e0: 81000904 addi r4,r16,36 +811261e4: 880b883a mov r5,r17 +811261e8: 11205c00 call 811205c0 +811261ec: 01327114 movui r4,51652 +811261f0: 8109883a add r4,r16,r4 +811261f4: dfc00217 ldw ra,8(sp) +811261f8: dc400117 ldw r17,4(sp) +811261fc: dc000017 ldw r16,0(sp) +81126200: dec00304 addi sp,sp,12 +81126204: 11260f81 jmpi 811260f8 + +81126208 : +81126208: 00986a04 movi r2,25000 +8112620c: 2080038d sth r2,14(r4) +81126210: f800283a ret + +81126214 : +81126214: 2140038d sth r5,14(r4) +81126218: f800283a ret + +8112621c : 8112621c: f800283a ret -81126220 : -81126220: f800283a ret - -81126224 : -81126224: defffd04 addi sp,sp,-12 -81126228: dc000015 stw r16,0(sp) -8112622c: 243fffcc andi r16,r4,65535 -81126230: d1208117 ldw r4,-32252(gp) -81126234: 800b883a mov r5,r16 -81126238: dfc00215 stw ra,8(sp) -8112623c: dc400115 stw r17,4(sp) -81126240: 1142f580 call 81142f58 -81126244: 10803fcc andi r2,r2,255 -81126248: 1000111e bne r2,zero,81126290 -8112624c: 04604634 movhi r17,33048 -81126250: 8c4f0804 addi r17,r17,15392 -81126254: 88800803 ldbu r2,32(r17) -81126258: 00c00104 movi r3,4 -8112625c: 18801b2e bgeu r3,r2,811262cc -81126260: 01000084 movi r4,2 -81126264: 11442e00 call 811442e0 -81126268: 10803fcc andi r2,r2,255 -8112626c: 10000326 beq r2,zero,8112627c -81126270: 88800803 ldbu r2,32(r17) -81126274: 00c001c4 movi r3,7 -81126278: 18801e2e bgeu r3,r2,811262f4 -8112627c: dfc00217 ldw ra,8(sp) -81126280: dc400117 ldw r17,4(sp) -81126284: dc000017 ldw r16,0(sp) -81126288: dec00304 addi sp,sp,12 -8112628c: f800283a ret -81126290: 00a04634 movhi r2,33048 -81126294: 108f0804 addi r2,r2,15392 -81126298: 10800803 ldbu r2,32(r2) -8112629c: 00c001c4 movi r3,7 -811262a0: 18bff636 bltu r3,r2,8112627c <__reset+0xfb10627c> -811262a4: d1e07917 ldw r7,-32284(gp) -811262a8: 01204574 movhi r4,33045 -811262ac: 213c4904 addi r4,r4,-3804 -811262b0: 018007c4 movi r6,31 -811262b4: 01400044 movi r5,1 -811262b8: dfc00217 ldw ra,8(sp) -811262bc: dc400117 ldw r17,4(sp) -811262c0: dc000017 ldw r16,0(sp) -811262c4: dec00304 addi sp,sp,12 -811262c8: 112bc4c1 jmpi 8112bc4c -811262cc: d1207917 ldw r4,-32284(gp) -811262d0: 01604574 movhi r5,33045 -811262d4: 297c2f04 addi r5,r5,-3908 -811262d8: 800d883a mov r6,r16 -811262dc: 112b5e00 call 8112b5e0 -811262e0: 01000084 movi r4,2 -811262e4: 11442e00 call 811442e0 -811262e8: 10803fcc andi r2,r2,255 -811262ec: 103fe01e bne r2,zero,81126270 <__reset+0xfb106270> -811262f0: 003fe206 br 8112627c <__reset+0xfb10627c> -811262f4: 01204574 movhi r4,33045 -811262f8: d1e07917 ldw r7,-32284(gp) -811262fc: 213c4104 addi r4,r4,-3836 -81126300: 003feb06 br 811262b0 <__reset+0xfb1062b0> - -81126304 : -81126304: 21003fcc andi r4,r4,255 -81126308: 2006943a slli r3,r4,16 -8112630c: 29403fcc andi r5,r5,255 -81126310: 2804923a slli r2,r5,8 -81126314: 18c40034 orhi r3,r3,4096 -81126318: d1208b17 ldw r4,-32212(gp) -8112631c: 31403fcc andi r5,r6,255 -81126320: 1884b03a or r2,r3,r2 -81126324: deffff04 addi sp,sp,-4 -81126328: 114ab03a or r5,r2,r5 -8112632c: dfc00015 stw ra,0(sp) -81126330: 1142f580 call 81142f58 -81126334: 10803fcc andi r2,r2,255 -81126338: 1000031e bne r2,zero,81126348 -8112633c: dfc00017 ldw ra,0(sp) -81126340: dec00104 addi sp,sp,4 -81126344: f800283a ret -81126348: dfc00017 ldw ra,0(sp) -8112634c: dec00104 addi sp,sp,4 -81126350: 11258c01 jmpi 811258c0 - -81126354 : -81126354: 21003fcc andi r4,r4,255 -81126358: 29403fcc andi r5,r5,255 -8112635c: 2008943a slli r4,r4,16 -81126360: 2804923a slli r2,r5,8 -81126364: defffe04 addi sp,sp,-8 -81126368: 21040034 orhi r4,r4,4096 -8112636c: 208ab03a or r5,r4,r2 -81126370: 31803fcc andi r6,r6,255 -81126374: d1208b17 ldw r4,-32212(gp) -81126378: dc000015 stw r16,0(sp) -8112637c: 29a0b03a or r16,r5,r6 -81126380: 800b883a mov r5,r16 -81126384: dfc00115 stw ra,4(sp) -81126388: 11430240 call 81143024 -8112638c: 10803fcc andi r2,r2,255 -81126390: 10000d1e bne r2,zero,811263c8 -81126394: d1208b17 ldw r4,-32212(gp) -81126398: 800b883a mov r5,r16 -8112639c: 11430240 call 81143024 -811263a0: 10803fcc andi r2,r2,255 -811263a4: 1000041e bne r2,zero,811263b8 -811263a8: dfc00117 ldw ra,4(sp) -811263ac: dc000017 ldw r16,0(sp) -811263b0: dec00204 addi sp,sp,8 -811263b4: f800283a ret -811263b8: dfc00117 ldw ra,4(sp) -811263bc: dc000017 ldw r16,0(sp) -811263c0: dec00204 addi sp,sp,8 -811263c4: 11258c01 jmpi 811258c0 -811263c8: 11258c00 call 811258c0 -811263cc: 003ff106 br 81126394 <__reset+0xfb106394> - -811263d0 : -811263d0: 20800444 addi r2,r4,17 -811263d4: 29403fcc andi r5,r5,255 -811263d8: 21003fcc andi r4,r4,255 -811263dc: 280a943a slli r5,r5,16 -811263e0: 1004963a slli r2,r2,24 -811263e4: 31803fcc andi r6,r6,255 -811263e8: 2109883a add r4,r4,r4 -811263ec: 00e04634 movhi r3,33048 -811263f0: 18e40504 addi r3,r3,-28652 -811263f4: 300c923a slli r6,r6,8 -811263f8: 2109883a add r4,r4,r4 -811263fc: 1909883a add r4,r3,r4 -81126400: 1144b03a or r2,r2,r5 -81126404: 21000017 ldw r4,0(r4) -81126408: 39403fcc andi r5,r7,255 -8112640c: 118cb03a or r6,r2,r6 -81126410: deffff04 addi sp,sp,-4 -81126414: 314ab03a or r5,r6,r5 -81126418: dfc00015 stw ra,0(sp) -8112641c: 1142f580 call 81142f58 -81126420: 10803fcc andi r2,r2,255 -81126424: 1000031e bne r2,zero,81126434 -81126428: dfc00017 ldw ra,0(sp) -8112642c: dec00104 addi sp,sp,4 -81126430: f800283a ret -81126434: dfc00017 ldw ra,0(sp) -81126438: dec00104 addi sp,sp,4 -8112643c: 11258c01 jmpi 811258c0 - -81126440 : -81126440: 21003fcc andi r4,r4,255 -81126444: 2006943a slli r3,r4,16 -81126448: 29403fcc andi r5,r5,255 -8112644c: 2804923a slli r2,r5,8 -81126450: 18c80034 orhi r3,r3,8192 -81126454: d1207617 ldw r4,-32296(gp) -81126458: 31403fcc andi r5,r6,255 -8112645c: 1884b03a or r2,r3,r2 -81126460: deffff04 addi sp,sp,-4 -81126464: 114ab03a or r5,r2,r5 -81126468: dfc00015 stw ra,0(sp) -8112646c: 1142f580 call 81142f58 -81126470: 10803fcc andi r2,r2,255 -81126474: 1000031e bne r2,zero,81126484 -81126478: dfc00017 ldw ra,0(sp) -8112647c: dec00104 addi sp,sp,4 -81126480: f800283a ret -81126484: dfc00017 ldw ra,0(sp) -81126488: dec00104 addi sp,sp,4 -8112648c: 11258f01 jmpi 811258f0 - -81126490 : -81126490: 21003fcc andi r4,r4,255 -81126494: 2006943a slli r3,r4,16 -81126498: 29403fcc andi r5,r5,255 -8112649c: 2804923a slli r2,r5,8 -811264a0: 18c80034 orhi r3,r3,8192 -811264a4: d1207617 ldw r4,-32296(gp) -811264a8: 31403fcc andi r5,r6,255 -811264ac: 1884b03a or r2,r3,r2 -811264b0: deffff04 addi sp,sp,-4 -811264b4: 114ab03a or r5,r2,r5 -811264b8: dfc00015 stw ra,0(sp) -811264bc: 11430240 call 81143024 -811264c0: 10803fcc andi r2,r2,255 -811264c4: 1000031e bne r2,zero,811264d4 -811264c8: dfc00017 ldw ra,0(sp) -811264cc: dec00104 addi sp,sp,4 -811264d0: f800283a ret -811264d4: dfc00017 ldw ra,0(sp) -811264d8: dec00104 addi sp,sp,4 -811264dc: 11258c01 jmpi 811258c0 - -811264e0 : -811264e0: defffa04 addi sp,sp,-24 -811264e4: dc800215 stw r18,8(sp) -811264e8: dc800717 ldw r18,28(sp) -811264ec: 21003fcc andi r4,r4,255 -811264f0: dd000415 stw r20,16(sp) -811264f4: dcc00315 stw r19,12(sp) -811264f8: dc400115 stw r17,4(sp) -811264fc: dc000015 stw r16,0(sp) -81126500: dfc00515 stw ra,20(sp) -81126504: 2821883a mov r16,r5 -81126508: 3027883a mov r19,r6 -8112650c: 3829883a mov r20,r7 -81126510: dc400617 ldw r17,24(sp) -81126514: 1100b080 call 81100b08 -81126518: 90004326 beq r18,zero,81126628 -8112651c: a0c0004c andi r3,r20,1 -81126520: 180692ba slli r3,r3,10 -81126524: 988000cc andi r2,r19,3 -81126528: 100492fa slli r2,r2,11 -8112652c: 02b80004 movi r10,-8192 -81126530: 1a86b03a or r3,r3,r10 -81126534: 1894b03a or r10,r3,r2 -81126538: 0013883a mov r9,zero -8112653c: 000d883a mov r6,zero -81126540: 01c01004 movi r7,64 -81126544: 023fffc4 movi r8,-1 -81126548: 88001526 beq r17,zero,811265a0 -8112654c: 494007cc andi r5,r9,31 -81126550: 280a917a slli r5,r5,5 -81126554: 0007883a mov r3,zero -81126558: 2a8ab03a or r5,r5,r10 -8112655c: 00000806 br 81126580 -81126560: 31800044 addi r6,r6,1 -81126564: 190007cc andi r4,r3,31 -81126568: 1085883a add r2,r2,r2 -8112656c: 8085883a add r2,r16,r2 -81126570: 2148b03a or r4,r4,r5 -81126574: 1100000d sth r4,0(r2) -81126578: 18c00044 addi r3,r3,1 -8112657c: 88c00826 beq r17,r3,811265a0 -81126580: 30803fcc andi r2,r6,255 -81126584: 11fff61e bne r2,r7,81126560 <__reset+0xfb106560> -81126588: 82002015 stw r8,128(r16) -8112658c: 82002115 stw r8,132(r16) -81126590: 0005883a mov r2,zero -81126594: 84002204 addi r16,r16,136 -81126598: 01800044 movi r6,1 -8112659c: 003ff106 br 81126564 <__reset+0xfb106564> -811265a0: 4a400044 addi r9,r9,1 -811265a4: 927fe81e bne r18,r9,81126548 <__reset+0xfb106548> -811265a8: 80002015 stw zero,128(r16) -811265ac: 80002115 stw zero,132(r16) -811265b0: 31803fcc andi r6,r6,255 -811265b4: 30001326 beq r6,zero,81126604 -811265b8: 0007883a mov r3,zero -811265bc: 000b883a mov r5,zero -811265c0: 0009883a mov r4,zero -811265c4: 02600034 movhi r9,32768 -811265c8: 00000706 br 811265e8 -811265cc: 4884d83a srl r2,r9,r2 -811265d0: 0011883a mov r8,zero -811265d4: 18c00044 addi r3,r3,1 -811265d8: 19c03fcc andi r7,r3,255 -811265dc: 288ab03a or r5,r5,r2 -811265e0: 2208b03a or r4,r4,r8 -811265e4: 3980052e bgeu r7,r6,811265fc -811265e8: 18bff804 addi r2,r3,-32 -811265ec: 103ff70e bge r2,zero,811265cc <__reset+0xfb1065cc> -811265f0: 48d0d83a srl r8,r9,r3 -811265f4: 0005883a mov r2,zero -811265f8: 003ff606 br 811265d4 <__reset+0xfb1065d4> -811265fc: 81402015 stw r5,128(r16) -81126600: 81002115 stw r4,132(r16) -81126604: 80802204 addi r2,r16,136 -81126608: dfc00517 ldw ra,20(sp) -8112660c: dd000417 ldw r20,16(sp) -81126610: dcc00317 ldw r19,12(sp) -81126614: dc800217 ldw r18,8(sp) -81126618: dc400117 ldw r17,4(sp) -8112661c: dc000017 ldw r16,0(sp) -81126620: dec00604 addi sp,sp,24 -81126624: f800283a ret -81126628: 80002015 stw zero,128(r16) -8112662c: 80002115 stw zero,132(r16) -81126630: 003ff406 br 81126604 <__reset+0xfb106604> - -81126634 : +81126220 : +81126220: 0083cf04 movi r2,3900 +81126224: 2080040d sth r2,16(r4) +81126228: f800283a ret + +8112622c : +8112622c: 2140040d sth r5,16(r4) +81126230: f800283a ret + +81126234 : +81126234: f800283a ret + +81126238 : +81126238: 20000715 stw zero,28(r4) +8112623c: f800283a ret + +81126240 : +81126240: 21400715 stw r5,28(r4) +81126244: f800283a ret + +81126248 : +81126248: f800283a ret + +8112624c : +8112624c: 00800044 movi r2,1 +81126250: 20800815 stw r2,32(r4) +81126254: f800283a ret + +81126258 : +81126258: 21400815 stw r5,32(r4) +8112625c: f800283a ret + +81126260 : +81126260: f800283a ret + +81126264 : +81126264: defffd04 addi sp,sp,-12 +81126268: dc000015 stw r16,0(sp) +8112626c: 243fffcc andi r16,r4,65535 +81126270: d1208117 ldw r4,-32252(gp) +81126274: 800b883a mov r5,r16 +81126278: dfc00215 stw ra,8(sp) +8112627c: dc400115 stw r17,4(sp) +81126280: 1142f980 call 81142f98 +81126284: 10803fcc andi r2,r2,255 +81126288: 1000111e bne r2,zero,811262d0 +8112628c: 04604634 movhi r17,33048 +81126290: 8c4f1904 addi r17,r17,15460 +81126294: 88800803 ldbu r2,32(r17) +81126298: 00c00104 movi r3,4 +8112629c: 18801b2e bgeu r3,r2,8112630c +811262a0: 01000084 movi r4,2 +811262a4: 11443200 call 81144320 +811262a8: 10803fcc andi r2,r2,255 +811262ac: 10000326 beq r2,zero,811262bc +811262b0: 88800803 ldbu r2,32(r17) +811262b4: 00c001c4 movi r3,7 +811262b8: 18801e2e bgeu r3,r2,81126334 +811262bc: dfc00217 ldw ra,8(sp) +811262c0: dc400117 ldw r17,4(sp) +811262c4: dc000017 ldw r16,0(sp) +811262c8: dec00304 addi sp,sp,12 +811262cc: f800283a ret +811262d0: 00a04634 movhi r2,33048 +811262d4: 108f1904 addi r2,r2,15460 +811262d8: 10800803 ldbu r2,32(r2) +811262dc: 00c001c4 movi r3,7 +811262e0: 18bff636 bltu r3,r2,811262bc <__reset+0xfb1062bc> +811262e4: d1e07917 ldw r7,-32284(gp) +811262e8: 01204574 movhi r4,33045 +811262ec: 213c5a04 addi r4,r4,-3736 +811262f0: 018007c4 movi r6,31 +811262f4: 01400044 movi r5,1 +811262f8: dfc00217 ldw ra,8(sp) +811262fc: dc400117 ldw r17,4(sp) +81126300: dc000017 ldw r16,0(sp) +81126304: dec00304 addi sp,sp,12 +81126308: 112bc8c1 jmpi 8112bc8c +8112630c: d1207917 ldw r4,-32284(gp) +81126310: 01604574 movhi r5,33045 +81126314: 297c4004 addi r5,r5,-3840 +81126318: 800d883a mov r6,r16 +8112631c: 112b6200 call 8112b620 +81126320: 01000084 movi r4,2 +81126324: 11443200 call 81144320 +81126328: 10803fcc andi r2,r2,255 +8112632c: 103fe01e bne r2,zero,811262b0 <__reset+0xfb1062b0> +81126330: 003fe206 br 811262bc <__reset+0xfb1062bc> +81126334: 01204574 movhi r4,33045 +81126338: d1e07917 ldw r7,-32284(gp) +8112633c: 213c5204 addi r4,r4,-3768 +81126340: 003feb06 br 811262f0 <__reset+0xfb1062f0> + +81126344 : +81126344: 21003fcc andi r4,r4,255 +81126348: 2006943a slli r3,r4,16 +8112634c: 29403fcc andi r5,r5,255 +81126350: 2804923a slli r2,r5,8 +81126354: 18c40034 orhi r3,r3,4096 +81126358: d1208b17 ldw r4,-32212(gp) +8112635c: 31403fcc andi r5,r6,255 +81126360: 1884b03a or r2,r3,r2 +81126364: deffff04 addi sp,sp,-4 +81126368: 114ab03a or r5,r2,r5 +8112636c: dfc00015 stw ra,0(sp) +81126370: 1142f980 call 81142f98 +81126374: 10803fcc andi r2,r2,255 +81126378: 1000031e bne r2,zero,81126388 +8112637c: dfc00017 ldw ra,0(sp) +81126380: dec00104 addi sp,sp,4 +81126384: f800283a ret +81126388: dfc00017 ldw ra,0(sp) +8112638c: dec00104 addi sp,sp,4 +81126390: 11259001 jmpi 81125900 + +81126394 : +81126394: 21003fcc andi r4,r4,255 +81126398: 29403fcc andi r5,r5,255 +8112639c: 2008943a slli r4,r4,16 +811263a0: 2804923a slli r2,r5,8 +811263a4: defffe04 addi sp,sp,-8 +811263a8: 21040034 orhi r4,r4,4096 +811263ac: 208ab03a or r5,r4,r2 +811263b0: 31803fcc andi r6,r6,255 +811263b4: d1208b17 ldw r4,-32212(gp) +811263b8: dc000015 stw r16,0(sp) +811263bc: 29a0b03a or r16,r5,r6 +811263c0: 800b883a mov r5,r16 +811263c4: dfc00115 stw ra,4(sp) +811263c8: 11430640 call 81143064 +811263cc: 10803fcc andi r2,r2,255 +811263d0: 10000d1e bne r2,zero,81126408 +811263d4: d1208b17 ldw r4,-32212(gp) +811263d8: 800b883a mov r5,r16 +811263dc: 11430640 call 81143064 +811263e0: 10803fcc andi r2,r2,255 +811263e4: 1000041e bne r2,zero,811263f8 +811263e8: dfc00117 ldw ra,4(sp) +811263ec: dc000017 ldw r16,0(sp) +811263f0: dec00204 addi sp,sp,8 +811263f4: f800283a ret +811263f8: dfc00117 ldw ra,4(sp) +811263fc: dc000017 ldw r16,0(sp) +81126400: dec00204 addi sp,sp,8 +81126404: 11259001 jmpi 81125900 +81126408: 11259000 call 81125900 +8112640c: 003ff106 br 811263d4 <__reset+0xfb1063d4> + +81126410 : +81126410: 20800444 addi r2,r4,17 +81126414: 29403fcc andi r5,r5,255 +81126418: 21003fcc andi r4,r4,255 +8112641c: 280a943a slli r5,r5,16 +81126420: 1004963a slli r2,r2,24 +81126424: 31803fcc andi r6,r6,255 +81126428: 2109883a add r4,r4,r4 +8112642c: 00e04634 movhi r3,33048 +81126430: 18e41604 addi r3,r3,-28584 +81126434: 300c923a slli r6,r6,8 +81126438: 2109883a add r4,r4,r4 +8112643c: 1909883a add r4,r3,r4 +81126440: 1144b03a or r2,r2,r5 +81126444: 21000017 ldw r4,0(r4) +81126448: 39403fcc andi r5,r7,255 +8112644c: 118cb03a or r6,r2,r6 +81126450: deffff04 addi sp,sp,-4 +81126454: 314ab03a or r5,r6,r5 +81126458: dfc00015 stw ra,0(sp) +8112645c: 1142f980 call 81142f98 +81126460: 10803fcc andi r2,r2,255 +81126464: 1000031e bne r2,zero,81126474 +81126468: dfc00017 ldw ra,0(sp) +8112646c: dec00104 addi sp,sp,4 +81126470: f800283a ret +81126474: dfc00017 ldw ra,0(sp) +81126478: dec00104 addi sp,sp,4 +8112647c: 11259001 jmpi 81125900 + +81126480 : +81126480: 21003fcc andi r4,r4,255 +81126484: 2006943a slli r3,r4,16 +81126488: 29403fcc andi r5,r5,255 +8112648c: 2804923a slli r2,r5,8 +81126490: 18c80034 orhi r3,r3,8192 +81126494: d1207617 ldw r4,-32296(gp) +81126498: 31403fcc andi r5,r6,255 +8112649c: 1884b03a or r2,r3,r2 +811264a0: deffff04 addi sp,sp,-4 +811264a4: 114ab03a or r5,r2,r5 +811264a8: dfc00015 stw ra,0(sp) +811264ac: 1142f980 call 81142f98 +811264b0: 10803fcc andi r2,r2,255 +811264b4: 1000031e bne r2,zero,811264c4 +811264b8: dfc00017 ldw ra,0(sp) +811264bc: dec00104 addi sp,sp,4 +811264c0: f800283a ret +811264c4: dfc00017 ldw ra,0(sp) +811264c8: dec00104 addi sp,sp,4 +811264cc: 11259301 jmpi 81125930 + +811264d0 : +811264d0: 21003fcc andi r4,r4,255 +811264d4: 2006943a slli r3,r4,16 +811264d8: 29403fcc andi r5,r5,255 +811264dc: 2804923a slli r2,r5,8 +811264e0: 18c80034 orhi r3,r3,8192 +811264e4: d1207617 ldw r4,-32296(gp) +811264e8: 31403fcc andi r5,r6,255 +811264ec: 1884b03a or r2,r3,r2 +811264f0: deffff04 addi sp,sp,-4 +811264f4: 114ab03a or r5,r2,r5 +811264f8: dfc00015 stw ra,0(sp) +811264fc: 11430640 call 81143064 +81126500: 10803fcc andi r2,r2,255 +81126504: 1000031e bne r2,zero,81126514 +81126508: dfc00017 ldw ra,0(sp) +8112650c: dec00104 addi sp,sp,4 +81126510: f800283a ret +81126514: dfc00017 ldw ra,0(sp) +81126518: dec00104 addi sp,sp,4 +8112651c: 11259001 jmpi 81125900 + +81126520 : +81126520: defffa04 addi sp,sp,-24 +81126524: dc800215 stw r18,8(sp) +81126528: dc800717 ldw r18,28(sp) +8112652c: 21003fcc andi r4,r4,255 +81126530: dd000415 stw r20,16(sp) +81126534: dcc00315 stw r19,12(sp) +81126538: dc400115 stw r17,4(sp) +8112653c: dc000015 stw r16,0(sp) +81126540: dfc00515 stw ra,20(sp) +81126544: 2821883a mov r16,r5 +81126548: 3027883a mov r19,r6 +8112654c: 3829883a mov r20,r7 +81126550: dc400617 ldw r17,24(sp) +81126554: 1100b080 call 81100b08 +81126558: 90004326 beq r18,zero,81126668 +8112655c: a0c0004c andi r3,r20,1 +81126560: 180692ba slli r3,r3,10 +81126564: 988000cc andi r2,r19,3 +81126568: 100492fa slli r2,r2,11 +8112656c: 02b80004 movi r10,-8192 +81126570: 1a86b03a or r3,r3,r10 +81126574: 1894b03a or r10,r3,r2 +81126578: 0013883a mov r9,zero +8112657c: 000d883a mov r6,zero +81126580: 01c01004 movi r7,64 +81126584: 023fffc4 movi r8,-1 +81126588: 88001526 beq r17,zero,811265e0 +8112658c: 494007cc andi r5,r9,31 +81126590: 280a917a slli r5,r5,5 +81126594: 0007883a mov r3,zero +81126598: 2a8ab03a or r5,r5,r10 +8112659c: 00000806 br 811265c0 +811265a0: 31800044 addi r6,r6,1 +811265a4: 190007cc andi r4,r3,31 +811265a8: 1085883a add r2,r2,r2 +811265ac: 8085883a add r2,r16,r2 +811265b0: 2148b03a or r4,r4,r5 +811265b4: 1100000d sth r4,0(r2) +811265b8: 18c00044 addi r3,r3,1 +811265bc: 88c00826 beq r17,r3,811265e0 +811265c0: 30803fcc andi r2,r6,255 +811265c4: 11fff61e bne r2,r7,811265a0 <__reset+0xfb1065a0> +811265c8: 82002015 stw r8,128(r16) +811265cc: 82002115 stw r8,132(r16) +811265d0: 0005883a mov r2,zero +811265d4: 84002204 addi r16,r16,136 +811265d8: 01800044 movi r6,1 +811265dc: 003ff106 br 811265a4 <__reset+0xfb1065a4> +811265e0: 4a400044 addi r9,r9,1 +811265e4: 927fe81e bne r18,r9,81126588 <__reset+0xfb106588> +811265e8: 80002015 stw zero,128(r16) +811265ec: 80002115 stw zero,132(r16) +811265f0: 31803fcc andi r6,r6,255 +811265f4: 30001326 beq r6,zero,81126644 +811265f8: 0007883a mov r3,zero +811265fc: 000b883a mov r5,zero +81126600: 0009883a mov r4,zero +81126604: 02600034 movhi r9,32768 +81126608: 00000706 br 81126628 +8112660c: 4884d83a srl r2,r9,r2 +81126610: 0011883a mov r8,zero +81126614: 18c00044 addi r3,r3,1 +81126618: 19c03fcc andi r7,r3,255 +8112661c: 288ab03a or r5,r5,r2 +81126620: 2208b03a or r4,r4,r8 +81126624: 3980052e bgeu r7,r6,8112663c +81126628: 18bff804 addi r2,r3,-32 +8112662c: 103ff70e bge r2,zero,8112660c <__reset+0xfb10660c> +81126630: 48d0d83a srl r8,r9,r3 81126634: 0005883a mov r2,zero -81126638: f800283a ret - -8112663c : -8112663c: 0005883a mov r2,zero -81126640: f800283a ret - -81126644 : -81126644: d1e07917 ldw r7,-32284(gp) -81126648: 01204574 movhi r4,33045 -8112664c: deffff04 addi sp,sp,-4 -81126650: 213c5104 addi r4,r4,-3772 -81126654: 018008c4 movi r6,35 -81126658: 01400044 movi r5,1 -8112665c: dfc00015 stw ra,0(sp) -81126660: d0209415 stw zero,-32176(gp) -81126664: 112bc4c0 call 8112bc4c -81126668: 0005883a mov r2,zero -8112666c: dfc00017 ldw ra,0(sp) -81126670: dec00104 addi sp,sp,4 -81126674: f800283a ret - -81126678 : -81126678: 0005883a mov r2,zero -8112667c: f800283a ret - -81126680 : -81126680: 0005883a mov r2,zero -81126684: f800283a ret - -81126688 : -81126688: 0005883a mov r2,zero -8112668c: f800283a ret - -81126690 : -81126690: defffe04 addi sp,sp,-8 -81126694: dc000015 stw r16,0(sp) -81126698: 04204634 movhi r16,33048 -8112669c: dfc00115 stw ra,4(sp) -811266a0: 840f0804 addi r16,r16,15392 -811266a4: 80800803 ldbu r2,32(r16) -811266a8: 00c00084 movi r3,2 -811266ac: 1880342e bgeu r3,r2,81126780 -811266b0: 8100028b ldhu r4,10(r16) -811266b4: 11076080 call 81107608 -811266b8: 1000051e bne r2,zero,811266d0 -811266bc: 0005883a mov r2,zero -811266c0: dfc00117 ldw ra,4(sp) -811266c4: dc000017 ldw r16,0(sp) -811266c8: dec00204 addi sp,sp,8 +81126638: 003ff606 br 81126614 <__reset+0xfb106614> +8112663c: 81402015 stw r5,128(r16) +81126640: 81002115 stw r4,132(r16) +81126644: 80802204 addi r2,r16,136 +81126648: dfc00517 ldw ra,20(sp) +8112664c: dd000417 ldw r20,16(sp) +81126650: dcc00317 ldw r19,12(sp) +81126654: dc800217 ldw r18,8(sp) +81126658: dc400117 ldw r17,4(sp) +8112665c: dc000017 ldw r16,0(sp) +81126660: dec00604 addi sp,sp,24 +81126664: f800283a ret +81126668: 80002015 stw zero,128(r16) +8112666c: 80002115 stw zero,132(r16) +81126670: 003ff406 br 81126644 <__reset+0xfb106644> + +81126674 : +81126674: 0005883a mov r2,zero +81126678: f800283a ret + +8112667c : +8112667c: 0005883a mov r2,zero +81126680: f800283a ret + +81126684 : +81126684: d1e07917 ldw r7,-32284(gp) +81126688: 01204574 movhi r4,33045 +8112668c: deffff04 addi sp,sp,-4 +81126690: 213c6204 addi r4,r4,-3704 +81126694: 018008c4 movi r6,35 +81126698: 01400044 movi r5,1 +8112669c: dfc00015 stw ra,0(sp) +811266a0: d0209415 stw zero,-32176(gp) +811266a4: 112bc8c0 call 8112bc8c +811266a8: 0005883a mov r2,zero +811266ac: dfc00017 ldw ra,0(sp) +811266b0: dec00104 addi sp,sp,4 +811266b4: f800283a ret + +811266b8 : +811266b8: 0005883a mov r2,zero +811266bc: f800283a ret + +811266c0 : +811266c0: 0005883a mov r2,zero +811266c4: f800283a ret + +811266c8 : +811266c8: 0005883a mov r2,zero 811266cc: f800283a ret -811266d0: 01000044 movi r4,1 -811266d4: 11072940 call 81107294 -811266d8: 103ff826 beq r2,zero,811266bc <__reset+0xfb1066bc> -811266dc: 01000044 movi r4,1 -811266e0: 11073140 call 81107314 -811266e4: 103ff526 beq r2,zero,811266bc <__reset+0xfb1066bc> -811266e8: 01000044 movi r4,1 -811266ec: 11073240 call 81107324 -811266f0: 103ff226 beq r2,zero,811266bc <__reset+0xfb1066bc> -811266f4: 01000044 movi r4,1 -811266f8: 11073340 call 81107334 -811266fc: 103fef26 beq r2,zero,811266bc <__reset+0xfb1066bc> -81126700: 01000044 movi r4,1 -81126704: 11073440 call 81107344 -81126708: 103fec26 beq r2,zero,811266bc <__reset+0xfb1066bc> -8112670c: 01000044 movi r4,1 -81126710: 11073540 call 81107354 -81126714: 103fe926 beq r2,zero,811266bc <__reset+0xfb1066bc> -81126718: 01000044 movi r4,1 -8112671c: 11073640 call 81107364 -81126720: 103fe626 beq r2,zero,811266bc <__reset+0xfb1066bc> -81126724: 01000044 movi r4,1 -81126728: 11073740 call 81107374 -8112672c: 103fe326 beq r2,zero,811266bc <__reset+0xfb1066bc> -81126730: 01000044 movi r4,1 -81126734: 11073840 call 81107384 -81126738: 103fe026 beq r2,zero,811266bc <__reset+0xfb1066bc> -8112673c: 11072a40 call 811072a4 -81126740: 1021883a mov r16,r2 -81126744: 11072b80 call 811072b8 -81126748: 11071380 call 81107138 -8112674c: 11071640 call 81107164 -81126750: 01000044 movi r4,1 -81126754: 11073c40 call 811073c4 + +811266d0 : +811266d0: defffe04 addi sp,sp,-8 +811266d4: dc000015 stw r16,0(sp) +811266d8: 04204634 movhi r16,33048 +811266dc: dfc00115 stw ra,4(sp) +811266e0: 840f1904 addi r16,r16,15460 +811266e4: 80800803 ldbu r2,32(r16) +811266e8: 00c00084 movi r3,2 +811266ec: 1880342e bgeu r3,r2,811267c0 +811266f0: 8100028b ldhu r4,10(r16) +811266f4: 110763c0 call 8110763c +811266f8: 1000051e bne r2,zero,81126710 +811266fc: 0005883a mov r2,zero +81126700: dfc00117 ldw ra,4(sp) +81126704: dc000017 ldw r16,0(sp) +81126708: dec00204 addi sp,sp,8 +8112670c: f800283a ret +81126710: 01000044 movi r4,1 +81126714: 11072c80 call 811072c8 +81126718: 103ff826 beq r2,zero,811266fc <__reset+0xfb1066fc> +8112671c: 01000044 movi r4,1 +81126720: 11073480 call 81107348 +81126724: 103ff526 beq r2,zero,811266fc <__reset+0xfb1066fc> +81126728: 01000044 movi r4,1 +8112672c: 11073580 call 81107358 +81126730: 103ff226 beq r2,zero,811266fc <__reset+0xfb1066fc> +81126734: 01000044 movi r4,1 +81126738: 11073680 call 81107368 +8112673c: 103fef26 beq r2,zero,811266fc <__reset+0xfb1066fc> +81126740: 01000044 movi r4,1 +81126744: 11073780 call 81107378 +81126748: 103fec26 beq r2,zero,811266fc <__reset+0xfb1066fc> +8112674c: 01000044 movi r4,1 +81126750: 11073880 call 81107388 +81126754: 103fe926 beq r2,zero,811266fc <__reset+0xfb1066fc> 81126758: 01000044 movi r4,1 -8112675c: 11073d40 call 811073d4 -81126760: 01000044 movi r4,1 -81126764: 11073e40 call 811073e4 -81126768: 01000044 movi r4,1 -8112676c: 11074940 call 81107494 +8112675c: 11073980 call 81107398 +81126760: 103fe626 beq r2,zero,811266fc <__reset+0xfb1066fc> +81126764: 01000044 movi r4,1 +81126768: 11073a80 call 811073a8 +8112676c: 103fe326 beq r2,zero,811266fc <__reset+0xfb1066fc> 81126770: 01000044 movi r4,1 -81126774: 11074a40 call 811074a4 -81126778: 8005883a mov r2,r16 -8112677c: 003fd006 br 811266c0 <__reset+0xfb1066c0> -81126780: d1e07917 ldw r7,-32284(gp) -81126784: 01204574 movhi r4,33045 -81126788: 213c5a04 addi r4,r4,-3736 -8112678c: 01800684 movi r6,26 -81126790: 01400044 movi r5,1 -81126794: 112bc4c0 call 8112bc4c -81126798: 003fc506 br 811266b0 <__reset+0xfb1066b0> - -8112679c : -8112679c: deffff04 addi sp,sp,-4 -811267a0: dfc00015 stw ra,0(sp) -811267a4: 11072b80 call 811072b8 -811267a8: dfc00017 ldw ra,0(sp) -811267ac: dec00104 addi sp,sp,4 -811267b0: 11072a41 jmpi 811072a4 - -811267b4 : -811267b4: 11072b81 jmpi 811072b8 - -811267b8 : -811267b8: defffd04 addi sp,sp,-12 -811267bc: dc000015 stw r16,0(sp) -811267c0: 04000044 movi r16,1 -811267c4: 8009883a mov r4,r16 -811267c8: dfc00215 stw ra,8(sp) -811267cc: dc400115 stw r17,4(sp) -811267d0: 11072940 call 81107294 -811267d4: 0009883a mov r4,zero -811267d8: 1023883a mov r17,r2 -811267dc: 11073a40 call 811073a4 -811267e0: 0009883a mov r4,zero -811267e4: 11073b40 call 811073b4 -811267e8: 0009883a mov r4,zero -811267ec: 11073c40 call 811073c4 -811267f0: 0009883a mov r4,zero -811267f4: 11073d40 call 811073d4 -811267f8: 0009883a mov r4,zero -811267fc: 11073e40 call 811073e4 -81126800: 0009883a mov r4,zero -81126804: 11074940 call 81107494 -81126808: 0009883a mov r4,zero -8112680c: 11074a40 call 811074a4 -81126810: 0009883a mov r4,zero -81126814: 11074b40 call 811074b4 -81126818: 0009883a mov r4,zero -8112681c: 11074c40 call 811074c4 -81126820: 8009883a mov r4,r16 -81126824: 11073f40 call 811073f4 -81126828: 8009883a mov r4,r16 -8112682c: 11074040 call 81107404 -81126830: 8009883a mov r4,r16 -81126834: 11074140 call 81107414 -81126838: 8009883a mov r4,r16 -8112683c: 11074240 call 81107424 -81126840: 8009883a mov r4,r16 -81126844: 11074340 call 81107434 -81126848: 8009883a mov r4,r16 -8112684c: 11074d40 call 811074d4 -81126850: 8009883a mov r4,r16 -81126854: 11074e40 call 811074e4 -81126858: 8009883a mov r4,r16 -8112685c: 11074f40 call 811074f4 +81126774: 11073b80 call 811073b8 +81126778: 103fe026 beq r2,zero,811266fc <__reset+0xfb1066fc> +8112677c: 11072d80 call 811072d8 +81126780: 1021883a mov r16,r2 +81126784: 11072ec0 call 811072ec +81126788: 110716c0 call 8110716c +8112678c: 11071980 call 81107198 +81126790: 01000044 movi r4,1 +81126794: 11073f80 call 811073f8 +81126798: 01000044 movi r4,1 +8112679c: 11074080 call 81107408 +811267a0: 01000044 movi r4,1 +811267a4: 11074180 call 81107418 +811267a8: 01000044 movi r4,1 +811267ac: 11074c80 call 811074c8 +811267b0: 01000044 movi r4,1 +811267b4: 11074d80 call 811074d8 +811267b8: 8005883a mov r2,r16 +811267bc: 003fd006 br 81126700 <__reset+0xfb106700> +811267c0: d1e07917 ldw r7,-32284(gp) +811267c4: 01204574 movhi r4,33045 +811267c8: 213c6b04 addi r4,r4,-3668 +811267cc: 01800684 movi r6,26 +811267d0: 01400044 movi r5,1 +811267d4: 112bc8c0 call 8112bc8c +811267d8: 003fc506 br 811266f0 <__reset+0xfb1066f0> + +811267dc : +811267dc: deffff04 addi sp,sp,-4 +811267e0: dfc00015 stw ra,0(sp) +811267e4: 11072ec0 call 811072ec +811267e8: dfc00017 ldw ra,0(sp) +811267ec: dec00104 addi sp,sp,4 +811267f0: 11072d81 jmpi 811072d8 + +811267f4 : +811267f4: 11072ec1 jmpi 811072ec + +811267f8 : +811267f8: defffd04 addi sp,sp,-12 +811267fc: dc000015 stw r16,0(sp) +81126800: 04000044 movi r16,1 +81126804: 8009883a mov r4,r16 +81126808: dfc00215 stw ra,8(sp) +8112680c: dc400115 stw r17,4(sp) +81126810: 11072c80 call 811072c8 +81126814: 0009883a mov r4,zero +81126818: 1023883a mov r17,r2 +8112681c: 11073d80 call 811073d8 +81126820: 0009883a mov r4,zero +81126824: 11073e80 call 811073e8 +81126828: 0009883a mov r4,zero +8112682c: 11073f80 call 811073f8 +81126830: 0009883a mov r4,zero +81126834: 11074080 call 81107408 +81126838: 0009883a mov r4,zero +8112683c: 11074180 call 81107418 +81126840: 0009883a mov r4,zero +81126844: 11074c80 call 811074c8 +81126848: 0009883a mov r4,zero +8112684c: 11074d80 call 811074d8 +81126850: 0009883a mov r4,zero +81126854: 11074e80 call 811074e8 +81126858: 0009883a mov r4,zero +8112685c: 11074f80 call 811074f8 81126860: 8009883a mov r4,r16 -81126864: 11075040 call 81107504 +81126864: 11074280 call 81107428 81126868: 8009883a mov r4,r16 -8112686c: 11073c40 call 811073c4 +8112686c: 11074380 call 81107438 81126870: 8009883a mov r4,r16 -81126874: 11073d40 call 811073d4 +81126874: 11074480 call 81107448 81126878: 8009883a mov r4,r16 -8112687c: 11073e40 call 811073e4 +8112687c: 11074580 call 81107458 81126880: 8009883a mov r4,r16 -81126884: 11074940 call 81107494 +81126884: 11074680 call 81107468 81126888: 8009883a mov r4,r16 -8112688c: 11074a40 call 811074a4 -81126890: 8805883a mov r2,r17 -81126894: dfc00217 ldw ra,8(sp) -81126898: dc400117 ldw r17,4(sp) -8112689c: dc000017 ldw r16,0(sp) -811268a0: dec00304 addi sp,sp,12 -811268a4: f800283a ret - -811268a8 : -811268a8: 11071301 jmpi 81107130 - -811268ac : -811268ac: d1e07917 ldw r7,-32284(gp) -811268b0: 01204574 movhi r4,33045 -811268b4: defffe04 addi sp,sp,-8 -811268b8: 018005c4 movi r6,23 -811268bc: 01400044 movi r5,1 -811268c0: 213c6104 addi r4,r4,-3708 -811268c4: dfc00115 stw ra,4(sp) -811268c8: dc000015 stw r16,0(sp) -811268cc: 112bc4c0 call 8112bc4c -811268d0: 1105a440 call 81105a44 -811268d4: 010000b4 movhi r4,2 -811268d8: 2121a804 addi r4,r4,-31072 -811268dc: 113f9c40 call 8113f9c4 -811268e0: 1105ba80 call 81105ba8 -811268e4: 11075540 call 81107554 -811268e8: 1021883a mov r16,r2 -811268ec: 1105bc00 call 81105bc0 -811268f0: 1105a680 call 81105a68 -811268f4: d1e07917 ldw r7,-32284(gp) -811268f8: 80000a26 beq r16,zero,81126924 -811268fc: 01204574 movhi r4,33045 -81126900: 213c8404 addi r4,r4,-3568 -81126904: 018008c4 movi r6,35 -81126908: 01400044 movi r5,1 -8112690c: 112bc4c0 call 8112bc4c -81126910: 8005883a mov r2,r16 -81126914: dfc00117 ldw ra,4(sp) -81126918: dc000017 ldw r16,0(sp) -8112691c: dec00204 addi sp,sp,8 -81126920: f800283a ret -81126924: 01204574 movhi r4,33045 -81126928: 213c6704 addi r4,r4,-3684 -8112692c: 01801c44 movi r6,113 -81126930: 01400044 movi r5,1 -81126934: 112bc4c0 call 8112bc4c -81126938: 003ff506 br 81126910 <__reset+0xfb106910> - -8112693c : -8112693c: d1e07917 ldw r7,-32284(gp) -81126940: 01204574 movhi r4,33045 -81126944: defffe04 addi sp,sp,-8 -81126948: 018006c4 movi r6,27 -8112694c: 01400044 movi r5,1 -81126950: 213c8d04 addi r4,r4,-3532 -81126954: dfc00115 stw ra,4(sp) -81126958: dc000015 stw r16,0(sp) -8112695c: 112bc4c0 call 8112bc4c -81126960: 010003f4 movhi r4,15 -81126964: 21109004 addi r4,r4,16960 -81126968: 113f9c40 call 8113f9c4 -8112696c: 0009883a mov r4,zero -81126970: 1100b080 call 81100b08 -81126974: 0009883a mov r4,zero -81126978: 1106b380 call 81106b38 -8112697c: 012aaaf4 movhi r4,43691 -81126980: 00840034 movhi r2,4096 -81126984: 000b883a mov r5,zero -81126988: 212aaa84 addi r4,r4,-21846 -8112698c: 10bfffc4 addi r2,r2,-1 -81126990: 29000015 stw r4,0(r5) -81126994: 00c01544 movi r3,85 -81126998: 2a000017 ldw r8,0(r5) -8112699c: 11400003 ldbu r5,0(r2) -811269a0: 10c00005 stb r3,0(r2) -811269a4: 11400043 ldbu r5,1(r2) -811269a8: 10c00045 stb r3,1(r2) -811269ac: 11400083 ldbu r5,2(r2) -811269b0: 10c00085 stb r3,2(r2) -811269b4: 114000c3 ldbu r5,3(r2) -811269b8: 10c000c5 stb r3,3(r2) -811269bc: 10c00003 ldbu r3,0(r2) -811269c0: 11800043 ldbu r6,1(r2) -811269c4: 11400083 ldbu r5,2(r2) -811269c8: 11c000c3 ldbu r7,3(r2) -811269cc: 30803fcc andi r2,r6,255 -811269d0: 1004923a slli r2,r2,8 -811269d4: 29403fcc andi r5,r5,255 -811269d8: 280a943a slli r5,r5,16 -811269dc: 18c03fcc andi r3,r3,255 -811269e0: 380c963a slli r6,r7,24 -811269e4: 10c6b03a or r3,r2,r3 -811269e8: 28c4b03a or r2,r5,r3 -811269ec: 00d55574 movhi r3,21845 -811269f0: 3084b03a or r2,r6,r2 -811269f4: 18d55544 addi r3,r3,21845 -811269f8: 10c1ae26 beq r2,r3,811270b4 -811269fc: 0009883a mov r4,zero -81126a00: 00880034 movhi r2,8192 -81126a04: 10bfffc4 addi r2,r2,-1 -81126a08: 00ffea84 movi r3,-86 -81126a0c: 11400003 ldbu r5,0(r2) -81126a10: 10c00005 stb r3,0(r2) -81126a14: 11400043 ldbu r5,1(r2) -81126a18: 10c00045 stb r3,1(r2) -81126a1c: 11400083 ldbu r5,2(r2) -81126a20: 10c00085 stb r3,2(r2) -81126a24: 114000c3 ldbu r5,3(r2) -81126a28: 10c000c5 stb r3,3(r2) -81126a2c: 10c00003 ldbu r3,0(r2) -81126a30: 11800043 ldbu r6,1(r2) -81126a34: 11400083 ldbu r5,2(r2) -81126a38: 11c000c3 ldbu r7,3(r2) -81126a3c: 30803fcc andi r2,r6,255 -81126a40: 1004923a slli r2,r2,8 -81126a44: 29403fcc andi r5,r5,255 -81126a48: 280a943a slli r5,r5,16 -81126a4c: 18c03fcc andi r3,r3,255 -81126a50: 380c963a slli r6,r7,24 -81126a54: 10c6b03a or r3,r2,r3 -81126a58: 28c4b03a or r2,r5,r3 -81126a5c: 00eaaaf4 movhi r3,43691 -81126a60: 3084b03a or r2,r6,r2 -81126a64: 18eaaa84 addi r3,r3,-21846 -81126a68: 10c00126 beq r2,r3,81126a70 -81126a6c: 0009883a mov r4,zero -81126a70: 008c0034 movhi r2,12288 -81126a74: 10bfffc4 addi r2,r2,-1 -81126a78: 00c01544 movi r3,85 -81126a7c: 11400003 ldbu r5,0(r2) -81126a80: 10c00005 stb r3,0(r2) -81126a84: 11400043 ldbu r5,1(r2) -81126a88: 10c00045 stb r3,1(r2) -81126a8c: 11400083 ldbu r5,2(r2) -81126a90: 10c00085 stb r3,2(r2) -81126a94: 114000c3 ldbu r5,3(r2) -81126a98: 10c000c5 stb r3,3(r2) -81126a9c: 10c00003 ldbu r3,0(r2) -81126aa0: 11800043 ldbu r6,1(r2) -81126aa4: 11400083 ldbu r5,2(r2) -81126aa8: 11c000c3 ldbu r7,3(r2) -81126aac: 30803fcc andi r2,r6,255 -81126ab0: 1004923a slli r2,r2,8 -81126ab4: 29403fcc andi r5,r5,255 -81126ab8: 280a943a slli r5,r5,16 -81126abc: 18c03fcc andi r3,r3,255 -81126ac0: 380c963a slli r6,r7,24 -81126ac4: 10c6b03a or r3,r2,r3 -81126ac8: 28c4b03a or r2,r5,r3 -81126acc: 00d55574 movhi r3,21845 -81126ad0: 3084b03a or r2,r6,r2 -81126ad4: 18d55544 addi r3,r3,21845 -81126ad8: 10c00126 beq r2,r3,81126ae0 -81126adc: 0009883a mov r4,zero -81126ae0: 00900034 movhi r2,16384 -81126ae4: 10bfffc4 addi r2,r2,-1 -81126ae8: 00ffea84 movi r3,-86 -81126aec: 11400003 ldbu r5,0(r2) -81126af0: 10c00005 stb r3,0(r2) -81126af4: 11400043 ldbu r5,1(r2) -81126af8: 10c00045 stb r3,1(r2) -81126afc: 11400083 ldbu r5,2(r2) -81126b00: 10c00085 stb r3,2(r2) -81126b04: 114000c3 ldbu r5,3(r2) -81126b08: 10c000c5 stb r3,3(r2) -81126b0c: 10c00003 ldbu r3,0(r2) -81126b10: 11800043 ldbu r6,1(r2) -81126b14: 11400083 ldbu r5,2(r2) -81126b18: 11c000c3 ldbu r7,3(r2) -81126b1c: 30803fcc andi r2,r6,255 -81126b20: 1004923a slli r2,r2,8 -81126b24: 29403fcc andi r5,r5,255 -81126b28: 280a943a slli r5,r5,16 -81126b2c: 18c03fcc andi r3,r3,255 -81126b30: 380c963a slli r6,r7,24 -81126b34: 10c6b03a or r3,r2,r3 -81126b38: 28c4b03a or r2,r5,r3 -81126b3c: 00eaaaf4 movhi r3,43691 -81126b40: 3084b03a or r2,r6,r2 -81126b44: 18eaaa84 addi r3,r3,-21846 -81126b48: 10c00126 beq r2,r3,81126b50 -81126b4c: 0009883a mov r4,zero -81126b50: 00940034 movhi r2,20480 -81126b54: 10bfffc4 addi r2,r2,-1 -81126b58: 00c01544 movi r3,85 -81126b5c: 11400003 ldbu r5,0(r2) -81126b60: 10c00005 stb r3,0(r2) -81126b64: 11400043 ldbu r5,1(r2) -81126b68: 10c00045 stb r3,1(r2) -81126b6c: 11400083 ldbu r5,2(r2) -81126b70: 10c00085 stb r3,2(r2) -81126b74: 114000c3 ldbu r5,3(r2) -81126b78: 10c000c5 stb r3,3(r2) -81126b7c: 10c00003 ldbu r3,0(r2) -81126b80: 11800043 ldbu r6,1(r2) -81126b84: 11400083 ldbu r5,2(r2) -81126b88: 11c000c3 ldbu r7,3(r2) -81126b8c: 30803fcc andi r2,r6,255 -81126b90: 1004923a slli r2,r2,8 -81126b94: 29403fcc andi r5,r5,255 -81126b98: 280a943a slli r5,r5,16 -81126b9c: 18c03fcc andi r3,r3,255 -81126ba0: 380c963a slli r6,r7,24 -81126ba4: 10c6b03a or r3,r2,r3 -81126ba8: 28c4b03a or r2,r5,r3 -81126bac: 00d55574 movhi r3,21845 -81126bb0: 3084b03a or r2,r6,r2 -81126bb4: 18d55544 addi r3,r3,21845 -81126bb8: 10c00126 beq r2,r3,81126bc0 -81126bbc: 0009883a mov r4,zero -81126bc0: 00980034 movhi r2,24576 -81126bc4: 10bfffc4 addi r2,r2,-1 -81126bc8: 00ffea84 movi r3,-86 -81126bcc: 11400003 ldbu r5,0(r2) -81126bd0: 10c00005 stb r3,0(r2) -81126bd4: 11400043 ldbu r5,1(r2) -81126bd8: 10c00045 stb r3,1(r2) -81126bdc: 11400083 ldbu r5,2(r2) -81126be0: 10c00085 stb r3,2(r2) -81126be4: 114000c3 ldbu r5,3(r2) -81126be8: 10c000c5 stb r3,3(r2) -81126bec: 10c00003 ldbu r3,0(r2) -81126bf0: 11800043 ldbu r6,1(r2) -81126bf4: 11400083 ldbu r5,2(r2) -81126bf8: 11c000c3 ldbu r7,3(r2) -81126bfc: 30803fcc andi r2,r6,255 -81126c00: 1004923a slli r2,r2,8 -81126c04: 29403fcc andi r5,r5,255 -81126c08: 280a943a slli r5,r5,16 -81126c0c: 18c03fcc andi r3,r3,255 -81126c10: 380c963a slli r6,r7,24 -81126c14: 10c6b03a or r3,r2,r3 -81126c18: 28c4b03a or r2,r5,r3 -81126c1c: 00eaaaf4 movhi r3,43691 -81126c20: 3084b03a or r2,r6,r2 -81126c24: 18eaaa84 addi r3,r3,-21846 -81126c28: 10c00126 beq r2,r3,81126c30 -81126c2c: 0009883a mov r4,zero -81126c30: 009c0034 movhi r2,28672 -81126c34: 10bfffc4 addi r2,r2,-1 -81126c38: 00c01544 movi r3,85 -81126c3c: 11400003 ldbu r5,0(r2) -81126c40: 10c00005 stb r3,0(r2) -81126c44: 11400043 ldbu r5,1(r2) -81126c48: 10c00045 stb r3,1(r2) -81126c4c: 11400083 ldbu r5,2(r2) -81126c50: 10c00085 stb r3,2(r2) -81126c54: 114000c3 ldbu r5,3(r2) -81126c58: 10c000c5 stb r3,3(r2) -81126c5c: 10c00003 ldbu r3,0(r2) -81126c60: 11800043 ldbu r6,1(r2) -81126c64: 11400083 ldbu r5,2(r2) -81126c68: 11c000c3 ldbu r7,3(r2) -81126c6c: 30803fcc andi r2,r6,255 -81126c70: 1004923a slli r2,r2,8 -81126c74: 29403fcc andi r5,r5,255 -81126c78: 280a943a slli r5,r5,16 -81126c7c: 18c03fcc andi r3,r3,255 -81126c80: 380c963a slli r6,r7,24 -81126c84: 10c6b03a or r3,r2,r3 -81126c88: 28c4b03a or r2,r5,r3 -81126c8c: 00d55574 movhi r3,21845 -81126c90: 3084b03a or r2,r6,r2 -81126c94: 18d55544 addi r3,r3,21845 -81126c98: 10c12f26 beq r2,r3,81127158 -81126c9c: 00a00034 movhi r2,32768 -81126ca0: 10bfffc4 addi r2,r2,-1 -81126ca4: 00ffea84 movi r3,-86 -81126ca8: 11000003 ldbu r4,0(r2) -81126cac: 10c00005 stb r3,0(r2) -81126cb0: 11000043 ldbu r4,1(r2) -81126cb4: 10c00045 stb r3,1(r2) -81126cb8: 11000083 ldbu r4,2(r2) -81126cbc: 10c00085 stb r3,2(r2) -81126cc0: 110000c3 ldbu r4,3(r2) -81126cc4: 10c000c5 stb r3,3(r2) -81126cc8: 10c00003 ldbu r3,0(r2) -81126ccc: 10c00043 ldbu r3,1(r2) -81126cd0: 10c00083 ldbu r3,2(r2) -81126cd4: 108000c3 ldbu r2,3(r2) -81126cd8: d1e07917 ldw r7,-32284(gp) -81126cdc: 01204574 movhi r4,33045 -81126ce0: 213c9a04 addi r4,r4,-3480 -81126ce4: 01800844 movi r6,33 -81126ce8: 01400044 movi r5,1 -81126cec: 112bc4c0 call 8112bc4c -81126cf0: 0021883a mov r16,zero -81126cf4: d1e07917 ldw r7,-32284(gp) -81126cf8: 01204574 movhi r4,33045 -81126cfc: 018006c4 movi r6,27 -81126d00: 01400044 movi r5,1 -81126d04: 213ca304 addi r4,r4,-3444 -81126d08: 112bc4c0 call 8112bc4c -81126d0c: 010003f4 movhi r4,15 -81126d10: 21109004 addi r4,r4,16960 -81126d14: 113f9c40 call 8113f9c4 -81126d18: 01000044 movi r4,1 -81126d1c: 1100b080 call 81100b08 -81126d20: 0009883a mov r4,zero -81126d24: 1106b380 call 81106b38 -81126d28: 012aaaf4 movhi r4,43691 -81126d2c: 00840034 movhi r2,4096 -81126d30: 000b883a mov r5,zero -81126d34: 212aaa84 addi r4,r4,-21846 -81126d38: 10bfffc4 addi r2,r2,-1 -81126d3c: 29000015 stw r4,0(r5) -81126d40: 00c01544 movi r3,85 -81126d44: 2a000017 ldw r8,0(r5) -81126d48: 11400003 ldbu r5,0(r2) -81126d4c: 10c00005 stb r3,0(r2) -81126d50: 11400043 ldbu r5,1(r2) -81126d54: 10c00045 stb r3,1(r2) -81126d58: 11400083 ldbu r5,2(r2) -81126d5c: 10c00085 stb r3,2(r2) -81126d60: 114000c3 ldbu r5,3(r2) -81126d64: 10c000c5 stb r3,3(r2) -81126d68: 10c00003 ldbu r3,0(r2) -81126d6c: 11800043 ldbu r6,1(r2) -81126d70: 11400083 ldbu r5,2(r2) -81126d74: 11c000c3 ldbu r7,3(r2) -81126d78: 30803fcc andi r2,r6,255 -81126d7c: 1004923a slli r2,r2,8 -81126d80: 29403fcc andi r5,r5,255 -81126d84: 280a943a slli r5,r5,16 -81126d88: 18c03fcc andi r3,r3,255 -81126d8c: 380c963a slli r6,r7,24 -81126d90: 10c6b03a or r3,r2,r3 -81126d94: 28c4b03a or r2,r5,r3 -81126d98: 00d55574 movhi r3,21845 -81126d9c: 3084b03a or r2,r6,r2 -81126da0: 18d55544 addi r3,r3,21845 -81126da4: 10c0ea26 beq r2,r3,81127150 -81126da8: 0009883a mov r4,zero -81126dac: 00880034 movhi r2,8192 -81126db0: 10bfffc4 addi r2,r2,-1 -81126db4: 00ffea84 movi r3,-86 -81126db8: 11400003 ldbu r5,0(r2) -81126dbc: 10c00005 stb r3,0(r2) -81126dc0: 11400043 ldbu r5,1(r2) -81126dc4: 10c00045 stb r3,1(r2) -81126dc8: 11400083 ldbu r5,2(r2) -81126dcc: 10c00085 stb r3,2(r2) -81126dd0: 114000c3 ldbu r5,3(r2) -81126dd4: 10c000c5 stb r3,3(r2) -81126dd8: 10c00003 ldbu r3,0(r2) -81126ddc: 11800043 ldbu r6,1(r2) -81126de0: 11400083 ldbu r5,2(r2) -81126de4: 11c000c3 ldbu r7,3(r2) -81126de8: 30803fcc andi r2,r6,255 -81126dec: 1004923a slli r2,r2,8 -81126df0: 29403fcc andi r5,r5,255 -81126df4: 280a943a slli r5,r5,16 -81126df8: 18c03fcc andi r3,r3,255 -81126dfc: 380c963a slli r6,r7,24 -81126e00: 10c6b03a or r3,r2,r3 -81126e04: 28c4b03a or r2,r5,r3 -81126e08: 00eaaaf4 movhi r3,43691 -81126e0c: 3084b03a or r2,r6,r2 -81126e10: 18eaaa84 addi r3,r3,-21846 -81126e14: 10c00126 beq r2,r3,81126e1c -81126e18: 0009883a mov r4,zero -81126e1c: 008c0034 movhi r2,12288 -81126e20: 10bfffc4 addi r2,r2,-1 -81126e24: 00c01544 movi r3,85 -81126e28: 11400003 ldbu r5,0(r2) -81126e2c: 10c00005 stb r3,0(r2) -81126e30: 11400043 ldbu r5,1(r2) -81126e34: 10c00045 stb r3,1(r2) -81126e38: 11400083 ldbu r5,2(r2) -81126e3c: 10c00085 stb r3,2(r2) -81126e40: 114000c3 ldbu r5,3(r2) -81126e44: 10c000c5 stb r3,3(r2) -81126e48: 10c00003 ldbu r3,0(r2) -81126e4c: 11800043 ldbu r6,1(r2) -81126e50: 11400083 ldbu r5,2(r2) -81126e54: 11c000c3 ldbu r7,3(r2) -81126e58: 30803fcc andi r2,r6,255 -81126e5c: 1004923a slli r2,r2,8 -81126e60: 29403fcc andi r5,r5,255 -81126e64: 280a943a slli r5,r5,16 -81126e68: 18c03fcc andi r3,r3,255 -81126e6c: 380c963a slli r6,r7,24 -81126e70: 10c6b03a or r3,r2,r3 -81126e74: 28c4b03a or r2,r5,r3 -81126e78: 00d55574 movhi r3,21845 -81126e7c: 3084b03a or r2,r6,r2 -81126e80: 18d55544 addi r3,r3,21845 -81126e84: 10c00126 beq r2,r3,81126e8c -81126e88: 0009883a mov r4,zero -81126e8c: 00900034 movhi r2,16384 -81126e90: 10bfffc4 addi r2,r2,-1 -81126e94: 00ffea84 movi r3,-86 -81126e98: 11400003 ldbu r5,0(r2) -81126e9c: 10c00005 stb r3,0(r2) -81126ea0: 11400043 ldbu r5,1(r2) -81126ea4: 10c00045 stb r3,1(r2) -81126ea8: 11400083 ldbu r5,2(r2) -81126eac: 10c00085 stb r3,2(r2) -81126eb0: 114000c3 ldbu r5,3(r2) -81126eb4: 10c000c5 stb r3,3(r2) -81126eb8: 10c00003 ldbu r3,0(r2) -81126ebc: 11800043 ldbu r6,1(r2) -81126ec0: 11400083 ldbu r5,2(r2) -81126ec4: 11c000c3 ldbu r7,3(r2) -81126ec8: 30803fcc andi r2,r6,255 -81126ecc: 1004923a slli r2,r2,8 -81126ed0: 29403fcc andi r5,r5,255 -81126ed4: 280a943a slli r5,r5,16 -81126ed8: 18c03fcc andi r3,r3,255 -81126edc: 380c963a slli r6,r7,24 -81126ee0: 10c6b03a or r3,r2,r3 -81126ee4: 28c4b03a or r2,r5,r3 -81126ee8: 00eaaaf4 movhi r3,43691 -81126eec: 3084b03a or r2,r6,r2 -81126ef0: 18eaaa84 addi r3,r3,-21846 -81126ef4: 10c00126 beq r2,r3,81126efc -81126ef8: 0009883a mov r4,zero -81126efc: 00940034 movhi r2,20480 -81126f00: 10bfffc4 addi r2,r2,-1 -81126f04: 00c01544 movi r3,85 -81126f08: 11400003 ldbu r5,0(r2) -81126f0c: 10c00005 stb r3,0(r2) -81126f10: 11400043 ldbu r5,1(r2) -81126f14: 10c00045 stb r3,1(r2) -81126f18: 11400083 ldbu r5,2(r2) -81126f1c: 10c00085 stb r3,2(r2) -81126f20: 114000c3 ldbu r5,3(r2) -81126f24: 10c000c5 stb r3,3(r2) -81126f28: 10c00003 ldbu r3,0(r2) -81126f2c: 11800043 ldbu r6,1(r2) -81126f30: 11400083 ldbu r5,2(r2) -81126f34: 11c000c3 ldbu r7,3(r2) -81126f38: 30803fcc andi r2,r6,255 -81126f3c: 1004923a slli r2,r2,8 -81126f40: 29403fcc andi r5,r5,255 -81126f44: 280a943a slli r5,r5,16 -81126f48: 18c03fcc andi r3,r3,255 -81126f4c: 380c963a slli r6,r7,24 -81126f50: 10c6b03a or r3,r2,r3 -81126f54: 28c4b03a or r2,r5,r3 -81126f58: 00d55574 movhi r3,21845 -81126f5c: 3084b03a or r2,r6,r2 -81126f60: 18d55544 addi r3,r3,21845 -81126f64: 10c00126 beq r2,r3,81126f6c -81126f68: 0009883a mov r4,zero -81126f6c: 00980034 movhi r2,24576 -81126f70: 10bfffc4 addi r2,r2,-1 -81126f74: 00ffea84 movi r3,-86 -81126f78: 11400003 ldbu r5,0(r2) -81126f7c: 10c00005 stb r3,0(r2) -81126f80: 11400043 ldbu r5,1(r2) -81126f84: 10c00045 stb r3,1(r2) -81126f88: 11400083 ldbu r5,2(r2) -81126f8c: 10c00085 stb r3,2(r2) -81126f90: 114000c3 ldbu r5,3(r2) -81126f94: 10c000c5 stb r3,3(r2) -81126f98: 10c00003 ldbu r3,0(r2) -81126f9c: 11800043 ldbu r6,1(r2) -81126fa0: 11400083 ldbu r5,2(r2) -81126fa4: 11c000c3 ldbu r7,3(r2) -81126fa8: 30803fcc andi r2,r6,255 -81126fac: 1004923a slli r2,r2,8 -81126fb0: 29403fcc andi r5,r5,255 -81126fb4: 280a943a slli r5,r5,16 -81126fb8: 18c03fcc andi r3,r3,255 -81126fbc: 380c963a slli r6,r7,24 -81126fc0: 10c6b03a or r3,r2,r3 -81126fc4: 28c4b03a or r2,r5,r3 -81126fc8: 00eaaaf4 movhi r3,43691 -81126fcc: 3084b03a or r2,r6,r2 -81126fd0: 18eaaa84 addi r3,r3,-21846 -81126fd4: 10c00126 beq r2,r3,81126fdc -81126fd8: 0009883a mov r4,zero -81126fdc: 009c0034 movhi r2,28672 -81126fe0: 10bfffc4 addi r2,r2,-1 -81126fe4: 00c01544 movi r3,85 -81126fe8: 11400003 ldbu r5,0(r2) -81126fec: 10c00005 stb r3,0(r2) -81126ff0: 11400043 ldbu r5,1(r2) -81126ff4: 10c00045 stb r3,1(r2) -81126ff8: 11400083 ldbu r5,2(r2) -81126ffc: 10c00085 stb r3,2(r2) -81127000: 114000c3 ldbu r5,3(r2) -81127004: 10c000c5 stb r3,3(r2) -81127008: 10c00003 ldbu r3,0(r2) -8112700c: 11800043 ldbu r6,1(r2) -81127010: 11400083 ldbu r5,2(r2) -81127014: 11c000c3 ldbu r7,3(r2) -81127018: 30803fcc andi r2,r6,255 -8112701c: 1004923a slli r2,r2,8 -81127020: 29403fcc andi r5,r5,255 -81127024: 280a943a slli r5,r5,16 -81127028: 18c03fcc andi r3,r3,255 -8112702c: 380c963a slli r6,r7,24 -81127030: 10c6b03a or r3,r2,r3 -81127034: 28c4b03a or r2,r5,r3 -81127038: 00d55574 movhi r3,21845 -8112703c: 3084b03a or r2,r6,r2 -81127040: 18d55544 addi r3,r3,21845 -81127044: 10c01d26 beq r2,r3,811270bc -81127048: 00a00034 movhi r2,32768 -8112704c: 10bfffc4 addi r2,r2,-1 -81127050: 00ffea84 movi r3,-86 -81127054: 11000003 ldbu r4,0(r2) -81127058: 10c00005 stb r3,0(r2) -8112705c: 11000043 ldbu r4,1(r2) -81127060: 10c00045 stb r3,1(r2) -81127064: 11000083 ldbu r4,2(r2) -81127068: 10c00085 stb r3,2(r2) -8112706c: 110000c3 ldbu r4,3(r2) -81127070: 10c000c5 stb r3,3(r2) -81127074: 10c00003 ldbu r3,0(r2) -81127078: 10c00043 ldbu r3,1(r2) -8112707c: 10c00083 ldbu r3,2(r2) -81127080: 108000c3 ldbu r2,3(r2) -81127084: d1e07917 ldw r7,-32284(gp) -81127088: 01204574 movhi r4,33045 -8112708c: 213cb004 addi r4,r4,-3392 -81127090: 01800884 movi r6,34 -81127094: 01400044 movi r5,1 -81127098: 112bc4c0 call 8112bc4c -8112709c: 0021883a mov r16,zero -811270a0: 8005883a mov r2,r16 -811270a4: dfc00117 ldw ra,4(sp) -811270a8: dc000017 ldw r16,0(sp) -811270ac: dec00204 addi sp,sp,8 -811270b0: f800283a ret -811270b4: 4109003a cmpeq r4,r8,r4 -811270b8: 003e5106 br 81126a00 <__reset+0xfb106a00> -811270bc: 00a00034 movhi r2,32768 -811270c0: 10bfffc4 addi r2,r2,-1 -811270c4: 00ffea84 movi r3,-86 -811270c8: 11400003 ldbu r5,0(r2) -811270cc: 10c00005 stb r3,0(r2) -811270d0: 11400043 ldbu r5,1(r2) -811270d4: 10c00045 stb r3,1(r2) -811270d8: 11400083 ldbu r5,2(r2) -811270dc: 10c00085 stb r3,2(r2) -811270e0: 114000c3 ldbu r5,3(r2) -811270e4: 10c000c5 stb r3,3(r2) -811270e8: 10c00003 ldbu r3,0(r2) -811270ec: 11800043 ldbu r6,1(r2) -811270f0: 11400083 ldbu r5,2(r2) -811270f4: 11c000c3 ldbu r7,3(r2) -811270f8: 30803fcc andi r2,r6,255 -811270fc: 1004923a slli r2,r2,8 -81127100: 29403fcc andi r5,r5,255 -81127104: 280a943a slli r5,r5,16 -81127108: 18c03fcc andi r3,r3,255 -8112710c: 380c963a slli r6,r7,24 -81127110: 10c6b03a or r3,r2,r3 -81127114: 28c4b03a or r2,r5,r3 -81127118: 00eaaaf4 movhi r3,43691 -8112711c: 3084b03a or r2,r6,r2 -81127120: 18eaaa84 addi r3,r3,-21846 -81127124: 10ffd71e bne r2,r3,81127084 <__reset+0xfb107084> -81127128: 203fd626 beq r4,zero,81127084 <__reset+0xfb107084> -8112712c: 0009883a mov r4,zero -81127130: 1106b180 call 81106b18 -81127134: d1e07917 ldw r7,-32284(gp) -81127138: 01204574 movhi r4,33045 -8112713c: 213caa04 addi r4,r4,-3416 -81127140: 018005c4 movi r6,23 -81127144: 01400044 movi r5,1 -81127148: 112bc4c0 call 8112bc4c -8112714c: 003fd406 br 811270a0 <__reset+0xfb1070a0> -81127150: 4109003a cmpeq r4,r8,r4 -81127154: 003f1506 br 81126dac <__reset+0xfb106dac> -81127158: 00a00034 movhi r2,32768 -8112715c: 10bfffc4 addi r2,r2,-1 -81127160: 00ffea84 movi r3,-86 -81127164: 11400003 ldbu r5,0(r2) -81127168: 10c00005 stb r3,0(r2) -8112716c: 11400043 ldbu r5,1(r2) -81127170: 10c00045 stb r3,1(r2) -81127174: 11400083 ldbu r5,2(r2) -81127178: 10c00085 stb r3,2(r2) -8112717c: 114000c3 ldbu r5,3(r2) -81127180: 10c000c5 stb r3,3(r2) -81127184: 10c00003 ldbu r3,0(r2) -81127188: 11800043 ldbu r6,1(r2) -8112718c: 11400083 ldbu r5,2(r2) -81127190: 11c000c3 ldbu r7,3(r2) -81127194: 30803fcc andi r2,r6,255 -81127198: 1004923a slli r2,r2,8 -8112719c: 29403fcc andi r5,r5,255 -811271a0: 280a943a slli r5,r5,16 -811271a4: 18c03fcc andi r3,r3,255 -811271a8: 380c963a slli r6,r7,24 -811271ac: 10c6b03a or r3,r2,r3 -811271b0: 28c4b03a or r2,r5,r3 -811271b4: 00eaaaf4 movhi r3,43691 -811271b8: 3084b03a or r2,r6,r2 -811271bc: 18eaaa84 addi r3,r3,-21846 -811271c0: 10fec51e bne r2,r3,81126cd8 <__reset+0xfb106cd8> -811271c4: 203ec426 beq r4,zero,81126cd8 <__reset+0xfb106cd8> -811271c8: 0009883a mov r4,zero -811271cc: 1106b180 call 81106b18 -811271d0: d1e07917 ldw r7,-32284(gp) -811271d4: 01204574 movhi r4,33045 -811271d8: 213c9404 addi r4,r4,-3504 -811271dc: 01800584 movi r6,22 -811271e0: 01400044 movi r5,1 -811271e4: 112bc4c0 call 8112bc4c -811271e8: 04000044 movi r16,1 -811271ec: 003ec106 br 81126cf4 <__reset+0xfb106cf4> - -811271f0 : -811271f0: defff504 addi sp,sp,-44 -811271f4: d809883a mov r4,sp -811271f8: dfc00a15 stw ra,40(sp) -811271fc: dc400915 stw r17,36(sp) -81127200: dc000815 stw r16,32(sp) -81127204: d8000015 stw zero,0(sp) -81127208: d8000115 stw zero,4(sp) -8112720c: d8000215 stw zero,8(sp) -81127210: d8000315 stw zero,12(sp) -81127214: d8000415 stw zero,16(sp) -81127218: d8000515 stw zero,20(sp) -8112721c: d8000615 stw zero,24(sp) -81127220: d8000715 stw zero,28(sp) -81127224: 11066300 call 81106630 -81127228: 01000044 movi r4,1 -8112722c: 110667c0 call 8110667c -81127230: 01a00034 movhi r6,32768 -81127234: 000b883a mov r5,zero -81127238: 0009883a mov r4,zero -8112723c: 11066c00 call 811066c0 -81127240: 10003a26 beq r2,zero,8112732c -81127244: 04000044 movi r16,1 -81127248: 00000406 br 8112725c -8112724c: 11066240 call 81106624 -81127250: 01001904 movi r4,100 -81127254: 1000031e bne r2,zero,81127264 -81127258: 113f9c40 call 8113f9c4 -8112725c: 11066180 call 81106618 -81127260: 143ffa26 beq r2,r16,8112724c <__reset+0xfb10724c> -81127264: 11066240 call 81106624 -81127268: 1000231e bne r2,zero,811272f8 -8112726c: d1e07917 ldw r7,-32284(gp) -81127270: 01204574 movhi r4,33045 -81127274: 213cb904 addi r4,r4,-3356 -81127278: 01800644 movi r6,25 -8112727c: 01400044 movi r5,1 -81127280: 112bc4c0 call 8112bc4c -81127284: 04400044 movi r17,1 -81127288: 01000044 movi r4,1 -8112728c: 110667c0 call 8110667c -81127290: 01a00034 movhi r6,32768 -81127294: 000b883a mov r5,zero -81127298: 01000044 movi r4,1 -8112729c: 11066c00 call 811066c0 -811272a0: 1021883a mov r16,r2 -811272a4: 10002326 beq r2,zero,81127334 -811272a8: 04000044 movi r16,1 -811272ac: 00000406 br 811272c0 -811272b0: 11066240 call 81106624 -811272b4: 01001904 movi r4,100 -811272b8: 1000031e bne r2,zero,811272c8 -811272bc: 113f9c40 call 8113f9c4 -811272c0: 11066180 call 81106618 -811272c4: 143ffa26 beq r2,r16,811272b0 <__reset+0xfb1072b0> -811272c8: 11066240 call 81106624 -811272cc: 10001c1e bne r2,zero,81127340 -811272d0: d1e07917 ldw r7,-32284(gp) -811272d4: 01204574 movhi r4,33045 -811272d8: 213cc904 addi r4,r4,-3292 -811272dc: 01800644 movi r6,25 -811272e0: 01400044 movi r5,1 -811272e4: 112bc4c0 call 8112bc4c -811272e8: 01000044 movi r4,1 -811272ec: 110667c0 call 8110667c -811272f0: 8821883a mov r16,r17 -811272f4: 00000706 br 81127314 -811272f8: d1e07917 ldw r7,-32284(gp) -811272fc: 01204574 movhi r4,33045 -81127300: 213cc004 addi r4,r4,-3328 -81127304: 018008c4 movi r6,35 -81127308: 01400044 movi r5,1 -8112730c: 112bc4c0 call 8112bc4c -81127310: 0021883a mov r16,zero -81127314: 8005883a mov r2,r16 -81127318: dfc00a17 ldw ra,40(sp) -8112731c: dc400917 ldw r17,36(sp) -81127320: dc000817 ldw r16,32(sp) -81127324: dec00b04 addi sp,sp,44 -81127328: f800283a ret -8112732c: 0023883a mov r17,zero -81127330: 003fd506 br 81127288 <__reset+0xfb107288> -81127334: 01000044 movi r4,1 -81127338: 110667c0 call 8110667c -8112733c: 003ff506 br 81127314 <__reset+0xfb107314> -81127340: d1e07917 ldw r7,-32284(gp) -81127344: 01204574 movhi r4,33045 -81127348: 213cd004 addi r4,r4,-3264 -8112734c: 018008c4 movi r6,35 -81127350: 01400044 movi r5,1 -81127354: 112bc4c0 call 8112bc4c -81127358: 0021883a mov r16,zero -8112735c: 003fed06 br 81127314 <__reset+0xfb107314> - -81127360 : -81127360: d1e07917 ldw r7,-32284(gp) -81127364: 01204574 movhi r4,33045 -81127368: deffff04 addi sp,sp,-4 -8112736c: 213cd904 addi r4,r4,-3228 -81127370: 01800684 movi r6,26 -81127374: 01400044 movi r5,1 -81127378: dfc00015 stw ra,0(sp) -8112737c: 112bc4c0 call 8112bc4c -81127380: 1101d600 call 81101d60 -81127384: d1e07917 ldw r7,-32284(gp) -81127388: 10004926 beq r2,zero,811274b0 -8112738c: 01204574 movhi r4,33045 -81127390: 213cf004 addi r4,r4,-3136 -81127394: 01800944 movi r6,37 -81127398: 01400044 movi r5,1 -8112739c: 112bc4c0 call 8112bc4c -811273a0: 1101d680 call 81101d68 -811273a4: d1e07917 ldw r7,-32284(gp) -811273a8: 10003826 beq r2,zero,8112748c -811273ac: 01204574 movhi r4,33045 -811273b0: 213d0a04 addi r4,r4,-3032 -811273b4: 01800944 movi r6,37 -811273b8: 01400044 movi r5,1 -811273bc: 112bc4c0 call 8112bc4c -811273c0: 1101d700 call 81101d70 +8112688c: 11075080 call 81107508 +81126890: 8009883a mov r4,r16 +81126894: 11075180 call 81107518 +81126898: 8009883a mov r4,r16 +8112689c: 11075280 call 81107528 +811268a0: 8009883a mov r4,r16 +811268a4: 11075380 call 81107538 +811268a8: 8009883a mov r4,r16 +811268ac: 11073f80 call 811073f8 +811268b0: 8009883a mov r4,r16 +811268b4: 11074080 call 81107408 +811268b8: 8009883a mov r4,r16 +811268bc: 11074180 call 81107418 +811268c0: 8009883a mov r4,r16 +811268c4: 11074c80 call 811074c8 +811268c8: 8009883a mov r4,r16 +811268cc: 11074d80 call 811074d8 +811268d0: 8805883a mov r2,r17 +811268d4: dfc00217 ldw ra,8(sp) +811268d8: dc400117 ldw r17,4(sp) +811268dc: dc000017 ldw r16,0(sp) +811268e0: dec00304 addi sp,sp,12 +811268e4: f800283a ret + +811268e8 : +811268e8: 11071641 jmpi 81107164 + +811268ec : +811268ec: d1e07917 ldw r7,-32284(gp) +811268f0: 01204574 movhi r4,33045 +811268f4: defffe04 addi sp,sp,-8 +811268f8: 018005c4 movi r6,23 +811268fc: 01400044 movi r5,1 +81126900: 213c7204 addi r4,r4,-3640 +81126904: dfc00115 stw ra,4(sp) +81126908: dc000015 stw r16,0(sp) +8112690c: 112bc8c0 call 8112bc8c +81126910: 1105a780 call 81105a78 +81126914: 010000b4 movhi r4,2 +81126918: 2121a804 addi r4,r4,-31072 +8112691c: 113fa040 call 8113fa04 +81126920: 1105bdc0 call 81105bdc +81126924: 11075880 call 81107588 +81126928: 1021883a mov r16,r2 +8112692c: 1105bf40 call 81105bf4 +81126930: 1105a9c0 call 81105a9c +81126934: d1e07917 ldw r7,-32284(gp) +81126938: 80000a26 beq r16,zero,81126964 +8112693c: 01204574 movhi r4,33045 +81126940: 213c9504 addi r4,r4,-3500 +81126944: 018008c4 movi r6,35 +81126948: 01400044 movi r5,1 +8112694c: 112bc8c0 call 8112bc8c +81126950: 8005883a mov r2,r16 +81126954: dfc00117 ldw ra,4(sp) +81126958: dc000017 ldw r16,0(sp) +8112695c: dec00204 addi sp,sp,8 +81126960: f800283a ret +81126964: 01204574 movhi r4,33045 +81126968: 213c7804 addi r4,r4,-3616 +8112696c: 01801c44 movi r6,113 +81126970: 01400044 movi r5,1 +81126974: 112bc8c0 call 8112bc8c +81126978: 003ff506 br 81126950 <__reset+0xfb106950> + +8112697c : +8112697c: d1e07917 ldw r7,-32284(gp) +81126980: 01204574 movhi r4,33045 +81126984: defffe04 addi sp,sp,-8 +81126988: 018006c4 movi r6,27 +8112698c: 01400044 movi r5,1 +81126990: 213c9e04 addi r4,r4,-3464 +81126994: dfc00115 stw ra,4(sp) +81126998: dc000015 stw r16,0(sp) +8112699c: 112bc8c0 call 8112bc8c +811269a0: 010003f4 movhi r4,15 +811269a4: 21109004 addi r4,r4,16960 +811269a8: 113fa040 call 8113fa04 +811269ac: 0009883a mov r4,zero +811269b0: 1100b080 call 81100b08 +811269b4: 0009883a mov r4,zero +811269b8: 1106b6c0 call 81106b6c +811269bc: 012aaaf4 movhi r4,43691 +811269c0: 00840034 movhi r2,4096 +811269c4: 000b883a mov r5,zero +811269c8: 212aaa84 addi r4,r4,-21846 +811269cc: 10bfffc4 addi r2,r2,-1 +811269d0: 29000015 stw r4,0(r5) +811269d4: 00c01544 movi r3,85 +811269d8: 2a000017 ldw r8,0(r5) +811269dc: 11400003 ldbu r5,0(r2) +811269e0: 10c00005 stb r3,0(r2) +811269e4: 11400043 ldbu r5,1(r2) +811269e8: 10c00045 stb r3,1(r2) +811269ec: 11400083 ldbu r5,2(r2) +811269f0: 10c00085 stb r3,2(r2) +811269f4: 114000c3 ldbu r5,3(r2) +811269f8: 10c000c5 stb r3,3(r2) +811269fc: 10c00003 ldbu r3,0(r2) +81126a00: 11800043 ldbu r6,1(r2) +81126a04: 11400083 ldbu r5,2(r2) +81126a08: 11c000c3 ldbu r7,3(r2) +81126a0c: 30803fcc andi r2,r6,255 +81126a10: 1004923a slli r2,r2,8 +81126a14: 29403fcc andi r5,r5,255 +81126a18: 280a943a slli r5,r5,16 +81126a1c: 18c03fcc andi r3,r3,255 +81126a20: 380c963a slli r6,r7,24 +81126a24: 10c6b03a or r3,r2,r3 +81126a28: 28c4b03a or r2,r5,r3 +81126a2c: 00d55574 movhi r3,21845 +81126a30: 3084b03a or r2,r6,r2 +81126a34: 18d55544 addi r3,r3,21845 +81126a38: 10c1ae26 beq r2,r3,811270f4 +81126a3c: 0009883a mov r4,zero +81126a40: 00880034 movhi r2,8192 +81126a44: 10bfffc4 addi r2,r2,-1 +81126a48: 00ffea84 movi r3,-86 +81126a4c: 11400003 ldbu r5,0(r2) +81126a50: 10c00005 stb r3,0(r2) +81126a54: 11400043 ldbu r5,1(r2) +81126a58: 10c00045 stb r3,1(r2) +81126a5c: 11400083 ldbu r5,2(r2) +81126a60: 10c00085 stb r3,2(r2) +81126a64: 114000c3 ldbu r5,3(r2) +81126a68: 10c000c5 stb r3,3(r2) +81126a6c: 10c00003 ldbu r3,0(r2) +81126a70: 11800043 ldbu r6,1(r2) +81126a74: 11400083 ldbu r5,2(r2) +81126a78: 11c000c3 ldbu r7,3(r2) +81126a7c: 30803fcc andi r2,r6,255 +81126a80: 1004923a slli r2,r2,8 +81126a84: 29403fcc andi r5,r5,255 +81126a88: 280a943a slli r5,r5,16 +81126a8c: 18c03fcc andi r3,r3,255 +81126a90: 380c963a slli r6,r7,24 +81126a94: 10c6b03a or r3,r2,r3 +81126a98: 28c4b03a or r2,r5,r3 +81126a9c: 00eaaaf4 movhi r3,43691 +81126aa0: 3084b03a or r2,r6,r2 +81126aa4: 18eaaa84 addi r3,r3,-21846 +81126aa8: 10c00126 beq r2,r3,81126ab0 +81126aac: 0009883a mov r4,zero +81126ab0: 008c0034 movhi r2,12288 +81126ab4: 10bfffc4 addi r2,r2,-1 +81126ab8: 00c01544 movi r3,85 +81126abc: 11400003 ldbu r5,0(r2) +81126ac0: 10c00005 stb r3,0(r2) +81126ac4: 11400043 ldbu r5,1(r2) +81126ac8: 10c00045 stb r3,1(r2) +81126acc: 11400083 ldbu r5,2(r2) +81126ad0: 10c00085 stb r3,2(r2) +81126ad4: 114000c3 ldbu r5,3(r2) +81126ad8: 10c000c5 stb r3,3(r2) +81126adc: 10c00003 ldbu r3,0(r2) +81126ae0: 11800043 ldbu r6,1(r2) +81126ae4: 11400083 ldbu r5,2(r2) +81126ae8: 11c000c3 ldbu r7,3(r2) +81126aec: 30803fcc andi r2,r6,255 +81126af0: 1004923a slli r2,r2,8 +81126af4: 29403fcc andi r5,r5,255 +81126af8: 280a943a slli r5,r5,16 +81126afc: 18c03fcc andi r3,r3,255 +81126b00: 380c963a slli r6,r7,24 +81126b04: 10c6b03a or r3,r2,r3 +81126b08: 28c4b03a or r2,r5,r3 +81126b0c: 00d55574 movhi r3,21845 +81126b10: 3084b03a or r2,r6,r2 +81126b14: 18d55544 addi r3,r3,21845 +81126b18: 10c00126 beq r2,r3,81126b20 +81126b1c: 0009883a mov r4,zero +81126b20: 00900034 movhi r2,16384 +81126b24: 10bfffc4 addi r2,r2,-1 +81126b28: 00ffea84 movi r3,-86 +81126b2c: 11400003 ldbu r5,0(r2) +81126b30: 10c00005 stb r3,0(r2) +81126b34: 11400043 ldbu r5,1(r2) +81126b38: 10c00045 stb r3,1(r2) +81126b3c: 11400083 ldbu r5,2(r2) +81126b40: 10c00085 stb r3,2(r2) +81126b44: 114000c3 ldbu r5,3(r2) +81126b48: 10c000c5 stb r3,3(r2) +81126b4c: 10c00003 ldbu r3,0(r2) +81126b50: 11800043 ldbu r6,1(r2) +81126b54: 11400083 ldbu r5,2(r2) +81126b58: 11c000c3 ldbu r7,3(r2) +81126b5c: 30803fcc andi r2,r6,255 +81126b60: 1004923a slli r2,r2,8 +81126b64: 29403fcc andi r5,r5,255 +81126b68: 280a943a slli r5,r5,16 +81126b6c: 18c03fcc andi r3,r3,255 +81126b70: 380c963a slli r6,r7,24 +81126b74: 10c6b03a or r3,r2,r3 +81126b78: 28c4b03a or r2,r5,r3 +81126b7c: 00eaaaf4 movhi r3,43691 +81126b80: 3084b03a or r2,r6,r2 +81126b84: 18eaaa84 addi r3,r3,-21846 +81126b88: 10c00126 beq r2,r3,81126b90 +81126b8c: 0009883a mov r4,zero +81126b90: 00940034 movhi r2,20480 +81126b94: 10bfffc4 addi r2,r2,-1 +81126b98: 00c01544 movi r3,85 +81126b9c: 11400003 ldbu r5,0(r2) +81126ba0: 10c00005 stb r3,0(r2) +81126ba4: 11400043 ldbu r5,1(r2) +81126ba8: 10c00045 stb r3,1(r2) +81126bac: 11400083 ldbu r5,2(r2) +81126bb0: 10c00085 stb r3,2(r2) +81126bb4: 114000c3 ldbu r5,3(r2) +81126bb8: 10c000c5 stb r3,3(r2) +81126bbc: 10c00003 ldbu r3,0(r2) +81126bc0: 11800043 ldbu r6,1(r2) +81126bc4: 11400083 ldbu r5,2(r2) +81126bc8: 11c000c3 ldbu r7,3(r2) +81126bcc: 30803fcc andi r2,r6,255 +81126bd0: 1004923a slli r2,r2,8 +81126bd4: 29403fcc andi r5,r5,255 +81126bd8: 280a943a slli r5,r5,16 +81126bdc: 18c03fcc andi r3,r3,255 +81126be0: 380c963a slli r6,r7,24 +81126be4: 10c6b03a or r3,r2,r3 +81126be8: 28c4b03a or r2,r5,r3 +81126bec: 00d55574 movhi r3,21845 +81126bf0: 3084b03a or r2,r6,r2 +81126bf4: 18d55544 addi r3,r3,21845 +81126bf8: 10c00126 beq r2,r3,81126c00 +81126bfc: 0009883a mov r4,zero +81126c00: 00980034 movhi r2,24576 +81126c04: 10bfffc4 addi r2,r2,-1 +81126c08: 00ffea84 movi r3,-86 +81126c0c: 11400003 ldbu r5,0(r2) +81126c10: 10c00005 stb r3,0(r2) +81126c14: 11400043 ldbu r5,1(r2) +81126c18: 10c00045 stb r3,1(r2) +81126c1c: 11400083 ldbu r5,2(r2) +81126c20: 10c00085 stb r3,2(r2) +81126c24: 114000c3 ldbu r5,3(r2) +81126c28: 10c000c5 stb r3,3(r2) +81126c2c: 10c00003 ldbu r3,0(r2) +81126c30: 11800043 ldbu r6,1(r2) +81126c34: 11400083 ldbu r5,2(r2) +81126c38: 11c000c3 ldbu r7,3(r2) +81126c3c: 30803fcc andi r2,r6,255 +81126c40: 1004923a slli r2,r2,8 +81126c44: 29403fcc andi r5,r5,255 +81126c48: 280a943a slli r5,r5,16 +81126c4c: 18c03fcc andi r3,r3,255 +81126c50: 380c963a slli r6,r7,24 +81126c54: 10c6b03a or r3,r2,r3 +81126c58: 28c4b03a or r2,r5,r3 +81126c5c: 00eaaaf4 movhi r3,43691 +81126c60: 3084b03a or r2,r6,r2 +81126c64: 18eaaa84 addi r3,r3,-21846 +81126c68: 10c00126 beq r2,r3,81126c70 +81126c6c: 0009883a mov r4,zero +81126c70: 009c0034 movhi r2,28672 +81126c74: 10bfffc4 addi r2,r2,-1 +81126c78: 00c01544 movi r3,85 +81126c7c: 11400003 ldbu r5,0(r2) +81126c80: 10c00005 stb r3,0(r2) +81126c84: 11400043 ldbu r5,1(r2) +81126c88: 10c00045 stb r3,1(r2) +81126c8c: 11400083 ldbu r5,2(r2) +81126c90: 10c00085 stb r3,2(r2) +81126c94: 114000c3 ldbu r5,3(r2) +81126c98: 10c000c5 stb r3,3(r2) +81126c9c: 10c00003 ldbu r3,0(r2) +81126ca0: 11800043 ldbu r6,1(r2) +81126ca4: 11400083 ldbu r5,2(r2) +81126ca8: 11c000c3 ldbu r7,3(r2) +81126cac: 30803fcc andi r2,r6,255 +81126cb0: 1004923a slli r2,r2,8 +81126cb4: 29403fcc andi r5,r5,255 +81126cb8: 280a943a slli r5,r5,16 +81126cbc: 18c03fcc andi r3,r3,255 +81126cc0: 380c963a slli r6,r7,24 +81126cc4: 10c6b03a or r3,r2,r3 +81126cc8: 28c4b03a or r2,r5,r3 +81126ccc: 00d55574 movhi r3,21845 +81126cd0: 3084b03a or r2,r6,r2 +81126cd4: 18d55544 addi r3,r3,21845 +81126cd8: 10c12f26 beq r2,r3,81127198 +81126cdc: 00a00034 movhi r2,32768 +81126ce0: 10bfffc4 addi r2,r2,-1 +81126ce4: 00ffea84 movi r3,-86 +81126ce8: 11000003 ldbu r4,0(r2) +81126cec: 10c00005 stb r3,0(r2) +81126cf0: 11000043 ldbu r4,1(r2) +81126cf4: 10c00045 stb r3,1(r2) +81126cf8: 11000083 ldbu r4,2(r2) +81126cfc: 10c00085 stb r3,2(r2) +81126d00: 110000c3 ldbu r4,3(r2) +81126d04: 10c000c5 stb r3,3(r2) +81126d08: 10c00003 ldbu r3,0(r2) +81126d0c: 10c00043 ldbu r3,1(r2) +81126d10: 10c00083 ldbu r3,2(r2) +81126d14: 108000c3 ldbu r2,3(r2) +81126d18: d1e07917 ldw r7,-32284(gp) +81126d1c: 01204574 movhi r4,33045 +81126d20: 213cab04 addi r4,r4,-3412 +81126d24: 01800844 movi r6,33 +81126d28: 01400044 movi r5,1 +81126d2c: 112bc8c0 call 8112bc8c +81126d30: 0021883a mov r16,zero +81126d34: d1e07917 ldw r7,-32284(gp) +81126d38: 01204574 movhi r4,33045 +81126d3c: 018006c4 movi r6,27 +81126d40: 01400044 movi r5,1 +81126d44: 213cb404 addi r4,r4,-3376 +81126d48: 112bc8c0 call 8112bc8c +81126d4c: 010003f4 movhi r4,15 +81126d50: 21109004 addi r4,r4,16960 +81126d54: 113fa040 call 8113fa04 +81126d58: 01000044 movi r4,1 +81126d5c: 1100b080 call 81100b08 +81126d60: 0009883a mov r4,zero +81126d64: 1106b6c0 call 81106b6c +81126d68: 012aaaf4 movhi r4,43691 +81126d6c: 00840034 movhi r2,4096 +81126d70: 000b883a mov r5,zero +81126d74: 212aaa84 addi r4,r4,-21846 +81126d78: 10bfffc4 addi r2,r2,-1 +81126d7c: 29000015 stw r4,0(r5) +81126d80: 00c01544 movi r3,85 +81126d84: 2a000017 ldw r8,0(r5) +81126d88: 11400003 ldbu r5,0(r2) +81126d8c: 10c00005 stb r3,0(r2) +81126d90: 11400043 ldbu r5,1(r2) +81126d94: 10c00045 stb r3,1(r2) +81126d98: 11400083 ldbu r5,2(r2) +81126d9c: 10c00085 stb r3,2(r2) +81126da0: 114000c3 ldbu r5,3(r2) +81126da4: 10c000c5 stb r3,3(r2) +81126da8: 10c00003 ldbu r3,0(r2) +81126dac: 11800043 ldbu r6,1(r2) +81126db0: 11400083 ldbu r5,2(r2) +81126db4: 11c000c3 ldbu r7,3(r2) +81126db8: 30803fcc andi r2,r6,255 +81126dbc: 1004923a slli r2,r2,8 +81126dc0: 29403fcc andi r5,r5,255 +81126dc4: 280a943a slli r5,r5,16 +81126dc8: 18c03fcc andi r3,r3,255 +81126dcc: 380c963a slli r6,r7,24 +81126dd0: 10c6b03a or r3,r2,r3 +81126dd4: 28c4b03a or r2,r5,r3 +81126dd8: 00d55574 movhi r3,21845 +81126ddc: 3084b03a or r2,r6,r2 +81126de0: 18d55544 addi r3,r3,21845 +81126de4: 10c0ea26 beq r2,r3,81127190 +81126de8: 0009883a mov r4,zero +81126dec: 00880034 movhi r2,8192 +81126df0: 10bfffc4 addi r2,r2,-1 +81126df4: 00ffea84 movi r3,-86 +81126df8: 11400003 ldbu r5,0(r2) +81126dfc: 10c00005 stb r3,0(r2) +81126e00: 11400043 ldbu r5,1(r2) +81126e04: 10c00045 stb r3,1(r2) +81126e08: 11400083 ldbu r5,2(r2) +81126e0c: 10c00085 stb r3,2(r2) +81126e10: 114000c3 ldbu r5,3(r2) +81126e14: 10c000c5 stb r3,3(r2) +81126e18: 10c00003 ldbu r3,0(r2) +81126e1c: 11800043 ldbu r6,1(r2) +81126e20: 11400083 ldbu r5,2(r2) +81126e24: 11c000c3 ldbu r7,3(r2) +81126e28: 30803fcc andi r2,r6,255 +81126e2c: 1004923a slli r2,r2,8 +81126e30: 29403fcc andi r5,r5,255 +81126e34: 280a943a slli r5,r5,16 +81126e38: 18c03fcc andi r3,r3,255 +81126e3c: 380c963a slli r6,r7,24 +81126e40: 10c6b03a or r3,r2,r3 +81126e44: 28c4b03a or r2,r5,r3 +81126e48: 00eaaaf4 movhi r3,43691 +81126e4c: 3084b03a or r2,r6,r2 +81126e50: 18eaaa84 addi r3,r3,-21846 +81126e54: 10c00126 beq r2,r3,81126e5c +81126e58: 0009883a mov r4,zero +81126e5c: 008c0034 movhi r2,12288 +81126e60: 10bfffc4 addi r2,r2,-1 +81126e64: 00c01544 movi r3,85 +81126e68: 11400003 ldbu r5,0(r2) +81126e6c: 10c00005 stb r3,0(r2) +81126e70: 11400043 ldbu r5,1(r2) +81126e74: 10c00045 stb r3,1(r2) +81126e78: 11400083 ldbu r5,2(r2) +81126e7c: 10c00085 stb r3,2(r2) +81126e80: 114000c3 ldbu r5,3(r2) +81126e84: 10c000c5 stb r3,3(r2) +81126e88: 10c00003 ldbu r3,0(r2) +81126e8c: 11800043 ldbu r6,1(r2) +81126e90: 11400083 ldbu r5,2(r2) +81126e94: 11c000c3 ldbu r7,3(r2) +81126e98: 30803fcc andi r2,r6,255 +81126e9c: 1004923a slli r2,r2,8 +81126ea0: 29403fcc andi r5,r5,255 +81126ea4: 280a943a slli r5,r5,16 +81126ea8: 18c03fcc andi r3,r3,255 +81126eac: 380c963a slli r6,r7,24 +81126eb0: 10c6b03a or r3,r2,r3 +81126eb4: 28c4b03a or r2,r5,r3 +81126eb8: 00d55574 movhi r3,21845 +81126ebc: 3084b03a or r2,r6,r2 +81126ec0: 18d55544 addi r3,r3,21845 +81126ec4: 10c00126 beq r2,r3,81126ecc +81126ec8: 0009883a mov r4,zero +81126ecc: 00900034 movhi r2,16384 +81126ed0: 10bfffc4 addi r2,r2,-1 +81126ed4: 00ffea84 movi r3,-86 +81126ed8: 11400003 ldbu r5,0(r2) +81126edc: 10c00005 stb r3,0(r2) +81126ee0: 11400043 ldbu r5,1(r2) +81126ee4: 10c00045 stb r3,1(r2) +81126ee8: 11400083 ldbu r5,2(r2) +81126eec: 10c00085 stb r3,2(r2) +81126ef0: 114000c3 ldbu r5,3(r2) +81126ef4: 10c000c5 stb r3,3(r2) +81126ef8: 10c00003 ldbu r3,0(r2) +81126efc: 11800043 ldbu r6,1(r2) +81126f00: 11400083 ldbu r5,2(r2) +81126f04: 11c000c3 ldbu r7,3(r2) +81126f08: 30803fcc andi r2,r6,255 +81126f0c: 1004923a slli r2,r2,8 +81126f10: 29403fcc andi r5,r5,255 +81126f14: 280a943a slli r5,r5,16 +81126f18: 18c03fcc andi r3,r3,255 +81126f1c: 380c963a slli r6,r7,24 +81126f20: 10c6b03a or r3,r2,r3 +81126f24: 28c4b03a or r2,r5,r3 +81126f28: 00eaaaf4 movhi r3,43691 +81126f2c: 3084b03a or r2,r6,r2 +81126f30: 18eaaa84 addi r3,r3,-21846 +81126f34: 10c00126 beq r2,r3,81126f3c +81126f38: 0009883a mov r4,zero +81126f3c: 00940034 movhi r2,20480 +81126f40: 10bfffc4 addi r2,r2,-1 +81126f44: 00c01544 movi r3,85 +81126f48: 11400003 ldbu r5,0(r2) +81126f4c: 10c00005 stb r3,0(r2) +81126f50: 11400043 ldbu r5,1(r2) +81126f54: 10c00045 stb r3,1(r2) +81126f58: 11400083 ldbu r5,2(r2) +81126f5c: 10c00085 stb r3,2(r2) +81126f60: 114000c3 ldbu r5,3(r2) +81126f64: 10c000c5 stb r3,3(r2) +81126f68: 10c00003 ldbu r3,0(r2) +81126f6c: 11800043 ldbu r6,1(r2) +81126f70: 11400083 ldbu r5,2(r2) +81126f74: 11c000c3 ldbu r7,3(r2) +81126f78: 30803fcc andi r2,r6,255 +81126f7c: 1004923a slli r2,r2,8 +81126f80: 29403fcc andi r5,r5,255 +81126f84: 280a943a slli r5,r5,16 +81126f88: 18c03fcc andi r3,r3,255 +81126f8c: 380c963a slli r6,r7,24 +81126f90: 10c6b03a or r3,r2,r3 +81126f94: 28c4b03a or r2,r5,r3 +81126f98: 00d55574 movhi r3,21845 +81126f9c: 3084b03a or r2,r6,r2 +81126fa0: 18d55544 addi r3,r3,21845 +81126fa4: 10c00126 beq r2,r3,81126fac +81126fa8: 0009883a mov r4,zero +81126fac: 00980034 movhi r2,24576 +81126fb0: 10bfffc4 addi r2,r2,-1 +81126fb4: 00ffea84 movi r3,-86 +81126fb8: 11400003 ldbu r5,0(r2) +81126fbc: 10c00005 stb r3,0(r2) +81126fc0: 11400043 ldbu r5,1(r2) +81126fc4: 10c00045 stb r3,1(r2) +81126fc8: 11400083 ldbu r5,2(r2) +81126fcc: 10c00085 stb r3,2(r2) +81126fd0: 114000c3 ldbu r5,3(r2) +81126fd4: 10c000c5 stb r3,3(r2) +81126fd8: 10c00003 ldbu r3,0(r2) +81126fdc: 11800043 ldbu r6,1(r2) +81126fe0: 11400083 ldbu r5,2(r2) +81126fe4: 11c000c3 ldbu r7,3(r2) +81126fe8: 30803fcc andi r2,r6,255 +81126fec: 1004923a slli r2,r2,8 +81126ff0: 29403fcc andi r5,r5,255 +81126ff4: 280a943a slli r5,r5,16 +81126ff8: 18c03fcc andi r3,r3,255 +81126ffc: 380c963a slli r6,r7,24 +81127000: 10c6b03a or r3,r2,r3 +81127004: 28c4b03a or r2,r5,r3 +81127008: 00eaaaf4 movhi r3,43691 +8112700c: 3084b03a or r2,r6,r2 +81127010: 18eaaa84 addi r3,r3,-21846 +81127014: 10c00126 beq r2,r3,8112701c +81127018: 0009883a mov r4,zero +8112701c: 009c0034 movhi r2,28672 +81127020: 10bfffc4 addi r2,r2,-1 +81127024: 00c01544 movi r3,85 +81127028: 11400003 ldbu r5,0(r2) +8112702c: 10c00005 stb r3,0(r2) +81127030: 11400043 ldbu r5,1(r2) +81127034: 10c00045 stb r3,1(r2) +81127038: 11400083 ldbu r5,2(r2) +8112703c: 10c00085 stb r3,2(r2) +81127040: 114000c3 ldbu r5,3(r2) +81127044: 10c000c5 stb r3,3(r2) +81127048: 10c00003 ldbu r3,0(r2) +8112704c: 11800043 ldbu r6,1(r2) +81127050: 11400083 ldbu r5,2(r2) +81127054: 11c000c3 ldbu r7,3(r2) +81127058: 30803fcc andi r2,r6,255 +8112705c: 1004923a slli r2,r2,8 +81127060: 29403fcc andi r5,r5,255 +81127064: 280a943a slli r5,r5,16 +81127068: 18c03fcc andi r3,r3,255 +8112706c: 380c963a slli r6,r7,24 +81127070: 10c6b03a or r3,r2,r3 +81127074: 28c4b03a or r2,r5,r3 +81127078: 00d55574 movhi r3,21845 +8112707c: 3084b03a or r2,r6,r2 +81127080: 18d55544 addi r3,r3,21845 +81127084: 10c01d26 beq r2,r3,811270fc +81127088: 00a00034 movhi r2,32768 +8112708c: 10bfffc4 addi r2,r2,-1 +81127090: 00ffea84 movi r3,-86 +81127094: 11000003 ldbu r4,0(r2) +81127098: 10c00005 stb r3,0(r2) +8112709c: 11000043 ldbu r4,1(r2) +811270a0: 10c00045 stb r3,1(r2) +811270a4: 11000083 ldbu r4,2(r2) +811270a8: 10c00085 stb r3,2(r2) +811270ac: 110000c3 ldbu r4,3(r2) +811270b0: 10c000c5 stb r3,3(r2) +811270b4: 10c00003 ldbu r3,0(r2) +811270b8: 10c00043 ldbu r3,1(r2) +811270bc: 10c00083 ldbu r3,2(r2) +811270c0: 108000c3 ldbu r2,3(r2) +811270c4: d1e07917 ldw r7,-32284(gp) +811270c8: 01204574 movhi r4,33045 +811270cc: 213cc104 addi r4,r4,-3324 +811270d0: 01800884 movi r6,34 +811270d4: 01400044 movi r5,1 +811270d8: 112bc8c0 call 8112bc8c +811270dc: 0021883a mov r16,zero +811270e0: 8005883a mov r2,r16 +811270e4: dfc00117 ldw ra,4(sp) +811270e8: dc000017 ldw r16,0(sp) +811270ec: dec00204 addi sp,sp,8 +811270f0: f800283a ret +811270f4: 4109003a cmpeq r4,r8,r4 +811270f8: 003e5106 br 81126a40 <__reset+0xfb106a40> +811270fc: 00a00034 movhi r2,32768 +81127100: 10bfffc4 addi r2,r2,-1 +81127104: 00ffea84 movi r3,-86 +81127108: 11400003 ldbu r5,0(r2) +8112710c: 10c00005 stb r3,0(r2) +81127110: 11400043 ldbu r5,1(r2) +81127114: 10c00045 stb r3,1(r2) +81127118: 11400083 ldbu r5,2(r2) +8112711c: 10c00085 stb r3,2(r2) +81127120: 114000c3 ldbu r5,3(r2) +81127124: 10c000c5 stb r3,3(r2) +81127128: 10c00003 ldbu r3,0(r2) +8112712c: 11800043 ldbu r6,1(r2) +81127130: 11400083 ldbu r5,2(r2) +81127134: 11c000c3 ldbu r7,3(r2) +81127138: 30803fcc andi r2,r6,255 +8112713c: 1004923a slli r2,r2,8 +81127140: 29403fcc andi r5,r5,255 +81127144: 280a943a slli r5,r5,16 +81127148: 18c03fcc andi r3,r3,255 +8112714c: 380c963a slli r6,r7,24 +81127150: 10c6b03a or r3,r2,r3 +81127154: 28c4b03a or r2,r5,r3 +81127158: 00eaaaf4 movhi r3,43691 +8112715c: 3084b03a or r2,r6,r2 +81127160: 18eaaa84 addi r3,r3,-21846 +81127164: 10ffd71e bne r2,r3,811270c4 <__reset+0xfb1070c4> +81127168: 203fd626 beq r4,zero,811270c4 <__reset+0xfb1070c4> +8112716c: 0009883a mov r4,zero +81127170: 1106b4c0 call 81106b4c +81127174: d1e07917 ldw r7,-32284(gp) +81127178: 01204574 movhi r4,33045 +8112717c: 213cbb04 addi r4,r4,-3348 +81127180: 018005c4 movi r6,23 +81127184: 01400044 movi r5,1 +81127188: 112bc8c0 call 8112bc8c +8112718c: 003fd406 br 811270e0 <__reset+0xfb1070e0> +81127190: 4109003a cmpeq r4,r8,r4 +81127194: 003f1506 br 81126dec <__reset+0xfb106dec> +81127198: 00a00034 movhi r2,32768 +8112719c: 10bfffc4 addi r2,r2,-1 +811271a0: 00ffea84 movi r3,-86 +811271a4: 11400003 ldbu r5,0(r2) +811271a8: 10c00005 stb r3,0(r2) +811271ac: 11400043 ldbu r5,1(r2) +811271b0: 10c00045 stb r3,1(r2) +811271b4: 11400083 ldbu r5,2(r2) +811271b8: 10c00085 stb r3,2(r2) +811271bc: 114000c3 ldbu r5,3(r2) +811271c0: 10c000c5 stb r3,3(r2) +811271c4: 10c00003 ldbu r3,0(r2) +811271c8: 11800043 ldbu r6,1(r2) +811271cc: 11400083 ldbu r5,2(r2) +811271d0: 11c000c3 ldbu r7,3(r2) +811271d4: 30803fcc andi r2,r6,255 +811271d8: 1004923a slli r2,r2,8 +811271dc: 29403fcc andi r5,r5,255 +811271e0: 280a943a slli r5,r5,16 +811271e4: 18c03fcc andi r3,r3,255 +811271e8: 380c963a slli r6,r7,24 +811271ec: 10c6b03a or r3,r2,r3 +811271f0: 28c4b03a or r2,r5,r3 +811271f4: 00eaaaf4 movhi r3,43691 +811271f8: 3084b03a or r2,r6,r2 +811271fc: 18eaaa84 addi r3,r3,-21846 +81127200: 10fec51e bne r2,r3,81126d18 <__reset+0xfb106d18> +81127204: 203ec426 beq r4,zero,81126d18 <__reset+0xfb106d18> +81127208: 0009883a mov r4,zero +8112720c: 1106b4c0 call 81106b4c +81127210: d1e07917 ldw r7,-32284(gp) +81127214: 01204574 movhi r4,33045 +81127218: 213ca504 addi r4,r4,-3436 +8112721c: 01800584 movi r6,22 +81127220: 01400044 movi r5,1 +81127224: 112bc8c0 call 8112bc8c +81127228: 04000044 movi r16,1 +8112722c: 003ec106 br 81126d34 <__reset+0xfb106d34> + +81127230 : +81127230: defff504 addi sp,sp,-44 +81127234: d809883a mov r4,sp +81127238: dfc00a15 stw ra,40(sp) +8112723c: dc400915 stw r17,36(sp) +81127240: dc000815 stw r16,32(sp) +81127244: d8000015 stw zero,0(sp) +81127248: d8000115 stw zero,4(sp) +8112724c: d8000215 stw zero,8(sp) +81127250: d8000315 stw zero,12(sp) +81127254: d8000415 stw zero,16(sp) +81127258: d8000515 stw zero,20(sp) +8112725c: d8000615 stw zero,24(sp) +81127260: d8000715 stw zero,28(sp) +81127264: 11066640 call 81106664 +81127268: 01000044 movi r4,1 +8112726c: 11066b00 call 811066b0 +81127270: 01a00034 movhi r6,32768 +81127274: 000b883a mov r5,zero +81127278: 0009883a mov r4,zero +8112727c: 11066f40 call 811066f4 +81127280: 10003a26 beq r2,zero,8112736c +81127284: 04000044 movi r16,1 +81127288: 00000406 br 8112729c +8112728c: 11066580 call 81106658 +81127290: 01001904 movi r4,100 +81127294: 1000031e bne r2,zero,811272a4 +81127298: 113fa040 call 8113fa04 +8112729c: 110664c0 call 8110664c +811272a0: 143ffa26 beq r2,r16,8112728c <__reset+0xfb10728c> +811272a4: 11066580 call 81106658 +811272a8: 1000231e bne r2,zero,81127338 +811272ac: d1e07917 ldw r7,-32284(gp) +811272b0: 01204574 movhi r4,33045 +811272b4: 213cca04 addi r4,r4,-3288 +811272b8: 01800644 movi r6,25 +811272bc: 01400044 movi r5,1 +811272c0: 112bc8c0 call 8112bc8c +811272c4: 04400044 movi r17,1 +811272c8: 01000044 movi r4,1 +811272cc: 11066b00 call 811066b0 +811272d0: 01a00034 movhi r6,32768 +811272d4: 000b883a mov r5,zero +811272d8: 01000044 movi r4,1 +811272dc: 11066f40 call 811066f4 +811272e0: 1021883a mov r16,r2 +811272e4: 10002326 beq r2,zero,81127374 +811272e8: 04000044 movi r16,1 +811272ec: 00000406 br 81127300 +811272f0: 11066580 call 81106658 +811272f4: 01001904 movi r4,100 +811272f8: 1000031e bne r2,zero,81127308 +811272fc: 113fa040 call 8113fa04 +81127300: 110664c0 call 8110664c +81127304: 143ffa26 beq r2,r16,811272f0 <__reset+0xfb1072f0> +81127308: 11066580 call 81106658 +8112730c: 10001c1e bne r2,zero,81127380 +81127310: d1e07917 ldw r7,-32284(gp) +81127314: 01204574 movhi r4,33045 +81127318: 213cda04 addi r4,r4,-3224 +8112731c: 01800644 movi r6,25 +81127320: 01400044 movi r5,1 +81127324: 112bc8c0 call 8112bc8c +81127328: 01000044 movi r4,1 +8112732c: 11066b00 call 811066b0 +81127330: 8821883a mov r16,r17 +81127334: 00000706 br 81127354 +81127338: d1e07917 ldw r7,-32284(gp) +8112733c: 01204574 movhi r4,33045 +81127340: 213cd104 addi r4,r4,-3260 +81127344: 018008c4 movi r6,35 +81127348: 01400044 movi r5,1 +8112734c: 112bc8c0 call 8112bc8c +81127350: 0021883a mov r16,zero +81127354: 8005883a mov r2,r16 +81127358: dfc00a17 ldw ra,40(sp) +8112735c: dc400917 ldw r17,36(sp) +81127360: dc000817 ldw r16,32(sp) +81127364: dec00b04 addi sp,sp,44 +81127368: f800283a ret +8112736c: 0023883a mov r17,zero +81127370: 003fd506 br 811272c8 <__reset+0xfb1072c8> +81127374: 01000044 movi r4,1 +81127378: 11066b00 call 811066b0 +8112737c: 003ff506 br 81127354 <__reset+0xfb107354> +81127380: d1e07917 ldw r7,-32284(gp) +81127384: 01204574 movhi r4,33045 +81127388: 213ce104 addi r4,r4,-3196 +8112738c: 018008c4 movi r6,35 +81127390: 01400044 movi r5,1 +81127394: 112bc8c0 call 8112bc8c +81127398: 0021883a mov r16,zero +8112739c: 003fed06 br 81127354 <__reset+0xfb107354> + +811273a0 : +811273a0: d1e07917 ldw r7,-32284(gp) +811273a4: 01204574 movhi r4,33045 +811273a8: deffff04 addi sp,sp,-4 +811273ac: 213cea04 addi r4,r4,-3160 +811273b0: 01800684 movi r6,26 +811273b4: 01400044 movi r5,1 +811273b8: dfc00015 stw ra,0(sp) +811273bc: 112bc8c0 call 8112bc8c +811273c0: 1101d600 call 81101d60 811273c4: d1e07917 ldw r7,-32284(gp) -811273c8: 10004926 beq r2,zero,811274f0 +811273c8: 10004926 beq r2,zero,811274f0 811273cc: 01204574 movhi r4,33045 -811273d0: 213d2404 addi r4,r4,-2928 +811273d0: 213d0104 addi r4,r4,-3068 811273d4: 01800944 movi r6,37 811273d8: 01400044 movi r5,1 -811273dc: 112bc4c0 call 8112bc4c -811273e0: 1101d780 call 81101d78 +811273dc: 112bc8c0 call 8112bc8c +811273e0: 1101d680 call 81101d68 811273e4: d1e07917 ldw r7,-32284(gp) -811273e8: 10003a26 beq r2,zero,811274d4 +811273e8: 10003826 beq r2,zero,811274cc 811273ec: 01204574 movhi r4,33045 -811273f0: 213d3e04 addi r4,r4,-2824 +811273f0: 213d1b04 addi r4,r4,-2964 811273f4: 01800944 movi r6,37 811273f8: 01400044 movi r5,1 -811273fc: 112bc4c0 call 8112bc4c -81127400: 1101d800 call 81101d80 +811273fc: 112bc8c0 call 8112bc8c +81127400: 1101d700 call 81101d70 81127404: d1e07917 ldw r7,-32284(gp) -81127408: 10004226 beq r2,zero,81127514 +81127408: 10004926 beq r2,zero,81127530 8112740c: 01204574 movhi r4,33045 -81127410: 213d5804 addi r4,r4,-2720 +81127410: 213d3504 addi r4,r4,-2860 81127414: 01800944 movi r6,37 81127418: 01400044 movi r5,1 -8112741c: 112bc4c0 call 8112bc4c -81127420: 1101d880 call 81101d88 +8112741c: 112bc8c0 call 8112bc8c +81127420: 1101d780 call 81101d78 81127424: d1e07917 ldw r7,-32284(gp) -81127428: 10004826 beq r2,zero,8112754c +81127428: 10003a26 beq r2,zero,81127514 8112742c: 01204574 movhi r4,33045 -81127430: 213d7204 addi r4,r4,-2616 +81127430: 213d4f04 addi r4,r4,-2756 81127434: 01800944 movi r6,37 81127438: 01400044 movi r5,1 -8112743c: 112bc4c0 call 8112bc4c -81127440: 1101d900 call 81101d90 +8112743c: 112bc8c0 call 8112bc8c +81127440: 1101d800 call 81101d80 81127444: d1e07917 ldw r7,-32284(gp) -81127448: 10003926 beq r2,zero,81127530 +81127448: 10004226 beq r2,zero,81127554 8112744c: 01204574 movhi r4,33045 -81127450: 213d8b04 addi r4,r4,-2516 -81127454: 01800904 movi r6,36 +81127450: 213d6904 addi r4,r4,-2652 +81127454: 01800944 movi r6,37 81127458: 01400044 movi r5,1 -8112745c: 112bc4c0 call 8112bc4c -81127460: 1101d980 call 81101d98 +8112745c: 112bc8c0 call 8112bc8c +81127460: 1101d880 call 81101d88 81127464: d1e07917 ldw r7,-32284(gp) -81127468: 10003f26 beq r2,zero,81127568 +81127468: 10004826 beq r2,zero,8112758c 8112746c: 01204574 movhi r4,33045 -81127470: 213da404 addi r4,r4,-2416 -81127474: 01800904 movi r6,36 +81127470: 213d8304 addi r4,r4,-2548 +81127474: 01800944 movi r6,37 81127478: 01400044 movi r5,1 -8112747c: 112bc4c0 call 8112bc4c -81127480: 11271f00 call 811271f0 -81127484: 1004c03a cmpne r2,r2,zero -81127488: 00000606 br 811274a4 +8112747c: 112bc8c0 call 8112bc8c +81127480: 1101d900 call 81101d90 +81127484: d1e07917 ldw r7,-32284(gp) +81127488: 10003926 beq r2,zero,81127570 8112748c: 01204574 movhi r4,33045 -81127490: 213cfa04 addi r4,r4,-3096 -81127494: 01800f04 movi r6,60 +81127490: 213d9c04 addi r4,r4,-2448 +81127494: 01800904 movi r6,36 81127498: 01400044 movi r5,1 -8112749c: 112bc4c0 call 8112bc4c -811274a0: 0005883a mov r2,zero -811274a4: dfc00017 ldw ra,0(sp) -811274a8: dec00104 addi sp,sp,4 -811274ac: f800283a ret -811274b0: 01204574 movhi r4,33045 -811274b4: 213ce004 addi r4,r4,-3200 -811274b8: 01800f04 movi r6,60 -811274bc: 01400044 movi r5,1 -811274c0: 112bc4c0 call 8112bc4c -811274c4: 0005883a mov r2,zero -811274c8: dfc00017 ldw ra,0(sp) -811274cc: dec00104 addi sp,sp,4 -811274d0: f800283a ret -811274d4: 01204574 movhi r4,33045 -811274d8: 213d2e04 addi r4,r4,-2888 -811274dc: 01800f04 movi r6,60 -811274e0: 01400044 movi r5,1 -811274e4: 112bc4c0 call 8112bc4c -811274e8: 0005883a mov r2,zero -811274ec: 003fed06 br 811274a4 <__reset+0xfb1074a4> +8112749c: 112bc8c0 call 8112bc8c +811274a0: 1101d980 call 81101d98 +811274a4: d1e07917 ldw r7,-32284(gp) +811274a8: 10003f26 beq r2,zero,811275a8 +811274ac: 01204574 movhi r4,33045 +811274b0: 213db504 addi r4,r4,-2348 +811274b4: 01800904 movi r6,36 +811274b8: 01400044 movi r5,1 +811274bc: 112bc8c0 call 8112bc8c +811274c0: 11272300 call 81127230 +811274c4: 1004c03a cmpne r2,r2,zero +811274c8: 00000606 br 811274e4 +811274cc: 01204574 movhi r4,33045 +811274d0: 213d0b04 addi r4,r4,-3028 +811274d4: 01800f04 movi r6,60 +811274d8: 01400044 movi r5,1 +811274dc: 112bc8c0 call 8112bc8c +811274e0: 0005883a mov r2,zero +811274e4: dfc00017 ldw ra,0(sp) +811274e8: dec00104 addi sp,sp,4 +811274ec: f800283a ret 811274f0: 01204574 movhi r4,33045 -811274f4: 213d1404 addi r4,r4,-2992 +811274f4: 213cf104 addi r4,r4,-3132 811274f8: 01800f04 movi r6,60 811274fc: 01400044 movi r5,1 -81127500: 112bc4c0 call 8112bc4c +81127500: 112bc8c0 call 8112bc8c 81127504: 0005883a mov r2,zero 81127508: dfc00017 ldw ra,0(sp) 8112750c: dec00104 addi sp,sp,4 81127510: f800283a ret 81127514: 01204574 movhi r4,33045 -81127518: 213d4804 addi r4,r4,-2784 +81127518: 213d3f04 addi r4,r4,-2820 8112751c: 01800f04 movi r6,60 81127520: 01400044 movi r5,1 -81127524: 112bc4c0 call 8112bc4c +81127524: 112bc8c0 call 8112bc8c 81127528: 0005883a mov r2,zero -8112752c: 003fdd06 br 811274a4 <__reset+0xfb1074a4> +8112752c: 003fed06 br 811274e4 <__reset+0xfb1074e4> 81127530: 01204574 movhi r4,33045 -81127534: 213d7c04 addi r4,r4,-2576 -81127538: 01800ec4 movi r6,59 +81127534: 213d2504 addi r4,r4,-2924 +81127538: 01800f04 movi r6,60 8112753c: 01400044 movi r5,1 -81127540: 112bc4c0 call 8112bc4c +81127540: 112bc8c0 call 8112bc8c 81127544: 0005883a mov r2,zero -81127548: 003fd606 br 811274a4 <__reset+0xfb1074a4> -8112754c: 01204574 movhi r4,33045 -81127550: 213d6204 addi r4,r4,-2680 -81127554: 01800f04 movi r6,60 -81127558: 01400044 movi r5,1 -8112755c: 112bc4c0 call 8112bc4c -81127560: 0005883a mov r2,zero -81127564: 003fcf06 br 811274a4 <__reset+0xfb1074a4> -81127568: 01204574 movhi r4,33045 -8112756c: 213d9504 addi r4,r4,-2476 -81127570: 01800ec4 movi r6,59 -81127574: 01400044 movi r5,1 -81127578: 112bc4c0 call 8112bc4c -8112757c: 0005883a mov r2,zero -81127580: 003fc806 br 811274a4 <__reset+0xfb1074a4> - -81127584 <_reg_write>: -81127584: 294b883a add r5,r5,r5 -81127588: 294b883a add r5,r5,r5 -8112758c: 2909883a add r4,r5,r4 -81127590: 21800035 stwio r6,0(r4) -81127594: 00800044 movi r2,1 -81127598: f800283a ret - -8112759c <_reg_read>: -8112759c: 294b883a add r5,r5,r5 -811275a0: 294b883a add r5,r5,r5 -811275a4: 2909883a add r4,r5,r4 -811275a8: 20c00037 ldwio r3,0(r4) -811275ac: 00800044 movi r2,1 -811275b0: 30c00015 stw r3,0(r6) -811275b4: f800283a ret - -811275b8 <_print_codec_status>: -811275b8: 00a04634 movhi r2,33048 -811275bc: 108f0804 addi r2,r2,15392 -811275c0: 10800803 ldbu r2,32(r2) -811275c4: 00c00084 movi r3,2 -811275c8: 1880012e bgeu r3,r2,811275d0 <_print_codec_status+0x18> -811275cc: f800283a ret -811275d0: defffe04 addi sp,sp,-8 -811275d4: dc000015 stw r16,0(sp) -811275d8: 01604574 movhi r5,33045 -811275dc: 2021883a mov r16,r4 -811275e0: 01204574 movhi r4,33045 -811275e4: 297db004 addi r5,r5,-2368 -811275e8: 21089704 addi r4,r4,8796 -811275ec: 018005c4 movi r6,23 -811275f0: dfc00115 stw ra,4(sp) -811275f4: 112c6480 call 8112c648 -811275f8: d1207917 ldw r4,-32284(gp) -811275fc: 01604574 movhi r5,33045 -81127600: 29489704 addi r5,r5,8796 -81127604: 112b5e00 call 8112b5e0 -81127608: 8005d1ba srai r2,r16,6 -8112760c: 1080004c andi r2,r2,1 -81127610: 10003c1e bne r2,zero,81127704 <_print_codec_status+0x14c> -81127614: 01a04574 movhi r6,33045 -81127618: 31bdaf04 addi r6,r6,-2372 -8112761c: 01604574 movhi r5,33045 +81127548: dfc00017 ldw ra,0(sp) +8112754c: dec00104 addi sp,sp,4 +81127550: f800283a ret +81127554: 01204574 movhi r4,33045 +81127558: 213d5904 addi r4,r4,-2716 +8112755c: 01800f04 movi r6,60 +81127560: 01400044 movi r5,1 +81127564: 112bc8c0 call 8112bc8c +81127568: 0005883a mov r2,zero +8112756c: 003fdd06 br 811274e4 <__reset+0xfb1074e4> +81127570: 01204574 movhi r4,33045 +81127574: 213d8d04 addi r4,r4,-2508 +81127578: 01800ec4 movi r6,59 +8112757c: 01400044 movi r5,1 +81127580: 112bc8c0 call 8112bc8c +81127584: 0005883a mov r2,zero +81127588: 003fd606 br 811274e4 <__reset+0xfb1074e4> +8112758c: 01204574 movhi r4,33045 +81127590: 213d7304 addi r4,r4,-2612 +81127594: 01800f04 movi r6,60 +81127598: 01400044 movi r5,1 +8112759c: 112bc8c0 call 8112bc8c +811275a0: 0005883a mov r2,zero +811275a4: 003fcf06 br 811274e4 <__reset+0xfb1074e4> +811275a8: 01204574 movhi r4,33045 +811275ac: 213da604 addi r4,r4,-2408 +811275b0: 01800ec4 movi r6,59 +811275b4: 01400044 movi r5,1 +811275b8: 112bc8c0 call 8112bc8c +811275bc: 0005883a mov r2,zero +811275c0: 003fc806 br 811274e4 <__reset+0xfb1074e4> + +811275c4 <_reg_write>: +811275c4: 294b883a add r5,r5,r5 +811275c8: 294b883a add r5,r5,r5 +811275cc: 2909883a add r4,r5,r4 +811275d0: 21800035 stwio r6,0(r4) +811275d4: 00800044 movi r2,1 +811275d8: f800283a ret + +811275dc <_reg_read>: +811275dc: 294b883a add r5,r5,r5 +811275e0: 294b883a add r5,r5,r5 +811275e4: 2909883a add r4,r5,r4 +811275e8: 20c00037 ldwio r3,0(r4) +811275ec: 00800044 movi r2,1 +811275f0: 30c00015 stw r3,0(r6) +811275f4: f800283a ret + +811275f8 <_print_codec_status>: +811275f8: 00a04634 movhi r2,33048 +811275fc: 108f1904 addi r2,r2,15460 +81127600: 10800803 ldbu r2,32(r2) +81127604: 00c00084 movi r3,2 +81127608: 1880012e bgeu r3,r2,81127610 <_print_codec_status+0x18> +8112760c: f800283a ret +81127610: defffe04 addi sp,sp,-8 +81127614: dc000015 stw r16,0(sp) +81127618: 01604574 movhi r5,33045 +8112761c: 2021883a mov r16,r4 81127620: 01204574 movhi r4,33045 -81127624: 297db604 addi r5,r5,-2344 -81127628: 21089704 addi r4,r4,8796 -8112762c: 112d6780 call 8112d678 -81127630: d1207917 ldw r4,-32284(gp) -81127634: 01604574 movhi r5,33045 -81127638: 29489704 addi r5,r5,8796 -8112763c: 112b5e00 call 8112b5e0 -81127640: 8005d17a srai r2,r16,5 -81127644: 1080004c andi r2,r2,1 -81127648: 1000341e bne r2,zero,8112771c <_print_codec_status+0x164> -8112764c: 01a04574 movhi r6,33045 -81127650: 31bdaf04 addi r6,r6,-2372 -81127654: 01604574 movhi r5,33045 -81127658: 01204574 movhi r4,33045 -8112765c: 297dbc04 addi r5,r5,-2320 -81127660: 21089704 addi r4,r4,8796 -81127664: 112d6780 call 8112d678 -81127668: 8021d13a srai r16,r16,4 -8112766c: d1207917 ldw r4,-32284(gp) -81127670: 01604574 movhi r5,33045 -81127674: 29489704 addi r5,r5,8796 -81127678: 8400004c andi r16,r16,1 -8112767c: 112b5e00 call 8112b5e0 -81127680: 8000231e bne r16,zero,81127710 <_print_codec_status+0x158> -81127684: 01a04574 movhi r6,33045 -81127688: 31bdaf04 addi r6,r6,-2372 -8112768c: 04204574 movhi r16,33045 -81127690: 84089704 addi r16,r16,8796 +81127624: 297dc104 addi r5,r5,-2300 +81127628: 2108a804 addi r4,r4,8864 +8112762c: 018005c4 movi r6,23 +81127630: dfc00115 stw ra,4(sp) +81127634: 112c6880 call 8112c688 +81127638: d1207917 ldw r4,-32284(gp) +8112763c: 01604574 movhi r5,33045 +81127640: 2948a804 addi r5,r5,8864 +81127644: 112b6200 call 8112b620 +81127648: 8005d1ba srai r2,r16,6 +8112764c: 1080004c andi r2,r2,1 +81127650: 10003c1e bne r2,zero,81127744 <_print_codec_status+0x14c> +81127654: 01a04574 movhi r6,33045 +81127658: 31bdc004 addi r6,r6,-2304 +8112765c: 01604574 movhi r5,33045 +81127660: 01204574 movhi r4,33045 +81127664: 297dc704 addi r5,r5,-2276 +81127668: 2108a804 addi r4,r4,8864 +8112766c: 112d6b80 call 8112d6b8 +81127670: d1207917 ldw r4,-32284(gp) +81127674: 01604574 movhi r5,33045 +81127678: 2948a804 addi r5,r5,8864 +8112767c: 112b6200 call 8112b620 +81127680: 8005d17a srai r2,r16,5 +81127684: 1080004c andi r2,r2,1 +81127688: 1000341e bne r2,zero,8112775c <_print_codec_status+0x164> +8112768c: 01a04574 movhi r6,33045 +81127690: 31bdc004 addi r6,r6,-2304 81127694: 01604574 movhi r5,33045 -81127698: 8009883a mov r4,r16 -8112769c: 297dc204 addi r5,r5,-2296 -811276a0: 112d6780 call 8112d678 -811276a4: d1207917 ldw r4,-32284(gp) -811276a8: 800b883a mov r5,r16 -811276ac: 112b5e00 call 8112b5e0 -811276b0: d1207917 ldw r4,-32284(gp) -811276b4: 00800b44 movi r2,45 -811276b8: 00c00804 movi r3,32 -811276bc: 80800005 stb r2,0(r16) -811276c0: 80800045 stb r2,1(r16) -811276c4: 80800085 stb r2,2(r16) -811276c8: 808000c5 stb r2,3(r16) -811276cc: 80800105 stb r2,4(r16) -811276d0: 80800145 stb r2,5(r16) -811276d4: 80800185 stb r2,6(r16) -811276d8: 808001c5 stb r2,7(r16) -811276dc: 800b883a mov r5,r16 -811276e0: 00800284 movi r2,10 -811276e4: 80c00205 stb r3,8(r16) -811276e8: 80c00245 stb r3,9(r16) -811276ec: 80800285 stb r2,10(r16) -811276f0: 800002c5 stb zero,11(r16) -811276f4: dfc00117 ldw ra,4(sp) -811276f8: dc000017 ldw r16,0(sp) -811276fc: dec00204 addi sp,sp,8 -81127700: 112b5e01 jmpi 8112b5e0 -81127704: 01a04574 movhi r6,33045 -81127708: 31bdae04 addi r6,r6,-2376 -8112770c: 003fc306 br 8112761c <__reset+0xfb10761c> -81127710: 01a04574 movhi r6,33045 -81127714: 31bdae04 addi r6,r6,-2376 -81127718: 003fdc06 br 8112768c <__reset+0xfb10768c> -8112771c: 01a04574 movhi r6,33045 -81127720: 31bdae04 addi r6,r6,-2376 -81127724: 003fcb06 br 81127654 <__reset+0xfb107654> - -81127728 <_split_codec_status>: -81127728: 2007d1ba srai r3,r4,6 -8112772c: 2005d17a srai r2,r4,5 -81127730: 2009d13a srai r4,r4,4 -81127734: 18c0004c andi r3,r3,1 -81127738: 28c00015 stw r3,0(r5) -8112773c: 1080004c andi r2,r2,1 -81127740: 30800015 stw r2,0(r6) -81127744: 2100004c andi r4,r4,1 -81127748: 39000015 stw r4,0(r7) -8112774c: f800283a ret - -81127750 : -81127750: 20c00003 ldbu r3,0(r4) -81127754: 01400e44 movi r5,57 -81127758: 18803fcc andi r2,r3,255 -8112775c: 28800b36 bltu r5,r2,8112778c -81127760: 00800c04 movi r2,48 -81127764: 21000043 ldbu r4,1(r4) -81127768: 1887c83a sub r3,r3,r2 -8112776c: 01400e44 movi r5,57 -81127770: 20803fcc andi r2,r4,255 -81127774: 1806913a slli r3,r3,4 -81127778: 28800636 bltu r5,r2,81127794 -8112777c: 1907883a add r3,r3,r4 -81127780: 00800c04 movi r2,48 -81127784: 1885c83a sub r2,r3,r2 -81127788: f800283a ret -8112778c: 00800dc4 movi r2,55 -81127790: 003ff406 br 81127764 <__reset+0xfb107764> -81127794: 1907883a add r3,r3,r4 -81127798: 00800dc4 movi r2,55 -8112779c: 1885c83a sub r2,r3,r2 -811277a0: f800283a ret - -811277a4 : -811277a4: 21003fcc andi r4,r4,255 -811277a8: 2000121e bne r4,zero,811277f4 -811277ac: 00a04634 movhi r2,33048 -811277b0: 108f0804 addi r2,r2,15392 -811277b4: 10800803 ldbu r2,32(r2) -811277b8: 018001c4 movi r6,7 -811277bc: 3080022e bgeu r6,r2,811277c8 -811277c0: 0005883a mov r2,zero -811277c4: f800283a ret -811277c8: d1e07917 ldw r7,-32284(gp) -811277cc: 01204574 movhi r4,33045 -811277d0: deffff04 addi sp,sp,-4 -811277d4: 213dc804 addi r4,r4,-2272 -811277d8: 01400044 movi r5,1 -811277dc: dfc00015 stw ra,0(sp) -811277e0: 112bc4c0 call 8112bc4c -811277e4: 0005883a mov r2,zero -811277e8: dfc00017 ldw ra,0(sp) -811277ec: dec00104 addi sp,sp,4 -811277f0: f800283a ret -811277f4: 00800044 movi r2,1 -811277f8: f800283a ret - -811277fc : -811277fc: 20bff404 addi r2,r4,-48 -81127800: f800283a ret - -81127804 : -81127804: 289ffc2c andhi r2,r5,32752 -81127808: 1005d53a srai r2,r2,20 -8112780c: 024004c4 movi r9,19 -81127810: 2807883a mov r3,r5 -81127814: 123f0044 addi r8,r2,-1023 -81127818: 200d883a mov r6,r4 -8112781c: 280f883a mov r7,r5 -81127820: 4a000916 blt r9,r8,81127848 -81127824: 40002516 blt r8,zero,811278bc -81127828: 00800434 movhi r2,16 -8112782c: 10bfffc4 addi r2,r2,-1 -81127830: 1205d83a sra r2,r2,r8 -81127834: 2888703a and r4,r5,r2 -81127838: 2000181e bne r4,zero,8112789c -8112783c: 3000171e bne r6,zero,8112789c -81127840: 0005883a mov r2,zero -81127844: f800283a ret -81127848: 02400cc4 movi r9,51 -8112784c: 4a00040e bge r9,r8,81127860 -81127850: 00810004 movi r2,1024 -81127854: 40802126 beq r8,r2,811278dc -81127858: 3005883a mov r2,r6 -8112785c: f800283a ret -81127860: 013fffc4 movi r4,-1 -81127864: 10befb44 addi r2,r2,-1043 -81127868: 2084d83a srl r2,r4,r2 -8112786c: 3088703a and r4,r6,r2 -81127870: 20001726 beq r4,zero,811278d0 -81127874: 4a11c83a sub r8,r9,r8 -81127878: 02400044 movi r9,1 -8112787c: 4a10983a sll r8,r9,r8 -81127880: 4191883a add r8,r8,r6 -81127884: 4180012e bgeu r8,r6,8112788c -81127888: 2a47883a add r3,r5,r9 -8112788c: 0084303a nor r2,zero,r2 -81127890: 120c703a and r6,r2,r8 -81127894: 3005883a mov r2,r6 -81127898: f800283a ret -8112789c: 00c00234 movhi r3,8 -811278a0: 1a07d83a sra r3,r3,r8 -811278a4: 0084303a nor r2,zero,r2 -811278a8: 000d883a mov r6,zero -811278ac: 19c7883a add r3,r3,r7 -811278b0: 1886703a and r3,r3,r2 -811278b4: 3005883a mov r2,r6 -811278b8: f800283a ret -811278bc: 00bfffc4 movi r2,-1 -811278c0: 28e0002c andhi r3,r5,32768 -811278c4: 40800c26 beq r8,r2,811278f8 -811278c8: 000d883a mov r6,zero -811278cc: 003fe206 br 81127858 <__reset+0xfb107858> -811278d0: 3005883a mov r2,r6 -811278d4: 2807883a mov r3,r5 +81127698: 01204574 movhi r4,33045 +8112769c: 297dcd04 addi r5,r5,-2252 +811276a0: 2108a804 addi r4,r4,8864 +811276a4: 112d6b80 call 8112d6b8 +811276a8: 8021d13a srai r16,r16,4 +811276ac: d1207917 ldw r4,-32284(gp) +811276b0: 01604574 movhi r5,33045 +811276b4: 2948a804 addi r5,r5,8864 +811276b8: 8400004c andi r16,r16,1 +811276bc: 112b6200 call 8112b620 +811276c0: 8000231e bne r16,zero,81127750 <_print_codec_status+0x158> +811276c4: 01a04574 movhi r6,33045 +811276c8: 31bdc004 addi r6,r6,-2304 +811276cc: 04204574 movhi r16,33045 +811276d0: 8408a804 addi r16,r16,8864 +811276d4: 01604574 movhi r5,33045 +811276d8: 8009883a mov r4,r16 +811276dc: 297dd304 addi r5,r5,-2228 +811276e0: 112d6b80 call 8112d6b8 +811276e4: d1207917 ldw r4,-32284(gp) +811276e8: 800b883a mov r5,r16 +811276ec: 112b6200 call 8112b620 +811276f0: d1207917 ldw r4,-32284(gp) +811276f4: 00800b44 movi r2,45 +811276f8: 00c00804 movi r3,32 +811276fc: 80800005 stb r2,0(r16) +81127700: 80800045 stb r2,1(r16) +81127704: 80800085 stb r2,2(r16) +81127708: 808000c5 stb r2,3(r16) +8112770c: 80800105 stb r2,4(r16) +81127710: 80800145 stb r2,5(r16) +81127714: 80800185 stb r2,6(r16) +81127718: 808001c5 stb r2,7(r16) +8112771c: 800b883a mov r5,r16 +81127720: 00800284 movi r2,10 +81127724: 80c00205 stb r3,8(r16) +81127728: 80c00245 stb r3,9(r16) +8112772c: 80800285 stb r2,10(r16) +81127730: 800002c5 stb zero,11(r16) +81127734: dfc00117 ldw ra,4(sp) +81127738: dc000017 ldw r16,0(sp) +8112773c: dec00204 addi sp,sp,8 +81127740: 112b6201 jmpi 8112b620 +81127744: 01a04574 movhi r6,33045 +81127748: 31bdbf04 addi r6,r6,-2308 +8112774c: 003fc306 br 8112765c <__reset+0xfb10765c> +81127750: 01a04574 movhi r6,33045 +81127754: 31bdbf04 addi r6,r6,-2308 +81127758: 003fdc06 br 811276cc <__reset+0xfb1076cc> +8112775c: 01a04574 movhi r6,33045 +81127760: 31bdbf04 addi r6,r6,-2308 +81127764: 003fcb06 br 81127694 <__reset+0xfb107694> + +81127768 <_split_codec_status>: +81127768: 2007d1ba srai r3,r4,6 +8112776c: 2005d17a srai r2,r4,5 +81127770: 2009d13a srai r4,r4,4 +81127774: 18c0004c andi r3,r3,1 +81127778: 28c00015 stw r3,0(r5) +8112777c: 1080004c andi r2,r2,1 +81127780: 30800015 stw r2,0(r6) +81127784: 2100004c andi r4,r4,1 +81127788: 39000015 stw r4,0(r7) +8112778c: f800283a ret + +81127790 : +81127790: 20c00003 ldbu r3,0(r4) +81127794: 01400e44 movi r5,57 +81127798: 18803fcc andi r2,r3,255 +8112779c: 28800b36 bltu r5,r2,811277cc +811277a0: 00800c04 movi r2,48 +811277a4: 21000043 ldbu r4,1(r4) +811277a8: 1887c83a sub r3,r3,r2 +811277ac: 01400e44 movi r5,57 +811277b0: 20803fcc andi r2,r4,255 +811277b4: 1806913a slli r3,r3,4 +811277b8: 28800636 bltu r5,r2,811277d4 +811277bc: 1907883a add r3,r3,r4 +811277c0: 00800c04 movi r2,48 +811277c4: 1885c83a sub r2,r3,r2 +811277c8: f800283a ret +811277cc: 00800dc4 movi r2,55 +811277d0: 003ff406 br 811277a4 <__reset+0xfb1077a4> +811277d4: 1907883a add r3,r3,r4 +811277d8: 00800dc4 movi r2,55 +811277dc: 1885c83a sub r2,r3,r2 +811277e0: f800283a ret + +811277e4 : +811277e4: 21003fcc andi r4,r4,255 +811277e8: 2000121e bne r4,zero,81127834 +811277ec: 00a04634 movhi r2,33048 +811277f0: 108f1904 addi r2,r2,15460 +811277f4: 10800803 ldbu r2,32(r2) +811277f8: 018001c4 movi r6,7 +811277fc: 3080022e bgeu r6,r2,81127808 +81127800: 0005883a mov r2,zero +81127804: f800283a ret +81127808: d1e07917 ldw r7,-32284(gp) +8112780c: 01204574 movhi r4,33045 +81127810: deffff04 addi sp,sp,-4 +81127814: 213dd904 addi r4,r4,-2204 +81127818: 01400044 movi r5,1 +8112781c: dfc00015 stw ra,0(sp) +81127820: 112bc8c0 call 8112bc8c +81127824: 0005883a mov r2,zero +81127828: dfc00017 ldw ra,0(sp) +8112782c: dec00104 addi sp,sp,4 +81127830: f800283a ret +81127834: 00800044 movi r2,1 +81127838: f800283a ret + +8112783c : +8112783c: 20bff404 addi r2,r4,-48 +81127840: f800283a ret + +81127844 : +81127844: 289ffc2c andhi r2,r5,32752 +81127848: 1005d53a srai r2,r2,20 +8112784c: 024004c4 movi r9,19 +81127850: 2807883a mov r3,r5 +81127854: 123f0044 addi r8,r2,-1023 +81127858: 200d883a mov r6,r4 +8112785c: 280f883a mov r7,r5 +81127860: 4a000916 blt r9,r8,81127888 +81127864: 40002516 blt r8,zero,811278fc +81127868: 00800434 movhi r2,16 +8112786c: 10bfffc4 addi r2,r2,-1 +81127870: 1205d83a sra r2,r2,r8 +81127874: 2888703a and r4,r5,r2 +81127878: 2000181e bne r4,zero,811278dc +8112787c: 3000171e bne r6,zero,811278dc +81127880: 0005883a mov r2,zero +81127884: f800283a ret +81127888: 02400cc4 movi r9,51 +8112788c: 4a00040e bge r9,r8,811278a0 +81127890: 00810004 movi r2,1024 +81127894: 40802126 beq r8,r2,8112791c +81127898: 3005883a mov r2,r6 +8112789c: f800283a ret +811278a0: 013fffc4 movi r4,-1 +811278a4: 10befb44 addi r2,r2,-1043 +811278a8: 2084d83a srl r2,r4,r2 +811278ac: 3088703a and r4,r6,r2 +811278b0: 20001726 beq r4,zero,81127910 +811278b4: 4a11c83a sub r8,r9,r8 +811278b8: 02400044 movi r9,1 +811278bc: 4a10983a sll r8,r9,r8 +811278c0: 4191883a add r8,r8,r6 +811278c4: 4180012e bgeu r8,r6,811278cc +811278c8: 2a47883a add r3,r5,r9 +811278cc: 0084303a nor r2,zero,r2 +811278d0: 120c703a and r6,r2,r8 +811278d4: 3005883a mov r2,r6 811278d8: f800283a ret -811278dc: deffff04 addi sp,sp,-4 -811278e0: 280f883a mov r7,r5 -811278e4: dfc00015 stw ra,0(sp) -811278e8: 1128ab00 call 81128ab0 <__adddf3> -811278ec: dfc00017 ldw ra,0(sp) -811278f0: dec00104 addi sp,sp,4 -811278f4: f800283a ret -811278f8: 18cffc34 orhi r3,r3,16368 -811278fc: 000d883a mov r6,zero -81127900: 003fd506 br 81127858 <__reset+0xfb107858> - -81127904 <__fixunssfsi>: -81127904: defffd04 addi sp,sp,-12 -81127908: dc000015 stw r16,0(sp) -8112790c: 0413c034 movhi r16,20224 -81127910: 800b883a mov r5,r16 -81127914: dc400115 stw r17,4(sp) -81127918: dfc00215 stw ra,8(sp) -8112791c: 2023883a mov r17,r4 -81127920: 1127e900 call 81127e90 <__gesf2> -81127924: 1000070e bge r2,zero,81127944 <__fixunssfsi+0x40> -81127928: 8809883a mov r4,r17 -8112792c: 112882c0 call 8112882c <__fixsfsi> -81127930: dfc00217 ldw ra,8(sp) -81127934: dc400117 ldw r17,4(sp) -81127938: dc000017 ldw r16,0(sp) -8112793c: dec00304 addi sp,sp,12 -81127940: f800283a ret -81127944: 800b883a mov r5,r16 -81127948: 8809883a mov r4,r17 -8112794c: 11283480 call 81128348 <__subsf3> -81127950: 1009883a mov r4,r2 -81127954: 112882c0 call 8112882c <__fixsfsi> -81127958: 00e00034 movhi r3,32768 -8112795c: 10c5883a add r2,r2,r3 -81127960: 003ff306 br 81127930 <__reset+0xfb107930> - -81127964 <__fixunsdfsi>: -81127964: defffd04 addi sp,sp,-12 -81127968: 000d883a mov r6,zero -8112796c: 01d07834 movhi r7,16864 -81127970: dc400115 stw r17,4(sp) -81127974: dc000015 stw r16,0(sp) -81127978: dfc00215 stw ra,8(sp) -8112797c: 2023883a mov r17,r4 -81127980: 2821883a mov r16,r5 -81127984: 1129c440 call 81129c44 <__gedf2> -81127988: 1000080e bge r2,zero,811279ac <__fixunsdfsi+0x48> -8112798c: 8809883a mov r4,r17 -81127990: 800b883a mov r5,r16 -81127994: 112ad340 call 8112ad34 <__fixdfsi> -81127998: dfc00217 ldw ra,8(sp) -8112799c: dc400117 ldw r17,4(sp) -811279a0: dc000017 ldw r16,0(sp) -811279a4: dec00304 addi sp,sp,12 -811279a8: f800283a ret -811279ac: 000d883a mov r6,zero -811279b0: 01d07834 movhi r7,16864 -811279b4: 8809883a mov r4,r17 -811279b8: 800b883a mov r5,r16 -811279bc: 112a4380 call 8112a438 <__subdf3> -811279c0: 180b883a mov r5,r3 -811279c4: 1009883a mov r4,r2 -811279c8: 112ad340 call 8112ad34 <__fixdfsi> -811279cc: 00e00034 movhi r3,32768 -811279d0: 10c5883a add r2,r2,r3 -811279d4: 003ff006 br 81127998 <__reset+0xfb107998> - -811279d8 <__divsf3>: -811279d8: defff504 addi sp,sp,-44 -811279dc: 200cd5fa srli r6,r4,23 -811279e0: dcc00415 stw r19,16(sp) -811279e4: 2026d7fa srli r19,r4,31 -811279e8: 00c02034 movhi r3,128 -811279ec: dd800715 stw r22,28(sp) -811279f0: dd000515 stw r20,20(sp) -811279f4: dc800315 stw r18,12(sp) -811279f8: 18ffffc4 addi r3,r3,-1 -811279fc: dfc00a15 stw ra,40(sp) -81127a00: df000915 stw fp,36(sp) -81127a04: ddc00815 stw r23,32(sp) -81127a08: dd400615 stw r21,24(sp) -81127a0c: dc400215 stw r17,8(sp) -81127a10: dc000115 stw r16,4(sp) -81127a14: 35003fcc andi r20,r6,255 -81127a18: 1924703a and r18,r3,r4 -81127a1c: 9d803fcc andi r22,r19,255 -81127a20: a0005226 beq r20,zero,81127b6c <__divsf3+0x194> -81127a24: 00803fc4 movi r2,255 -81127a28: a0802e26 beq r20,r2,81127ae4 <__divsf3+0x10c> -81127a2c: 91002034 orhi r4,r18,128 -81127a30: 202490fa slli r18,r4,3 -81127a34: a53fe044 addi r20,r20,-127 -81127a38: 0021883a mov r16,zero -81127a3c: 002f883a mov r23,zero -81127a40: 280cd5fa srli r6,r5,23 -81127a44: 282ad7fa srli r21,r5,31 -81127a48: 00c02034 movhi r3,128 -81127a4c: 18ffffc4 addi r3,r3,-1 -81127a50: 31803fcc andi r6,r6,255 -81127a54: 1962703a and r17,r3,r5 -81127a58: af003fcc andi fp,r21,255 -81127a5c: 30004a26 beq r6,zero,81127b88 <__divsf3+0x1b0> -81127a60: 00803fc4 movi r2,255 -81127a64: 30804526 beq r6,r2,81127b7c <__divsf3+0x1a4> -81127a68: 89402034 orhi r5,r17,128 -81127a6c: 282290fa slli r17,r5,3 -81127a70: 31bfe044 addi r6,r6,-127 -81127a74: 000b883a mov r5,zero -81127a78: 2c20b03a or r16,r5,r16 -81127a7c: 802090ba slli r16,r16,2 -81127a80: 00a044b4 movhi r2,33042 -81127a84: 109ea904 addi r2,r2,31396 -81127a88: 80a1883a add r16,r16,r2 -81127a8c: 81000017 ldw r4,0(r16) -81127a90: 9d46f03a xor r3,r19,r21 -81127a94: 180f883a mov r7,r3 -81127a98: 18803fcc andi r2,r3,255 -81127a9c: a18dc83a sub r6,r20,r6 -81127aa0: 2000683a jmp r4 -81127aa4: 81127c88 cmpgei r4,r16,18930 -81127aa8: 81127b0c andi r4,r16,18924 -81127aac: 81127c7c xorhi r4,r16,18929 -81127ab0: 81127af8 rdprs r4,r16,18923 -81127ab4: 81127c7c xorhi r4,r16,18929 -81127ab8: 81127c54 ori r4,r16,18929 -81127abc: 81127c7c xorhi r4,r16,18929 -81127ac0: 81127af8 rdprs r4,r16,18923 -81127ac4: 81127b0c andi r4,r16,18924 -81127ac8: 81127b0c andi r4,r16,18924 -81127acc: 81127c54 ori r4,r16,18929 -81127ad0: 81127af8 rdprs r4,r16,18923 -81127ad4: 81127d68 cmpgeui r4,r16,18933 -81127ad8: 81127d68 cmpgeui r4,r16,18933 -81127adc: 81127d68 cmpgeui r4,r16,18933 -81127ae0: 81127d1c xori r4,r16,18932 -81127ae4: 9000581e bne r18,zero,81127c48 <__divsf3+0x270> -81127ae8: 04000204 movi r16,8 -81127aec: 05c00084 movi r23,2 -81127af0: 003fd306 br 81127a40 <__reset+0xfb107a40> -81127af4: 0023883a mov r17,zero -81127af8: e02d883a mov r22,fp -81127afc: 282f883a mov r23,r5 -81127b00: 00800084 movi r2,2 -81127b04: b8808f1e bne r23,r2,81127d44 <__divsf3+0x36c> -81127b08: b005883a mov r2,r22 -81127b0c: 11c0004c andi r7,r2,1 -81127b10: 013fffc4 movi r4,-1 -81127b14: 000d883a mov r6,zero -81127b18: 21003fcc andi r4,r4,255 -81127b1c: 200895fa slli r4,r4,23 -81127b20: 38803fcc andi r2,r7,255 -81127b24: 00c02034 movhi r3,128 -81127b28: 100497fa slli r2,r2,31 -81127b2c: 18ffffc4 addi r3,r3,-1 -81127b30: 30c6703a and r3,r6,r3 -81127b34: 1906b03a or r3,r3,r4 -81127b38: 1884b03a or r2,r3,r2 -81127b3c: dfc00a17 ldw ra,40(sp) -81127b40: df000917 ldw fp,36(sp) -81127b44: ddc00817 ldw r23,32(sp) -81127b48: dd800717 ldw r22,28(sp) -81127b4c: dd400617 ldw r21,24(sp) -81127b50: dd000517 ldw r20,20(sp) -81127b54: dcc00417 ldw r19,16(sp) -81127b58: dc800317 ldw r18,12(sp) -81127b5c: dc400217 ldw r17,8(sp) -81127b60: dc000117 ldw r16,4(sp) -81127b64: dec00b04 addi sp,sp,44 -81127b68: f800283a ret -81127b6c: 90002b1e bne r18,zero,81127c1c <__divsf3+0x244> -81127b70: 04000104 movi r16,4 -81127b74: 05c00044 movi r23,1 -81127b78: 003fb106 br 81127a40 <__reset+0xfb107a40> -81127b7c: 8800251e bne r17,zero,81127c14 <__divsf3+0x23c> -81127b80: 01400084 movi r5,2 -81127b84: 00000206 br 81127b90 <__divsf3+0x1b8> -81127b88: 88001a1e bne r17,zero,81127bf4 <__divsf3+0x21c> -81127b8c: 01400044 movi r5,1 -81127b90: 8160b03a or r16,r16,r5 -81127b94: 802090ba slli r16,r16,2 -81127b98: 00e044b4 movhi r3,33042 -81127b9c: 18deed04 addi r3,r3,31668 -81127ba0: 80e1883a add r16,r16,r3 -81127ba4: 80c00017 ldw r3,0(r16) -81127ba8: 9d44f03a xor r2,r19,r21 -81127bac: a18dc83a sub r6,r20,r6 -81127bb0: 1800683a jmp r3 -81127bb4: 81127b0c andi r4,r16,18924 -81127bb8: 81127b0c andi r4,r16,18924 -81127bbc: 81127d58 cmpnei r4,r16,18933 -81127bc0: 81127af4 orhi r4,r16,18923 -81127bc4: 81127d58 cmpnei r4,r16,18933 -81127bc8: 81127c54 ori r4,r16,18929 -81127bcc: 81127d58 cmpnei r4,r16,18933 -81127bd0: 81127af4 orhi r4,r16,18923 -81127bd4: 81127b0c andi r4,r16,18924 -81127bd8: 81127b0c andi r4,r16,18924 -81127bdc: 81127c54 ori r4,r16,18929 -81127be0: 81127af4 orhi r4,r16,18923 -81127be4: 81127d68 cmpgeui r4,r16,18933 -81127be8: 81127d68 cmpgeui r4,r16,18933 -81127bec: 81127d68 cmpgeui r4,r16,18933 -81127bf0: 81127d80 call 881127d8 <__reset+0x20f27d8> -81127bf4: 8809883a mov r4,r17 -81127bf8: 112b1f00 call 8112b1f0 <__clzsi2> -81127bfc: 10fffec4 addi r3,r2,-5 -81127c00: 10801d84 addi r2,r2,118 -81127c04: 88e2983a sll r17,r17,r3 -81127c08: 008dc83a sub r6,zero,r2 -81127c0c: 000b883a mov r5,zero -81127c10: 003f9906 br 81127a78 <__reset+0xfb107a78> -81127c14: 014000c4 movi r5,3 -81127c18: 003f9706 br 81127a78 <__reset+0xfb107a78> -81127c1c: 9009883a mov r4,r18 -81127c20: d9400015 stw r5,0(sp) -81127c24: 112b1f00 call 8112b1f0 <__clzsi2> -81127c28: 10fffec4 addi r3,r2,-5 -81127c2c: 11801d84 addi r6,r2,118 -81127c30: 90e4983a sll r18,r18,r3 -81127c34: 01a9c83a sub r20,zero,r6 -81127c38: 0021883a mov r16,zero -81127c3c: 002f883a mov r23,zero -81127c40: d9400017 ldw r5,0(sp) -81127c44: 003f7e06 br 81127a40 <__reset+0xfb107a40> -81127c48: 04000304 movi r16,12 -81127c4c: 05c000c4 movi r23,3 -81127c50: 003f7b06 br 81127a40 <__reset+0xfb107a40> -81127c54: 01802034 movhi r6,128 -81127c58: 000f883a mov r7,zero -81127c5c: 31bfffc4 addi r6,r6,-1 -81127c60: 013fffc4 movi r4,-1 -81127c64: 003fac06 br 81127b18 <__reset+0xfb107b18> -81127c68: 01400044 movi r5,1 -81127c6c: 2909c83a sub r4,r5,r4 -81127c70: 00c006c4 movi r3,27 -81127c74: 19004b0e bge r3,r4,81127da4 <__divsf3+0x3cc> -81127c78: 114e703a and r7,r2,r5 -81127c7c: 0009883a mov r4,zero -81127c80: 000d883a mov r6,zero -81127c84: 003fa406 br 81127b18 <__reset+0xfb107b18> -81127c88: 9006917a slli r3,r18,5 -81127c8c: 8822917a slli r17,r17,5 -81127c90: 1c40372e bgeu r3,r17,81127d70 <__divsf3+0x398> -81127c94: 31bfffc4 addi r6,r6,-1 -81127c98: 010006c4 movi r4,27 -81127c9c: 000b883a mov r5,zero -81127ca0: 180f883a mov r7,r3 -81127ca4: 294b883a add r5,r5,r5 -81127ca8: 18c7883a add r3,r3,r3 -81127cac: 38000116 blt r7,zero,81127cb4 <__divsf3+0x2dc> -81127cb0: 1c400236 bltu r3,r17,81127cbc <__divsf3+0x2e4> -81127cb4: 1c47c83a sub r3,r3,r17 -81127cb8: 29400054 ori r5,r5,1 -81127cbc: 213fffc4 addi r4,r4,-1 -81127cc0: 203ff71e bne r4,zero,81127ca0 <__reset+0xfb107ca0> -81127cc4: 1806c03a cmpne r3,r3,zero -81127cc8: 1962b03a or r17,r3,r5 -81127ccc: 31001fc4 addi r4,r6,127 -81127cd0: 013fe50e bge zero,r4,81127c68 <__reset+0xfb107c68> -81127cd4: 88c001cc andi r3,r17,7 -81127cd8: 18000426 beq r3,zero,81127cec <__divsf3+0x314> -81127cdc: 88c003cc andi r3,r17,15 -81127ce0: 01400104 movi r5,4 -81127ce4: 19400126 beq r3,r5,81127cec <__divsf3+0x314> -81127ce8: 8963883a add r17,r17,r5 -81127cec: 88c2002c andhi r3,r17,2048 -81127cf0: 18000426 beq r3,zero,81127d04 <__divsf3+0x32c> -81127cf4: 00fe0034 movhi r3,63488 -81127cf8: 18ffffc4 addi r3,r3,-1 -81127cfc: 31002004 addi r4,r6,128 -81127d00: 88e2703a and r17,r17,r3 -81127d04: 00c03f84 movi r3,254 -81127d08: 193f8016 blt r3,r4,81127b0c <__reset+0xfb107b0c> -81127d0c: 880c91ba slli r6,r17,6 -81127d10: 11c0004c andi r7,r2,1 -81127d14: 300cd27a srli r6,r6,9 -81127d18: 003f7f06 br 81127b18 <__reset+0xfb107b18> -81127d1c: 9080102c andhi r2,r18,64 -81127d20: 10000226 beq r2,zero,81127d2c <__divsf3+0x354> -81127d24: 8880102c andhi r2,r17,64 -81127d28: 10001826 beq r2,zero,81127d8c <__divsf3+0x3b4> -81127d2c: 00802034 movhi r2,128 -81127d30: 91801034 orhi r6,r18,64 -81127d34: 10bfffc4 addi r2,r2,-1 -81127d38: 980f883a mov r7,r19 -81127d3c: 308c703a and r6,r6,r2 -81127d40: 003fc706 br 81127c60 <__reset+0xfb107c60> -81127d44: 008000c4 movi r2,3 -81127d48: b8802d26 beq r23,r2,81127e00 <__divsf3+0x428> -81127d4c: 00c00044 movi r3,1 -81127d50: b005883a mov r2,r22 -81127d54: b8ffdd1e bne r23,r3,81127ccc <__reset+0xfb107ccc> -81127d58: 11c0004c andi r7,r2,1 -81127d5c: 0009883a mov r4,zero -81127d60: 000d883a mov r6,zero -81127d64: 003f6c06 br 81127b18 <__reset+0xfb107b18> -81127d68: 9023883a mov r17,r18 -81127d6c: 003f6406 br 81127b00 <__reset+0xfb107b00> -81127d70: 1c47c83a sub r3,r3,r17 -81127d74: 01000684 movi r4,26 -81127d78: 01400044 movi r5,1 -81127d7c: 003fc806 br 81127ca0 <__reset+0xfb107ca0> -81127d80: 9080102c andhi r2,r18,64 -81127d84: 103fe926 beq r2,zero,81127d2c <__reset+0xfb107d2c> -81127d88: 0023883a mov r17,zero -81127d8c: 00802034 movhi r2,128 -81127d90: 89801034 orhi r6,r17,64 -81127d94: 10bfffc4 addi r2,r2,-1 -81127d98: a80f883a mov r7,r21 -81127d9c: 308c703a and r6,r6,r2 -81127da0: 003faf06 br 81127c60 <__reset+0xfb107c60> -81127da4: 01c00804 movi r7,32 -81127da8: 390fc83a sub r7,r7,r4 -81127dac: 89ce983a sll r7,r17,r7 -81127db0: 890ad83a srl r5,r17,r4 -81127db4: 380ec03a cmpne r7,r7,zero -81127db8: 29cab03a or r5,r5,r7 -81127dbc: 28c001cc andi r3,r5,7 -81127dc0: 18000426 beq r3,zero,81127dd4 <__divsf3+0x3fc> -81127dc4: 28c003cc andi r3,r5,15 -81127dc8: 01000104 movi r4,4 -81127dcc: 19000126 beq r3,r4,81127dd4 <__divsf3+0x3fc> -81127dd0: 290b883a add r5,r5,r4 -81127dd4: 28c1002c andhi r3,r5,1024 -81127dd8: 18000426 beq r3,zero,81127dec <__divsf3+0x414> -81127ddc: 11c0004c andi r7,r2,1 -81127de0: 01000044 movi r4,1 -81127de4: 000d883a mov r6,zero -81127de8: 003f4b06 br 81127b18 <__reset+0xfb107b18> -81127dec: 280a91ba slli r5,r5,6 -81127df0: 11c0004c andi r7,r2,1 -81127df4: 0009883a mov r4,zero -81127df8: 280cd27a srli r6,r5,9 -81127dfc: 003f4606 br 81127b18 <__reset+0xfb107b18> -81127e00: 00802034 movhi r2,128 -81127e04: 89801034 orhi r6,r17,64 -81127e08: 10bfffc4 addi r2,r2,-1 -81127e0c: b00f883a mov r7,r22 -81127e10: 308c703a and r6,r6,r2 -81127e14: 003f9206 br 81127c60 <__reset+0xfb107c60> - -81127e18 <__eqsf2>: -81127e18: 2006d5fa srli r3,r4,23 -81127e1c: 280cd5fa srli r6,r5,23 -81127e20: 01c02034 movhi r7,128 -81127e24: 39ffffc4 addi r7,r7,-1 -81127e28: 18c03fcc andi r3,r3,255 -81127e2c: 02003fc4 movi r8,255 -81127e30: 3904703a and r2,r7,r4 -81127e34: 31803fcc andi r6,r6,255 -81127e38: 394e703a and r7,r7,r5 -81127e3c: 2008d7fa srli r4,r4,31 -81127e40: 280ad7fa srli r5,r5,31 -81127e44: 1a000d26 beq r3,r8,81127e7c <__eqsf2+0x64> -81127e48: 02003fc4 movi r8,255 -81127e4c: 32000826 beq r6,r8,81127e70 <__eqsf2+0x58> -81127e50: 19800226 beq r3,r6,81127e5c <__eqsf2+0x44> -81127e54: 00800044 movi r2,1 -81127e58: f800283a ret -81127e5c: 11fffd1e bne r2,r7,81127e54 <__reset+0xfb107e54> -81127e60: 21400926 beq r4,r5,81127e88 <__eqsf2+0x70> -81127e64: 183ffb1e bne r3,zero,81127e54 <__reset+0xfb107e54> -81127e68: 1004c03a cmpne r2,r2,zero -81127e6c: f800283a ret -81127e70: 383ff726 beq r7,zero,81127e50 <__reset+0xfb107e50> -81127e74: 00800044 movi r2,1 -81127e78: f800283a ret -81127e7c: 103ff226 beq r2,zero,81127e48 <__reset+0xfb107e48> -81127e80: 00800044 movi r2,1 -81127e84: f800283a ret -81127e88: 0005883a mov r2,zero -81127e8c: f800283a ret - -81127e90 <__gesf2>: -81127e90: 2004d5fa srli r2,r4,23 -81127e94: 2806d5fa srli r3,r5,23 -81127e98: 01802034 movhi r6,128 -81127e9c: 31bfffc4 addi r6,r6,-1 -81127ea0: 10803fcc andi r2,r2,255 -81127ea4: 01c03fc4 movi r7,255 -81127ea8: 3110703a and r8,r6,r4 -81127eac: 18c03fcc andi r3,r3,255 -81127eb0: 314c703a and r6,r6,r5 -81127eb4: 2008d7fa srli r4,r4,31 -81127eb8: 280ad7fa srli r5,r5,31 -81127ebc: 11c01926 beq r2,r7,81127f24 <__gesf2+0x94> -81127ec0: 01c03fc4 movi r7,255 -81127ec4: 19c00f26 beq r3,r7,81127f04 <__gesf2+0x74> -81127ec8: 1000061e bne r2,zero,81127ee4 <__gesf2+0x54> -81127ecc: 400f003a cmpeq r7,r8,zero -81127ed0: 1800071e bne r3,zero,81127ef0 <__gesf2+0x60> -81127ed4: 3000061e bne r6,zero,81127ef0 <__gesf2+0x60> -81127ed8: 0005883a mov r2,zero -81127edc: 40000e1e bne r8,zero,81127f18 <__gesf2+0x88> -81127ee0: f800283a ret -81127ee4: 18000a1e bne r3,zero,81127f10 <__gesf2+0x80> -81127ee8: 30000b26 beq r6,zero,81127f18 <__gesf2+0x88> -81127eec: 000f883a mov r7,zero -81127ef0: 29403fcc andi r5,r5,255 -81127ef4: 38000726 beq r7,zero,81127f14 <__gesf2+0x84> -81127ef8: 28000826 beq r5,zero,81127f1c <__gesf2+0x8c> -81127efc: 00800044 movi r2,1 -81127f00: f800283a ret -81127f04: 303ff026 beq r6,zero,81127ec8 <__reset+0xfb107ec8> -81127f08: 00bfff84 movi r2,-2 -81127f0c: f800283a ret -81127f10: 29403fcc andi r5,r5,255 -81127f14: 21400526 beq r4,r5,81127f2c <__gesf2+0x9c> -81127f18: 203ff826 beq r4,zero,81127efc <__reset+0xfb107efc> -81127f1c: 00bfffc4 movi r2,-1 +811278dc: 00c00234 movhi r3,8 +811278e0: 1a07d83a sra r3,r3,r8 +811278e4: 0084303a nor r2,zero,r2 +811278e8: 000d883a mov r6,zero +811278ec: 19c7883a add r3,r3,r7 +811278f0: 1886703a and r3,r3,r2 +811278f4: 3005883a mov r2,r6 +811278f8: f800283a ret +811278fc: 00bfffc4 movi r2,-1 +81127900: 28e0002c andhi r3,r5,32768 +81127904: 40800c26 beq r8,r2,81127938 +81127908: 000d883a mov r6,zero +8112790c: 003fe206 br 81127898 <__reset+0xfb107898> +81127910: 3005883a mov r2,r6 +81127914: 2807883a mov r3,r5 +81127918: f800283a ret +8112791c: deffff04 addi sp,sp,-4 +81127920: 280f883a mov r7,r5 +81127924: dfc00015 stw ra,0(sp) +81127928: 1128af00 call 81128af0 <__adddf3> +8112792c: dfc00017 ldw ra,0(sp) +81127930: dec00104 addi sp,sp,4 +81127934: f800283a ret +81127938: 18cffc34 orhi r3,r3,16368 +8112793c: 000d883a mov r6,zero +81127940: 003fd506 br 81127898 <__reset+0xfb107898> + +81127944 <__fixunssfsi>: +81127944: defffd04 addi sp,sp,-12 +81127948: dc000015 stw r16,0(sp) +8112794c: 0413c034 movhi r16,20224 +81127950: 800b883a mov r5,r16 +81127954: dc400115 stw r17,4(sp) +81127958: dfc00215 stw ra,8(sp) +8112795c: 2023883a mov r17,r4 +81127960: 1127ed00 call 81127ed0 <__gesf2> +81127964: 1000070e bge r2,zero,81127984 <__fixunssfsi+0x40> +81127968: 8809883a mov r4,r17 +8112796c: 112886c0 call 8112886c <__fixsfsi> +81127970: dfc00217 ldw ra,8(sp) +81127974: dc400117 ldw r17,4(sp) +81127978: dc000017 ldw r16,0(sp) +8112797c: dec00304 addi sp,sp,12 +81127980: f800283a ret +81127984: 800b883a mov r5,r16 +81127988: 8809883a mov r4,r17 +8112798c: 11283880 call 81128388 <__subsf3> +81127990: 1009883a mov r4,r2 +81127994: 112886c0 call 8112886c <__fixsfsi> +81127998: 00e00034 movhi r3,32768 +8112799c: 10c5883a add r2,r2,r3 +811279a0: 003ff306 br 81127970 <__reset+0xfb107970> + +811279a4 <__fixunsdfsi>: +811279a4: defffd04 addi sp,sp,-12 +811279a8: 000d883a mov r6,zero +811279ac: 01d07834 movhi r7,16864 +811279b0: dc400115 stw r17,4(sp) +811279b4: dc000015 stw r16,0(sp) +811279b8: dfc00215 stw ra,8(sp) +811279bc: 2023883a mov r17,r4 +811279c0: 2821883a mov r16,r5 +811279c4: 1129c840 call 81129c84 <__gedf2> +811279c8: 1000080e bge r2,zero,811279ec <__fixunsdfsi+0x48> +811279cc: 8809883a mov r4,r17 +811279d0: 800b883a mov r5,r16 +811279d4: 112ad740 call 8112ad74 <__fixdfsi> +811279d8: dfc00217 ldw ra,8(sp) +811279dc: dc400117 ldw r17,4(sp) +811279e0: dc000017 ldw r16,0(sp) +811279e4: dec00304 addi sp,sp,12 +811279e8: f800283a ret +811279ec: 000d883a mov r6,zero +811279f0: 01d07834 movhi r7,16864 +811279f4: 8809883a mov r4,r17 +811279f8: 800b883a mov r5,r16 +811279fc: 112a4780 call 8112a478 <__subdf3> +81127a00: 180b883a mov r5,r3 +81127a04: 1009883a mov r4,r2 +81127a08: 112ad740 call 8112ad74 <__fixdfsi> +81127a0c: 00e00034 movhi r3,32768 +81127a10: 10c5883a add r2,r2,r3 +81127a14: 003ff006 br 811279d8 <__reset+0xfb1079d8> + +81127a18 <__divsf3>: +81127a18: defff504 addi sp,sp,-44 +81127a1c: 200cd5fa srli r6,r4,23 +81127a20: dcc00415 stw r19,16(sp) +81127a24: 2026d7fa srli r19,r4,31 +81127a28: 00c02034 movhi r3,128 +81127a2c: dd800715 stw r22,28(sp) +81127a30: dd000515 stw r20,20(sp) +81127a34: dc800315 stw r18,12(sp) +81127a38: 18ffffc4 addi r3,r3,-1 +81127a3c: dfc00a15 stw ra,40(sp) +81127a40: df000915 stw fp,36(sp) +81127a44: ddc00815 stw r23,32(sp) +81127a48: dd400615 stw r21,24(sp) +81127a4c: dc400215 stw r17,8(sp) +81127a50: dc000115 stw r16,4(sp) +81127a54: 35003fcc andi r20,r6,255 +81127a58: 1924703a and r18,r3,r4 +81127a5c: 9d803fcc andi r22,r19,255 +81127a60: a0005226 beq r20,zero,81127bac <__divsf3+0x194> +81127a64: 00803fc4 movi r2,255 +81127a68: a0802e26 beq r20,r2,81127b24 <__divsf3+0x10c> +81127a6c: 91002034 orhi r4,r18,128 +81127a70: 202490fa slli r18,r4,3 +81127a74: a53fe044 addi r20,r20,-127 +81127a78: 0021883a mov r16,zero +81127a7c: 002f883a mov r23,zero +81127a80: 280cd5fa srli r6,r5,23 +81127a84: 282ad7fa srli r21,r5,31 +81127a88: 00c02034 movhi r3,128 +81127a8c: 18ffffc4 addi r3,r3,-1 +81127a90: 31803fcc andi r6,r6,255 +81127a94: 1962703a and r17,r3,r5 +81127a98: af003fcc andi fp,r21,255 +81127a9c: 30004a26 beq r6,zero,81127bc8 <__divsf3+0x1b0> +81127aa0: 00803fc4 movi r2,255 +81127aa4: 30804526 beq r6,r2,81127bbc <__divsf3+0x1a4> +81127aa8: 89402034 orhi r5,r17,128 +81127aac: 282290fa slli r17,r5,3 +81127ab0: 31bfe044 addi r6,r6,-127 +81127ab4: 000b883a mov r5,zero +81127ab8: 2c20b03a or r16,r5,r16 +81127abc: 802090ba slli r16,r16,2 +81127ac0: 00a044b4 movhi r2,33042 +81127ac4: 109eb904 addi r2,r2,31460 +81127ac8: 80a1883a add r16,r16,r2 +81127acc: 81000017 ldw r4,0(r16) +81127ad0: 9d46f03a xor r3,r19,r21 +81127ad4: 180f883a mov r7,r3 +81127ad8: 18803fcc andi r2,r3,255 +81127adc: a18dc83a sub r6,r20,r6 +81127ae0: 2000683a jmp r4 +81127ae4: 81127cc8 cmpgei r4,r16,18931 +81127ae8: 81127b4c andi r4,r16,18925 +81127aec: 81127cbc xorhi r4,r16,18930 +81127af0: 81127b38 rdprs r4,r16,18924 +81127af4: 81127cbc xorhi r4,r16,18930 +81127af8: 81127c94 ori r4,r16,18930 +81127afc: 81127cbc xorhi r4,r16,18930 +81127b00: 81127b38 rdprs r4,r16,18924 +81127b04: 81127b4c andi r4,r16,18925 +81127b08: 81127b4c andi r4,r16,18925 +81127b0c: 81127c94 ori r4,r16,18930 +81127b10: 81127b38 rdprs r4,r16,18924 +81127b14: 81127da8 cmpgeui r4,r16,18934 +81127b18: 81127da8 cmpgeui r4,r16,18934 +81127b1c: 81127da8 cmpgeui r4,r16,18934 +81127b20: 81127d5c xori r4,r16,18933 +81127b24: 9000581e bne r18,zero,81127c88 <__divsf3+0x270> +81127b28: 04000204 movi r16,8 +81127b2c: 05c00084 movi r23,2 +81127b30: 003fd306 br 81127a80 <__reset+0xfb107a80> +81127b34: 0023883a mov r17,zero +81127b38: e02d883a mov r22,fp +81127b3c: 282f883a mov r23,r5 +81127b40: 00800084 movi r2,2 +81127b44: b8808f1e bne r23,r2,81127d84 <__divsf3+0x36c> +81127b48: b005883a mov r2,r22 +81127b4c: 11c0004c andi r7,r2,1 +81127b50: 013fffc4 movi r4,-1 +81127b54: 000d883a mov r6,zero +81127b58: 21003fcc andi r4,r4,255 +81127b5c: 200895fa slli r4,r4,23 +81127b60: 38803fcc andi r2,r7,255 +81127b64: 00c02034 movhi r3,128 +81127b68: 100497fa slli r2,r2,31 +81127b6c: 18ffffc4 addi r3,r3,-1 +81127b70: 30c6703a and r3,r6,r3 +81127b74: 1906b03a or r3,r3,r4 +81127b78: 1884b03a or r2,r3,r2 +81127b7c: dfc00a17 ldw ra,40(sp) +81127b80: df000917 ldw fp,36(sp) +81127b84: ddc00817 ldw r23,32(sp) +81127b88: dd800717 ldw r22,28(sp) +81127b8c: dd400617 ldw r21,24(sp) +81127b90: dd000517 ldw r20,20(sp) +81127b94: dcc00417 ldw r19,16(sp) +81127b98: dc800317 ldw r18,12(sp) +81127b9c: dc400217 ldw r17,8(sp) +81127ba0: dc000117 ldw r16,4(sp) +81127ba4: dec00b04 addi sp,sp,44 +81127ba8: f800283a ret +81127bac: 90002b1e bne r18,zero,81127c5c <__divsf3+0x244> +81127bb0: 04000104 movi r16,4 +81127bb4: 05c00044 movi r23,1 +81127bb8: 003fb106 br 81127a80 <__reset+0xfb107a80> +81127bbc: 8800251e bne r17,zero,81127c54 <__divsf3+0x23c> +81127bc0: 01400084 movi r5,2 +81127bc4: 00000206 br 81127bd0 <__divsf3+0x1b8> +81127bc8: 88001a1e bne r17,zero,81127c34 <__divsf3+0x21c> +81127bcc: 01400044 movi r5,1 +81127bd0: 8160b03a or r16,r16,r5 +81127bd4: 802090ba slli r16,r16,2 +81127bd8: 00e044b4 movhi r3,33042 +81127bdc: 18defd04 addi r3,r3,31732 +81127be0: 80e1883a add r16,r16,r3 +81127be4: 80c00017 ldw r3,0(r16) +81127be8: 9d44f03a xor r2,r19,r21 +81127bec: a18dc83a sub r6,r20,r6 +81127bf0: 1800683a jmp r3 +81127bf4: 81127b4c andi r4,r16,18925 +81127bf8: 81127b4c andi r4,r16,18925 +81127bfc: 81127d98 cmpnei r4,r16,18934 +81127c00: 81127b34 orhi r4,r16,18924 +81127c04: 81127d98 cmpnei r4,r16,18934 +81127c08: 81127c94 ori r4,r16,18930 +81127c0c: 81127d98 cmpnei r4,r16,18934 +81127c10: 81127b34 orhi r4,r16,18924 +81127c14: 81127b4c andi r4,r16,18925 +81127c18: 81127b4c andi r4,r16,18925 +81127c1c: 81127c94 ori r4,r16,18930 +81127c20: 81127b34 orhi r4,r16,18924 +81127c24: 81127da8 cmpgeui r4,r16,18934 +81127c28: 81127da8 cmpgeui r4,r16,18934 +81127c2c: 81127da8 cmpgeui r4,r16,18934 +81127c30: 81127dc0 call 881127dc <__reset+0x20f27dc> +81127c34: 8809883a mov r4,r17 +81127c38: 112b2300 call 8112b230 <__clzsi2> +81127c3c: 10fffec4 addi r3,r2,-5 +81127c40: 10801d84 addi r2,r2,118 +81127c44: 88e2983a sll r17,r17,r3 +81127c48: 008dc83a sub r6,zero,r2 +81127c4c: 000b883a mov r5,zero +81127c50: 003f9906 br 81127ab8 <__reset+0xfb107ab8> +81127c54: 014000c4 movi r5,3 +81127c58: 003f9706 br 81127ab8 <__reset+0xfb107ab8> +81127c5c: 9009883a mov r4,r18 +81127c60: d9400015 stw r5,0(sp) +81127c64: 112b2300 call 8112b230 <__clzsi2> +81127c68: 10fffec4 addi r3,r2,-5 +81127c6c: 11801d84 addi r6,r2,118 +81127c70: 90e4983a sll r18,r18,r3 +81127c74: 01a9c83a sub r20,zero,r6 +81127c78: 0021883a mov r16,zero +81127c7c: 002f883a mov r23,zero +81127c80: d9400017 ldw r5,0(sp) +81127c84: 003f7e06 br 81127a80 <__reset+0xfb107a80> +81127c88: 04000304 movi r16,12 +81127c8c: 05c000c4 movi r23,3 +81127c90: 003f7b06 br 81127a80 <__reset+0xfb107a80> +81127c94: 01802034 movhi r6,128 +81127c98: 000f883a mov r7,zero +81127c9c: 31bfffc4 addi r6,r6,-1 +81127ca0: 013fffc4 movi r4,-1 +81127ca4: 003fac06 br 81127b58 <__reset+0xfb107b58> +81127ca8: 01400044 movi r5,1 +81127cac: 2909c83a sub r4,r5,r4 +81127cb0: 00c006c4 movi r3,27 +81127cb4: 19004b0e bge r3,r4,81127de4 <__divsf3+0x3cc> +81127cb8: 114e703a and r7,r2,r5 +81127cbc: 0009883a mov r4,zero +81127cc0: 000d883a mov r6,zero +81127cc4: 003fa406 br 81127b58 <__reset+0xfb107b58> +81127cc8: 9006917a slli r3,r18,5 +81127ccc: 8822917a slli r17,r17,5 +81127cd0: 1c40372e bgeu r3,r17,81127db0 <__divsf3+0x398> +81127cd4: 31bfffc4 addi r6,r6,-1 +81127cd8: 010006c4 movi r4,27 +81127cdc: 000b883a mov r5,zero +81127ce0: 180f883a mov r7,r3 +81127ce4: 294b883a add r5,r5,r5 +81127ce8: 18c7883a add r3,r3,r3 +81127cec: 38000116 blt r7,zero,81127cf4 <__divsf3+0x2dc> +81127cf0: 1c400236 bltu r3,r17,81127cfc <__divsf3+0x2e4> +81127cf4: 1c47c83a sub r3,r3,r17 +81127cf8: 29400054 ori r5,r5,1 +81127cfc: 213fffc4 addi r4,r4,-1 +81127d00: 203ff71e bne r4,zero,81127ce0 <__reset+0xfb107ce0> +81127d04: 1806c03a cmpne r3,r3,zero +81127d08: 1962b03a or r17,r3,r5 +81127d0c: 31001fc4 addi r4,r6,127 +81127d10: 013fe50e bge zero,r4,81127ca8 <__reset+0xfb107ca8> +81127d14: 88c001cc andi r3,r17,7 +81127d18: 18000426 beq r3,zero,81127d2c <__divsf3+0x314> +81127d1c: 88c003cc andi r3,r17,15 +81127d20: 01400104 movi r5,4 +81127d24: 19400126 beq r3,r5,81127d2c <__divsf3+0x314> +81127d28: 8963883a add r17,r17,r5 +81127d2c: 88c2002c andhi r3,r17,2048 +81127d30: 18000426 beq r3,zero,81127d44 <__divsf3+0x32c> +81127d34: 00fe0034 movhi r3,63488 +81127d38: 18ffffc4 addi r3,r3,-1 +81127d3c: 31002004 addi r4,r6,128 +81127d40: 88e2703a and r17,r17,r3 +81127d44: 00c03f84 movi r3,254 +81127d48: 193f8016 blt r3,r4,81127b4c <__reset+0xfb107b4c> +81127d4c: 880c91ba slli r6,r17,6 +81127d50: 11c0004c andi r7,r2,1 +81127d54: 300cd27a srli r6,r6,9 +81127d58: 003f7f06 br 81127b58 <__reset+0xfb107b58> +81127d5c: 9080102c andhi r2,r18,64 +81127d60: 10000226 beq r2,zero,81127d6c <__divsf3+0x354> +81127d64: 8880102c andhi r2,r17,64 +81127d68: 10001826 beq r2,zero,81127dcc <__divsf3+0x3b4> +81127d6c: 00802034 movhi r2,128 +81127d70: 91801034 orhi r6,r18,64 +81127d74: 10bfffc4 addi r2,r2,-1 +81127d78: 980f883a mov r7,r19 +81127d7c: 308c703a and r6,r6,r2 +81127d80: 003fc706 br 81127ca0 <__reset+0xfb107ca0> +81127d84: 008000c4 movi r2,3 +81127d88: b8802d26 beq r23,r2,81127e40 <__divsf3+0x428> +81127d8c: 00c00044 movi r3,1 +81127d90: b005883a mov r2,r22 +81127d94: b8ffdd1e bne r23,r3,81127d0c <__reset+0xfb107d0c> +81127d98: 11c0004c andi r7,r2,1 +81127d9c: 0009883a mov r4,zero +81127da0: 000d883a mov r6,zero +81127da4: 003f6c06 br 81127b58 <__reset+0xfb107b58> +81127da8: 9023883a mov r17,r18 +81127dac: 003f6406 br 81127b40 <__reset+0xfb107b40> +81127db0: 1c47c83a sub r3,r3,r17 +81127db4: 01000684 movi r4,26 +81127db8: 01400044 movi r5,1 +81127dbc: 003fc806 br 81127ce0 <__reset+0xfb107ce0> +81127dc0: 9080102c andhi r2,r18,64 +81127dc4: 103fe926 beq r2,zero,81127d6c <__reset+0xfb107d6c> +81127dc8: 0023883a mov r17,zero +81127dcc: 00802034 movhi r2,128 +81127dd0: 89801034 orhi r6,r17,64 +81127dd4: 10bfffc4 addi r2,r2,-1 +81127dd8: a80f883a mov r7,r21 +81127ddc: 308c703a and r6,r6,r2 +81127de0: 003faf06 br 81127ca0 <__reset+0xfb107ca0> +81127de4: 01c00804 movi r7,32 +81127de8: 390fc83a sub r7,r7,r4 +81127dec: 89ce983a sll r7,r17,r7 +81127df0: 890ad83a srl r5,r17,r4 +81127df4: 380ec03a cmpne r7,r7,zero +81127df8: 29cab03a or r5,r5,r7 +81127dfc: 28c001cc andi r3,r5,7 +81127e00: 18000426 beq r3,zero,81127e14 <__divsf3+0x3fc> +81127e04: 28c003cc andi r3,r5,15 +81127e08: 01000104 movi r4,4 +81127e0c: 19000126 beq r3,r4,81127e14 <__divsf3+0x3fc> +81127e10: 290b883a add r5,r5,r4 +81127e14: 28c1002c andhi r3,r5,1024 +81127e18: 18000426 beq r3,zero,81127e2c <__divsf3+0x414> +81127e1c: 11c0004c andi r7,r2,1 +81127e20: 01000044 movi r4,1 +81127e24: 000d883a mov r6,zero +81127e28: 003f4b06 br 81127b58 <__reset+0xfb107b58> +81127e2c: 280a91ba slli r5,r5,6 +81127e30: 11c0004c andi r7,r2,1 +81127e34: 0009883a mov r4,zero +81127e38: 280cd27a srli r6,r5,9 +81127e3c: 003f4606 br 81127b58 <__reset+0xfb107b58> +81127e40: 00802034 movhi r2,128 +81127e44: 89801034 orhi r6,r17,64 +81127e48: 10bfffc4 addi r2,r2,-1 +81127e4c: b00f883a mov r7,r22 +81127e50: 308c703a and r6,r6,r2 +81127e54: 003f9206 br 81127ca0 <__reset+0xfb107ca0> + +81127e58 <__eqsf2>: +81127e58: 2006d5fa srli r3,r4,23 +81127e5c: 280cd5fa srli r6,r5,23 +81127e60: 01c02034 movhi r7,128 +81127e64: 39ffffc4 addi r7,r7,-1 +81127e68: 18c03fcc andi r3,r3,255 +81127e6c: 02003fc4 movi r8,255 +81127e70: 3904703a and r2,r7,r4 +81127e74: 31803fcc andi r6,r6,255 +81127e78: 394e703a and r7,r7,r5 +81127e7c: 2008d7fa srli r4,r4,31 +81127e80: 280ad7fa srli r5,r5,31 +81127e84: 1a000d26 beq r3,r8,81127ebc <__eqsf2+0x64> +81127e88: 02003fc4 movi r8,255 +81127e8c: 32000826 beq r6,r8,81127eb0 <__eqsf2+0x58> +81127e90: 19800226 beq r3,r6,81127e9c <__eqsf2+0x44> +81127e94: 00800044 movi r2,1 +81127e98: f800283a ret +81127e9c: 11fffd1e bne r2,r7,81127e94 <__reset+0xfb107e94> +81127ea0: 21400926 beq r4,r5,81127ec8 <__eqsf2+0x70> +81127ea4: 183ffb1e bne r3,zero,81127e94 <__reset+0xfb107e94> +81127ea8: 1004c03a cmpne r2,r2,zero +81127eac: f800283a ret +81127eb0: 383ff726 beq r7,zero,81127e90 <__reset+0xfb107e90> +81127eb4: 00800044 movi r2,1 +81127eb8: f800283a ret +81127ebc: 103ff226 beq r2,zero,81127e88 <__reset+0xfb107e88> +81127ec0: 00800044 movi r2,1 +81127ec4: f800283a ret +81127ec8: 0005883a mov r2,zero +81127ecc: f800283a ret + +81127ed0 <__gesf2>: +81127ed0: 2004d5fa srli r2,r4,23 +81127ed4: 2806d5fa srli r3,r5,23 +81127ed8: 01802034 movhi r6,128 +81127edc: 31bfffc4 addi r6,r6,-1 +81127ee0: 10803fcc andi r2,r2,255 +81127ee4: 01c03fc4 movi r7,255 +81127ee8: 3110703a and r8,r6,r4 +81127eec: 18c03fcc andi r3,r3,255 +81127ef0: 314c703a and r6,r6,r5 +81127ef4: 2008d7fa srli r4,r4,31 +81127ef8: 280ad7fa srli r5,r5,31 +81127efc: 11c01926 beq r2,r7,81127f64 <__gesf2+0x94> +81127f00: 01c03fc4 movi r7,255 +81127f04: 19c00f26 beq r3,r7,81127f44 <__gesf2+0x74> +81127f08: 1000061e bne r2,zero,81127f24 <__gesf2+0x54> +81127f0c: 400f003a cmpeq r7,r8,zero +81127f10: 1800071e bne r3,zero,81127f30 <__gesf2+0x60> +81127f14: 3000061e bne r6,zero,81127f30 <__gesf2+0x60> +81127f18: 0005883a mov r2,zero +81127f1c: 40000e1e bne r8,zero,81127f58 <__gesf2+0x88> 81127f20: f800283a ret -81127f24: 403fe626 beq r8,zero,81127ec0 <__reset+0xfb107ec0> -81127f28: 003ff706 br 81127f08 <__reset+0xfb107f08> -81127f2c: 18bffa16 blt r3,r2,81127f18 <__reset+0xfb107f18> -81127f30: 10c00216 blt r2,r3,81127f3c <__gesf2+0xac> -81127f34: 323ff836 bltu r6,r8,81127f18 <__reset+0xfb107f18> -81127f38: 4180022e bgeu r8,r6,81127f44 <__gesf2+0xb4> -81127f3c: 203fef1e bne r4,zero,81127efc <__reset+0xfb107efc> -81127f40: 003ff606 br 81127f1c <__reset+0xfb107f1c> -81127f44: 0005883a mov r2,zero -81127f48: f800283a ret - -81127f4c <__mulsf3>: -81127f4c: defff504 addi sp,sp,-44 -81127f50: dc000115 stw r16,4(sp) -81127f54: 2020d5fa srli r16,r4,23 -81127f58: dd400615 stw r21,24(sp) -81127f5c: 202ad7fa srli r21,r4,31 -81127f60: dc800315 stw r18,12(sp) -81127f64: 04802034 movhi r18,128 -81127f68: df000915 stw fp,36(sp) -81127f6c: dd000515 stw r20,20(sp) -81127f70: 94bfffc4 addi r18,r18,-1 -81127f74: dfc00a15 stw ra,40(sp) -81127f78: ddc00815 stw r23,32(sp) -81127f7c: dd800715 stw r22,28(sp) -81127f80: dcc00415 stw r19,16(sp) -81127f84: dc400215 stw r17,8(sp) -81127f88: 84003fcc andi r16,r16,255 -81127f8c: 9124703a and r18,r18,r4 -81127f90: a829883a mov r20,r21 -81127f94: af003fcc andi fp,r21,255 -81127f98: 80005426 beq r16,zero,811280ec <__mulsf3+0x1a0> -81127f9c: 00803fc4 movi r2,255 -81127fa0: 80802f26 beq r16,r2,81128060 <__mulsf3+0x114> -81127fa4: 91002034 orhi r4,r18,128 -81127fa8: 202490fa slli r18,r4,3 -81127fac: 843fe044 addi r16,r16,-127 -81127fb0: 0023883a mov r17,zero -81127fb4: 002f883a mov r23,zero -81127fb8: 2804d5fa srli r2,r5,23 -81127fbc: 282cd7fa srli r22,r5,31 -81127fc0: 01002034 movhi r4,128 -81127fc4: 213fffc4 addi r4,r4,-1 -81127fc8: 10803fcc andi r2,r2,255 -81127fcc: 2166703a and r19,r4,r5 -81127fd0: b1803fcc andi r6,r22,255 -81127fd4: 10004c26 beq r2,zero,81128108 <__mulsf3+0x1bc> -81127fd8: 00c03fc4 movi r3,255 -81127fdc: 10c04726 beq r2,r3,811280fc <__mulsf3+0x1b0> -81127fe0: 99002034 orhi r4,r19,128 -81127fe4: 202690fa slli r19,r4,3 -81127fe8: 10bfe044 addi r2,r2,-127 -81127fec: 0007883a mov r3,zero -81127ff0: 80a1883a add r16,r16,r2 -81127ff4: 010003c4 movi r4,15 -81127ff8: 1c44b03a or r2,r3,r17 -81127ffc: b56af03a xor r21,r22,r21 -81128000: 81c00044 addi r7,r16,1 -81128004: 20806b36 bltu r4,r2,811281b4 <__mulsf3+0x268> -81128008: 100490ba slli r2,r2,2 -8112800c: 012044f4 movhi r4,33043 -81128010: 21200804 addi r4,r4,-32736 -81128014: 1105883a add r2,r2,r4 -81128018: 10800017 ldw r2,0(r2) -8112801c: 1000683a jmp r2 -81128020: 811281b4 orhi r4,r16,18950 -81128024: 81128074 orhi r4,r16,18945 -81128028: 81128074 orhi r4,r16,18945 -8112802c: 81128070 cmpltui r4,r16,18945 -81128030: 81128198 cmpnei r4,r16,18950 -81128034: 81128198 cmpnei r4,r16,18950 -81128038: 81128184 addi r4,r16,18950 -8112803c: 81128070 cmpltui r4,r16,18945 -81128040: 81128198 cmpnei r4,r16,18950 -81128044: 81128184 addi r4,r16,18950 -81128048: 81128198 cmpnei r4,r16,18950 -8112804c: 81128070 cmpltui r4,r16,18945 -81128050: 811281a4 muli r4,r16,18950 -81128054: 811281a4 muli r4,r16,18950 -81128058: 811281a4 muli r4,r16,18950 -8112805c: 81128280 call 88112828 <__reset+0x20f2828> -81128060: 90003b1e bne r18,zero,81128150 <__mulsf3+0x204> -81128064: 04400204 movi r17,8 -81128068: 05c00084 movi r23,2 -8112806c: 003fd206 br 81127fb8 <__reset+0xfb107fb8> -81128070: 302b883a mov r21,r6 -81128074: 00800084 movi r2,2 -81128078: 18802626 beq r3,r2,81128114 <__mulsf3+0x1c8> -8112807c: 008000c4 movi r2,3 -81128080: 1880ab26 beq r3,r2,81128330 <__mulsf3+0x3e4> -81128084: 00800044 movi r2,1 -81128088: 1880a21e bne r3,r2,81128314 <__mulsf3+0x3c8> -8112808c: a829883a mov r20,r21 -81128090: 0007883a mov r3,zero -81128094: 0009883a mov r4,zero -81128098: 18803fcc andi r2,r3,255 -8112809c: 100695fa slli r3,r2,23 -811280a0: a0803fcc andi r2,r20,255 -811280a4: 100a97fa slli r5,r2,31 -811280a8: 00802034 movhi r2,128 -811280ac: 10bfffc4 addi r2,r2,-1 -811280b0: 2084703a and r2,r4,r2 -811280b4: 10c4b03a or r2,r2,r3 -811280b8: 1144b03a or r2,r2,r5 -811280bc: dfc00a17 ldw ra,40(sp) -811280c0: df000917 ldw fp,36(sp) -811280c4: ddc00817 ldw r23,32(sp) -811280c8: dd800717 ldw r22,28(sp) -811280cc: dd400617 ldw r21,24(sp) -811280d0: dd000517 ldw r20,20(sp) -811280d4: dcc00417 ldw r19,16(sp) -811280d8: dc800317 ldw r18,12(sp) -811280dc: dc400217 ldw r17,8(sp) -811280e0: dc000117 ldw r16,4(sp) -811280e4: dec00b04 addi sp,sp,44 -811280e8: f800283a ret -811280ec: 90000d1e bne r18,zero,81128124 <__mulsf3+0x1d8> -811280f0: 04400104 movi r17,4 -811280f4: 05c00044 movi r23,1 -811280f8: 003faf06 br 81127fb8 <__reset+0xfb107fb8> -811280fc: 9806c03a cmpne r3,r19,zero -81128100: 18c00084 addi r3,r3,2 -81128104: 003fba06 br 81127ff0 <__reset+0xfb107ff0> -81128108: 9800141e bne r19,zero,8112815c <__mulsf3+0x210> -8112810c: 00c00044 movi r3,1 -81128110: 003fb706 br 81127ff0 <__reset+0xfb107ff0> -81128114: a829883a mov r20,r21 -81128118: 00ffffc4 movi r3,-1 -8112811c: 0009883a mov r4,zero -81128120: 003fdd06 br 81128098 <__reset+0xfb108098> -81128124: 9009883a mov r4,r18 -81128128: d9400015 stw r5,0(sp) -8112812c: 112b1f00 call 8112b1f0 <__clzsi2> -81128130: 10fffec4 addi r3,r2,-5 -81128134: 10801d84 addi r2,r2,118 -81128138: 90e4983a sll r18,r18,r3 -8112813c: 00a1c83a sub r16,zero,r2 -81128140: 0023883a mov r17,zero -81128144: 002f883a mov r23,zero -81128148: d9400017 ldw r5,0(sp) -8112814c: 003f9a06 br 81127fb8 <__reset+0xfb107fb8> -81128150: 04400304 movi r17,12 -81128154: 05c000c4 movi r23,3 -81128158: 003f9706 br 81127fb8 <__reset+0xfb107fb8> -8112815c: 9809883a mov r4,r19 -81128160: d9800015 stw r6,0(sp) -81128164: 112b1f00 call 8112b1f0 <__clzsi2> -81128168: 10fffec4 addi r3,r2,-5 -8112816c: 10801d84 addi r2,r2,118 -81128170: 98e6983a sll r19,r19,r3 -81128174: 0085c83a sub r2,zero,r2 -81128178: 0007883a mov r3,zero -8112817c: d9800017 ldw r6,0(sp) -81128180: 003f9b06 br 81127ff0 <__reset+0xfb107ff0> -81128184: 01002034 movhi r4,128 -81128188: 0029883a mov r20,zero -8112818c: 213fffc4 addi r4,r4,-1 -81128190: 00ffffc4 movi r3,-1 -81128194: 003fc006 br 81128098 <__reset+0xfb108098> -81128198: 9027883a mov r19,r18 -8112819c: b807883a mov r3,r23 -811281a0: 003fb406 br 81128074 <__reset+0xfb108074> -811281a4: 9027883a mov r19,r18 -811281a8: e02b883a mov r21,fp -811281ac: b807883a mov r3,r23 -811281b0: 003fb006 br 81128074 <__reset+0xfb108074> -811281b4: 9004d43a srli r2,r18,16 -811281b8: 9810d43a srli r8,r19,16 -811281bc: 94bfffcc andi r18,r18,65535 -811281c0: 993fffcc andi r4,r19,65535 -811281c4: 910d383a mul r6,r18,r4 -811281c8: 20a7383a mul r19,r4,r2 -811281cc: 9225383a mul r18,r18,r8 -811281d0: 3006d43a srli r3,r6,16 -811281d4: 1211383a mul r8,r2,r8 -811281d8: 94e5883a add r18,r18,r19 -811281dc: 1c87883a add r3,r3,r18 -811281e0: 1cc0022e bgeu r3,r19,811281ec <__mulsf3+0x2a0> -811281e4: 00800074 movhi r2,1 -811281e8: 4091883a add r8,r8,r2 -811281ec: 1804943a slli r2,r3,16 -811281f0: 31bfffcc andi r6,r6,65535 -811281f4: 1806d43a srli r3,r3,16 -811281f8: 1185883a add r2,r2,r6 -811281fc: 102691ba slli r19,r2,6 -81128200: 1a07883a add r3,r3,r8 -81128204: 1004d6ba srli r2,r2,26 -81128208: 180891ba slli r4,r3,6 -8112820c: 9826c03a cmpne r19,r19,zero -81128210: 9884b03a or r2,r19,r2 -81128214: 1126b03a or r19,r2,r4 -81128218: 9882002c andhi r2,r19,2048 -8112821c: 10000426 beq r2,zero,81128230 <__mulsf3+0x2e4> -81128220: 9804d07a srli r2,r19,1 -81128224: 9900004c andi r4,r19,1 -81128228: 3821883a mov r16,r7 -8112822c: 1126b03a or r19,r2,r4 -81128230: 80c01fc4 addi r3,r16,127 -81128234: 00c0210e bge zero,r3,811282bc <__mulsf3+0x370> -81128238: 988001cc andi r2,r19,7 -8112823c: 10000426 beq r2,zero,81128250 <__mulsf3+0x304> -81128240: 988003cc andi r2,r19,15 -81128244: 01000104 movi r4,4 -81128248: 11000126 beq r2,r4,81128250 <__mulsf3+0x304> -8112824c: 9927883a add r19,r19,r4 -81128250: 9882002c andhi r2,r19,2048 -81128254: 10000426 beq r2,zero,81128268 <__mulsf3+0x31c> -81128258: 00be0034 movhi r2,63488 -8112825c: 10bfffc4 addi r2,r2,-1 -81128260: 80c02004 addi r3,r16,128 -81128264: 98a6703a and r19,r19,r2 -81128268: 00803f84 movi r2,254 -8112826c: 10ffa916 blt r2,r3,81128114 <__reset+0xfb108114> -81128270: 980891ba slli r4,r19,6 -81128274: a829883a mov r20,r21 -81128278: 2008d27a srli r4,r4,9 -8112827c: 003f8606 br 81128098 <__reset+0xfb108098> -81128280: 9080102c andhi r2,r18,64 -81128284: 10000826 beq r2,zero,811282a8 <__mulsf3+0x35c> -81128288: 9880102c andhi r2,r19,64 -8112828c: 1000061e bne r2,zero,811282a8 <__mulsf3+0x35c> -81128290: 00802034 movhi r2,128 -81128294: 99001034 orhi r4,r19,64 -81128298: 10bfffc4 addi r2,r2,-1 -8112829c: b029883a mov r20,r22 -811282a0: 2088703a and r4,r4,r2 -811282a4: 003fba06 br 81128190 <__reset+0xfb108190> -811282a8: 00802034 movhi r2,128 -811282ac: 91001034 orhi r4,r18,64 -811282b0: 10bfffc4 addi r2,r2,-1 -811282b4: 2088703a and r4,r4,r2 -811282b8: 003fb506 br 81128190 <__reset+0xfb108190> -811282bc: 00800044 movi r2,1 -811282c0: 10c7c83a sub r3,r2,r3 -811282c4: 008006c4 movi r2,27 -811282c8: 10ff7016 blt r2,r3,8112808c <__reset+0xfb10808c> -811282cc: 00800804 movi r2,32 -811282d0: 10c5c83a sub r2,r2,r3 -811282d4: 9884983a sll r2,r19,r2 -811282d8: 98c6d83a srl r3,r19,r3 -811282dc: 1004c03a cmpne r2,r2,zero -811282e0: 1884b03a or r2,r3,r2 -811282e4: 10c001cc andi r3,r2,7 -811282e8: 18000426 beq r3,zero,811282fc <__mulsf3+0x3b0> -811282ec: 10c003cc andi r3,r2,15 -811282f0: 01000104 movi r4,4 -811282f4: 19000126 beq r3,r4,811282fc <__mulsf3+0x3b0> -811282f8: 1105883a add r2,r2,r4 -811282fc: 10c1002c andhi r3,r2,1024 -81128300: 18000626 beq r3,zero,8112831c <__mulsf3+0x3d0> -81128304: a829883a mov r20,r21 -81128308: 00c00044 movi r3,1 -8112830c: 0009883a mov r4,zero -81128310: 003f6106 br 81128098 <__reset+0xfb108098> -81128314: 3821883a mov r16,r7 -81128318: 003fc506 br 81128230 <__reset+0xfb108230> -8112831c: 100491ba slli r2,r2,6 -81128320: a829883a mov r20,r21 -81128324: 0007883a mov r3,zero -81128328: 1008d27a srli r4,r2,9 -8112832c: 003f5a06 br 81128098 <__reset+0xfb108098> -81128330: 00802034 movhi r2,128 -81128334: 99001034 orhi r4,r19,64 -81128338: 10bfffc4 addi r2,r2,-1 -8112833c: a829883a mov r20,r21 -81128340: 2088703a and r4,r4,r2 -81128344: 003f9206 br 81128190 <__reset+0xfb108190> - -81128348 <__subsf3>: -81128348: defffc04 addi sp,sp,-16 -8112834c: 280cd5fa srli r6,r5,23 -81128350: dc000015 stw r16,0(sp) -81128354: 01c02034 movhi r7,128 -81128358: 2020d5fa srli r16,r4,23 -8112835c: 39ffffc4 addi r7,r7,-1 -81128360: 3906703a and r3,r7,r4 -81128364: dc400115 stw r17,4(sp) -81128368: 394e703a and r7,r7,r5 -8112836c: 2022d7fa srli r17,r4,31 -81128370: dfc00315 stw ra,12(sp) -81128374: dc800215 stw r18,8(sp) -81128378: 31803fcc andi r6,r6,255 -8112837c: 01003fc4 movi r4,255 -81128380: 84003fcc andi r16,r16,255 -81128384: 180690fa slli r3,r3,3 -81128388: 2804d7fa srli r2,r5,31 -8112838c: 380e90fa slli r7,r7,3 -81128390: 31006d26 beq r6,r4,81128548 <__subsf3+0x200> -81128394: 1080005c xori r2,r2,1 -81128398: 8189c83a sub r4,r16,r6 -8112839c: 14404f26 beq r2,r17,811284dc <__subsf3+0x194> -811283a0: 0100770e bge zero,r4,81128580 <__subsf3+0x238> -811283a4: 30001e1e bne r6,zero,81128420 <__subsf3+0xd8> -811283a8: 38006a1e bne r7,zero,81128554 <__subsf3+0x20c> -811283ac: 188001cc andi r2,r3,7 -811283b0: 10000426 beq r2,zero,811283c4 <__subsf3+0x7c> -811283b4: 188003cc andi r2,r3,15 -811283b8: 01000104 movi r4,4 -811283bc: 11000126 beq r2,r4,811283c4 <__subsf3+0x7c> -811283c0: 1907883a add r3,r3,r4 -811283c4: 1881002c andhi r2,r3,1024 -811283c8: 10003926 beq r2,zero,811284b0 <__subsf3+0x168> -811283cc: 84000044 addi r16,r16,1 -811283d0: 00803fc4 movi r2,255 -811283d4: 80807526 beq r16,r2,811285ac <__subsf3+0x264> -811283d8: 180691ba slli r3,r3,6 -811283dc: 8880004c andi r2,r17,1 -811283e0: 180ad27a srli r5,r3,9 -811283e4: 84003fcc andi r16,r16,255 -811283e8: 800695fa slli r3,r16,23 -811283ec: 10803fcc andi r2,r2,255 -811283f0: 01002034 movhi r4,128 -811283f4: 213fffc4 addi r4,r4,-1 -811283f8: 100497fa slli r2,r2,31 -811283fc: 2920703a and r16,r5,r4 -81128400: 80e0b03a or r16,r16,r3 -81128404: 8084b03a or r2,r16,r2 -81128408: dfc00317 ldw ra,12(sp) -8112840c: dc800217 ldw r18,8(sp) -81128410: dc400117 ldw r17,4(sp) -81128414: dc000017 ldw r16,0(sp) -81128418: dec00404 addi sp,sp,16 -8112841c: f800283a ret -81128420: 00803fc4 movi r2,255 -81128424: 80bfe126 beq r16,r2,811283ac <__reset+0xfb1083ac> -81128428: 39c10034 orhi r7,r7,1024 -8112842c: 008006c4 movi r2,27 -81128430: 11007416 blt r2,r4,81128604 <__subsf3+0x2bc> -81128434: 00800804 movi r2,32 -81128438: 1105c83a sub r2,r2,r4 -8112843c: 3884983a sll r2,r7,r2 -81128440: 390ed83a srl r7,r7,r4 -81128444: 1008c03a cmpne r4,r2,zero -81128448: 390eb03a or r7,r7,r4 -8112844c: 19c7c83a sub r3,r3,r7 -81128450: 1881002c andhi r2,r3,1024 -81128454: 10001426 beq r2,zero,811284a8 <__subsf3+0x160> -81128458: 04810034 movhi r18,1024 -8112845c: 94bfffc4 addi r18,r18,-1 -81128460: 1ca4703a and r18,r3,r18 -81128464: 9009883a mov r4,r18 -81128468: 112b1f00 call 8112b1f0 <__clzsi2> -8112846c: 10bffec4 addi r2,r2,-5 -81128470: 90a4983a sll r18,r18,r2 -81128474: 14005116 blt r2,r16,811285bc <__subsf3+0x274> -81128478: 1405c83a sub r2,r2,r16 -8112847c: 10c00044 addi r3,r2,1 -81128480: 00800804 movi r2,32 -81128484: 10c5c83a sub r2,r2,r3 -81128488: 9084983a sll r2,r18,r2 -8112848c: 90e4d83a srl r18,r18,r3 -81128490: 0021883a mov r16,zero -81128494: 1006c03a cmpne r3,r2,zero -81128498: 90c6b03a or r3,r18,r3 -8112849c: 003fc306 br 811283ac <__reset+0xfb1083ac> -811284a0: 2000e026 beq r4,zero,81128824 <__subsf3+0x4dc> -811284a4: 2007883a mov r3,r4 -811284a8: 188001cc andi r2,r3,7 -811284ac: 103fc11e bne r2,zero,811283b4 <__reset+0xfb1083b4> -811284b0: 180ad0fa srli r5,r3,3 -811284b4: 00c03fc4 movi r3,255 -811284b8: 8880004c andi r2,r17,1 -811284bc: 80c0031e bne r16,r3,811284cc <__subsf3+0x184> -811284c0: 28006d26 beq r5,zero,81128678 <__subsf3+0x330> -811284c4: 29401034 orhi r5,r5,64 -811284c8: 043fffc4 movi r16,-1 -811284cc: 00c02034 movhi r3,128 -811284d0: 18ffffc4 addi r3,r3,-1 -811284d4: 28ca703a and r5,r5,r3 -811284d8: 003fc206 br 811283e4 <__reset+0xfb1083e4> -811284dc: 01003c0e bge zero,r4,811285d0 <__subsf3+0x288> -811284e0: 30002126 beq r6,zero,81128568 <__subsf3+0x220> -811284e4: 01403fc4 movi r5,255 -811284e8: 817fb026 beq r16,r5,811283ac <__reset+0xfb1083ac> -811284ec: 39c10034 orhi r7,r7,1024 -811284f0: 014006c4 movi r5,27 -811284f4: 29007416 blt r5,r4,811286c8 <__subsf3+0x380> -811284f8: 01400804 movi r5,32 -811284fc: 290bc83a sub r5,r5,r4 -81128500: 394a983a sll r5,r7,r5 -81128504: 390ed83a srl r7,r7,r4 -81128508: 2808c03a cmpne r4,r5,zero -8112850c: 390eb03a or r7,r7,r4 -81128510: 19c7883a add r3,r3,r7 -81128514: 1901002c andhi r4,r3,1024 -81128518: 20003826 beq r4,zero,811285fc <__subsf3+0x2b4> -8112851c: 84000044 addi r16,r16,1 -81128520: 01003fc4 movi r4,255 -81128524: 81005426 beq r16,r4,81128678 <__subsf3+0x330> -81128528: 1023883a mov r17,r2 -8112852c: 00bf0034 movhi r2,64512 -81128530: 10bfffc4 addi r2,r2,-1 -81128534: 1900004c andi r4,r3,1 -81128538: 1886703a and r3,r3,r2 -8112853c: 1806d07a srli r3,r3,1 -81128540: 1906b03a or r3,r3,r4 -81128544: 003f9906 br 811283ac <__reset+0xfb1083ac> -81128548: 383f9226 beq r7,zero,81128394 <__reset+0xfb108394> -8112854c: 10803fcc andi r2,r2,255 -81128550: 003f9106 br 81128398 <__reset+0xfb108398> -81128554: 213fffc4 addi r4,r4,-1 -81128558: 203fbc26 beq r4,zero,8112844c <__reset+0xfb10844c> -8112855c: 00803fc4 movi r2,255 -81128560: 80bfb21e bne r16,r2,8112842c <__reset+0xfb10842c> -81128564: 003f9106 br 811283ac <__reset+0xfb1083ac> -81128568: 383f9026 beq r7,zero,811283ac <__reset+0xfb1083ac> -8112856c: 213fffc4 addi r4,r4,-1 -81128570: 203fe726 beq r4,zero,81128510 <__reset+0xfb108510> -81128574: 01403fc4 movi r5,255 -81128578: 817fdd1e bne r16,r5,811284f0 <__reset+0xfb1084f0> -8112857c: 003f8b06 br 811283ac <__reset+0xfb1083ac> -81128580: 2000221e bne r4,zero,8112860c <__subsf3+0x2c4> -81128584: 81000044 addi r4,r16,1 -81128588: 21003fcc andi r4,r4,255 -8112858c: 01400044 movi r5,1 -81128590: 2900470e bge r5,r4,811286b0 <__subsf3+0x368> -81128594: 19e5c83a sub r18,r3,r7 -81128598: 9141002c andhi r5,r18,1024 -8112859c: 28002d26 beq r5,zero,81128654 <__subsf3+0x30c> -811285a0: 38e5c83a sub r18,r7,r3 -811285a4: 1023883a mov r17,r2 -811285a8: 003fae06 br 81128464 <__reset+0xfb108464> -811285ac: 8880004c andi r2,r17,1 -811285b0: 043fffc4 movi r16,-1 -811285b4: 000b883a mov r5,zero -811285b8: 003f8a06 br 811283e4 <__reset+0xfb1083e4> -811285bc: 00ff0034 movhi r3,64512 -811285c0: 18ffffc4 addi r3,r3,-1 -811285c4: 80a1c83a sub r16,r16,r2 -811285c8: 90c6703a and r3,r18,r3 -811285cc: 003f7706 br 811283ac <__reset+0xfb1083ac> -811285d0: 2000431e bne r4,zero,811286e0 <__subsf3+0x398> -811285d4: 81000044 addi r4,r16,1 -811285d8: 21803fcc andi r6,r4,255 -811285dc: 01400044 movi r5,1 -811285e0: 2980280e bge r5,r6,81128684 <__subsf3+0x33c> -811285e4: 01403fc4 movi r5,255 -811285e8: 21402326 beq r4,r5,81128678 <__subsf3+0x330> -811285ec: 19c7883a add r3,r3,r7 -811285f0: 1806d07a srli r3,r3,1 -811285f4: 2021883a mov r16,r4 -811285f8: 003f6c06 br 811283ac <__reset+0xfb1083ac> -811285fc: 1023883a mov r17,r2 -81128600: 003fa906 br 811284a8 <__reset+0xfb1084a8> -81128604: 01c00044 movi r7,1 -81128608: 003f9006 br 8112844c <__reset+0xfb10844c> -8112860c: 8000151e bne r16,zero,81128664 <__subsf3+0x31c> -81128610: 18002f26 beq r3,zero,811286d0 <__subsf3+0x388> -81128614: 0108303a nor r4,zero,r4 -81128618: 20000a26 beq r4,zero,81128644 <__subsf3+0x2fc> -8112861c: 01403fc4 movi r5,255 -81128620: 31402b26 beq r6,r5,811286d0 <__subsf3+0x388> -81128624: 014006c4 movi r5,27 -81128628: 29006e16 blt r5,r4,811287e4 <__subsf3+0x49c> -8112862c: 01400804 movi r5,32 -81128630: 290bc83a sub r5,r5,r4 -81128634: 194a983a sll r5,r3,r5 -81128638: 1908d83a srl r4,r3,r4 -8112863c: 2806c03a cmpne r3,r5,zero -81128640: 20c6b03a or r3,r4,r3 -81128644: 38c7c83a sub r3,r7,r3 -81128648: 3021883a mov r16,r6 -8112864c: 1023883a mov r17,r2 -81128650: 003f7f06 br 81128450 <__reset+0xfb108450> -81128654: 903f831e bne r18,zero,81128464 <__reset+0xfb108464> -81128658: 0005883a mov r2,zero -8112865c: 0021883a mov r16,zero -81128660: 003f9a06 br 811284cc <__reset+0xfb1084cc> -81128664: 01403fc4 movi r5,255 -81128668: 31401926 beq r6,r5,811286d0 <__subsf3+0x388> -8112866c: 0109c83a sub r4,zero,r4 -81128670: 18c10034 orhi r3,r3,1024 -81128674: 003feb06 br 81128624 <__reset+0xfb108624> -81128678: 043fffc4 movi r16,-1 -8112867c: 000b883a mov r5,zero -81128680: 003f5806 br 811283e4 <__reset+0xfb1083e4> -81128684: 8000481e bne r16,zero,811287a8 <__subsf3+0x460> -81128688: 18006226 beq r3,zero,81128814 <__subsf3+0x4cc> -8112868c: 383f4726 beq r7,zero,811283ac <__reset+0xfb1083ac> -81128690: 19c7883a add r3,r3,r7 -81128694: 1881002c andhi r2,r3,1024 -81128698: 103f8326 beq r2,zero,811284a8 <__reset+0xfb1084a8> -8112869c: 00bf0034 movhi r2,64512 -811286a0: 10bfffc4 addi r2,r2,-1 -811286a4: 2821883a mov r16,r5 -811286a8: 1886703a and r3,r3,r2 -811286ac: 003f3f06 br 811283ac <__reset+0xfb1083ac> -811286b0: 80001c1e bne r16,zero,81128724 <__subsf3+0x3dc> -811286b4: 1800261e bne r3,zero,81128750 <__subsf3+0x408> -811286b8: 38004c26 beq r7,zero,811287ec <__subsf3+0x4a4> -811286bc: 3807883a mov r3,r7 -811286c0: 1023883a mov r17,r2 -811286c4: 003f3906 br 811283ac <__reset+0xfb1083ac> -811286c8: 01c00044 movi r7,1 -811286cc: 003f9006 br 81128510 <__reset+0xfb108510> -811286d0: 3807883a mov r3,r7 -811286d4: 3021883a mov r16,r6 -811286d8: 1023883a mov r17,r2 -811286dc: 003f3306 br 811283ac <__reset+0xfb1083ac> -811286e0: 8000161e bne r16,zero,8112873c <__subsf3+0x3f4> -811286e4: 18002d26 beq r3,zero,8112879c <__subsf3+0x454> -811286e8: 0108303a nor r4,zero,r4 -811286ec: 20000a26 beq r4,zero,81128718 <__subsf3+0x3d0> -811286f0: 01403fc4 movi r5,255 -811286f4: 31402926 beq r6,r5,8112879c <__subsf3+0x454> -811286f8: 014006c4 movi r5,27 -811286fc: 29004716 blt r5,r4,8112881c <__subsf3+0x4d4> -81128700: 01400804 movi r5,32 -81128704: 290bc83a sub r5,r5,r4 -81128708: 194a983a sll r5,r3,r5 -8112870c: 1908d83a srl r4,r3,r4 -81128710: 2806c03a cmpne r3,r5,zero -81128714: 20c6b03a or r3,r4,r3 -81128718: 19c7883a add r3,r3,r7 -8112871c: 3021883a mov r16,r6 -81128720: 003f7c06 br 81128514 <__reset+0xfb108514> -81128724: 1800111e bne r3,zero,8112876c <__subsf3+0x424> -81128728: 38003326 beq r7,zero,811287f8 <__subsf3+0x4b0> -8112872c: 3807883a mov r3,r7 -81128730: 1023883a mov r17,r2 -81128734: 04003fc4 movi r16,255 -81128738: 003f1c06 br 811283ac <__reset+0xfb1083ac> -8112873c: 01403fc4 movi r5,255 -81128740: 31401626 beq r6,r5,8112879c <__subsf3+0x454> -81128744: 0109c83a sub r4,zero,r4 -81128748: 18c10034 orhi r3,r3,1024 -8112874c: 003fea06 br 811286f8 <__reset+0xfb1086f8> -81128750: 383f1626 beq r7,zero,811283ac <__reset+0xfb1083ac> -81128754: 19c9c83a sub r4,r3,r7 -81128758: 2141002c andhi r5,r4,1024 -8112875c: 283f5026 beq r5,zero,811284a0 <__reset+0xfb1084a0> -81128760: 38c7c83a sub r3,r7,r3 -81128764: 1023883a mov r17,r2 -81128768: 003f1006 br 811283ac <__reset+0xfb1083ac> -8112876c: 38001b26 beq r7,zero,811287dc <__subsf3+0x494> -81128770: 1806d0fa srli r3,r3,3 -81128774: 1900102c andhi r4,r3,64 -81128778: 20000526 beq r4,zero,81128790 <__subsf3+0x448> -8112877c: 380ed0fa srli r7,r7,3 -81128780: 3900102c andhi r4,r7,64 -81128784: 2000021e bne r4,zero,81128790 <__subsf3+0x448> -81128788: 3807883a mov r3,r7 -8112878c: 1023883a mov r17,r2 -81128790: 180690fa slli r3,r3,3 -81128794: 04003fc4 movi r16,255 -81128798: 003f0406 br 811283ac <__reset+0xfb1083ac> -8112879c: 3807883a mov r3,r7 -811287a0: 3021883a mov r16,r6 -811287a4: 003f0106 br 811283ac <__reset+0xfb1083ac> -811287a8: 18001726 beq r3,zero,81128808 <__subsf3+0x4c0> -811287ac: 38000b26 beq r7,zero,811287dc <__subsf3+0x494> +81127f24: 18000a1e bne r3,zero,81127f50 <__gesf2+0x80> +81127f28: 30000b26 beq r6,zero,81127f58 <__gesf2+0x88> +81127f2c: 000f883a mov r7,zero +81127f30: 29403fcc andi r5,r5,255 +81127f34: 38000726 beq r7,zero,81127f54 <__gesf2+0x84> +81127f38: 28000826 beq r5,zero,81127f5c <__gesf2+0x8c> +81127f3c: 00800044 movi r2,1 +81127f40: f800283a ret +81127f44: 303ff026 beq r6,zero,81127f08 <__reset+0xfb107f08> +81127f48: 00bfff84 movi r2,-2 +81127f4c: f800283a ret +81127f50: 29403fcc andi r5,r5,255 +81127f54: 21400526 beq r4,r5,81127f6c <__gesf2+0x9c> +81127f58: 203ff826 beq r4,zero,81127f3c <__reset+0xfb107f3c> +81127f5c: 00bfffc4 movi r2,-1 +81127f60: f800283a ret +81127f64: 403fe626 beq r8,zero,81127f00 <__reset+0xfb107f00> +81127f68: 003ff706 br 81127f48 <__reset+0xfb107f48> +81127f6c: 18bffa16 blt r3,r2,81127f58 <__reset+0xfb107f58> +81127f70: 10c00216 blt r2,r3,81127f7c <__gesf2+0xac> +81127f74: 323ff836 bltu r6,r8,81127f58 <__reset+0xfb107f58> +81127f78: 4180022e bgeu r8,r6,81127f84 <__gesf2+0xb4> +81127f7c: 203fef1e bne r4,zero,81127f3c <__reset+0xfb107f3c> +81127f80: 003ff606 br 81127f5c <__reset+0xfb107f5c> +81127f84: 0005883a mov r2,zero +81127f88: f800283a ret + +81127f8c <__mulsf3>: +81127f8c: defff504 addi sp,sp,-44 +81127f90: dc000115 stw r16,4(sp) +81127f94: 2020d5fa srli r16,r4,23 +81127f98: dd400615 stw r21,24(sp) +81127f9c: 202ad7fa srli r21,r4,31 +81127fa0: dc800315 stw r18,12(sp) +81127fa4: 04802034 movhi r18,128 +81127fa8: df000915 stw fp,36(sp) +81127fac: dd000515 stw r20,20(sp) +81127fb0: 94bfffc4 addi r18,r18,-1 +81127fb4: dfc00a15 stw ra,40(sp) +81127fb8: ddc00815 stw r23,32(sp) +81127fbc: dd800715 stw r22,28(sp) +81127fc0: dcc00415 stw r19,16(sp) +81127fc4: dc400215 stw r17,8(sp) +81127fc8: 84003fcc andi r16,r16,255 +81127fcc: 9124703a and r18,r18,r4 +81127fd0: a829883a mov r20,r21 +81127fd4: af003fcc andi fp,r21,255 +81127fd8: 80005426 beq r16,zero,8112812c <__mulsf3+0x1a0> +81127fdc: 00803fc4 movi r2,255 +81127fe0: 80802f26 beq r16,r2,811280a0 <__mulsf3+0x114> +81127fe4: 91002034 orhi r4,r18,128 +81127fe8: 202490fa slli r18,r4,3 +81127fec: 843fe044 addi r16,r16,-127 +81127ff0: 0023883a mov r17,zero +81127ff4: 002f883a mov r23,zero +81127ff8: 2804d5fa srli r2,r5,23 +81127ffc: 282cd7fa srli r22,r5,31 +81128000: 01002034 movhi r4,128 +81128004: 213fffc4 addi r4,r4,-1 +81128008: 10803fcc andi r2,r2,255 +8112800c: 2166703a and r19,r4,r5 +81128010: b1803fcc andi r6,r22,255 +81128014: 10004c26 beq r2,zero,81128148 <__mulsf3+0x1bc> +81128018: 00c03fc4 movi r3,255 +8112801c: 10c04726 beq r2,r3,8112813c <__mulsf3+0x1b0> +81128020: 99002034 orhi r4,r19,128 +81128024: 202690fa slli r19,r4,3 +81128028: 10bfe044 addi r2,r2,-127 +8112802c: 0007883a mov r3,zero +81128030: 80a1883a add r16,r16,r2 +81128034: 010003c4 movi r4,15 +81128038: 1c44b03a or r2,r3,r17 +8112803c: b56af03a xor r21,r22,r21 +81128040: 81c00044 addi r7,r16,1 +81128044: 20806b36 bltu r4,r2,811281f4 <__mulsf3+0x268> +81128048: 100490ba slli r2,r2,2 +8112804c: 012044f4 movhi r4,33043 +81128050: 21201804 addi r4,r4,-32672 +81128054: 1105883a add r2,r2,r4 +81128058: 10800017 ldw r2,0(r2) +8112805c: 1000683a jmp r2 +81128060: 811281f4 orhi r4,r16,18951 +81128064: 811280b4 orhi r4,r16,18946 +81128068: 811280b4 orhi r4,r16,18946 +8112806c: 811280b0 cmpltui r4,r16,18946 +81128070: 811281d8 cmpnei r4,r16,18951 +81128074: 811281d8 cmpnei r4,r16,18951 +81128078: 811281c4 addi r4,r16,18951 +8112807c: 811280b0 cmpltui r4,r16,18946 +81128080: 811281d8 cmpnei r4,r16,18951 +81128084: 811281c4 addi r4,r16,18951 +81128088: 811281d8 cmpnei r4,r16,18951 +8112808c: 811280b0 cmpltui r4,r16,18946 +81128090: 811281e4 muli r4,r16,18951 +81128094: 811281e4 muli r4,r16,18951 +81128098: 811281e4 muli r4,r16,18951 +8112809c: 811282c0 call 8811282c <__reset+0x20f282c> +811280a0: 90003b1e bne r18,zero,81128190 <__mulsf3+0x204> +811280a4: 04400204 movi r17,8 +811280a8: 05c00084 movi r23,2 +811280ac: 003fd206 br 81127ff8 <__reset+0xfb107ff8> +811280b0: 302b883a mov r21,r6 +811280b4: 00800084 movi r2,2 +811280b8: 18802626 beq r3,r2,81128154 <__mulsf3+0x1c8> +811280bc: 008000c4 movi r2,3 +811280c0: 1880ab26 beq r3,r2,81128370 <__mulsf3+0x3e4> +811280c4: 00800044 movi r2,1 +811280c8: 1880a21e bne r3,r2,81128354 <__mulsf3+0x3c8> +811280cc: a829883a mov r20,r21 +811280d0: 0007883a mov r3,zero +811280d4: 0009883a mov r4,zero +811280d8: 18803fcc andi r2,r3,255 +811280dc: 100695fa slli r3,r2,23 +811280e0: a0803fcc andi r2,r20,255 +811280e4: 100a97fa slli r5,r2,31 +811280e8: 00802034 movhi r2,128 +811280ec: 10bfffc4 addi r2,r2,-1 +811280f0: 2084703a and r2,r4,r2 +811280f4: 10c4b03a or r2,r2,r3 +811280f8: 1144b03a or r2,r2,r5 +811280fc: dfc00a17 ldw ra,40(sp) +81128100: df000917 ldw fp,36(sp) +81128104: ddc00817 ldw r23,32(sp) +81128108: dd800717 ldw r22,28(sp) +8112810c: dd400617 ldw r21,24(sp) +81128110: dd000517 ldw r20,20(sp) +81128114: dcc00417 ldw r19,16(sp) +81128118: dc800317 ldw r18,12(sp) +8112811c: dc400217 ldw r17,8(sp) +81128120: dc000117 ldw r16,4(sp) +81128124: dec00b04 addi sp,sp,44 +81128128: f800283a ret +8112812c: 90000d1e bne r18,zero,81128164 <__mulsf3+0x1d8> +81128130: 04400104 movi r17,4 +81128134: 05c00044 movi r23,1 +81128138: 003faf06 br 81127ff8 <__reset+0xfb107ff8> +8112813c: 9806c03a cmpne r3,r19,zero +81128140: 18c00084 addi r3,r3,2 +81128144: 003fba06 br 81128030 <__reset+0xfb108030> +81128148: 9800141e bne r19,zero,8112819c <__mulsf3+0x210> +8112814c: 00c00044 movi r3,1 +81128150: 003fb706 br 81128030 <__reset+0xfb108030> +81128154: a829883a mov r20,r21 +81128158: 00ffffc4 movi r3,-1 +8112815c: 0009883a mov r4,zero +81128160: 003fdd06 br 811280d8 <__reset+0xfb1080d8> +81128164: 9009883a mov r4,r18 +81128168: d9400015 stw r5,0(sp) +8112816c: 112b2300 call 8112b230 <__clzsi2> +81128170: 10fffec4 addi r3,r2,-5 +81128174: 10801d84 addi r2,r2,118 +81128178: 90e4983a sll r18,r18,r3 +8112817c: 00a1c83a sub r16,zero,r2 +81128180: 0023883a mov r17,zero +81128184: 002f883a mov r23,zero +81128188: d9400017 ldw r5,0(sp) +8112818c: 003f9a06 br 81127ff8 <__reset+0xfb107ff8> +81128190: 04400304 movi r17,12 +81128194: 05c000c4 movi r23,3 +81128198: 003f9706 br 81127ff8 <__reset+0xfb107ff8> +8112819c: 9809883a mov r4,r19 +811281a0: d9800015 stw r6,0(sp) +811281a4: 112b2300 call 8112b230 <__clzsi2> +811281a8: 10fffec4 addi r3,r2,-5 +811281ac: 10801d84 addi r2,r2,118 +811281b0: 98e6983a sll r19,r19,r3 +811281b4: 0085c83a sub r2,zero,r2 +811281b8: 0007883a mov r3,zero +811281bc: d9800017 ldw r6,0(sp) +811281c0: 003f9b06 br 81128030 <__reset+0xfb108030> +811281c4: 01002034 movhi r4,128 +811281c8: 0029883a mov r20,zero +811281cc: 213fffc4 addi r4,r4,-1 +811281d0: 00ffffc4 movi r3,-1 +811281d4: 003fc006 br 811280d8 <__reset+0xfb1080d8> +811281d8: 9027883a mov r19,r18 +811281dc: b807883a mov r3,r23 +811281e0: 003fb406 br 811280b4 <__reset+0xfb1080b4> +811281e4: 9027883a mov r19,r18 +811281e8: e02b883a mov r21,fp +811281ec: b807883a mov r3,r23 +811281f0: 003fb006 br 811280b4 <__reset+0xfb1080b4> +811281f4: 9004d43a srli r2,r18,16 +811281f8: 9810d43a srli r8,r19,16 +811281fc: 94bfffcc andi r18,r18,65535 +81128200: 993fffcc andi r4,r19,65535 +81128204: 910d383a mul r6,r18,r4 +81128208: 20a7383a mul r19,r4,r2 +8112820c: 9225383a mul r18,r18,r8 +81128210: 3006d43a srli r3,r6,16 +81128214: 1211383a mul r8,r2,r8 +81128218: 94e5883a add r18,r18,r19 +8112821c: 1c87883a add r3,r3,r18 +81128220: 1cc0022e bgeu r3,r19,8112822c <__mulsf3+0x2a0> +81128224: 00800074 movhi r2,1 +81128228: 4091883a add r8,r8,r2 +8112822c: 1804943a slli r2,r3,16 +81128230: 31bfffcc andi r6,r6,65535 +81128234: 1806d43a srli r3,r3,16 +81128238: 1185883a add r2,r2,r6 +8112823c: 102691ba slli r19,r2,6 +81128240: 1a07883a add r3,r3,r8 +81128244: 1004d6ba srli r2,r2,26 +81128248: 180891ba slli r4,r3,6 +8112824c: 9826c03a cmpne r19,r19,zero +81128250: 9884b03a or r2,r19,r2 +81128254: 1126b03a or r19,r2,r4 +81128258: 9882002c andhi r2,r19,2048 +8112825c: 10000426 beq r2,zero,81128270 <__mulsf3+0x2e4> +81128260: 9804d07a srli r2,r19,1 +81128264: 9900004c andi r4,r19,1 +81128268: 3821883a mov r16,r7 +8112826c: 1126b03a or r19,r2,r4 +81128270: 80c01fc4 addi r3,r16,127 +81128274: 00c0210e bge zero,r3,811282fc <__mulsf3+0x370> +81128278: 988001cc andi r2,r19,7 +8112827c: 10000426 beq r2,zero,81128290 <__mulsf3+0x304> +81128280: 988003cc andi r2,r19,15 +81128284: 01000104 movi r4,4 +81128288: 11000126 beq r2,r4,81128290 <__mulsf3+0x304> +8112828c: 9927883a add r19,r19,r4 +81128290: 9882002c andhi r2,r19,2048 +81128294: 10000426 beq r2,zero,811282a8 <__mulsf3+0x31c> +81128298: 00be0034 movhi r2,63488 +8112829c: 10bfffc4 addi r2,r2,-1 +811282a0: 80c02004 addi r3,r16,128 +811282a4: 98a6703a and r19,r19,r2 +811282a8: 00803f84 movi r2,254 +811282ac: 10ffa916 blt r2,r3,81128154 <__reset+0xfb108154> +811282b0: 980891ba slli r4,r19,6 +811282b4: a829883a mov r20,r21 +811282b8: 2008d27a srli r4,r4,9 +811282bc: 003f8606 br 811280d8 <__reset+0xfb1080d8> +811282c0: 9080102c andhi r2,r18,64 +811282c4: 10000826 beq r2,zero,811282e8 <__mulsf3+0x35c> +811282c8: 9880102c andhi r2,r19,64 +811282cc: 1000061e bne r2,zero,811282e8 <__mulsf3+0x35c> +811282d0: 00802034 movhi r2,128 +811282d4: 99001034 orhi r4,r19,64 +811282d8: 10bfffc4 addi r2,r2,-1 +811282dc: b029883a mov r20,r22 +811282e0: 2088703a and r4,r4,r2 +811282e4: 003fba06 br 811281d0 <__reset+0xfb1081d0> +811282e8: 00802034 movhi r2,128 +811282ec: 91001034 orhi r4,r18,64 +811282f0: 10bfffc4 addi r2,r2,-1 +811282f4: 2088703a and r4,r4,r2 +811282f8: 003fb506 br 811281d0 <__reset+0xfb1081d0> +811282fc: 00800044 movi r2,1 +81128300: 10c7c83a sub r3,r2,r3 +81128304: 008006c4 movi r2,27 +81128308: 10ff7016 blt r2,r3,811280cc <__reset+0xfb1080cc> +8112830c: 00800804 movi r2,32 +81128310: 10c5c83a sub r2,r2,r3 +81128314: 9884983a sll r2,r19,r2 +81128318: 98c6d83a srl r3,r19,r3 +8112831c: 1004c03a cmpne r2,r2,zero +81128320: 1884b03a or r2,r3,r2 +81128324: 10c001cc andi r3,r2,7 +81128328: 18000426 beq r3,zero,8112833c <__mulsf3+0x3b0> +8112832c: 10c003cc andi r3,r2,15 +81128330: 01000104 movi r4,4 +81128334: 19000126 beq r3,r4,8112833c <__mulsf3+0x3b0> +81128338: 1105883a add r2,r2,r4 +8112833c: 10c1002c andhi r3,r2,1024 +81128340: 18000626 beq r3,zero,8112835c <__mulsf3+0x3d0> +81128344: a829883a mov r20,r21 +81128348: 00c00044 movi r3,1 +8112834c: 0009883a mov r4,zero +81128350: 003f6106 br 811280d8 <__reset+0xfb1080d8> +81128354: 3821883a mov r16,r7 +81128358: 003fc506 br 81128270 <__reset+0xfb108270> +8112835c: 100491ba slli r2,r2,6 +81128360: a829883a mov r20,r21 +81128364: 0007883a mov r3,zero +81128368: 1008d27a srli r4,r2,9 +8112836c: 003f5a06 br 811280d8 <__reset+0xfb1080d8> +81128370: 00802034 movhi r2,128 +81128374: 99001034 orhi r4,r19,64 +81128378: 10bfffc4 addi r2,r2,-1 +8112837c: a829883a mov r20,r21 +81128380: 2088703a and r4,r4,r2 +81128384: 003f9206 br 811281d0 <__reset+0xfb1081d0> + +81128388 <__subsf3>: +81128388: defffc04 addi sp,sp,-16 +8112838c: 280cd5fa srli r6,r5,23 +81128390: dc000015 stw r16,0(sp) +81128394: 01c02034 movhi r7,128 +81128398: 2020d5fa srli r16,r4,23 +8112839c: 39ffffc4 addi r7,r7,-1 +811283a0: 3906703a and r3,r7,r4 +811283a4: dc400115 stw r17,4(sp) +811283a8: 394e703a and r7,r7,r5 +811283ac: 2022d7fa srli r17,r4,31 +811283b0: dfc00315 stw ra,12(sp) +811283b4: dc800215 stw r18,8(sp) +811283b8: 31803fcc andi r6,r6,255 +811283bc: 01003fc4 movi r4,255 +811283c0: 84003fcc andi r16,r16,255 +811283c4: 180690fa slli r3,r3,3 +811283c8: 2804d7fa srli r2,r5,31 +811283cc: 380e90fa slli r7,r7,3 +811283d0: 31006d26 beq r6,r4,81128588 <__subsf3+0x200> +811283d4: 1080005c xori r2,r2,1 +811283d8: 8189c83a sub r4,r16,r6 +811283dc: 14404f26 beq r2,r17,8112851c <__subsf3+0x194> +811283e0: 0100770e bge zero,r4,811285c0 <__subsf3+0x238> +811283e4: 30001e1e bne r6,zero,81128460 <__subsf3+0xd8> +811283e8: 38006a1e bne r7,zero,81128594 <__subsf3+0x20c> +811283ec: 188001cc andi r2,r3,7 +811283f0: 10000426 beq r2,zero,81128404 <__subsf3+0x7c> +811283f4: 188003cc andi r2,r3,15 +811283f8: 01000104 movi r4,4 +811283fc: 11000126 beq r2,r4,81128404 <__subsf3+0x7c> +81128400: 1907883a add r3,r3,r4 +81128404: 1881002c andhi r2,r3,1024 +81128408: 10003926 beq r2,zero,811284f0 <__subsf3+0x168> +8112840c: 84000044 addi r16,r16,1 +81128410: 00803fc4 movi r2,255 +81128414: 80807526 beq r16,r2,811285ec <__subsf3+0x264> +81128418: 180691ba slli r3,r3,6 +8112841c: 8880004c andi r2,r17,1 +81128420: 180ad27a srli r5,r3,9 +81128424: 84003fcc andi r16,r16,255 +81128428: 800695fa slli r3,r16,23 +8112842c: 10803fcc andi r2,r2,255 +81128430: 01002034 movhi r4,128 +81128434: 213fffc4 addi r4,r4,-1 +81128438: 100497fa slli r2,r2,31 +8112843c: 2920703a and r16,r5,r4 +81128440: 80e0b03a or r16,r16,r3 +81128444: 8084b03a or r2,r16,r2 +81128448: dfc00317 ldw ra,12(sp) +8112844c: dc800217 ldw r18,8(sp) +81128450: dc400117 ldw r17,4(sp) +81128454: dc000017 ldw r16,0(sp) +81128458: dec00404 addi sp,sp,16 +8112845c: f800283a ret +81128460: 00803fc4 movi r2,255 +81128464: 80bfe126 beq r16,r2,811283ec <__reset+0xfb1083ec> +81128468: 39c10034 orhi r7,r7,1024 +8112846c: 008006c4 movi r2,27 +81128470: 11007416 blt r2,r4,81128644 <__subsf3+0x2bc> +81128474: 00800804 movi r2,32 +81128478: 1105c83a sub r2,r2,r4 +8112847c: 3884983a sll r2,r7,r2 +81128480: 390ed83a srl r7,r7,r4 +81128484: 1008c03a cmpne r4,r2,zero +81128488: 390eb03a or r7,r7,r4 +8112848c: 19c7c83a sub r3,r3,r7 +81128490: 1881002c andhi r2,r3,1024 +81128494: 10001426 beq r2,zero,811284e8 <__subsf3+0x160> +81128498: 04810034 movhi r18,1024 +8112849c: 94bfffc4 addi r18,r18,-1 +811284a0: 1ca4703a and r18,r3,r18 +811284a4: 9009883a mov r4,r18 +811284a8: 112b2300 call 8112b230 <__clzsi2> +811284ac: 10bffec4 addi r2,r2,-5 +811284b0: 90a4983a sll r18,r18,r2 +811284b4: 14005116 blt r2,r16,811285fc <__subsf3+0x274> +811284b8: 1405c83a sub r2,r2,r16 +811284bc: 10c00044 addi r3,r2,1 +811284c0: 00800804 movi r2,32 +811284c4: 10c5c83a sub r2,r2,r3 +811284c8: 9084983a sll r2,r18,r2 +811284cc: 90e4d83a srl r18,r18,r3 +811284d0: 0021883a mov r16,zero +811284d4: 1006c03a cmpne r3,r2,zero +811284d8: 90c6b03a or r3,r18,r3 +811284dc: 003fc306 br 811283ec <__reset+0xfb1083ec> +811284e0: 2000e026 beq r4,zero,81128864 <__subsf3+0x4dc> +811284e4: 2007883a mov r3,r4 +811284e8: 188001cc andi r2,r3,7 +811284ec: 103fc11e bne r2,zero,811283f4 <__reset+0xfb1083f4> +811284f0: 180ad0fa srli r5,r3,3 +811284f4: 00c03fc4 movi r3,255 +811284f8: 8880004c andi r2,r17,1 +811284fc: 80c0031e bne r16,r3,8112850c <__subsf3+0x184> +81128500: 28006d26 beq r5,zero,811286b8 <__subsf3+0x330> +81128504: 29401034 orhi r5,r5,64 +81128508: 043fffc4 movi r16,-1 +8112850c: 00c02034 movhi r3,128 +81128510: 18ffffc4 addi r3,r3,-1 +81128514: 28ca703a and r5,r5,r3 +81128518: 003fc206 br 81128424 <__reset+0xfb108424> +8112851c: 01003c0e bge zero,r4,81128610 <__subsf3+0x288> +81128520: 30002126 beq r6,zero,811285a8 <__subsf3+0x220> +81128524: 01403fc4 movi r5,255 +81128528: 817fb026 beq r16,r5,811283ec <__reset+0xfb1083ec> +8112852c: 39c10034 orhi r7,r7,1024 +81128530: 014006c4 movi r5,27 +81128534: 29007416 blt r5,r4,81128708 <__subsf3+0x380> +81128538: 01400804 movi r5,32 +8112853c: 290bc83a sub r5,r5,r4 +81128540: 394a983a sll r5,r7,r5 +81128544: 390ed83a srl r7,r7,r4 +81128548: 2808c03a cmpne r4,r5,zero +8112854c: 390eb03a or r7,r7,r4 +81128550: 19c7883a add r3,r3,r7 +81128554: 1901002c andhi r4,r3,1024 +81128558: 20003826 beq r4,zero,8112863c <__subsf3+0x2b4> +8112855c: 84000044 addi r16,r16,1 +81128560: 01003fc4 movi r4,255 +81128564: 81005426 beq r16,r4,811286b8 <__subsf3+0x330> +81128568: 1023883a mov r17,r2 +8112856c: 00bf0034 movhi r2,64512 +81128570: 10bfffc4 addi r2,r2,-1 +81128574: 1900004c andi r4,r3,1 +81128578: 1886703a and r3,r3,r2 +8112857c: 1806d07a srli r3,r3,1 +81128580: 1906b03a or r3,r3,r4 +81128584: 003f9906 br 811283ec <__reset+0xfb1083ec> +81128588: 383f9226 beq r7,zero,811283d4 <__reset+0xfb1083d4> +8112858c: 10803fcc andi r2,r2,255 +81128590: 003f9106 br 811283d8 <__reset+0xfb1083d8> +81128594: 213fffc4 addi r4,r4,-1 +81128598: 203fbc26 beq r4,zero,8112848c <__reset+0xfb10848c> +8112859c: 00803fc4 movi r2,255 +811285a0: 80bfb21e bne r16,r2,8112846c <__reset+0xfb10846c> +811285a4: 003f9106 br 811283ec <__reset+0xfb1083ec> +811285a8: 383f9026 beq r7,zero,811283ec <__reset+0xfb1083ec> +811285ac: 213fffc4 addi r4,r4,-1 +811285b0: 203fe726 beq r4,zero,81128550 <__reset+0xfb108550> +811285b4: 01403fc4 movi r5,255 +811285b8: 817fdd1e bne r16,r5,81128530 <__reset+0xfb108530> +811285bc: 003f8b06 br 811283ec <__reset+0xfb1083ec> +811285c0: 2000221e bne r4,zero,8112864c <__subsf3+0x2c4> +811285c4: 81000044 addi r4,r16,1 +811285c8: 21003fcc andi r4,r4,255 +811285cc: 01400044 movi r5,1 +811285d0: 2900470e bge r5,r4,811286f0 <__subsf3+0x368> +811285d4: 19e5c83a sub r18,r3,r7 +811285d8: 9141002c andhi r5,r18,1024 +811285dc: 28002d26 beq r5,zero,81128694 <__subsf3+0x30c> +811285e0: 38e5c83a sub r18,r7,r3 +811285e4: 1023883a mov r17,r2 +811285e8: 003fae06 br 811284a4 <__reset+0xfb1084a4> +811285ec: 8880004c andi r2,r17,1 +811285f0: 043fffc4 movi r16,-1 +811285f4: 000b883a mov r5,zero +811285f8: 003f8a06 br 81128424 <__reset+0xfb108424> +811285fc: 00ff0034 movhi r3,64512 +81128600: 18ffffc4 addi r3,r3,-1 +81128604: 80a1c83a sub r16,r16,r2 +81128608: 90c6703a and r3,r18,r3 +8112860c: 003f7706 br 811283ec <__reset+0xfb1083ec> +81128610: 2000431e bne r4,zero,81128720 <__subsf3+0x398> +81128614: 81000044 addi r4,r16,1 +81128618: 21803fcc andi r6,r4,255 +8112861c: 01400044 movi r5,1 +81128620: 2980280e bge r5,r6,811286c4 <__subsf3+0x33c> +81128624: 01403fc4 movi r5,255 +81128628: 21402326 beq r4,r5,811286b8 <__subsf3+0x330> +8112862c: 19c7883a add r3,r3,r7 +81128630: 1806d07a srli r3,r3,1 +81128634: 2021883a mov r16,r4 +81128638: 003f6c06 br 811283ec <__reset+0xfb1083ec> +8112863c: 1023883a mov r17,r2 +81128640: 003fa906 br 811284e8 <__reset+0xfb1084e8> +81128644: 01c00044 movi r7,1 +81128648: 003f9006 br 8112848c <__reset+0xfb10848c> +8112864c: 8000151e bne r16,zero,811286a4 <__subsf3+0x31c> +81128650: 18002f26 beq r3,zero,81128710 <__subsf3+0x388> +81128654: 0108303a nor r4,zero,r4 +81128658: 20000a26 beq r4,zero,81128684 <__subsf3+0x2fc> +8112865c: 01403fc4 movi r5,255 +81128660: 31402b26 beq r6,r5,81128710 <__subsf3+0x388> +81128664: 014006c4 movi r5,27 +81128668: 29006e16 blt r5,r4,81128824 <__subsf3+0x49c> +8112866c: 01400804 movi r5,32 +81128670: 290bc83a sub r5,r5,r4 +81128674: 194a983a sll r5,r3,r5 +81128678: 1908d83a srl r4,r3,r4 +8112867c: 2806c03a cmpne r3,r5,zero +81128680: 20c6b03a or r3,r4,r3 +81128684: 38c7c83a sub r3,r7,r3 +81128688: 3021883a mov r16,r6 +8112868c: 1023883a mov r17,r2 +81128690: 003f7f06 br 81128490 <__reset+0xfb108490> +81128694: 903f831e bne r18,zero,811284a4 <__reset+0xfb1084a4> +81128698: 0005883a mov r2,zero +8112869c: 0021883a mov r16,zero +811286a0: 003f9a06 br 8112850c <__reset+0xfb10850c> +811286a4: 01403fc4 movi r5,255 +811286a8: 31401926 beq r6,r5,81128710 <__subsf3+0x388> +811286ac: 0109c83a sub r4,zero,r4 +811286b0: 18c10034 orhi r3,r3,1024 +811286b4: 003feb06 br 81128664 <__reset+0xfb108664> +811286b8: 043fffc4 movi r16,-1 +811286bc: 000b883a mov r5,zero +811286c0: 003f5806 br 81128424 <__reset+0xfb108424> +811286c4: 8000481e bne r16,zero,811287e8 <__subsf3+0x460> +811286c8: 18006226 beq r3,zero,81128854 <__subsf3+0x4cc> +811286cc: 383f4726 beq r7,zero,811283ec <__reset+0xfb1083ec> +811286d0: 19c7883a add r3,r3,r7 +811286d4: 1881002c andhi r2,r3,1024 +811286d8: 103f8326 beq r2,zero,811284e8 <__reset+0xfb1084e8> +811286dc: 00bf0034 movhi r2,64512 +811286e0: 10bfffc4 addi r2,r2,-1 +811286e4: 2821883a mov r16,r5 +811286e8: 1886703a and r3,r3,r2 +811286ec: 003f3f06 br 811283ec <__reset+0xfb1083ec> +811286f0: 80001c1e bne r16,zero,81128764 <__subsf3+0x3dc> +811286f4: 1800261e bne r3,zero,81128790 <__subsf3+0x408> +811286f8: 38004c26 beq r7,zero,8112882c <__subsf3+0x4a4> +811286fc: 3807883a mov r3,r7 +81128700: 1023883a mov r17,r2 +81128704: 003f3906 br 811283ec <__reset+0xfb1083ec> +81128708: 01c00044 movi r7,1 +8112870c: 003f9006 br 81128550 <__reset+0xfb108550> +81128710: 3807883a mov r3,r7 +81128714: 3021883a mov r16,r6 +81128718: 1023883a mov r17,r2 +8112871c: 003f3306 br 811283ec <__reset+0xfb1083ec> +81128720: 8000161e bne r16,zero,8112877c <__subsf3+0x3f4> +81128724: 18002d26 beq r3,zero,811287dc <__subsf3+0x454> +81128728: 0108303a nor r4,zero,r4 +8112872c: 20000a26 beq r4,zero,81128758 <__subsf3+0x3d0> +81128730: 01403fc4 movi r5,255 +81128734: 31402926 beq r6,r5,811287dc <__subsf3+0x454> +81128738: 014006c4 movi r5,27 +8112873c: 29004716 blt r5,r4,8112885c <__subsf3+0x4d4> +81128740: 01400804 movi r5,32 +81128744: 290bc83a sub r5,r5,r4 +81128748: 194a983a sll r5,r3,r5 +8112874c: 1908d83a srl r4,r3,r4 +81128750: 2806c03a cmpne r3,r5,zero +81128754: 20c6b03a or r3,r4,r3 +81128758: 19c7883a add r3,r3,r7 +8112875c: 3021883a mov r16,r6 +81128760: 003f7c06 br 81128554 <__reset+0xfb108554> +81128764: 1800111e bne r3,zero,811287ac <__subsf3+0x424> +81128768: 38003326 beq r7,zero,81128838 <__subsf3+0x4b0> +8112876c: 3807883a mov r3,r7 +81128770: 1023883a mov r17,r2 +81128774: 04003fc4 movi r16,255 +81128778: 003f1c06 br 811283ec <__reset+0xfb1083ec> +8112877c: 01403fc4 movi r5,255 +81128780: 31401626 beq r6,r5,811287dc <__subsf3+0x454> +81128784: 0109c83a sub r4,zero,r4 +81128788: 18c10034 orhi r3,r3,1024 +8112878c: 003fea06 br 81128738 <__reset+0xfb108738> +81128790: 383f1626 beq r7,zero,811283ec <__reset+0xfb1083ec> +81128794: 19c9c83a sub r4,r3,r7 +81128798: 2141002c andhi r5,r4,1024 +8112879c: 283f5026 beq r5,zero,811284e0 <__reset+0xfb1084e0> +811287a0: 38c7c83a sub r3,r7,r3 +811287a4: 1023883a mov r17,r2 +811287a8: 003f1006 br 811283ec <__reset+0xfb1083ec> +811287ac: 38001b26 beq r7,zero,8112881c <__subsf3+0x494> 811287b0: 1806d0fa srli r3,r3,3 811287b4: 1900102c andhi r4,r3,64 -811287b8: 20000426 beq r4,zero,811287cc <__subsf3+0x484> +811287b8: 20000526 beq r4,zero,811287d0 <__subsf3+0x448> 811287bc: 380ed0fa srli r7,r7,3 811287c0: 3900102c andhi r4,r7,64 -811287c4: 2000011e bne r4,zero,811287cc <__subsf3+0x484> +811287c4: 2000021e bne r4,zero,811287d0 <__subsf3+0x448> 811287c8: 3807883a mov r3,r7 -811287cc: 180690fa slli r3,r3,3 -811287d0: 1023883a mov r17,r2 +811287cc: 1023883a mov r17,r2 +811287d0: 180690fa slli r3,r3,3 811287d4: 04003fc4 movi r16,255 -811287d8: 003ef406 br 811283ac <__reset+0xfb1083ac> -811287dc: 04003fc4 movi r16,255 -811287e0: 003ef206 br 811283ac <__reset+0xfb1083ac> -811287e4: 00c00044 movi r3,1 -811287e8: 003f9606 br 81128644 <__reset+0xfb108644> -811287ec: 000b883a mov r5,zero -811287f0: 0005883a mov r2,zero -811287f4: 003f3506 br 811284cc <__reset+0xfb1084cc> -811287f8: 01402034 movhi r5,128 -811287fc: 297fffc4 addi r5,r5,-1 -81128800: 0005883a mov r2,zero -81128804: 003f2f06 br 811284c4 <__reset+0xfb1084c4> +811287d8: 003f0406 br 811283ec <__reset+0xfb1083ec> +811287dc: 3807883a mov r3,r7 +811287e0: 3021883a mov r16,r6 +811287e4: 003f0106 br 811283ec <__reset+0xfb1083ec> +811287e8: 18001726 beq r3,zero,81128848 <__subsf3+0x4c0> +811287ec: 38000b26 beq r7,zero,8112881c <__subsf3+0x494> +811287f0: 1806d0fa srli r3,r3,3 +811287f4: 1900102c andhi r4,r3,64 +811287f8: 20000426 beq r4,zero,8112880c <__subsf3+0x484> +811287fc: 380ed0fa srli r7,r7,3 +81128800: 3900102c andhi r4,r7,64 +81128804: 2000011e bne r4,zero,8112880c <__subsf3+0x484> 81128808: 3807883a mov r3,r7 -8112880c: 04003fc4 movi r16,255 -81128810: 003ee606 br 811283ac <__reset+0xfb1083ac> -81128814: 3807883a mov r3,r7 -81128818: 003ee406 br 811283ac <__reset+0xfb1083ac> -8112881c: 00c00044 movi r3,1 -81128820: 003fbd06 br 81128718 <__reset+0xfb108718> -81128824: 0005883a mov r2,zero -81128828: 003f2806 br 811284cc <__reset+0xfb1084cc> - -8112882c <__fixsfsi>: -8112882c: 200ad5fa srli r5,r4,23 -81128830: 00c02034 movhi r3,128 -81128834: 18ffffc4 addi r3,r3,-1 -81128838: 29403fcc andi r5,r5,255 -8112883c: 00801f84 movi r2,126 -81128840: 1906703a and r3,r3,r4 -81128844: 2008d7fa srli r4,r4,31 -81128848: 11400e0e bge r2,r5,81128884 <__fixsfsi+0x58> -8112884c: 00802744 movi r2,157 -81128850: 11400816 blt r2,r5,81128874 <__fixsfsi+0x48> -81128854: 00802544 movi r2,149 -81128858: 18c02034 orhi r3,r3,128 -8112885c: 11400b0e bge r2,r5,8112888c <__fixsfsi+0x60> -81128860: 28bfda84 addi r2,r5,-150 -81128864: 1884983a sll r2,r3,r2 -81128868: 20000726 beq r4,zero,81128888 <__fixsfsi+0x5c> -8112886c: 0085c83a sub r2,zero,r2 -81128870: f800283a ret -81128874: 00a00034 movhi r2,32768 -81128878: 10bfffc4 addi r2,r2,-1 -8112887c: 2085883a add r2,r4,r2 -81128880: f800283a ret -81128884: 0005883a mov r2,zero -81128888: f800283a ret -8112888c: 00802584 movi r2,150 -81128890: 1145c83a sub r2,r2,r5 -81128894: 1884d83a srl r2,r3,r2 -81128898: 003ff306 br 81128868 <__reset+0xfb108868> - -8112889c <__floatsisf>: -8112889c: defffd04 addi sp,sp,-12 -811288a0: dfc00215 stw ra,8(sp) -811288a4: dc400115 stw r17,4(sp) -811288a8: dc000015 stw r16,0(sp) -811288ac: 20003526 beq r4,zero,81128984 <__floatsisf+0xe8> -811288b0: 2021883a mov r16,r4 -811288b4: 2022d7fa srli r17,r4,31 -811288b8: 20003616 blt r4,zero,81128994 <__floatsisf+0xf8> -811288bc: 8009883a mov r4,r16 -811288c0: 112b1f00 call 8112b1f0 <__clzsi2> -811288c4: 00c02784 movi r3,158 -811288c8: 1887c83a sub r3,r3,r2 -811288cc: 01002584 movi r4,150 -811288d0: 20c01416 blt r4,r3,81128924 <__floatsisf+0x88> -811288d4: 20c9c83a sub r4,r4,r3 -811288d8: 8120983a sll r16,r16,r4 -811288dc: 00802034 movhi r2,128 -811288e0: 10bfffc4 addi r2,r2,-1 -811288e4: 8809883a mov r4,r17 -811288e8: 80a0703a and r16,r16,r2 -811288ec: 18803fcc andi r2,r3,255 -811288f0: 100695fa slli r3,r2,23 -811288f4: 20803fcc andi r2,r4,255 -811288f8: 100897fa slli r4,r2,31 -811288fc: 00802034 movhi r2,128 -81128900: 10bfffc4 addi r2,r2,-1 -81128904: 8084703a and r2,r16,r2 -81128908: 10c4b03a or r2,r2,r3 -8112890c: 1104b03a or r2,r2,r4 -81128910: dfc00217 ldw ra,8(sp) -81128914: dc400117 ldw r17,4(sp) -81128918: dc000017 ldw r16,0(sp) -8112891c: dec00304 addi sp,sp,12 -81128920: f800283a ret -81128924: 01002644 movi r4,153 -81128928: 20c01c16 blt r4,r3,8112899c <__floatsisf+0x100> -8112892c: 20c9c83a sub r4,r4,r3 -81128930: 8120983a sll r16,r16,r4 -81128934: 013f0034 movhi r4,64512 -81128938: 213fffc4 addi r4,r4,-1 -8112893c: 814001cc andi r5,r16,7 -81128940: 8108703a and r4,r16,r4 -81128944: 28000426 beq r5,zero,81128958 <__floatsisf+0xbc> -81128948: 840003cc andi r16,r16,15 -8112894c: 01400104 movi r5,4 -81128950: 81400126 beq r16,r5,81128958 <__floatsisf+0xbc> -81128954: 2149883a add r4,r4,r5 -81128958: 2141002c andhi r5,r4,1024 -8112895c: 28000526 beq r5,zero,81128974 <__floatsisf+0xd8> -81128960: 00c027c4 movi r3,159 -81128964: 1887c83a sub r3,r3,r2 -81128968: 00bf0034 movhi r2,64512 -8112896c: 10bfffc4 addi r2,r2,-1 -81128970: 2088703a and r4,r4,r2 -81128974: 202091ba slli r16,r4,6 -81128978: 8809883a mov r4,r17 -8112897c: 8020d27a srli r16,r16,9 -81128980: 003fda06 br 811288ec <__reset+0xfb1088ec> -81128984: 0009883a mov r4,zero -81128988: 0007883a mov r3,zero -8112898c: 0021883a mov r16,zero -81128990: 003fd606 br 811288ec <__reset+0xfb1088ec> -81128994: 0121c83a sub r16,zero,r4 -81128998: 003fc806 br 811288bc <__reset+0xfb1088bc> -8112899c: 01002e44 movi r4,185 -811289a0: 20c9c83a sub r4,r4,r3 -811289a4: 01400144 movi r5,5 -811289a8: 8108983a sll r4,r16,r4 -811289ac: 288bc83a sub r5,r5,r2 -811289b0: 8160d83a srl r16,r16,r5 -811289b4: 2008c03a cmpne r4,r4,zero -811289b8: 8120b03a or r16,r16,r4 -811289bc: 003fdd06 br 81128934 <__reset+0xfb108934> - -811289c0 <__floatunsisf>: -811289c0: defffe04 addi sp,sp,-8 -811289c4: dfc00115 stw ra,4(sp) -811289c8: dc000015 stw r16,0(sp) -811289cc: 20002c26 beq r4,zero,81128a80 <__floatunsisf+0xc0> -811289d0: 2021883a mov r16,r4 -811289d4: 112b1f00 call 8112b1f0 <__clzsi2> -811289d8: 00c02784 movi r3,158 -811289dc: 1887c83a sub r3,r3,r2 -811289e0: 01002584 movi r4,150 -811289e4: 20c00f16 blt r4,r3,81128a24 <__floatunsisf+0x64> -811289e8: 20c9c83a sub r4,r4,r3 -811289ec: 8108983a sll r4,r16,r4 -811289f0: 00802034 movhi r2,128 -811289f4: 10bfffc4 addi r2,r2,-1 -811289f8: 2088703a and r4,r4,r2 -811289fc: 18803fcc andi r2,r3,255 -81128a00: 100695fa slli r3,r2,23 -81128a04: 00802034 movhi r2,128 -81128a08: 10bfffc4 addi r2,r2,-1 -81128a0c: 2084703a and r2,r4,r2 -81128a10: 10c4b03a or r2,r2,r3 -81128a14: dfc00117 ldw ra,4(sp) -81128a18: dc000017 ldw r16,0(sp) -81128a1c: dec00204 addi sp,sp,8 -81128a20: f800283a ret -81128a24: 01002644 movi r4,153 -81128a28: 20c01816 blt r4,r3,81128a8c <__floatunsisf+0xcc> -81128a2c: 20c9c83a sub r4,r4,r3 -81128a30: 8108983a sll r4,r16,r4 -81128a34: 017f0034 movhi r5,64512 -81128a38: 297fffc4 addi r5,r5,-1 -81128a3c: 218001cc andi r6,r4,7 -81128a40: 214a703a and r5,r4,r5 -81128a44: 30000426 beq r6,zero,81128a58 <__floatunsisf+0x98> -81128a48: 210003cc andi r4,r4,15 -81128a4c: 01800104 movi r6,4 -81128a50: 21800126 beq r4,r6,81128a58 <__floatunsisf+0x98> -81128a54: 298b883a add r5,r5,r6 -81128a58: 2901002c andhi r4,r5,1024 -81128a5c: 20000526 beq r4,zero,81128a74 <__floatunsisf+0xb4> -81128a60: 00c027c4 movi r3,159 -81128a64: 1887c83a sub r3,r3,r2 -81128a68: 00bf0034 movhi r2,64512 -81128a6c: 10bfffc4 addi r2,r2,-1 -81128a70: 288a703a and r5,r5,r2 -81128a74: 280891ba slli r4,r5,6 -81128a78: 2008d27a srli r4,r4,9 -81128a7c: 003fdf06 br 811289fc <__reset+0xfb1089fc> -81128a80: 0007883a mov r3,zero -81128a84: 0009883a mov r4,zero -81128a88: 003fdc06 br 811289fc <__reset+0xfb1089fc> -81128a8c: 01402e44 movi r5,185 -81128a90: 28cbc83a sub r5,r5,r3 -81128a94: 01000144 movi r4,5 -81128a98: 2089c83a sub r4,r4,r2 -81128a9c: 814a983a sll r5,r16,r5 -81128aa0: 8108d83a srl r4,r16,r4 -81128aa4: 2820c03a cmpne r16,r5,zero -81128aa8: 2408b03a or r4,r4,r16 -81128aac: 003fe106 br 81128a34 <__reset+0xfb108a34> - -81128ab0 <__adddf3>: -81128ab0: 02c00434 movhi r11,16 -81128ab4: 5affffc4 addi r11,r11,-1 -81128ab8: 2806d7fa srli r3,r5,31 -81128abc: 2ad4703a and r10,r5,r11 -81128ac0: 3ad2703a and r9,r7,r11 -81128ac4: 3804d53a srli r2,r7,20 -81128ac8: 3018d77a srli r12,r6,29 -81128acc: 280ad53a srli r5,r5,20 -81128ad0: 501490fa slli r10,r10,3 -81128ad4: 2010d77a srli r8,r4,29 -81128ad8: 481290fa slli r9,r9,3 -81128adc: 380ed7fa srli r7,r7,31 -81128ae0: defffb04 addi sp,sp,-20 -81128ae4: dc800215 stw r18,8(sp) -81128ae8: dc400115 stw r17,4(sp) -81128aec: dc000015 stw r16,0(sp) -81128af0: dfc00415 stw ra,16(sp) -81128af4: dcc00315 stw r19,12(sp) -81128af8: 1c803fcc andi r18,r3,255 -81128afc: 2c01ffcc andi r16,r5,2047 -81128b00: 5210b03a or r8,r10,r8 -81128b04: 202290fa slli r17,r4,3 -81128b08: 1081ffcc andi r2,r2,2047 -81128b0c: 4b12b03a or r9,r9,r12 -81128b10: 300c90fa slli r6,r6,3 -81128b14: 91c07526 beq r18,r7,81128cec <__adddf3+0x23c> -81128b18: 8087c83a sub r3,r16,r2 -81128b1c: 00c0ab0e bge zero,r3,81128dcc <__adddf3+0x31c> -81128b20: 10002a1e bne r2,zero,81128bcc <__adddf3+0x11c> -81128b24: 4984b03a or r2,r9,r6 -81128b28: 1000961e bne r2,zero,81128d84 <__adddf3+0x2d4> -81128b2c: 888001cc andi r2,r17,7 -81128b30: 10000726 beq r2,zero,81128b50 <__adddf3+0xa0> -81128b34: 888003cc andi r2,r17,15 -81128b38: 00c00104 movi r3,4 -81128b3c: 10c00426 beq r2,r3,81128b50 <__adddf3+0xa0> -81128b40: 88c7883a add r3,r17,r3 -81128b44: 1c63803a cmpltu r17,r3,r17 -81128b48: 4451883a add r8,r8,r17 -81128b4c: 1823883a mov r17,r3 -81128b50: 4080202c andhi r2,r8,128 -81128b54: 10005926 beq r2,zero,81128cbc <__adddf3+0x20c> -81128b58: 84000044 addi r16,r16,1 -81128b5c: 0081ffc4 movi r2,2047 -81128b60: 8080ba26 beq r16,r2,81128e4c <__adddf3+0x39c> -81128b64: 00bfe034 movhi r2,65408 -81128b68: 10bfffc4 addi r2,r2,-1 -81128b6c: 4090703a and r8,r8,r2 -81128b70: 4004977a slli r2,r8,29 -81128b74: 4010927a slli r8,r8,9 -81128b78: 8822d0fa srli r17,r17,3 -81128b7c: 8401ffcc andi r16,r16,2047 -81128b80: 4010d33a srli r8,r8,12 -81128b84: 9007883a mov r3,r18 -81128b88: 1444b03a or r2,r2,r17 -81128b8c: 8401ffcc andi r16,r16,2047 -81128b90: 8020953a slli r16,r16,20 -81128b94: 18c03fcc andi r3,r3,255 -81128b98: 01000434 movhi r4,16 -81128b9c: 213fffc4 addi r4,r4,-1 -81128ba0: 180697fa slli r3,r3,31 -81128ba4: 4110703a and r8,r8,r4 -81128ba8: 4410b03a or r8,r8,r16 -81128bac: 40c6b03a or r3,r8,r3 -81128bb0: dfc00417 ldw ra,16(sp) -81128bb4: dcc00317 ldw r19,12(sp) -81128bb8: dc800217 ldw r18,8(sp) -81128bbc: dc400117 ldw r17,4(sp) -81128bc0: dc000017 ldw r16,0(sp) -81128bc4: dec00504 addi sp,sp,20 -81128bc8: f800283a ret -81128bcc: 0081ffc4 movi r2,2047 -81128bd0: 80bfd626 beq r16,r2,81128b2c <__reset+0xfb108b2c> -81128bd4: 4a402034 orhi r9,r9,128 -81128bd8: 00800e04 movi r2,56 -81128bdc: 10c09f16 blt r2,r3,81128e5c <__adddf3+0x3ac> -81128be0: 008007c4 movi r2,31 -81128be4: 10c0c216 blt r2,r3,81128ef0 <__adddf3+0x440> -81128be8: 00800804 movi r2,32 -81128bec: 10c5c83a sub r2,r2,r3 -81128bf0: 488a983a sll r5,r9,r2 -81128bf4: 30c8d83a srl r4,r6,r3 -81128bf8: 3084983a sll r2,r6,r2 -81128bfc: 48c6d83a srl r3,r9,r3 -81128c00: 290cb03a or r6,r5,r4 -81128c04: 1004c03a cmpne r2,r2,zero -81128c08: 308cb03a or r6,r6,r2 -81128c0c: 898dc83a sub r6,r17,r6 -81128c10: 89a3803a cmpltu r17,r17,r6 -81128c14: 40d1c83a sub r8,r8,r3 -81128c18: 4451c83a sub r8,r8,r17 -81128c1c: 3023883a mov r17,r6 -81128c20: 4080202c andhi r2,r8,128 -81128c24: 10002326 beq r2,zero,81128cb4 <__adddf3+0x204> -81128c28: 04c02034 movhi r19,128 -81128c2c: 9cffffc4 addi r19,r19,-1 -81128c30: 44e6703a and r19,r8,r19 -81128c34: 98007626 beq r19,zero,81128e10 <__adddf3+0x360> -81128c38: 9809883a mov r4,r19 -81128c3c: 112b1f00 call 8112b1f0 <__clzsi2> -81128c40: 10fffe04 addi r3,r2,-8 -81128c44: 010007c4 movi r4,31 -81128c48: 20c07716 blt r4,r3,81128e28 <__adddf3+0x378> -81128c4c: 00800804 movi r2,32 -81128c50: 10c5c83a sub r2,r2,r3 -81128c54: 8884d83a srl r2,r17,r2 -81128c58: 98d0983a sll r8,r19,r3 -81128c5c: 88e2983a sll r17,r17,r3 -81128c60: 1204b03a or r2,r2,r8 -81128c64: 1c007416 blt r3,r16,81128e38 <__adddf3+0x388> -81128c68: 1c21c83a sub r16,r3,r16 -81128c6c: 82000044 addi r8,r16,1 -81128c70: 00c007c4 movi r3,31 -81128c74: 1a009116 blt r3,r8,81128ebc <__adddf3+0x40c> -81128c78: 00c00804 movi r3,32 -81128c7c: 1a07c83a sub r3,r3,r8 -81128c80: 8a08d83a srl r4,r17,r8 -81128c84: 88e2983a sll r17,r17,r3 -81128c88: 10c6983a sll r3,r2,r3 -81128c8c: 1210d83a srl r8,r2,r8 -81128c90: 8804c03a cmpne r2,r17,zero -81128c94: 1906b03a or r3,r3,r4 -81128c98: 18a2b03a or r17,r3,r2 -81128c9c: 0021883a mov r16,zero -81128ca0: 003fa206 br 81128b2c <__reset+0xfb108b2c> -81128ca4: 1890b03a or r8,r3,r2 -81128ca8: 40017d26 beq r8,zero,811292a0 <__adddf3+0x7f0> -81128cac: 1011883a mov r8,r2 -81128cb0: 1823883a mov r17,r3 -81128cb4: 888001cc andi r2,r17,7 -81128cb8: 103f9e1e bne r2,zero,81128b34 <__reset+0xfb108b34> -81128cbc: 4004977a slli r2,r8,29 -81128cc0: 8822d0fa srli r17,r17,3 -81128cc4: 4010d0fa srli r8,r8,3 -81128cc8: 9007883a mov r3,r18 -81128ccc: 1444b03a or r2,r2,r17 -81128cd0: 0101ffc4 movi r4,2047 -81128cd4: 81002426 beq r16,r4,81128d68 <__adddf3+0x2b8> -81128cd8: 8120703a and r16,r16,r4 -81128cdc: 01000434 movhi r4,16 -81128ce0: 213fffc4 addi r4,r4,-1 -81128ce4: 4110703a and r8,r8,r4 -81128ce8: 003fa806 br 81128b8c <__reset+0xfb108b8c> -81128cec: 8089c83a sub r4,r16,r2 -81128cf0: 01005e0e bge zero,r4,81128e6c <__adddf3+0x3bc> -81128cf4: 10002b26 beq r2,zero,81128da4 <__adddf3+0x2f4> -81128cf8: 0081ffc4 movi r2,2047 -81128cfc: 80bf8b26 beq r16,r2,81128b2c <__reset+0xfb108b2c> -81128d00: 4a402034 orhi r9,r9,128 -81128d04: 00800e04 movi r2,56 -81128d08: 1100a40e bge r2,r4,81128f9c <__adddf3+0x4ec> -81128d0c: 498cb03a or r6,r9,r6 -81128d10: 300ac03a cmpne r5,r6,zero -81128d14: 0013883a mov r9,zero -81128d18: 2c4b883a add r5,r5,r17 -81128d1c: 2c63803a cmpltu r17,r5,r17 -81128d20: 4a11883a add r8,r9,r8 -81128d24: 8a11883a add r8,r17,r8 -81128d28: 2823883a mov r17,r5 -81128d2c: 4080202c andhi r2,r8,128 -81128d30: 103fe026 beq r2,zero,81128cb4 <__reset+0xfb108cb4> -81128d34: 84000044 addi r16,r16,1 +8112880c: 180690fa slli r3,r3,3 +81128810: 1023883a mov r17,r2 +81128814: 04003fc4 movi r16,255 +81128818: 003ef406 br 811283ec <__reset+0xfb1083ec> +8112881c: 04003fc4 movi r16,255 +81128820: 003ef206 br 811283ec <__reset+0xfb1083ec> +81128824: 00c00044 movi r3,1 +81128828: 003f9606 br 81128684 <__reset+0xfb108684> +8112882c: 000b883a mov r5,zero +81128830: 0005883a mov r2,zero +81128834: 003f3506 br 8112850c <__reset+0xfb10850c> +81128838: 01402034 movhi r5,128 +8112883c: 297fffc4 addi r5,r5,-1 +81128840: 0005883a mov r2,zero +81128844: 003f2f06 br 81128504 <__reset+0xfb108504> +81128848: 3807883a mov r3,r7 +8112884c: 04003fc4 movi r16,255 +81128850: 003ee606 br 811283ec <__reset+0xfb1083ec> +81128854: 3807883a mov r3,r7 +81128858: 003ee406 br 811283ec <__reset+0xfb1083ec> +8112885c: 00c00044 movi r3,1 +81128860: 003fbd06 br 81128758 <__reset+0xfb108758> +81128864: 0005883a mov r2,zero +81128868: 003f2806 br 8112850c <__reset+0xfb10850c> + +8112886c <__fixsfsi>: +8112886c: 200ad5fa srli r5,r4,23 +81128870: 00c02034 movhi r3,128 +81128874: 18ffffc4 addi r3,r3,-1 +81128878: 29403fcc andi r5,r5,255 +8112887c: 00801f84 movi r2,126 +81128880: 1906703a and r3,r3,r4 +81128884: 2008d7fa srli r4,r4,31 +81128888: 11400e0e bge r2,r5,811288c4 <__fixsfsi+0x58> +8112888c: 00802744 movi r2,157 +81128890: 11400816 blt r2,r5,811288b4 <__fixsfsi+0x48> +81128894: 00802544 movi r2,149 +81128898: 18c02034 orhi r3,r3,128 +8112889c: 11400b0e bge r2,r5,811288cc <__fixsfsi+0x60> +811288a0: 28bfda84 addi r2,r5,-150 +811288a4: 1884983a sll r2,r3,r2 +811288a8: 20000726 beq r4,zero,811288c8 <__fixsfsi+0x5c> +811288ac: 0085c83a sub r2,zero,r2 +811288b0: f800283a ret +811288b4: 00a00034 movhi r2,32768 +811288b8: 10bfffc4 addi r2,r2,-1 +811288bc: 2085883a add r2,r4,r2 +811288c0: f800283a ret +811288c4: 0005883a mov r2,zero +811288c8: f800283a ret +811288cc: 00802584 movi r2,150 +811288d0: 1145c83a sub r2,r2,r5 +811288d4: 1884d83a srl r2,r3,r2 +811288d8: 003ff306 br 811288a8 <__reset+0xfb1088a8> + +811288dc <__floatsisf>: +811288dc: defffd04 addi sp,sp,-12 +811288e0: dfc00215 stw ra,8(sp) +811288e4: dc400115 stw r17,4(sp) +811288e8: dc000015 stw r16,0(sp) +811288ec: 20003526 beq r4,zero,811289c4 <__floatsisf+0xe8> +811288f0: 2021883a mov r16,r4 +811288f4: 2022d7fa srli r17,r4,31 +811288f8: 20003616 blt r4,zero,811289d4 <__floatsisf+0xf8> +811288fc: 8009883a mov r4,r16 +81128900: 112b2300 call 8112b230 <__clzsi2> +81128904: 00c02784 movi r3,158 +81128908: 1887c83a sub r3,r3,r2 +8112890c: 01002584 movi r4,150 +81128910: 20c01416 blt r4,r3,81128964 <__floatsisf+0x88> +81128914: 20c9c83a sub r4,r4,r3 +81128918: 8120983a sll r16,r16,r4 +8112891c: 00802034 movhi r2,128 +81128920: 10bfffc4 addi r2,r2,-1 +81128924: 8809883a mov r4,r17 +81128928: 80a0703a and r16,r16,r2 +8112892c: 18803fcc andi r2,r3,255 +81128930: 100695fa slli r3,r2,23 +81128934: 20803fcc andi r2,r4,255 +81128938: 100897fa slli r4,r2,31 +8112893c: 00802034 movhi r2,128 +81128940: 10bfffc4 addi r2,r2,-1 +81128944: 8084703a and r2,r16,r2 +81128948: 10c4b03a or r2,r2,r3 +8112894c: 1104b03a or r2,r2,r4 +81128950: dfc00217 ldw ra,8(sp) +81128954: dc400117 ldw r17,4(sp) +81128958: dc000017 ldw r16,0(sp) +8112895c: dec00304 addi sp,sp,12 +81128960: f800283a ret +81128964: 01002644 movi r4,153 +81128968: 20c01c16 blt r4,r3,811289dc <__floatsisf+0x100> +8112896c: 20c9c83a sub r4,r4,r3 +81128970: 8120983a sll r16,r16,r4 +81128974: 013f0034 movhi r4,64512 +81128978: 213fffc4 addi r4,r4,-1 +8112897c: 814001cc andi r5,r16,7 +81128980: 8108703a and r4,r16,r4 +81128984: 28000426 beq r5,zero,81128998 <__floatsisf+0xbc> +81128988: 840003cc andi r16,r16,15 +8112898c: 01400104 movi r5,4 +81128990: 81400126 beq r16,r5,81128998 <__floatsisf+0xbc> +81128994: 2149883a add r4,r4,r5 +81128998: 2141002c andhi r5,r4,1024 +8112899c: 28000526 beq r5,zero,811289b4 <__floatsisf+0xd8> +811289a0: 00c027c4 movi r3,159 +811289a4: 1887c83a sub r3,r3,r2 +811289a8: 00bf0034 movhi r2,64512 +811289ac: 10bfffc4 addi r2,r2,-1 +811289b0: 2088703a and r4,r4,r2 +811289b4: 202091ba slli r16,r4,6 +811289b8: 8809883a mov r4,r17 +811289bc: 8020d27a srli r16,r16,9 +811289c0: 003fda06 br 8112892c <__reset+0xfb10892c> +811289c4: 0009883a mov r4,zero +811289c8: 0007883a mov r3,zero +811289cc: 0021883a mov r16,zero +811289d0: 003fd606 br 8112892c <__reset+0xfb10892c> +811289d4: 0121c83a sub r16,zero,r4 +811289d8: 003fc806 br 811288fc <__reset+0xfb1088fc> +811289dc: 01002e44 movi r4,185 +811289e0: 20c9c83a sub r4,r4,r3 +811289e4: 01400144 movi r5,5 +811289e8: 8108983a sll r4,r16,r4 +811289ec: 288bc83a sub r5,r5,r2 +811289f0: 8160d83a srl r16,r16,r5 +811289f4: 2008c03a cmpne r4,r4,zero +811289f8: 8120b03a or r16,r16,r4 +811289fc: 003fdd06 br 81128974 <__reset+0xfb108974> + +81128a00 <__floatunsisf>: +81128a00: defffe04 addi sp,sp,-8 +81128a04: dfc00115 stw ra,4(sp) +81128a08: dc000015 stw r16,0(sp) +81128a0c: 20002c26 beq r4,zero,81128ac0 <__floatunsisf+0xc0> +81128a10: 2021883a mov r16,r4 +81128a14: 112b2300 call 8112b230 <__clzsi2> +81128a18: 00c02784 movi r3,158 +81128a1c: 1887c83a sub r3,r3,r2 +81128a20: 01002584 movi r4,150 +81128a24: 20c00f16 blt r4,r3,81128a64 <__floatunsisf+0x64> +81128a28: 20c9c83a sub r4,r4,r3 +81128a2c: 8108983a sll r4,r16,r4 +81128a30: 00802034 movhi r2,128 +81128a34: 10bfffc4 addi r2,r2,-1 +81128a38: 2088703a and r4,r4,r2 +81128a3c: 18803fcc andi r2,r3,255 +81128a40: 100695fa slli r3,r2,23 +81128a44: 00802034 movhi r2,128 +81128a48: 10bfffc4 addi r2,r2,-1 +81128a4c: 2084703a and r2,r4,r2 +81128a50: 10c4b03a or r2,r2,r3 +81128a54: dfc00117 ldw ra,4(sp) +81128a58: dc000017 ldw r16,0(sp) +81128a5c: dec00204 addi sp,sp,8 +81128a60: f800283a ret +81128a64: 01002644 movi r4,153 +81128a68: 20c01816 blt r4,r3,81128acc <__floatunsisf+0xcc> +81128a6c: 20c9c83a sub r4,r4,r3 +81128a70: 8108983a sll r4,r16,r4 +81128a74: 017f0034 movhi r5,64512 +81128a78: 297fffc4 addi r5,r5,-1 +81128a7c: 218001cc andi r6,r4,7 +81128a80: 214a703a and r5,r4,r5 +81128a84: 30000426 beq r6,zero,81128a98 <__floatunsisf+0x98> +81128a88: 210003cc andi r4,r4,15 +81128a8c: 01800104 movi r6,4 +81128a90: 21800126 beq r4,r6,81128a98 <__floatunsisf+0x98> +81128a94: 298b883a add r5,r5,r6 +81128a98: 2901002c andhi r4,r5,1024 +81128a9c: 20000526 beq r4,zero,81128ab4 <__floatunsisf+0xb4> +81128aa0: 00c027c4 movi r3,159 +81128aa4: 1887c83a sub r3,r3,r2 +81128aa8: 00bf0034 movhi r2,64512 +81128aac: 10bfffc4 addi r2,r2,-1 +81128ab0: 288a703a and r5,r5,r2 +81128ab4: 280891ba slli r4,r5,6 +81128ab8: 2008d27a srli r4,r4,9 +81128abc: 003fdf06 br 81128a3c <__reset+0xfb108a3c> +81128ac0: 0007883a mov r3,zero +81128ac4: 0009883a mov r4,zero +81128ac8: 003fdc06 br 81128a3c <__reset+0xfb108a3c> +81128acc: 01402e44 movi r5,185 +81128ad0: 28cbc83a sub r5,r5,r3 +81128ad4: 01000144 movi r4,5 +81128ad8: 2089c83a sub r4,r4,r2 +81128adc: 814a983a sll r5,r16,r5 +81128ae0: 8108d83a srl r4,r16,r4 +81128ae4: 2820c03a cmpne r16,r5,zero +81128ae8: 2408b03a or r4,r4,r16 +81128aec: 003fe106 br 81128a74 <__reset+0xfb108a74> + +81128af0 <__adddf3>: +81128af0: 02c00434 movhi r11,16 +81128af4: 5affffc4 addi r11,r11,-1 +81128af8: 2806d7fa srli r3,r5,31 +81128afc: 2ad4703a and r10,r5,r11 +81128b00: 3ad2703a and r9,r7,r11 +81128b04: 3804d53a srli r2,r7,20 +81128b08: 3018d77a srli r12,r6,29 +81128b0c: 280ad53a srli r5,r5,20 +81128b10: 501490fa slli r10,r10,3 +81128b14: 2010d77a srli r8,r4,29 +81128b18: 481290fa slli r9,r9,3 +81128b1c: 380ed7fa srli r7,r7,31 +81128b20: defffb04 addi sp,sp,-20 +81128b24: dc800215 stw r18,8(sp) +81128b28: dc400115 stw r17,4(sp) +81128b2c: dc000015 stw r16,0(sp) +81128b30: dfc00415 stw ra,16(sp) +81128b34: dcc00315 stw r19,12(sp) +81128b38: 1c803fcc andi r18,r3,255 +81128b3c: 2c01ffcc andi r16,r5,2047 +81128b40: 5210b03a or r8,r10,r8 +81128b44: 202290fa slli r17,r4,3 +81128b48: 1081ffcc andi r2,r2,2047 +81128b4c: 4b12b03a or r9,r9,r12 +81128b50: 300c90fa slli r6,r6,3 +81128b54: 91c07526 beq r18,r7,81128d2c <__adddf3+0x23c> +81128b58: 8087c83a sub r3,r16,r2 +81128b5c: 00c0ab0e bge zero,r3,81128e0c <__adddf3+0x31c> +81128b60: 10002a1e bne r2,zero,81128c0c <__adddf3+0x11c> +81128b64: 4984b03a or r2,r9,r6 +81128b68: 1000961e bne r2,zero,81128dc4 <__adddf3+0x2d4> +81128b6c: 888001cc andi r2,r17,7 +81128b70: 10000726 beq r2,zero,81128b90 <__adddf3+0xa0> +81128b74: 888003cc andi r2,r17,15 +81128b78: 00c00104 movi r3,4 +81128b7c: 10c00426 beq r2,r3,81128b90 <__adddf3+0xa0> +81128b80: 88c7883a add r3,r17,r3 +81128b84: 1c63803a cmpltu r17,r3,r17 +81128b88: 4451883a add r8,r8,r17 +81128b8c: 1823883a mov r17,r3 +81128b90: 4080202c andhi r2,r8,128 +81128b94: 10005926 beq r2,zero,81128cfc <__adddf3+0x20c> +81128b98: 84000044 addi r16,r16,1 +81128b9c: 0081ffc4 movi r2,2047 +81128ba0: 8080ba26 beq r16,r2,81128e8c <__adddf3+0x39c> +81128ba4: 00bfe034 movhi r2,65408 +81128ba8: 10bfffc4 addi r2,r2,-1 +81128bac: 4090703a and r8,r8,r2 +81128bb0: 4004977a slli r2,r8,29 +81128bb4: 4010927a slli r8,r8,9 +81128bb8: 8822d0fa srli r17,r17,3 +81128bbc: 8401ffcc andi r16,r16,2047 +81128bc0: 4010d33a srli r8,r8,12 +81128bc4: 9007883a mov r3,r18 +81128bc8: 1444b03a or r2,r2,r17 +81128bcc: 8401ffcc andi r16,r16,2047 +81128bd0: 8020953a slli r16,r16,20 +81128bd4: 18c03fcc andi r3,r3,255 +81128bd8: 01000434 movhi r4,16 +81128bdc: 213fffc4 addi r4,r4,-1 +81128be0: 180697fa slli r3,r3,31 +81128be4: 4110703a and r8,r8,r4 +81128be8: 4410b03a or r8,r8,r16 +81128bec: 40c6b03a or r3,r8,r3 +81128bf0: dfc00417 ldw ra,16(sp) +81128bf4: dcc00317 ldw r19,12(sp) +81128bf8: dc800217 ldw r18,8(sp) +81128bfc: dc400117 ldw r17,4(sp) +81128c00: dc000017 ldw r16,0(sp) +81128c04: dec00504 addi sp,sp,20 +81128c08: f800283a ret +81128c0c: 0081ffc4 movi r2,2047 +81128c10: 80bfd626 beq r16,r2,81128b6c <__reset+0xfb108b6c> +81128c14: 4a402034 orhi r9,r9,128 +81128c18: 00800e04 movi r2,56 +81128c1c: 10c09f16 blt r2,r3,81128e9c <__adddf3+0x3ac> +81128c20: 008007c4 movi r2,31 +81128c24: 10c0c216 blt r2,r3,81128f30 <__adddf3+0x440> +81128c28: 00800804 movi r2,32 +81128c2c: 10c5c83a sub r2,r2,r3 +81128c30: 488a983a sll r5,r9,r2 +81128c34: 30c8d83a srl r4,r6,r3 +81128c38: 3084983a sll r2,r6,r2 +81128c3c: 48c6d83a srl r3,r9,r3 +81128c40: 290cb03a or r6,r5,r4 +81128c44: 1004c03a cmpne r2,r2,zero +81128c48: 308cb03a or r6,r6,r2 +81128c4c: 898dc83a sub r6,r17,r6 +81128c50: 89a3803a cmpltu r17,r17,r6 +81128c54: 40d1c83a sub r8,r8,r3 +81128c58: 4451c83a sub r8,r8,r17 +81128c5c: 3023883a mov r17,r6 +81128c60: 4080202c andhi r2,r8,128 +81128c64: 10002326 beq r2,zero,81128cf4 <__adddf3+0x204> +81128c68: 04c02034 movhi r19,128 +81128c6c: 9cffffc4 addi r19,r19,-1 +81128c70: 44e6703a and r19,r8,r19 +81128c74: 98007626 beq r19,zero,81128e50 <__adddf3+0x360> +81128c78: 9809883a mov r4,r19 +81128c7c: 112b2300 call 8112b230 <__clzsi2> +81128c80: 10fffe04 addi r3,r2,-8 +81128c84: 010007c4 movi r4,31 +81128c88: 20c07716 blt r4,r3,81128e68 <__adddf3+0x378> +81128c8c: 00800804 movi r2,32 +81128c90: 10c5c83a sub r2,r2,r3 +81128c94: 8884d83a srl r2,r17,r2 +81128c98: 98d0983a sll r8,r19,r3 +81128c9c: 88e2983a sll r17,r17,r3 +81128ca0: 1204b03a or r2,r2,r8 +81128ca4: 1c007416 blt r3,r16,81128e78 <__adddf3+0x388> +81128ca8: 1c21c83a sub r16,r3,r16 +81128cac: 82000044 addi r8,r16,1 +81128cb0: 00c007c4 movi r3,31 +81128cb4: 1a009116 blt r3,r8,81128efc <__adddf3+0x40c> +81128cb8: 00c00804 movi r3,32 +81128cbc: 1a07c83a sub r3,r3,r8 +81128cc0: 8a08d83a srl r4,r17,r8 +81128cc4: 88e2983a sll r17,r17,r3 +81128cc8: 10c6983a sll r3,r2,r3 +81128ccc: 1210d83a srl r8,r2,r8 +81128cd0: 8804c03a cmpne r2,r17,zero +81128cd4: 1906b03a or r3,r3,r4 +81128cd8: 18a2b03a or r17,r3,r2 +81128cdc: 0021883a mov r16,zero +81128ce0: 003fa206 br 81128b6c <__reset+0xfb108b6c> +81128ce4: 1890b03a or r8,r3,r2 +81128ce8: 40017d26 beq r8,zero,811292e0 <__adddf3+0x7f0> +81128cec: 1011883a mov r8,r2 +81128cf0: 1823883a mov r17,r3 +81128cf4: 888001cc andi r2,r17,7 +81128cf8: 103f9e1e bne r2,zero,81128b74 <__reset+0xfb108b74> +81128cfc: 4004977a slli r2,r8,29 +81128d00: 8822d0fa srli r17,r17,3 +81128d04: 4010d0fa srli r8,r8,3 +81128d08: 9007883a mov r3,r18 +81128d0c: 1444b03a or r2,r2,r17 +81128d10: 0101ffc4 movi r4,2047 +81128d14: 81002426 beq r16,r4,81128da8 <__adddf3+0x2b8> +81128d18: 8120703a and r16,r16,r4 +81128d1c: 01000434 movhi r4,16 +81128d20: 213fffc4 addi r4,r4,-1 +81128d24: 4110703a and r8,r8,r4 +81128d28: 003fa806 br 81128bcc <__reset+0xfb108bcc> +81128d2c: 8089c83a sub r4,r16,r2 +81128d30: 01005e0e bge zero,r4,81128eac <__adddf3+0x3bc> +81128d34: 10002b26 beq r2,zero,81128de4 <__adddf3+0x2f4> 81128d38: 0081ffc4 movi r2,2047 -81128d3c: 8080d226 beq r16,r2,81129088 <__adddf3+0x5d8> -81128d40: 00bfe034 movhi r2,65408 -81128d44: 10bfffc4 addi r2,r2,-1 -81128d48: 4090703a and r8,r8,r2 -81128d4c: 880ad07a srli r5,r17,1 -81128d50: 400897fa slli r4,r8,31 -81128d54: 88c0004c andi r3,r17,1 -81128d58: 28e2b03a or r17,r5,r3 -81128d5c: 4010d07a srli r8,r8,1 -81128d60: 2462b03a or r17,r4,r17 -81128d64: 003f7106 br 81128b2c <__reset+0xfb108b2c> -81128d68: 4088b03a or r4,r8,r2 -81128d6c: 20014526 beq r4,zero,81129284 <__adddf3+0x7d4> -81128d70: 01000434 movhi r4,16 -81128d74: 42000234 orhi r8,r8,8 -81128d78: 213fffc4 addi r4,r4,-1 -81128d7c: 4110703a and r8,r8,r4 -81128d80: 003f8206 br 81128b8c <__reset+0xfb108b8c> -81128d84: 18ffffc4 addi r3,r3,-1 -81128d88: 1800491e bne r3,zero,81128eb0 <__adddf3+0x400> -81128d8c: 898bc83a sub r5,r17,r6 -81128d90: 8963803a cmpltu r17,r17,r5 -81128d94: 4251c83a sub r8,r8,r9 -81128d98: 4451c83a sub r8,r8,r17 -81128d9c: 2823883a mov r17,r5 -81128da0: 003f9f06 br 81128c20 <__reset+0xfb108c20> -81128da4: 4984b03a or r2,r9,r6 -81128da8: 103f6026 beq r2,zero,81128b2c <__reset+0xfb108b2c> -81128dac: 213fffc4 addi r4,r4,-1 -81128db0: 2000931e bne r4,zero,81129000 <__adddf3+0x550> -81128db4: 898d883a add r6,r17,r6 -81128db8: 3463803a cmpltu r17,r6,r17 -81128dbc: 4251883a add r8,r8,r9 -81128dc0: 8a11883a add r8,r17,r8 -81128dc4: 3023883a mov r17,r6 -81128dc8: 003fd806 br 81128d2c <__reset+0xfb108d2c> -81128dcc: 1800541e bne r3,zero,81128f20 <__adddf3+0x470> -81128dd0: 80800044 addi r2,r16,1 -81128dd4: 1081ffcc andi r2,r2,2047 -81128dd8: 00c00044 movi r3,1 -81128ddc: 1880a00e bge r3,r2,81129060 <__adddf3+0x5b0> -81128de0: 8989c83a sub r4,r17,r6 -81128de4: 8905803a cmpltu r2,r17,r4 -81128de8: 4267c83a sub r19,r8,r9 -81128dec: 98a7c83a sub r19,r19,r2 -81128df0: 9880202c andhi r2,r19,128 -81128df4: 10006326 beq r2,zero,81128f84 <__adddf3+0x4d4> -81128df8: 3463c83a sub r17,r6,r17 -81128dfc: 4a07c83a sub r3,r9,r8 -81128e00: 344d803a cmpltu r6,r6,r17 -81128e04: 19a7c83a sub r19,r3,r6 -81128e08: 3825883a mov r18,r7 -81128e0c: 983f8a1e bne r19,zero,81128c38 <__reset+0xfb108c38> -81128e10: 8809883a mov r4,r17 -81128e14: 112b1f00 call 8112b1f0 <__clzsi2> -81128e18: 10800804 addi r2,r2,32 -81128e1c: 10fffe04 addi r3,r2,-8 -81128e20: 010007c4 movi r4,31 -81128e24: 20ff890e bge r4,r3,81128c4c <__reset+0xfb108c4c> -81128e28: 10bff604 addi r2,r2,-40 -81128e2c: 8884983a sll r2,r17,r2 -81128e30: 0023883a mov r17,zero -81128e34: 1c3f8c0e bge r3,r16,81128c68 <__reset+0xfb108c68> -81128e38: 023fe034 movhi r8,65408 -81128e3c: 423fffc4 addi r8,r8,-1 -81128e40: 80e1c83a sub r16,r16,r3 -81128e44: 1210703a and r8,r2,r8 -81128e48: 003f3806 br 81128b2c <__reset+0xfb108b2c> -81128e4c: 9007883a mov r3,r18 -81128e50: 0011883a mov r8,zero -81128e54: 0005883a mov r2,zero -81128e58: 003f4c06 br 81128b8c <__reset+0xfb108b8c> -81128e5c: 498cb03a or r6,r9,r6 -81128e60: 300cc03a cmpne r6,r6,zero -81128e64: 0007883a mov r3,zero -81128e68: 003f6806 br 81128c0c <__reset+0xfb108c0c> -81128e6c: 20009c1e bne r4,zero,811290e0 <__adddf3+0x630> -81128e70: 80800044 addi r2,r16,1 -81128e74: 1141ffcc andi r5,r2,2047 -81128e78: 01000044 movi r4,1 -81128e7c: 2140670e bge r4,r5,8112901c <__adddf3+0x56c> -81128e80: 0101ffc4 movi r4,2047 -81128e84: 11007f26 beq r2,r4,81129084 <__adddf3+0x5d4> -81128e88: 898d883a add r6,r17,r6 -81128e8c: 4247883a add r3,r8,r9 -81128e90: 3451803a cmpltu r8,r6,r17 -81128e94: 40d1883a add r8,r8,r3 -81128e98: 402297fa slli r17,r8,31 -81128e9c: 300cd07a srli r6,r6,1 -81128ea0: 4010d07a srli r8,r8,1 -81128ea4: 1021883a mov r16,r2 -81128ea8: 89a2b03a or r17,r17,r6 -81128eac: 003f1f06 br 81128b2c <__reset+0xfb108b2c> -81128eb0: 0081ffc4 movi r2,2047 -81128eb4: 80bf481e bne r16,r2,81128bd8 <__reset+0xfb108bd8> -81128eb8: 003f1c06 br 81128b2c <__reset+0xfb108b2c> -81128ebc: 843ff844 addi r16,r16,-31 -81128ec0: 01000804 movi r4,32 -81128ec4: 1406d83a srl r3,r2,r16 -81128ec8: 41005026 beq r8,r4,8112900c <__adddf3+0x55c> -81128ecc: 01001004 movi r4,64 -81128ed0: 2211c83a sub r8,r4,r8 -81128ed4: 1204983a sll r2,r2,r8 -81128ed8: 88a2b03a or r17,r17,r2 -81128edc: 8822c03a cmpne r17,r17,zero -81128ee0: 1c62b03a or r17,r3,r17 -81128ee4: 0011883a mov r8,zero -81128ee8: 0021883a mov r16,zero -81128eec: 003f7106 br 81128cb4 <__reset+0xfb108cb4> -81128ef0: 193ff804 addi r4,r3,-32 -81128ef4: 00800804 movi r2,32 -81128ef8: 4908d83a srl r4,r9,r4 -81128efc: 18804526 beq r3,r2,81129014 <__adddf3+0x564> -81128f00: 00801004 movi r2,64 -81128f04: 10c5c83a sub r2,r2,r3 -81128f08: 4886983a sll r3,r9,r2 -81128f0c: 198cb03a or r6,r3,r6 -81128f10: 300cc03a cmpne r6,r6,zero -81128f14: 218cb03a or r6,r4,r6 -81128f18: 0007883a mov r3,zero -81128f1c: 003f3b06 br 81128c0c <__reset+0xfb108c0c> -81128f20: 80002a26 beq r16,zero,81128fcc <__adddf3+0x51c> -81128f24: 0101ffc4 movi r4,2047 -81128f28: 11006826 beq r2,r4,811290cc <__adddf3+0x61c> -81128f2c: 00c7c83a sub r3,zero,r3 -81128f30: 42002034 orhi r8,r8,128 -81128f34: 01000e04 movi r4,56 -81128f38: 20c07c16 blt r4,r3,8112912c <__adddf3+0x67c> -81128f3c: 010007c4 movi r4,31 -81128f40: 20c0da16 blt r4,r3,811292ac <__adddf3+0x7fc> -81128f44: 01000804 movi r4,32 -81128f48: 20c9c83a sub r4,r4,r3 -81128f4c: 4114983a sll r10,r8,r4 -81128f50: 88cad83a srl r5,r17,r3 -81128f54: 8908983a sll r4,r17,r4 -81128f58: 40c6d83a srl r3,r8,r3 -81128f5c: 5162b03a or r17,r10,r5 -81128f60: 2008c03a cmpne r4,r4,zero -81128f64: 8922b03a or r17,r17,r4 -81128f68: 3463c83a sub r17,r6,r17 -81128f6c: 48c7c83a sub r3,r9,r3 -81128f70: 344d803a cmpltu r6,r6,r17 -81128f74: 1991c83a sub r8,r3,r6 -81128f78: 1021883a mov r16,r2 -81128f7c: 3825883a mov r18,r7 -81128f80: 003f2706 br 81128c20 <__reset+0xfb108c20> -81128f84: 24d0b03a or r8,r4,r19 -81128f88: 40001b1e bne r8,zero,81128ff8 <__adddf3+0x548> -81128f8c: 0005883a mov r2,zero -81128f90: 0007883a mov r3,zero -81128f94: 0021883a mov r16,zero -81128f98: 003f4d06 br 81128cd0 <__reset+0xfb108cd0> -81128f9c: 008007c4 movi r2,31 -81128fa0: 11003c16 blt r2,r4,81129094 <__adddf3+0x5e4> -81128fa4: 00800804 movi r2,32 -81128fa8: 1105c83a sub r2,r2,r4 -81128fac: 488e983a sll r7,r9,r2 -81128fb0: 310ad83a srl r5,r6,r4 -81128fb4: 3084983a sll r2,r6,r2 -81128fb8: 4912d83a srl r9,r9,r4 -81128fbc: 394ab03a or r5,r7,r5 -81128fc0: 1004c03a cmpne r2,r2,zero -81128fc4: 288ab03a or r5,r5,r2 -81128fc8: 003f5306 br 81128d18 <__reset+0xfb108d18> -81128fcc: 4448b03a or r4,r8,r17 -81128fd0: 20003e26 beq r4,zero,811290cc <__adddf3+0x61c> -81128fd4: 00c6303a nor r3,zero,r3 -81128fd8: 18003a1e bne r3,zero,811290c4 <__adddf3+0x614> -81128fdc: 3463c83a sub r17,r6,r17 -81128fe0: 4a07c83a sub r3,r9,r8 -81128fe4: 344d803a cmpltu r6,r6,r17 -81128fe8: 1991c83a sub r8,r3,r6 -81128fec: 1021883a mov r16,r2 -81128ff0: 3825883a mov r18,r7 -81128ff4: 003f0a06 br 81128c20 <__reset+0xfb108c20> -81128ff8: 2023883a mov r17,r4 -81128ffc: 003f0d06 br 81128c34 <__reset+0xfb108c34> -81129000: 0081ffc4 movi r2,2047 -81129004: 80bf3f1e bne r16,r2,81128d04 <__reset+0xfb108d04> -81129008: 003ec806 br 81128b2c <__reset+0xfb108b2c> -8112900c: 0005883a mov r2,zero -81129010: 003fb106 br 81128ed8 <__reset+0xfb108ed8> -81129014: 0007883a mov r3,zero -81129018: 003fbc06 br 81128f0c <__reset+0xfb108f0c> -8112901c: 4444b03a or r2,r8,r17 -81129020: 8000871e bne r16,zero,81129240 <__adddf3+0x790> -81129024: 1000ba26 beq r2,zero,81129310 <__adddf3+0x860> -81129028: 4984b03a or r2,r9,r6 -8112902c: 103ebf26 beq r2,zero,81128b2c <__reset+0xfb108b2c> -81129030: 8985883a add r2,r17,r6 -81129034: 4247883a add r3,r8,r9 -81129038: 1451803a cmpltu r8,r2,r17 -8112903c: 40d1883a add r8,r8,r3 -81129040: 40c0202c andhi r3,r8,128 -81129044: 1023883a mov r17,r2 -81129048: 183f1a26 beq r3,zero,81128cb4 <__reset+0xfb108cb4> -8112904c: 00bfe034 movhi r2,65408 -81129050: 10bfffc4 addi r2,r2,-1 -81129054: 2021883a mov r16,r4 -81129058: 4090703a and r8,r8,r2 -8112905c: 003eb306 br 81128b2c <__reset+0xfb108b2c> -81129060: 4444b03a or r2,r8,r17 -81129064: 8000291e bne r16,zero,8112910c <__adddf3+0x65c> -81129068: 10004b1e bne r2,zero,81129198 <__adddf3+0x6e8> -8112906c: 4990b03a or r8,r9,r6 -81129070: 40008b26 beq r8,zero,811292a0 <__adddf3+0x7f0> -81129074: 4811883a mov r8,r9 -81129078: 3023883a mov r17,r6 -8112907c: 3825883a mov r18,r7 -81129080: 003eaa06 br 81128b2c <__reset+0xfb108b2c> -81129084: 1021883a mov r16,r2 -81129088: 0011883a mov r8,zero -8112908c: 0005883a mov r2,zero -81129090: 003f0f06 br 81128cd0 <__reset+0xfb108cd0> -81129094: 217ff804 addi r5,r4,-32 -81129098: 00800804 movi r2,32 -8112909c: 494ad83a srl r5,r9,r5 -811290a0: 20807d26 beq r4,r2,81129298 <__adddf3+0x7e8> -811290a4: 00801004 movi r2,64 -811290a8: 1109c83a sub r4,r2,r4 -811290ac: 4912983a sll r9,r9,r4 -811290b0: 498cb03a or r6,r9,r6 -811290b4: 300cc03a cmpne r6,r6,zero -811290b8: 298ab03a or r5,r5,r6 -811290bc: 0013883a mov r9,zero -811290c0: 003f1506 br 81128d18 <__reset+0xfb108d18> -811290c4: 0101ffc4 movi r4,2047 -811290c8: 113f9a1e bne r2,r4,81128f34 <__reset+0xfb108f34> -811290cc: 4811883a mov r8,r9 -811290d0: 3023883a mov r17,r6 -811290d4: 1021883a mov r16,r2 -811290d8: 3825883a mov r18,r7 -811290dc: 003e9306 br 81128b2c <__reset+0xfb108b2c> -811290e0: 8000161e bne r16,zero,8112913c <__adddf3+0x68c> -811290e4: 444ab03a or r5,r8,r17 -811290e8: 28005126 beq r5,zero,81129230 <__adddf3+0x780> -811290ec: 0108303a nor r4,zero,r4 -811290f0: 20004d1e bne r4,zero,81129228 <__adddf3+0x778> -811290f4: 89a3883a add r17,r17,r6 -811290f8: 4253883a add r9,r8,r9 -811290fc: 898d803a cmpltu r6,r17,r6 -81129100: 3251883a add r8,r6,r9 -81129104: 1021883a mov r16,r2 -81129108: 003f0806 br 81128d2c <__reset+0xfb108d2c> -8112910c: 1000301e bne r2,zero,811291d0 <__adddf3+0x720> -81129110: 4984b03a or r2,r9,r6 -81129114: 10007126 beq r2,zero,811292dc <__adddf3+0x82c> -81129118: 4811883a mov r8,r9 -8112911c: 3023883a mov r17,r6 -81129120: 3825883a mov r18,r7 -81129124: 0401ffc4 movi r16,2047 -81129128: 003e8006 br 81128b2c <__reset+0xfb108b2c> -8112912c: 4462b03a or r17,r8,r17 -81129130: 8822c03a cmpne r17,r17,zero -81129134: 0007883a mov r3,zero -81129138: 003f8b06 br 81128f68 <__reset+0xfb108f68> -8112913c: 0141ffc4 movi r5,2047 -81129140: 11403b26 beq r2,r5,81129230 <__adddf3+0x780> -81129144: 0109c83a sub r4,zero,r4 -81129148: 42002034 orhi r8,r8,128 -8112914c: 01400e04 movi r5,56 -81129150: 29006716 blt r5,r4,811292f0 <__adddf3+0x840> -81129154: 014007c4 movi r5,31 -81129158: 29007016 blt r5,r4,8112931c <__adddf3+0x86c> -8112915c: 01400804 movi r5,32 -81129160: 290bc83a sub r5,r5,r4 -81129164: 4154983a sll r10,r8,r5 -81129168: 890ed83a srl r7,r17,r4 -8112916c: 894a983a sll r5,r17,r5 -81129170: 4108d83a srl r4,r8,r4 -81129174: 51e2b03a or r17,r10,r7 -81129178: 280ac03a cmpne r5,r5,zero -8112917c: 8962b03a or r17,r17,r5 -81129180: 89a3883a add r17,r17,r6 -81129184: 2253883a add r9,r4,r9 -81129188: 898d803a cmpltu r6,r17,r6 -8112918c: 3251883a add r8,r6,r9 -81129190: 1021883a mov r16,r2 -81129194: 003ee506 br 81128d2c <__reset+0xfb108d2c> -81129198: 4984b03a or r2,r9,r6 -8112919c: 103e6326 beq r2,zero,81128b2c <__reset+0xfb108b2c> -811291a0: 8987c83a sub r3,r17,r6 -811291a4: 88c9803a cmpltu r4,r17,r3 -811291a8: 4245c83a sub r2,r8,r9 -811291ac: 1105c83a sub r2,r2,r4 -811291b0: 1100202c andhi r4,r2,128 -811291b4: 203ebb26 beq r4,zero,81128ca4 <__reset+0xfb108ca4> -811291b8: 3463c83a sub r17,r6,r17 -811291bc: 4a07c83a sub r3,r9,r8 -811291c0: 344d803a cmpltu r6,r6,r17 -811291c4: 1991c83a sub r8,r3,r6 -811291c8: 3825883a mov r18,r7 -811291cc: 003e5706 br 81128b2c <__reset+0xfb108b2c> -811291d0: 4984b03a or r2,r9,r6 -811291d4: 10002e26 beq r2,zero,81129290 <__adddf3+0x7e0> -811291d8: 4004d0fa srli r2,r8,3 -811291dc: 8822d0fa srli r17,r17,3 -811291e0: 4010977a slli r8,r8,29 -811291e4: 10c0022c andhi r3,r2,8 -811291e8: 4462b03a or r17,r8,r17 -811291ec: 18000826 beq r3,zero,81129210 <__adddf3+0x760> -811291f0: 4808d0fa srli r4,r9,3 -811291f4: 20c0022c andhi r3,r4,8 -811291f8: 1800051e bne r3,zero,81129210 <__adddf3+0x760> -811291fc: 300cd0fa srli r6,r6,3 -81129200: 4806977a slli r3,r9,29 -81129204: 2005883a mov r2,r4 +81128d3c: 80bf8b26 beq r16,r2,81128b6c <__reset+0xfb108b6c> +81128d40: 4a402034 orhi r9,r9,128 +81128d44: 00800e04 movi r2,56 +81128d48: 1100a40e bge r2,r4,81128fdc <__adddf3+0x4ec> +81128d4c: 498cb03a or r6,r9,r6 +81128d50: 300ac03a cmpne r5,r6,zero +81128d54: 0013883a mov r9,zero +81128d58: 2c4b883a add r5,r5,r17 +81128d5c: 2c63803a cmpltu r17,r5,r17 +81128d60: 4a11883a add r8,r9,r8 +81128d64: 8a11883a add r8,r17,r8 +81128d68: 2823883a mov r17,r5 +81128d6c: 4080202c andhi r2,r8,128 +81128d70: 103fe026 beq r2,zero,81128cf4 <__reset+0xfb108cf4> +81128d74: 84000044 addi r16,r16,1 +81128d78: 0081ffc4 movi r2,2047 +81128d7c: 8080d226 beq r16,r2,811290c8 <__adddf3+0x5d8> +81128d80: 00bfe034 movhi r2,65408 +81128d84: 10bfffc4 addi r2,r2,-1 +81128d88: 4090703a and r8,r8,r2 +81128d8c: 880ad07a srli r5,r17,1 +81128d90: 400897fa slli r4,r8,31 +81128d94: 88c0004c andi r3,r17,1 +81128d98: 28e2b03a or r17,r5,r3 +81128d9c: 4010d07a srli r8,r8,1 +81128da0: 2462b03a or r17,r4,r17 +81128da4: 003f7106 br 81128b6c <__reset+0xfb108b6c> +81128da8: 4088b03a or r4,r8,r2 +81128dac: 20014526 beq r4,zero,811292c4 <__adddf3+0x7d4> +81128db0: 01000434 movhi r4,16 +81128db4: 42000234 orhi r8,r8,8 +81128db8: 213fffc4 addi r4,r4,-1 +81128dbc: 4110703a and r8,r8,r4 +81128dc0: 003f8206 br 81128bcc <__reset+0xfb108bcc> +81128dc4: 18ffffc4 addi r3,r3,-1 +81128dc8: 1800491e bne r3,zero,81128ef0 <__adddf3+0x400> +81128dcc: 898bc83a sub r5,r17,r6 +81128dd0: 8963803a cmpltu r17,r17,r5 +81128dd4: 4251c83a sub r8,r8,r9 +81128dd8: 4451c83a sub r8,r8,r17 +81128ddc: 2823883a mov r17,r5 +81128de0: 003f9f06 br 81128c60 <__reset+0xfb108c60> +81128de4: 4984b03a or r2,r9,r6 +81128de8: 103f6026 beq r2,zero,81128b6c <__reset+0xfb108b6c> +81128dec: 213fffc4 addi r4,r4,-1 +81128df0: 2000931e bne r4,zero,81129040 <__adddf3+0x550> +81128df4: 898d883a add r6,r17,r6 +81128df8: 3463803a cmpltu r17,r6,r17 +81128dfc: 4251883a add r8,r8,r9 +81128e00: 8a11883a add r8,r17,r8 +81128e04: 3023883a mov r17,r6 +81128e08: 003fd806 br 81128d6c <__reset+0xfb108d6c> +81128e0c: 1800541e bne r3,zero,81128f60 <__adddf3+0x470> +81128e10: 80800044 addi r2,r16,1 +81128e14: 1081ffcc andi r2,r2,2047 +81128e18: 00c00044 movi r3,1 +81128e1c: 1880a00e bge r3,r2,811290a0 <__adddf3+0x5b0> +81128e20: 8989c83a sub r4,r17,r6 +81128e24: 8905803a cmpltu r2,r17,r4 +81128e28: 4267c83a sub r19,r8,r9 +81128e2c: 98a7c83a sub r19,r19,r2 +81128e30: 9880202c andhi r2,r19,128 +81128e34: 10006326 beq r2,zero,81128fc4 <__adddf3+0x4d4> +81128e38: 3463c83a sub r17,r6,r17 +81128e3c: 4a07c83a sub r3,r9,r8 +81128e40: 344d803a cmpltu r6,r6,r17 +81128e44: 19a7c83a sub r19,r3,r6 +81128e48: 3825883a mov r18,r7 +81128e4c: 983f8a1e bne r19,zero,81128c78 <__reset+0xfb108c78> +81128e50: 8809883a mov r4,r17 +81128e54: 112b2300 call 8112b230 <__clzsi2> +81128e58: 10800804 addi r2,r2,32 +81128e5c: 10fffe04 addi r3,r2,-8 +81128e60: 010007c4 movi r4,31 +81128e64: 20ff890e bge r4,r3,81128c8c <__reset+0xfb108c8c> +81128e68: 10bff604 addi r2,r2,-40 +81128e6c: 8884983a sll r2,r17,r2 +81128e70: 0023883a mov r17,zero +81128e74: 1c3f8c0e bge r3,r16,81128ca8 <__reset+0xfb108ca8> +81128e78: 023fe034 movhi r8,65408 +81128e7c: 423fffc4 addi r8,r8,-1 +81128e80: 80e1c83a sub r16,r16,r3 +81128e84: 1210703a and r8,r2,r8 +81128e88: 003f3806 br 81128b6c <__reset+0xfb108b6c> +81128e8c: 9007883a mov r3,r18 +81128e90: 0011883a mov r8,zero +81128e94: 0005883a mov r2,zero +81128e98: 003f4c06 br 81128bcc <__reset+0xfb108bcc> +81128e9c: 498cb03a or r6,r9,r6 +81128ea0: 300cc03a cmpne r6,r6,zero +81128ea4: 0007883a mov r3,zero +81128ea8: 003f6806 br 81128c4c <__reset+0xfb108c4c> +81128eac: 20009c1e bne r4,zero,81129120 <__adddf3+0x630> +81128eb0: 80800044 addi r2,r16,1 +81128eb4: 1141ffcc andi r5,r2,2047 +81128eb8: 01000044 movi r4,1 +81128ebc: 2140670e bge r4,r5,8112905c <__adddf3+0x56c> +81128ec0: 0101ffc4 movi r4,2047 +81128ec4: 11007f26 beq r2,r4,811290c4 <__adddf3+0x5d4> +81128ec8: 898d883a add r6,r17,r6 +81128ecc: 4247883a add r3,r8,r9 +81128ed0: 3451803a cmpltu r8,r6,r17 +81128ed4: 40d1883a add r8,r8,r3 +81128ed8: 402297fa slli r17,r8,31 +81128edc: 300cd07a srli r6,r6,1 +81128ee0: 4010d07a srli r8,r8,1 +81128ee4: 1021883a mov r16,r2 +81128ee8: 89a2b03a or r17,r17,r6 +81128eec: 003f1f06 br 81128b6c <__reset+0xfb108b6c> +81128ef0: 0081ffc4 movi r2,2047 +81128ef4: 80bf481e bne r16,r2,81128c18 <__reset+0xfb108c18> +81128ef8: 003f1c06 br 81128b6c <__reset+0xfb108b6c> +81128efc: 843ff844 addi r16,r16,-31 +81128f00: 01000804 movi r4,32 +81128f04: 1406d83a srl r3,r2,r16 +81128f08: 41005026 beq r8,r4,8112904c <__adddf3+0x55c> +81128f0c: 01001004 movi r4,64 +81128f10: 2211c83a sub r8,r4,r8 +81128f14: 1204983a sll r2,r2,r8 +81128f18: 88a2b03a or r17,r17,r2 +81128f1c: 8822c03a cmpne r17,r17,zero +81128f20: 1c62b03a or r17,r3,r17 +81128f24: 0011883a mov r8,zero +81128f28: 0021883a mov r16,zero +81128f2c: 003f7106 br 81128cf4 <__reset+0xfb108cf4> +81128f30: 193ff804 addi r4,r3,-32 +81128f34: 00800804 movi r2,32 +81128f38: 4908d83a srl r4,r9,r4 +81128f3c: 18804526 beq r3,r2,81129054 <__adddf3+0x564> +81128f40: 00801004 movi r2,64 +81128f44: 10c5c83a sub r2,r2,r3 +81128f48: 4886983a sll r3,r9,r2 +81128f4c: 198cb03a or r6,r3,r6 +81128f50: 300cc03a cmpne r6,r6,zero +81128f54: 218cb03a or r6,r4,r6 +81128f58: 0007883a mov r3,zero +81128f5c: 003f3b06 br 81128c4c <__reset+0xfb108c4c> +81128f60: 80002a26 beq r16,zero,8112900c <__adddf3+0x51c> +81128f64: 0101ffc4 movi r4,2047 +81128f68: 11006826 beq r2,r4,8112910c <__adddf3+0x61c> +81128f6c: 00c7c83a sub r3,zero,r3 +81128f70: 42002034 orhi r8,r8,128 +81128f74: 01000e04 movi r4,56 +81128f78: 20c07c16 blt r4,r3,8112916c <__adddf3+0x67c> +81128f7c: 010007c4 movi r4,31 +81128f80: 20c0da16 blt r4,r3,811292ec <__adddf3+0x7fc> +81128f84: 01000804 movi r4,32 +81128f88: 20c9c83a sub r4,r4,r3 +81128f8c: 4114983a sll r10,r8,r4 +81128f90: 88cad83a srl r5,r17,r3 +81128f94: 8908983a sll r4,r17,r4 +81128f98: 40c6d83a srl r3,r8,r3 +81128f9c: 5162b03a or r17,r10,r5 +81128fa0: 2008c03a cmpne r4,r4,zero +81128fa4: 8922b03a or r17,r17,r4 +81128fa8: 3463c83a sub r17,r6,r17 +81128fac: 48c7c83a sub r3,r9,r3 +81128fb0: 344d803a cmpltu r6,r6,r17 +81128fb4: 1991c83a sub r8,r3,r6 +81128fb8: 1021883a mov r16,r2 +81128fbc: 3825883a mov r18,r7 +81128fc0: 003f2706 br 81128c60 <__reset+0xfb108c60> +81128fc4: 24d0b03a or r8,r4,r19 +81128fc8: 40001b1e bne r8,zero,81129038 <__adddf3+0x548> +81128fcc: 0005883a mov r2,zero +81128fd0: 0007883a mov r3,zero +81128fd4: 0021883a mov r16,zero +81128fd8: 003f4d06 br 81128d10 <__reset+0xfb108d10> +81128fdc: 008007c4 movi r2,31 +81128fe0: 11003c16 blt r2,r4,811290d4 <__adddf3+0x5e4> +81128fe4: 00800804 movi r2,32 +81128fe8: 1105c83a sub r2,r2,r4 +81128fec: 488e983a sll r7,r9,r2 +81128ff0: 310ad83a srl r5,r6,r4 +81128ff4: 3084983a sll r2,r6,r2 +81128ff8: 4912d83a srl r9,r9,r4 +81128ffc: 394ab03a or r5,r7,r5 +81129000: 1004c03a cmpne r2,r2,zero +81129004: 288ab03a or r5,r5,r2 +81129008: 003f5306 br 81128d58 <__reset+0xfb108d58> +8112900c: 4448b03a or r4,r8,r17 +81129010: 20003e26 beq r4,zero,8112910c <__adddf3+0x61c> +81129014: 00c6303a nor r3,zero,r3 +81129018: 18003a1e bne r3,zero,81129104 <__adddf3+0x614> +8112901c: 3463c83a sub r17,r6,r17 +81129020: 4a07c83a sub r3,r9,r8 +81129024: 344d803a cmpltu r6,r6,r17 +81129028: 1991c83a sub r8,r3,r6 +8112902c: 1021883a mov r16,r2 +81129030: 3825883a mov r18,r7 +81129034: 003f0a06 br 81128c60 <__reset+0xfb108c60> +81129038: 2023883a mov r17,r4 +8112903c: 003f0d06 br 81128c74 <__reset+0xfb108c74> +81129040: 0081ffc4 movi r2,2047 +81129044: 80bf3f1e bne r16,r2,81128d44 <__reset+0xfb108d44> +81129048: 003ec806 br 81128b6c <__reset+0xfb108b6c> +8112904c: 0005883a mov r2,zero +81129050: 003fb106 br 81128f18 <__reset+0xfb108f18> +81129054: 0007883a mov r3,zero +81129058: 003fbc06 br 81128f4c <__reset+0xfb108f4c> +8112905c: 4444b03a or r2,r8,r17 +81129060: 8000871e bne r16,zero,81129280 <__adddf3+0x790> +81129064: 1000ba26 beq r2,zero,81129350 <__adddf3+0x860> +81129068: 4984b03a or r2,r9,r6 +8112906c: 103ebf26 beq r2,zero,81128b6c <__reset+0xfb108b6c> +81129070: 8985883a add r2,r17,r6 +81129074: 4247883a add r3,r8,r9 +81129078: 1451803a cmpltu r8,r2,r17 +8112907c: 40d1883a add r8,r8,r3 +81129080: 40c0202c andhi r3,r8,128 +81129084: 1023883a mov r17,r2 +81129088: 183f1a26 beq r3,zero,81128cf4 <__reset+0xfb108cf4> +8112908c: 00bfe034 movhi r2,65408 +81129090: 10bfffc4 addi r2,r2,-1 +81129094: 2021883a mov r16,r4 +81129098: 4090703a and r8,r8,r2 +8112909c: 003eb306 br 81128b6c <__reset+0xfb108b6c> +811290a0: 4444b03a or r2,r8,r17 +811290a4: 8000291e bne r16,zero,8112914c <__adddf3+0x65c> +811290a8: 10004b1e bne r2,zero,811291d8 <__adddf3+0x6e8> +811290ac: 4990b03a or r8,r9,r6 +811290b0: 40008b26 beq r8,zero,811292e0 <__adddf3+0x7f0> +811290b4: 4811883a mov r8,r9 +811290b8: 3023883a mov r17,r6 +811290bc: 3825883a mov r18,r7 +811290c0: 003eaa06 br 81128b6c <__reset+0xfb108b6c> +811290c4: 1021883a mov r16,r2 +811290c8: 0011883a mov r8,zero +811290cc: 0005883a mov r2,zero +811290d0: 003f0f06 br 81128d10 <__reset+0xfb108d10> +811290d4: 217ff804 addi r5,r4,-32 +811290d8: 00800804 movi r2,32 +811290dc: 494ad83a srl r5,r9,r5 +811290e0: 20807d26 beq r4,r2,811292d8 <__adddf3+0x7e8> +811290e4: 00801004 movi r2,64 +811290e8: 1109c83a sub r4,r2,r4 +811290ec: 4912983a sll r9,r9,r4 +811290f0: 498cb03a or r6,r9,r6 +811290f4: 300cc03a cmpne r6,r6,zero +811290f8: 298ab03a or r5,r5,r6 +811290fc: 0013883a mov r9,zero +81129100: 003f1506 br 81128d58 <__reset+0xfb108d58> +81129104: 0101ffc4 movi r4,2047 +81129108: 113f9a1e bne r2,r4,81128f74 <__reset+0xfb108f74> +8112910c: 4811883a mov r8,r9 +81129110: 3023883a mov r17,r6 +81129114: 1021883a mov r16,r2 +81129118: 3825883a mov r18,r7 +8112911c: 003e9306 br 81128b6c <__reset+0xfb108b6c> +81129120: 8000161e bne r16,zero,8112917c <__adddf3+0x68c> +81129124: 444ab03a or r5,r8,r17 +81129128: 28005126 beq r5,zero,81129270 <__adddf3+0x780> +8112912c: 0108303a nor r4,zero,r4 +81129130: 20004d1e bne r4,zero,81129268 <__adddf3+0x778> +81129134: 89a3883a add r17,r17,r6 +81129138: 4253883a add r9,r8,r9 +8112913c: 898d803a cmpltu r6,r17,r6 +81129140: 3251883a add r8,r6,r9 +81129144: 1021883a mov r16,r2 +81129148: 003f0806 br 81128d6c <__reset+0xfb108d6c> +8112914c: 1000301e bne r2,zero,81129210 <__adddf3+0x720> +81129150: 4984b03a or r2,r9,r6 +81129154: 10007126 beq r2,zero,8112931c <__adddf3+0x82c> +81129158: 4811883a mov r8,r9 +8112915c: 3023883a mov r17,r6 +81129160: 3825883a mov r18,r7 +81129164: 0401ffc4 movi r16,2047 +81129168: 003e8006 br 81128b6c <__reset+0xfb108b6c> +8112916c: 4462b03a or r17,r8,r17 +81129170: 8822c03a cmpne r17,r17,zero +81129174: 0007883a mov r3,zero +81129178: 003f8b06 br 81128fa8 <__reset+0xfb108fa8> +8112917c: 0141ffc4 movi r5,2047 +81129180: 11403b26 beq r2,r5,81129270 <__adddf3+0x780> +81129184: 0109c83a sub r4,zero,r4 +81129188: 42002034 orhi r8,r8,128 +8112918c: 01400e04 movi r5,56 +81129190: 29006716 blt r5,r4,81129330 <__adddf3+0x840> +81129194: 014007c4 movi r5,31 +81129198: 29007016 blt r5,r4,8112935c <__adddf3+0x86c> +8112919c: 01400804 movi r5,32 +811291a0: 290bc83a sub r5,r5,r4 +811291a4: 4154983a sll r10,r8,r5 +811291a8: 890ed83a srl r7,r17,r4 +811291ac: 894a983a sll r5,r17,r5 +811291b0: 4108d83a srl r4,r8,r4 +811291b4: 51e2b03a or r17,r10,r7 +811291b8: 280ac03a cmpne r5,r5,zero +811291bc: 8962b03a or r17,r17,r5 +811291c0: 89a3883a add r17,r17,r6 +811291c4: 2253883a add r9,r4,r9 +811291c8: 898d803a cmpltu r6,r17,r6 +811291cc: 3251883a add r8,r6,r9 +811291d0: 1021883a mov r16,r2 +811291d4: 003ee506 br 81128d6c <__reset+0xfb108d6c> +811291d8: 4984b03a or r2,r9,r6 +811291dc: 103e6326 beq r2,zero,81128b6c <__reset+0xfb108b6c> +811291e0: 8987c83a sub r3,r17,r6 +811291e4: 88c9803a cmpltu r4,r17,r3 +811291e8: 4245c83a sub r2,r8,r9 +811291ec: 1105c83a sub r2,r2,r4 +811291f0: 1100202c andhi r4,r2,128 +811291f4: 203ebb26 beq r4,zero,81128ce4 <__reset+0xfb108ce4> +811291f8: 3463c83a sub r17,r6,r17 +811291fc: 4a07c83a sub r3,r9,r8 +81129200: 344d803a cmpltu r6,r6,r17 +81129204: 1991c83a sub r8,r3,r6 81129208: 3825883a mov r18,r7 -8112920c: 19a2b03a or r17,r3,r6 -81129210: 8810d77a srli r8,r17,29 -81129214: 100490fa slli r2,r2,3 -81129218: 882290fa slli r17,r17,3 -8112921c: 0401ffc4 movi r16,2047 -81129220: 4090b03a or r8,r8,r2 -81129224: 003e4106 br 81128b2c <__reset+0xfb108b2c> -81129228: 0141ffc4 movi r5,2047 -8112922c: 117fc71e bne r2,r5,8112914c <__reset+0xfb10914c> -81129230: 4811883a mov r8,r9 -81129234: 3023883a mov r17,r6 -81129238: 1021883a mov r16,r2 -8112923c: 003e3b06 br 81128b2c <__reset+0xfb108b2c> -81129240: 10002f26 beq r2,zero,81129300 <__adddf3+0x850> -81129244: 4984b03a or r2,r9,r6 -81129248: 10001126 beq r2,zero,81129290 <__adddf3+0x7e0> -8112924c: 4004d0fa srli r2,r8,3 -81129250: 8822d0fa srli r17,r17,3 -81129254: 4010977a slli r8,r8,29 -81129258: 10c0022c andhi r3,r2,8 -8112925c: 4462b03a or r17,r8,r17 -81129260: 183feb26 beq r3,zero,81129210 <__reset+0xfb109210> -81129264: 4808d0fa srli r4,r9,3 -81129268: 20c0022c andhi r3,r4,8 -8112926c: 183fe81e bne r3,zero,81129210 <__reset+0xfb109210> -81129270: 300cd0fa srli r6,r6,3 -81129274: 4806977a slli r3,r9,29 -81129278: 2005883a mov r2,r4 -8112927c: 19a2b03a or r17,r3,r6 -81129280: 003fe306 br 81129210 <__reset+0xfb109210> -81129284: 0011883a mov r8,zero -81129288: 0005883a mov r2,zero -8112928c: 003e3f06 br 81128b8c <__reset+0xfb108b8c> -81129290: 0401ffc4 movi r16,2047 -81129294: 003e2506 br 81128b2c <__reset+0xfb108b2c> -81129298: 0013883a mov r9,zero -8112929c: 003f8406 br 811290b0 <__reset+0xfb1090b0> -811292a0: 0005883a mov r2,zero -811292a4: 0007883a mov r3,zero -811292a8: 003e8906 br 81128cd0 <__reset+0xfb108cd0> -811292ac: 197ff804 addi r5,r3,-32 -811292b0: 01000804 movi r4,32 -811292b4: 414ad83a srl r5,r8,r5 -811292b8: 19002426 beq r3,r4,8112934c <__adddf3+0x89c> -811292bc: 01001004 movi r4,64 -811292c0: 20c7c83a sub r3,r4,r3 -811292c4: 40c6983a sll r3,r8,r3 -811292c8: 1c46b03a or r3,r3,r17 -811292cc: 1806c03a cmpne r3,r3,zero -811292d0: 28e2b03a or r17,r5,r3 -811292d4: 0007883a mov r3,zero -811292d8: 003f2306 br 81128f68 <__reset+0xfb108f68> -811292dc: 0007883a mov r3,zero -811292e0: 5811883a mov r8,r11 -811292e4: 00bfffc4 movi r2,-1 -811292e8: 0401ffc4 movi r16,2047 -811292ec: 003e7806 br 81128cd0 <__reset+0xfb108cd0> -811292f0: 4462b03a or r17,r8,r17 -811292f4: 8822c03a cmpne r17,r17,zero -811292f8: 0009883a mov r4,zero -811292fc: 003fa006 br 81129180 <__reset+0xfb109180> -81129300: 4811883a mov r8,r9 -81129304: 3023883a mov r17,r6 -81129308: 0401ffc4 movi r16,2047 -8112930c: 003e0706 br 81128b2c <__reset+0xfb108b2c> -81129310: 4811883a mov r8,r9 -81129314: 3023883a mov r17,r6 -81129318: 003e0406 br 81128b2c <__reset+0xfb108b2c> -8112931c: 21fff804 addi r7,r4,-32 -81129320: 01400804 movi r5,32 -81129324: 41ced83a srl r7,r8,r7 -81129328: 21400a26 beq r4,r5,81129354 <__adddf3+0x8a4> -8112932c: 01401004 movi r5,64 -81129330: 2909c83a sub r4,r5,r4 -81129334: 4108983a sll r4,r8,r4 -81129338: 2448b03a or r4,r4,r17 -8112933c: 2008c03a cmpne r4,r4,zero -81129340: 3922b03a or r17,r7,r4 -81129344: 0009883a mov r4,zero -81129348: 003f8d06 br 81129180 <__reset+0xfb109180> -8112934c: 0007883a mov r3,zero -81129350: 003fdd06 br 811292c8 <__reset+0xfb1092c8> -81129354: 0009883a mov r4,zero -81129358: 003ff706 br 81129338 <__reset+0xfb109338> - -8112935c <__divdf3>: -8112935c: defff204 addi sp,sp,-56 -81129360: dd400915 stw r21,36(sp) -81129364: 282ad53a srli r21,r5,20 -81129368: dd000815 stw r20,32(sp) -8112936c: 2828d7fa srli r20,r5,31 -81129370: dc000415 stw r16,16(sp) -81129374: 04000434 movhi r16,16 -81129378: df000c15 stw fp,48(sp) -8112937c: 843fffc4 addi r16,r16,-1 -81129380: dfc00d15 stw ra,52(sp) -81129384: ddc00b15 stw r23,44(sp) -81129388: dd800a15 stw r22,40(sp) -8112938c: dcc00715 stw r19,28(sp) -81129390: dc800615 stw r18,24(sp) -81129394: dc400515 stw r17,20(sp) -81129398: ad41ffcc andi r21,r21,2047 -8112939c: 2c20703a and r16,r5,r16 -811293a0: a7003fcc andi fp,r20,255 -811293a4: a8006126 beq r21,zero,8112952c <__divdf3+0x1d0> -811293a8: 0081ffc4 movi r2,2047 -811293ac: 2025883a mov r18,r4 -811293b0: a8803726 beq r21,r2,81129490 <__divdf3+0x134> -811293b4: 80800434 orhi r2,r16,16 -811293b8: 100490fa slli r2,r2,3 -811293bc: 2020d77a srli r16,r4,29 -811293c0: 202490fa slli r18,r4,3 -811293c4: ad7f0044 addi r21,r21,-1023 -811293c8: 80a0b03a or r16,r16,r2 -811293cc: 0027883a mov r19,zero -811293d0: 0013883a mov r9,zero -811293d4: 3804d53a srli r2,r7,20 -811293d8: 382cd7fa srli r22,r7,31 -811293dc: 04400434 movhi r17,16 -811293e0: 8c7fffc4 addi r17,r17,-1 -811293e4: 1081ffcc andi r2,r2,2047 -811293e8: 3011883a mov r8,r6 -811293ec: 3c62703a and r17,r7,r17 -811293f0: b5c03fcc andi r23,r22,255 -811293f4: 10006c26 beq r2,zero,811295a8 <__divdf3+0x24c> -811293f8: 00c1ffc4 movi r3,2047 -811293fc: 10c06426 beq r2,r3,81129590 <__divdf3+0x234> -81129400: 88c00434 orhi r3,r17,16 -81129404: 180690fa slli r3,r3,3 -81129408: 3022d77a srli r17,r6,29 -8112940c: 301090fa slli r8,r6,3 -81129410: 10bf0044 addi r2,r2,-1023 -81129414: 88e2b03a or r17,r17,r3 -81129418: 000f883a mov r7,zero -8112941c: a58cf03a xor r6,r20,r22 -81129420: 3cc8b03a or r4,r7,r19 -81129424: a8abc83a sub r21,r21,r2 -81129428: 008003c4 movi r2,15 -8112942c: 3007883a mov r3,r6 -81129430: 34c03fcc andi r19,r6,255 -81129434: 11009036 bltu r2,r4,81129678 <__divdf3+0x31c> -81129438: 200890ba slli r4,r4,2 -8112943c: 00a044f4 movhi r2,33043 -81129440: 10a51404 addi r2,r2,-27568 -81129444: 2089883a add r4,r4,r2 -81129448: 20800017 ldw r2,0(r4) -8112944c: 1000683a jmp r2 -81129450: 81129678 rdprs r4,r16,19033 -81129454: 811294c8 cmpgei r4,r16,19027 -81129458: 81129668 cmpgeui r4,r16,19033 -8112945c: 811294bc xorhi r4,r16,19026 -81129460: 81129668 cmpgeui r4,r16,19033 -81129464: 8112963c xorhi r4,r16,19032 -81129468: 81129668 cmpgeui r4,r16,19033 -8112946c: 811294bc xorhi r4,r16,19026 -81129470: 811294c8 cmpgei r4,r16,19027 -81129474: 811294c8 cmpgei r4,r16,19027 -81129478: 8112963c xorhi r4,r16,19032 -8112947c: 811294bc xorhi r4,r16,19026 -81129480: 811294ac andhi r4,r16,19026 -81129484: 811294ac andhi r4,r16,19026 -81129488: 811294ac andhi r4,r16,19026 -8112948c: 8112995c xori r4,r16,19045 -81129490: 2404b03a or r2,r4,r16 -81129494: 1000661e bne r2,zero,81129630 <__divdf3+0x2d4> -81129498: 04c00204 movi r19,8 -8112949c: 0021883a mov r16,zero -811294a0: 0025883a mov r18,zero -811294a4: 02400084 movi r9,2 -811294a8: 003fca06 br 811293d4 <__reset+0xfb1093d4> -811294ac: 8023883a mov r17,r16 -811294b0: 9011883a mov r8,r18 -811294b4: e02f883a mov r23,fp -811294b8: 480f883a mov r7,r9 -811294bc: 00800084 movi r2,2 -811294c0: 3881311e bne r7,r2,81129988 <__divdf3+0x62c> -811294c4: b827883a mov r19,r23 -811294c8: 98c0004c andi r3,r19,1 -811294cc: 0081ffc4 movi r2,2047 -811294d0: 000b883a mov r5,zero -811294d4: 0025883a mov r18,zero -811294d8: 1004953a slli r2,r2,20 -811294dc: 18c03fcc andi r3,r3,255 -811294e0: 04400434 movhi r17,16 -811294e4: 8c7fffc4 addi r17,r17,-1 -811294e8: 180697fa slli r3,r3,31 -811294ec: 2c4a703a and r5,r5,r17 -811294f0: 288ab03a or r5,r5,r2 -811294f4: 28c6b03a or r3,r5,r3 -811294f8: 9005883a mov r2,r18 -811294fc: dfc00d17 ldw ra,52(sp) -81129500: df000c17 ldw fp,48(sp) -81129504: ddc00b17 ldw r23,44(sp) -81129508: dd800a17 ldw r22,40(sp) -8112950c: dd400917 ldw r21,36(sp) -81129510: dd000817 ldw r20,32(sp) -81129514: dcc00717 ldw r19,28(sp) -81129518: dc800617 ldw r18,24(sp) -8112951c: dc400517 ldw r17,20(sp) -81129520: dc000417 ldw r16,16(sp) -81129524: dec00e04 addi sp,sp,56 -81129528: f800283a ret -8112952c: 2404b03a or r2,r4,r16 -81129530: 2027883a mov r19,r4 -81129534: 10003926 beq r2,zero,8112961c <__divdf3+0x2c0> -81129538: 80012e26 beq r16,zero,811299f4 <__divdf3+0x698> -8112953c: 8009883a mov r4,r16 -81129540: d9800315 stw r6,12(sp) -81129544: d9c00215 stw r7,8(sp) -81129548: 112b1f00 call 8112b1f0 <__clzsi2> -8112954c: d9800317 ldw r6,12(sp) -81129550: d9c00217 ldw r7,8(sp) -81129554: 113ffd44 addi r4,r2,-11 -81129558: 00c00704 movi r3,28 -8112955c: 19012116 blt r3,r4,811299e4 <__divdf3+0x688> -81129560: 00c00744 movi r3,29 -81129564: 147ffe04 addi r17,r2,-8 -81129568: 1907c83a sub r3,r3,r4 -8112956c: 8460983a sll r16,r16,r17 -81129570: 98c6d83a srl r3,r19,r3 -81129574: 9c64983a sll r18,r19,r17 -81129578: 1c20b03a or r16,r3,r16 -8112957c: 1080fcc4 addi r2,r2,1011 -81129580: 00abc83a sub r21,zero,r2 -81129584: 0027883a mov r19,zero -81129588: 0013883a mov r9,zero -8112958c: 003f9106 br 811293d4 <__reset+0xfb1093d4> -81129590: 3446b03a or r3,r6,r17 -81129594: 18001f1e bne r3,zero,81129614 <__divdf3+0x2b8> -81129598: 0023883a mov r17,zero -8112959c: 0011883a mov r8,zero -811295a0: 01c00084 movi r7,2 -811295a4: 003f9d06 br 8112941c <__reset+0xfb10941c> -811295a8: 3446b03a or r3,r6,r17 -811295ac: 18001526 beq r3,zero,81129604 <__divdf3+0x2a8> -811295b0: 88011b26 beq r17,zero,81129a20 <__divdf3+0x6c4> -811295b4: 8809883a mov r4,r17 -811295b8: d9800315 stw r6,12(sp) -811295bc: da400115 stw r9,4(sp) -811295c0: 112b1f00 call 8112b1f0 <__clzsi2> -811295c4: d9800317 ldw r6,12(sp) -811295c8: da400117 ldw r9,4(sp) -811295cc: 113ffd44 addi r4,r2,-11 -811295d0: 00c00704 movi r3,28 -811295d4: 19010e16 blt r3,r4,81129a10 <__divdf3+0x6b4> -811295d8: 00c00744 movi r3,29 -811295dc: 123ffe04 addi r8,r2,-8 -811295e0: 1907c83a sub r3,r3,r4 -811295e4: 8a22983a sll r17,r17,r8 -811295e8: 30c6d83a srl r3,r6,r3 -811295ec: 3210983a sll r8,r6,r8 -811295f0: 1c62b03a or r17,r3,r17 -811295f4: 1080fcc4 addi r2,r2,1011 -811295f8: 0085c83a sub r2,zero,r2 -811295fc: 000f883a mov r7,zero -81129600: 003f8606 br 8112941c <__reset+0xfb10941c> -81129604: 0023883a mov r17,zero -81129608: 0011883a mov r8,zero -8112960c: 01c00044 movi r7,1 -81129610: 003f8206 br 8112941c <__reset+0xfb10941c> -81129614: 01c000c4 movi r7,3 -81129618: 003f8006 br 8112941c <__reset+0xfb10941c> -8112961c: 04c00104 movi r19,4 -81129620: 0021883a mov r16,zero -81129624: 0025883a mov r18,zero -81129628: 02400044 movi r9,1 -8112962c: 003f6906 br 811293d4 <__reset+0xfb1093d4> -81129630: 04c00304 movi r19,12 -81129634: 024000c4 movi r9,3 -81129638: 003f6606 br 811293d4 <__reset+0xfb1093d4> -8112963c: 01400434 movhi r5,16 -81129640: 0007883a mov r3,zero -81129644: 297fffc4 addi r5,r5,-1 -81129648: 04bfffc4 movi r18,-1 -8112964c: 0081ffc4 movi r2,2047 -81129650: 003fa106 br 811294d8 <__reset+0xfb1094d8> -81129654: 00c00044 movi r3,1 -81129658: 1887c83a sub r3,r3,r2 -8112965c: 01000e04 movi r4,56 -81129660: 20c1210e bge r4,r3,81129ae8 <__divdf3+0x78c> -81129664: 98c0004c andi r3,r19,1 -81129668: 0005883a mov r2,zero -8112966c: 000b883a mov r5,zero -81129670: 0025883a mov r18,zero -81129674: 003f9806 br 811294d8 <__reset+0xfb1094d8> -81129678: 8c00fd36 bltu r17,r16,81129a70 <__divdf3+0x714> -8112967c: 8440fb26 beq r16,r17,81129a6c <__divdf3+0x710> -81129680: 8007883a mov r3,r16 -81129684: ad7fffc4 addi r21,r21,-1 -81129688: 0021883a mov r16,zero -8112968c: 4004d63a srli r2,r8,24 -81129690: 8822923a slli r17,r17,8 -81129694: 1809883a mov r4,r3 -81129698: 402c923a slli r22,r8,8 -8112969c: 88b8b03a or fp,r17,r2 -811296a0: e028d43a srli r20,fp,16 -811296a4: d8c00015 stw r3,0(sp) -811296a8: e5ffffcc andi r23,fp,65535 -811296ac: a00b883a mov r5,r20 -811296b0: 112b34c0 call 8112b34c <__udivsi3> -811296b4: d8c00017 ldw r3,0(sp) -811296b8: a00b883a mov r5,r20 -811296bc: d8800315 stw r2,12(sp) -811296c0: 1809883a mov r4,r3 -811296c4: 112b3b00 call 8112b3b0 <__umodsi3> -811296c8: d9800317 ldw r6,12(sp) -811296cc: 1006943a slli r3,r2,16 -811296d0: 9004d43a srli r2,r18,16 -811296d4: b9a3383a mul r17,r23,r6 -811296d8: 10c4b03a or r2,r2,r3 -811296dc: 1440062e bgeu r2,r17,811296f8 <__divdf3+0x39c> -811296e0: 1705883a add r2,r2,fp -811296e4: 30ffffc4 addi r3,r6,-1 -811296e8: 1700ee36 bltu r2,fp,81129aa4 <__divdf3+0x748> -811296ec: 1440ed2e bgeu r2,r17,81129aa4 <__divdf3+0x748> -811296f0: 31bfff84 addi r6,r6,-2 -811296f4: 1705883a add r2,r2,fp -811296f8: 1463c83a sub r17,r2,r17 -811296fc: a00b883a mov r5,r20 -81129700: 8809883a mov r4,r17 -81129704: d9800315 stw r6,12(sp) -81129708: 112b34c0 call 8112b34c <__udivsi3> -8112970c: a00b883a mov r5,r20 -81129710: 8809883a mov r4,r17 -81129714: d8800215 stw r2,8(sp) -81129718: 112b3b00 call 8112b3b0 <__umodsi3> -8112971c: d9c00217 ldw r7,8(sp) -81129720: 1004943a slli r2,r2,16 -81129724: 94bfffcc andi r18,r18,65535 -81129728: b9d1383a mul r8,r23,r7 -8112972c: 90a4b03a or r18,r18,r2 -81129730: d9800317 ldw r6,12(sp) -81129734: 9200062e bgeu r18,r8,81129750 <__divdf3+0x3f4> -81129738: 9725883a add r18,r18,fp -8112973c: 38bfffc4 addi r2,r7,-1 -81129740: 9700d636 bltu r18,fp,81129a9c <__divdf3+0x740> -81129744: 9200d52e bgeu r18,r8,81129a9c <__divdf3+0x740> -81129748: 39ffff84 addi r7,r7,-2 -8112974c: 9725883a add r18,r18,fp -81129750: 3004943a slli r2,r6,16 -81129754: b012d43a srli r9,r22,16 -81129758: b1bfffcc andi r6,r22,65535 -8112975c: 11e2b03a or r17,r2,r7 -81129760: 8806d43a srli r3,r17,16 -81129764: 893fffcc andi r4,r17,65535 -81129768: 218b383a mul r5,r4,r6 -8112976c: 30c5383a mul r2,r6,r3 -81129770: 2249383a mul r4,r4,r9 -81129774: 280ed43a srli r7,r5,16 -81129778: 9225c83a sub r18,r18,r8 -8112977c: 2089883a add r4,r4,r2 -81129780: 3909883a add r4,r7,r4 -81129784: 1a47383a mul r3,r3,r9 -81129788: 2080022e bgeu r4,r2,81129794 <__divdf3+0x438> -8112978c: 00800074 movhi r2,1 -81129790: 1887883a add r3,r3,r2 -81129794: 2004d43a srli r2,r4,16 -81129798: 2008943a slli r4,r4,16 -8112979c: 297fffcc andi r5,r5,65535 -811297a0: 10c7883a add r3,r2,r3 -811297a4: 2149883a add r4,r4,r5 -811297a8: 90c0a536 bltu r18,r3,81129a40 <__divdf3+0x6e4> -811297ac: 90c0bf26 beq r18,r3,81129aac <__divdf3+0x750> -811297b0: 90c7c83a sub r3,r18,r3 -811297b4: 810fc83a sub r7,r16,r4 -811297b8: 81e5803a cmpltu r18,r16,r7 -811297bc: 1ca5c83a sub r18,r3,r18 -811297c0: e480c126 beq fp,r18,81129ac8 <__divdf3+0x76c> -811297c4: a00b883a mov r5,r20 -811297c8: 9009883a mov r4,r18 -811297cc: d9800315 stw r6,12(sp) -811297d0: d9c00215 stw r7,8(sp) -811297d4: da400115 stw r9,4(sp) -811297d8: 112b34c0 call 8112b34c <__udivsi3> -811297dc: a00b883a mov r5,r20 -811297e0: 9009883a mov r4,r18 -811297e4: d8800015 stw r2,0(sp) -811297e8: 112b3b00 call 8112b3b0 <__umodsi3> -811297ec: d9c00217 ldw r7,8(sp) -811297f0: da000017 ldw r8,0(sp) -811297f4: 1006943a slli r3,r2,16 -811297f8: 3804d43a srli r2,r7,16 -811297fc: ba21383a mul r16,r23,r8 -81129800: d9800317 ldw r6,12(sp) -81129804: 10c4b03a or r2,r2,r3 -81129808: da400117 ldw r9,4(sp) -8112980c: 1400062e bgeu r2,r16,81129828 <__divdf3+0x4cc> -81129810: 1705883a add r2,r2,fp -81129814: 40ffffc4 addi r3,r8,-1 -81129818: 1700ad36 bltu r2,fp,81129ad0 <__divdf3+0x774> -8112981c: 1400ac2e bgeu r2,r16,81129ad0 <__divdf3+0x774> -81129820: 423fff84 addi r8,r8,-2 -81129824: 1705883a add r2,r2,fp -81129828: 1421c83a sub r16,r2,r16 -8112982c: a00b883a mov r5,r20 -81129830: 8009883a mov r4,r16 -81129834: d9800315 stw r6,12(sp) -81129838: d9c00215 stw r7,8(sp) -8112983c: da000015 stw r8,0(sp) -81129840: da400115 stw r9,4(sp) -81129844: 112b34c0 call 8112b34c <__udivsi3> -81129848: 8009883a mov r4,r16 -8112984c: a00b883a mov r5,r20 -81129850: 1025883a mov r18,r2 -81129854: 112b3b00 call 8112b3b0 <__umodsi3> -81129858: d9c00217 ldw r7,8(sp) -8112985c: 1004943a slli r2,r2,16 -81129860: bcaf383a mul r23,r23,r18 -81129864: 393fffcc andi r4,r7,65535 -81129868: 2088b03a or r4,r4,r2 -8112986c: d9800317 ldw r6,12(sp) -81129870: da000017 ldw r8,0(sp) -81129874: da400117 ldw r9,4(sp) -81129878: 25c0062e bgeu r4,r23,81129894 <__divdf3+0x538> -8112987c: 2709883a add r4,r4,fp -81129880: 90bfffc4 addi r2,r18,-1 -81129884: 27009436 bltu r4,fp,81129ad8 <__divdf3+0x77c> -81129888: 25c0932e bgeu r4,r23,81129ad8 <__divdf3+0x77c> -8112988c: 94bfff84 addi r18,r18,-2 -81129890: 2709883a add r4,r4,fp -81129894: 4004943a slli r2,r8,16 -81129898: 25efc83a sub r23,r4,r23 -8112989c: 1490b03a or r8,r2,r18 -811298a0: 4008d43a srli r4,r8,16 -811298a4: 40ffffcc andi r3,r8,65535 -811298a8: 30c5383a mul r2,r6,r3 -811298ac: 1a47383a mul r3,r3,r9 -811298b0: 310d383a mul r6,r6,r4 -811298b4: 100ad43a srli r5,r2,16 -811298b8: 4913383a mul r9,r9,r4 -811298bc: 1987883a add r3,r3,r6 -811298c0: 28c7883a add r3,r5,r3 -811298c4: 1980022e bgeu r3,r6,811298d0 <__divdf3+0x574> -811298c8: 01000074 movhi r4,1 -811298cc: 4913883a add r9,r9,r4 -811298d0: 1808d43a srli r4,r3,16 -811298d4: 1806943a slli r3,r3,16 -811298d8: 10bfffcc andi r2,r2,65535 -811298dc: 2253883a add r9,r4,r9 -811298e0: 1887883a add r3,r3,r2 -811298e4: ba403836 bltu r23,r9,811299c8 <__divdf3+0x66c> -811298e8: ba403626 beq r23,r9,811299c4 <__divdf3+0x668> -811298ec: 42000054 ori r8,r8,1 -811298f0: a880ffc4 addi r2,r21,1023 -811298f4: 00bf570e bge zero,r2,81129654 <__reset+0xfb109654> -811298f8: 40c001cc andi r3,r8,7 -811298fc: 18000726 beq r3,zero,8112991c <__divdf3+0x5c0> -81129900: 40c003cc andi r3,r8,15 -81129904: 01000104 movi r4,4 -81129908: 19000426 beq r3,r4,8112991c <__divdf3+0x5c0> -8112990c: 4107883a add r3,r8,r4 -81129910: 1a11803a cmpltu r8,r3,r8 -81129914: 8a23883a add r17,r17,r8 -81129918: 1811883a mov r8,r3 -8112991c: 88c0402c andhi r3,r17,256 -81129920: 18000426 beq r3,zero,81129934 <__divdf3+0x5d8> -81129924: 00ffc034 movhi r3,65280 -81129928: 18ffffc4 addi r3,r3,-1 -8112992c: a8810004 addi r2,r21,1024 -81129930: 88e2703a and r17,r17,r3 -81129934: 00c1ff84 movi r3,2046 -81129938: 18bee316 blt r3,r2,811294c8 <__reset+0xfb1094c8> -8112993c: 8824977a slli r18,r17,29 -81129940: 4010d0fa srli r8,r8,3 -81129944: 8822927a slli r17,r17,9 -81129948: 1081ffcc andi r2,r2,2047 -8112994c: 9224b03a or r18,r18,r8 -81129950: 880ad33a srli r5,r17,12 -81129954: 98c0004c andi r3,r19,1 -81129958: 003edf06 br 811294d8 <__reset+0xfb1094d8> -8112995c: 8080022c andhi r2,r16,8 -81129960: 10001226 beq r2,zero,811299ac <__divdf3+0x650> -81129964: 8880022c andhi r2,r17,8 -81129968: 1000101e bne r2,zero,811299ac <__divdf3+0x650> -8112996c: 00800434 movhi r2,16 -81129970: 89400234 orhi r5,r17,8 -81129974: 10bfffc4 addi r2,r2,-1 -81129978: b007883a mov r3,r22 -8112997c: 288a703a and r5,r5,r2 -81129980: 4025883a mov r18,r8 -81129984: 003f3106 br 8112964c <__reset+0xfb10964c> -81129988: 008000c4 movi r2,3 -8112998c: 3880a626 beq r7,r2,81129c28 <__divdf3+0x8cc> -81129990: 00800044 movi r2,1 -81129994: 3880521e bne r7,r2,81129ae0 <__divdf3+0x784> -81129998: b807883a mov r3,r23 -8112999c: 0005883a mov r2,zero -811299a0: 000b883a mov r5,zero -811299a4: 0025883a mov r18,zero -811299a8: 003ecb06 br 811294d8 <__reset+0xfb1094d8> +8112920c: 003e5706 br 81128b6c <__reset+0xfb108b6c> +81129210: 4984b03a or r2,r9,r6 +81129214: 10002e26 beq r2,zero,811292d0 <__adddf3+0x7e0> +81129218: 4004d0fa srli r2,r8,3 +8112921c: 8822d0fa srli r17,r17,3 +81129220: 4010977a slli r8,r8,29 +81129224: 10c0022c andhi r3,r2,8 +81129228: 4462b03a or r17,r8,r17 +8112922c: 18000826 beq r3,zero,81129250 <__adddf3+0x760> +81129230: 4808d0fa srli r4,r9,3 +81129234: 20c0022c andhi r3,r4,8 +81129238: 1800051e bne r3,zero,81129250 <__adddf3+0x760> +8112923c: 300cd0fa srli r6,r6,3 +81129240: 4806977a slli r3,r9,29 +81129244: 2005883a mov r2,r4 +81129248: 3825883a mov r18,r7 +8112924c: 19a2b03a or r17,r3,r6 +81129250: 8810d77a srli r8,r17,29 +81129254: 100490fa slli r2,r2,3 +81129258: 882290fa slli r17,r17,3 +8112925c: 0401ffc4 movi r16,2047 +81129260: 4090b03a or r8,r8,r2 +81129264: 003e4106 br 81128b6c <__reset+0xfb108b6c> +81129268: 0141ffc4 movi r5,2047 +8112926c: 117fc71e bne r2,r5,8112918c <__reset+0xfb10918c> +81129270: 4811883a mov r8,r9 +81129274: 3023883a mov r17,r6 +81129278: 1021883a mov r16,r2 +8112927c: 003e3b06 br 81128b6c <__reset+0xfb108b6c> +81129280: 10002f26 beq r2,zero,81129340 <__adddf3+0x850> +81129284: 4984b03a or r2,r9,r6 +81129288: 10001126 beq r2,zero,811292d0 <__adddf3+0x7e0> +8112928c: 4004d0fa srli r2,r8,3 +81129290: 8822d0fa srli r17,r17,3 +81129294: 4010977a slli r8,r8,29 +81129298: 10c0022c andhi r3,r2,8 +8112929c: 4462b03a or r17,r8,r17 +811292a0: 183feb26 beq r3,zero,81129250 <__reset+0xfb109250> +811292a4: 4808d0fa srli r4,r9,3 +811292a8: 20c0022c andhi r3,r4,8 +811292ac: 183fe81e bne r3,zero,81129250 <__reset+0xfb109250> +811292b0: 300cd0fa srli r6,r6,3 +811292b4: 4806977a slli r3,r9,29 +811292b8: 2005883a mov r2,r4 +811292bc: 19a2b03a or r17,r3,r6 +811292c0: 003fe306 br 81129250 <__reset+0xfb109250> +811292c4: 0011883a mov r8,zero +811292c8: 0005883a mov r2,zero +811292cc: 003e3f06 br 81128bcc <__reset+0xfb108bcc> +811292d0: 0401ffc4 movi r16,2047 +811292d4: 003e2506 br 81128b6c <__reset+0xfb108b6c> +811292d8: 0013883a mov r9,zero +811292dc: 003f8406 br 811290f0 <__reset+0xfb1090f0> +811292e0: 0005883a mov r2,zero +811292e4: 0007883a mov r3,zero +811292e8: 003e8906 br 81128d10 <__reset+0xfb108d10> +811292ec: 197ff804 addi r5,r3,-32 +811292f0: 01000804 movi r4,32 +811292f4: 414ad83a srl r5,r8,r5 +811292f8: 19002426 beq r3,r4,8112938c <__adddf3+0x89c> +811292fc: 01001004 movi r4,64 +81129300: 20c7c83a sub r3,r4,r3 +81129304: 40c6983a sll r3,r8,r3 +81129308: 1c46b03a or r3,r3,r17 +8112930c: 1806c03a cmpne r3,r3,zero +81129310: 28e2b03a or r17,r5,r3 +81129314: 0007883a mov r3,zero +81129318: 003f2306 br 81128fa8 <__reset+0xfb108fa8> +8112931c: 0007883a mov r3,zero +81129320: 5811883a mov r8,r11 +81129324: 00bfffc4 movi r2,-1 +81129328: 0401ffc4 movi r16,2047 +8112932c: 003e7806 br 81128d10 <__reset+0xfb108d10> +81129330: 4462b03a or r17,r8,r17 +81129334: 8822c03a cmpne r17,r17,zero +81129338: 0009883a mov r4,zero +8112933c: 003fa006 br 811291c0 <__reset+0xfb1091c0> +81129340: 4811883a mov r8,r9 +81129344: 3023883a mov r17,r6 +81129348: 0401ffc4 movi r16,2047 +8112934c: 003e0706 br 81128b6c <__reset+0xfb108b6c> +81129350: 4811883a mov r8,r9 +81129354: 3023883a mov r17,r6 +81129358: 003e0406 br 81128b6c <__reset+0xfb108b6c> +8112935c: 21fff804 addi r7,r4,-32 +81129360: 01400804 movi r5,32 +81129364: 41ced83a srl r7,r8,r7 +81129368: 21400a26 beq r4,r5,81129394 <__adddf3+0x8a4> +8112936c: 01401004 movi r5,64 +81129370: 2909c83a sub r4,r5,r4 +81129374: 4108983a sll r4,r8,r4 +81129378: 2448b03a or r4,r4,r17 +8112937c: 2008c03a cmpne r4,r4,zero +81129380: 3922b03a or r17,r7,r4 +81129384: 0009883a mov r4,zero +81129388: 003f8d06 br 811291c0 <__reset+0xfb1091c0> +8112938c: 0007883a mov r3,zero +81129390: 003fdd06 br 81129308 <__reset+0xfb109308> +81129394: 0009883a mov r4,zero +81129398: 003ff706 br 81129378 <__reset+0xfb109378> + +8112939c <__divdf3>: +8112939c: defff204 addi sp,sp,-56 +811293a0: dd400915 stw r21,36(sp) +811293a4: 282ad53a srli r21,r5,20 +811293a8: dd000815 stw r20,32(sp) +811293ac: 2828d7fa srli r20,r5,31 +811293b0: dc000415 stw r16,16(sp) +811293b4: 04000434 movhi r16,16 +811293b8: df000c15 stw fp,48(sp) +811293bc: 843fffc4 addi r16,r16,-1 +811293c0: dfc00d15 stw ra,52(sp) +811293c4: ddc00b15 stw r23,44(sp) +811293c8: dd800a15 stw r22,40(sp) +811293cc: dcc00715 stw r19,28(sp) +811293d0: dc800615 stw r18,24(sp) +811293d4: dc400515 stw r17,20(sp) +811293d8: ad41ffcc andi r21,r21,2047 +811293dc: 2c20703a and r16,r5,r16 +811293e0: a7003fcc andi fp,r20,255 +811293e4: a8006126 beq r21,zero,8112956c <__divdf3+0x1d0> +811293e8: 0081ffc4 movi r2,2047 +811293ec: 2025883a mov r18,r4 +811293f0: a8803726 beq r21,r2,811294d0 <__divdf3+0x134> +811293f4: 80800434 orhi r2,r16,16 +811293f8: 100490fa slli r2,r2,3 +811293fc: 2020d77a srli r16,r4,29 +81129400: 202490fa slli r18,r4,3 +81129404: ad7f0044 addi r21,r21,-1023 +81129408: 80a0b03a or r16,r16,r2 +8112940c: 0027883a mov r19,zero +81129410: 0013883a mov r9,zero +81129414: 3804d53a srli r2,r7,20 +81129418: 382cd7fa srli r22,r7,31 +8112941c: 04400434 movhi r17,16 +81129420: 8c7fffc4 addi r17,r17,-1 +81129424: 1081ffcc andi r2,r2,2047 +81129428: 3011883a mov r8,r6 +8112942c: 3c62703a and r17,r7,r17 +81129430: b5c03fcc andi r23,r22,255 +81129434: 10006c26 beq r2,zero,811295e8 <__divdf3+0x24c> +81129438: 00c1ffc4 movi r3,2047 +8112943c: 10c06426 beq r2,r3,811295d0 <__divdf3+0x234> +81129440: 88c00434 orhi r3,r17,16 +81129444: 180690fa slli r3,r3,3 +81129448: 3022d77a srli r17,r6,29 +8112944c: 301090fa slli r8,r6,3 +81129450: 10bf0044 addi r2,r2,-1023 +81129454: 88e2b03a or r17,r17,r3 +81129458: 000f883a mov r7,zero +8112945c: a58cf03a xor r6,r20,r22 +81129460: 3cc8b03a or r4,r7,r19 +81129464: a8abc83a sub r21,r21,r2 +81129468: 008003c4 movi r2,15 +8112946c: 3007883a mov r3,r6 +81129470: 34c03fcc andi r19,r6,255 +81129474: 11009036 bltu r2,r4,811296b8 <__divdf3+0x31c> +81129478: 200890ba slli r4,r4,2 +8112947c: 00a044f4 movhi r2,33043 +81129480: 10a52404 addi r2,r2,-27504 +81129484: 2089883a add r4,r4,r2 +81129488: 20800017 ldw r2,0(r4) +8112948c: 1000683a jmp r2 +81129490: 811296b8 rdprs r4,r16,19034 +81129494: 81129508 cmpgei r4,r16,19028 +81129498: 811296a8 cmpgeui r4,r16,19034 +8112949c: 811294fc xorhi r4,r16,19027 +811294a0: 811296a8 cmpgeui r4,r16,19034 +811294a4: 8112967c xorhi r4,r16,19033 +811294a8: 811296a8 cmpgeui r4,r16,19034 +811294ac: 811294fc xorhi r4,r16,19027 +811294b0: 81129508 cmpgei r4,r16,19028 +811294b4: 81129508 cmpgei r4,r16,19028 +811294b8: 8112967c xorhi r4,r16,19033 +811294bc: 811294fc xorhi r4,r16,19027 +811294c0: 811294ec andhi r4,r16,19027 +811294c4: 811294ec andhi r4,r16,19027 +811294c8: 811294ec andhi r4,r16,19027 +811294cc: 8112999c xori r4,r16,19046 +811294d0: 2404b03a or r2,r4,r16 +811294d4: 1000661e bne r2,zero,81129670 <__divdf3+0x2d4> +811294d8: 04c00204 movi r19,8 +811294dc: 0021883a mov r16,zero +811294e0: 0025883a mov r18,zero +811294e4: 02400084 movi r9,2 +811294e8: 003fca06 br 81129414 <__reset+0xfb109414> +811294ec: 8023883a mov r17,r16 +811294f0: 9011883a mov r8,r18 +811294f4: e02f883a mov r23,fp +811294f8: 480f883a mov r7,r9 +811294fc: 00800084 movi r2,2 +81129500: 3881311e bne r7,r2,811299c8 <__divdf3+0x62c> +81129504: b827883a mov r19,r23 +81129508: 98c0004c andi r3,r19,1 +8112950c: 0081ffc4 movi r2,2047 +81129510: 000b883a mov r5,zero +81129514: 0025883a mov r18,zero +81129518: 1004953a slli r2,r2,20 +8112951c: 18c03fcc andi r3,r3,255 +81129520: 04400434 movhi r17,16 +81129524: 8c7fffc4 addi r17,r17,-1 +81129528: 180697fa slli r3,r3,31 +8112952c: 2c4a703a and r5,r5,r17 +81129530: 288ab03a or r5,r5,r2 +81129534: 28c6b03a or r3,r5,r3 +81129538: 9005883a mov r2,r18 +8112953c: dfc00d17 ldw ra,52(sp) +81129540: df000c17 ldw fp,48(sp) +81129544: ddc00b17 ldw r23,44(sp) +81129548: dd800a17 ldw r22,40(sp) +8112954c: dd400917 ldw r21,36(sp) +81129550: dd000817 ldw r20,32(sp) +81129554: dcc00717 ldw r19,28(sp) +81129558: dc800617 ldw r18,24(sp) +8112955c: dc400517 ldw r17,20(sp) +81129560: dc000417 ldw r16,16(sp) +81129564: dec00e04 addi sp,sp,56 +81129568: f800283a ret +8112956c: 2404b03a or r2,r4,r16 +81129570: 2027883a mov r19,r4 +81129574: 10003926 beq r2,zero,8112965c <__divdf3+0x2c0> +81129578: 80012e26 beq r16,zero,81129a34 <__divdf3+0x698> +8112957c: 8009883a mov r4,r16 +81129580: d9800315 stw r6,12(sp) +81129584: d9c00215 stw r7,8(sp) +81129588: 112b2300 call 8112b230 <__clzsi2> +8112958c: d9800317 ldw r6,12(sp) +81129590: d9c00217 ldw r7,8(sp) +81129594: 113ffd44 addi r4,r2,-11 +81129598: 00c00704 movi r3,28 +8112959c: 19012116 blt r3,r4,81129a24 <__divdf3+0x688> +811295a0: 00c00744 movi r3,29 +811295a4: 147ffe04 addi r17,r2,-8 +811295a8: 1907c83a sub r3,r3,r4 +811295ac: 8460983a sll r16,r16,r17 +811295b0: 98c6d83a srl r3,r19,r3 +811295b4: 9c64983a sll r18,r19,r17 +811295b8: 1c20b03a or r16,r3,r16 +811295bc: 1080fcc4 addi r2,r2,1011 +811295c0: 00abc83a sub r21,zero,r2 +811295c4: 0027883a mov r19,zero +811295c8: 0013883a mov r9,zero +811295cc: 003f9106 br 81129414 <__reset+0xfb109414> +811295d0: 3446b03a or r3,r6,r17 +811295d4: 18001f1e bne r3,zero,81129654 <__divdf3+0x2b8> +811295d8: 0023883a mov r17,zero +811295dc: 0011883a mov r8,zero +811295e0: 01c00084 movi r7,2 +811295e4: 003f9d06 br 8112945c <__reset+0xfb10945c> +811295e8: 3446b03a or r3,r6,r17 +811295ec: 18001526 beq r3,zero,81129644 <__divdf3+0x2a8> +811295f0: 88011b26 beq r17,zero,81129a60 <__divdf3+0x6c4> +811295f4: 8809883a mov r4,r17 +811295f8: d9800315 stw r6,12(sp) +811295fc: da400115 stw r9,4(sp) +81129600: 112b2300 call 8112b230 <__clzsi2> +81129604: d9800317 ldw r6,12(sp) +81129608: da400117 ldw r9,4(sp) +8112960c: 113ffd44 addi r4,r2,-11 +81129610: 00c00704 movi r3,28 +81129614: 19010e16 blt r3,r4,81129a50 <__divdf3+0x6b4> +81129618: 00c00744 movi r3,29 +8112961c: 123ffe04 addi r8,r2,-8 +81129620: 1907c83a sub r3,r3,r4 +81129624: 8a22983a sll r17,r17,r8 +81129628: 30c6d83a srl r3,r6,r3 +8112962c: 3210983a sll r8,r6,r8 +81129630: 1c62b03a or r17,r3,r17 +81129634: 1080fcc4 addi r2,r2,1011 +81129638: 0085c83a sub r2,zero,r2 +8112963c: 000f883a mov r7,zero +81129640: 003f8606 br 8112945c <__reset+0xfb10945c> +81129644: 0023883a mov r17,zero +81129648: 0011883a mov r8,zero +8112964c: 01c00044 movi r7,1 +81129650: 003f8206 br 8112945c <__reset+0xfb10945c> +81129654: 01c000c4 movi r7,3 +81129658: 003f8006 br 8112945c <__reset+0xfb10945c> +8112965c: 04c00104 movi r19,4 +81129660: 0021883a mov r16,zero +81129664: 0025883a mov r18,zero +81129668: 02400044 movi r9,1 +8112966c: 003f6906 br 81129414 <__reset+0xfb109414> +81129670: 04c00304 movi r19,12 +81129674: 024000c4 movi r9,3 +81129678: 003f6606 br 81129414 <__reset+0xfb109414> +8112967c: 01400434 movhi r5,16 +81129680: 0007883a mov r3,zero +81129684: 297fffc4 addi r5,r5,-1 +81129688: 04bfffc4 movi r18,-1 +8112968c: 0081ffc4 movi r2,2047 +81129690: 003fa106 br 81129518 <__reset+0xfb109518> +81129694: 00c00044 movi r3,1 +81129698: 1887c83a sub r3,r3,r2 +8112969c: 01000e04 movi r4,56 +811296a0: 20c1210e bge r4,r3,81129b28 <__divdf3+0x78c> +811296a4: 98c0004c andi r3,r19,1 +811296a8: 0005883a mov r2,zero +811296ac: 000b883a mov r5,zero +811296b0: 0025883a mov r18,zero +811296b4: 003f9806 br 81129518 <__reset+0xfb109518> +811296b8: 8c00fd36 bltu r17,r16,81129ab0 <__divdf3+0x714> +811296bc: 8440fb26 beq r16,r17,81129aac <__divdf3+0x710> +811296c0: 8007883a mov r3,r16 +811296c4: ad7fffc4 addi r21,r21,-1 +811296c8: 0021883a mov r16,zero +811296cc: 4004d63a srli r2,r8,24 +811296d0: 8822923a slli r17,r17,8 +811296d4: 1809883a mov r4,r3 +811296d8: 402c923a slli r22,r8,8 +811296dc: 88b8b03a or fp,r17,r2 +811296e0: e028d43a srli r20,fp,16 +811296e4: d8c00015 stw r3,0(sp) +811296e8: e5ffffcc andi r23,fp,65535 +811296ec: a00b883a mov r5,r20 +811296f0: 112b38c0 call 8112b38c <__udivsi3> +811296f4: d8c00017 ldw r3,0(sp) +811296f8: a00b883a mov r5,r20 +811296fc: d8800315 stw r2,12(sp) +81129700: 1809883a mov r4,r3 +81129704: 112b3f00 call 8112b3f0 <__umodsi3> +81129708: d9800317 ldw r6,12(sp) +8112970c: 1006943a slli r3,r2,16 +81129710: 9004d43a srli r2,r18,16 +81129714: b9a3383a mul r17,r23,r6 +81129718: 10c4b03a or r2,r2,r3 +8112971c: 1440062e bgeu r2,r17,81129738 <__divdf3+0x39c> +81129720: 1705883a add r2,r2,fp +81129724: 30ffffc4 addi r3,r6,-1 +81129728: 1700ee36 bltu r2,fp,81129ae4 <__divdf3+0x748> +8112972c: 1440ed2e bgeu r2,r17,81129ae4 <__divdf3+0x748> +81129730: 31bfff84 addi r6,r6,-2 +81129734: 1705883a add r2,r2,fp +81129738: 1463c83a sub r17,r2,r17 +8112973c: a00b883a mov r5,r20 +81129740: 8809883a mov r4,r17 +81129744: d9800315 stw r6,12(sp) +81129748: 112b38c0 call 8112b38c <__udivsi3> +8112974c: a00b883a mov r5,r20 +81129750: 8809883a mov r4,r17 +81129754: d8800215 stw r2,8(sp) +81129758: 112b3f00 call 8112b3f0 <__umodsi3> +8112975c: d9c00217 ldw r7,8(sp) +81129760: 1004943a slli r2,r2,16 +81129764: 94bfffcc andi r18,r18,65535 +81129768: b9d1383a mul r8,r23,r7 +8112976c: 90a4b03a or r18,r18,r2 +81129770: d9800317 ldw r6,12(sp) +81129774: 9200062e bgeu r18,r8,81129790 <__divdf3+0x3f4> +81129778: 9725883a add r18,r18,fp +8112977c: 38bfffc4 addi r2,r7,-1 +81129780: 9700d636 bltu r18,fp,81129adc <__divdf3+0x740> +81129784: 9200d52e bgeu r18,r8,81129adc <__divdf3+0x740> +81129788: 39ffff84 addi r7,r7,-2 +8112978c: 9725883a add r18,r18,fp +81129790: 3004943a slli r2,r6,16 +81129794: b012d43a srli r9,r22,16 +81129798: b1bfffcc andi r6,r22,65535 +8112979c: 11e2b03a or r17,r2,r7 +811297a0: 8806d43a srli r3,r17,16 +811297a4: 893fffcc andi r4,r17,65535 +811297a8: 218b383a mul r5,r4,r6 +811297ac: 30c5383a mul r2,r6,r3 +811297b0: 2249383a mul r4,r4,r9 +811297b4: 280ed43a srli r7,r5,16 +811297b8: 9225c83a sub r18,r18,r8 +811297bc: 2089883a add r4,r4,r2 +811297c0: 3909883a add r4,r7,r4 +811297c4: 1a47383a mul r3,r3,r9 +811297c8: 2080022e bgeu r4,r2,811297d4 <__divdf3+0x438> +811297cc: 00800074 movhi r2,1 +811297d0: 1887883a add r3,r3,r2 +811297d4: 2004d43a srli r2,r4,16 +811297d8: 2008943a slli r4,r4,16 +811297dc: 297fffcc andi r5,r5,65535 +811297e0: 10c7883a add r3,r2,r3 +811297e4: 2149883a add r4,r4,r5 +811297e8: 90c0a536 bltu r18,r3,81129a80 <__divdf3+0x6e4> +811297ec: 90c0bf26 beq r18,r3,81129aec <__divdf3+0x750> +811297f0: 90c7c83a sub r3,r18,r3 +811297f4: 810fc83a sub r7,r16,r4 +811297f8: 81e5803a cmpltu r18,r16,r7 +811297fc: 1ca5c83a sub r18,r3,r18 +81129800: e480c126 beq fp,r18,81129b08 <__divdf3+0x76c> +81129804: a00b883a mov r5,r20 +81129808: 9009883a mov r4,r18 +8112980c: d9800315 stw r6,12(sp) +81129810: d9c00215 stw r7,8(sp) +81129814: da400115 stw r9,4(sp) +81129818: 112b38c0 call 8112b38c <__udivsi3> +8112981c: a00b883a mov r5,r20 +81129820: 9009883a mov r4,r18 +81129824: d8800015 stw r2,0(sp) +81129828: 112b3f00 call 8112b3f0 <__umodsi3> +8112982c: d9c00217 ldw r7,8(sp) +81129830: da000017 ldw r8,0(sp) +81129834: 1006943a slli r3,r2,16 +81129838: 3804d43a srli r2,r7,16 +8112983c: ba21383a mul r16,r23,r8 +81129840: d9800317 ldw r6,12(sp) +81129844: 10c4b03a or r2,r2,r3 +81129848: da400117 ldw r9,4(sp) +8112984c: 1400062e bgeu r2,r16,81129868 <__divdf3+0x4cc> +81129850: 1705883a add r2,r2,fp +81129854: 40ffffc4 addi r3,r8,-1 +81129858: 1700ad36 bltu r2,fp,81129b10 <__divdf3+0x774> +8112985c: 1400ac2e bgeu r2,r16,81129b10 <__divdf3+0x774> +81129860: 423fff84 addi r8,r8,-2 +81129864: 1705883a add r2,r2,fp +81129868: 1421c83a sub r16,r2,r16 +8112986c: a00b883a mov r5,r20 +81129870: 8009883a mov r4,r16 +81129874: d9800315 stw r6,12(sp) +81129878: d9c00215 stw r7,8(sp) +8112987c: da000015 stw r8,0(sp) +81129880: da400115 stw r9,4(sp) +81129884: 112b38c0 call 8112b38c <__udivsi3> +81129888: 8009883a mov r4,r16 +8112988c: a00b883a mov r5,r20 +81129890: 1025883a mov r18,r2 +81129894: 112b3f00 call 8112b3f0 <__umodsi3> +81129898: d9c00217 ldw r7,8(sp) +8112989c: 1004943a slli r2,r2,16 +811298a0: bcaf383a mul r23,r23,r18 +811298a4: 393fffcc andi r4,r7,65535 +811298a8: 2088b03a or r4,r4,r2 +811298ac: d9800317 ldw r6,12(sp) +811298b0: da000017 ldw r8,0(sp) +811298b4: da400117 ldw r9,4(sp) +811298b8: 25c0062e bgeu r4,r23,811298d4 <__divdf3+0x538> +811298bc: 2709883a add r4,r4,fp +811298c0: 90bfffc4 addi r2,r18,-1 +811298c4: 27009436 bltu r4,fp,81129b18 <__divdf3+0x77c> +811298c8: 25c0932e bgeu r4,r23,81129b18 <__divdf3+0x77c> +811298cc: 94bfff84 addi r18,r18,-2 +811298d0: 2709883a add r4,r4,fp +811298d4: 4004943a slli r2,r8,16 +811298d8: 25efc83a sub r23,r4,r23 +811298dc: 1490b03a or r8,r2,r18 +811298e0: 4008d43a srli r4,r8,16 +811298e4: 40ffffcc andi r3,r8,65535 +811298e8: 30c5383a mul r2,r6,r3 +811298ec: 1a47383a mul r3,r3,r9 +811298f0: 310d383a mul r6,r6,r4 +811298f4: 100ad43a srli r5,r2,16 +811298f8: 4913383a mul r9,r9,r4 +811298fc: 1987883a add r3,r3,r6 +81129900: 28c7883a add r3,r5,r3 +81129904: 1980022e bgeu r3,r6,81129910 <__divdf3+0x574> +81129908: 01000074 movhi r4,1 +8112990c: 4913883a add r9,r9,r4 +81129910: 1808d43a srli r4,r3,16 +81129914: 1806943a slli r3,r3,16 +81129918: 10bfffcc andi r2,r2,65535 +8112991c: 2253883a add r9,r4,r9 +81129920: 1887883a add r3,r3,r2 +81129924: ba403836 bltu r23,r9,81129a08 <__divdf3+0x66c> +81129928: ba403626 beq r23,r9,81129a04 <__divdf3+0x668> +8112992c: 42000054 ori r8,r8,1 +81129930: a880ffc4 addi r2,r21,1023 +81129934: 00bf570e bge zero,r2,81129694 <__reset+0xfb109694> +81129938: 40c001cc andi r3,r8,7 +8112993c: 18000726 beq r3,zero,8112995c <__divdf3+0x5c0> +81129940: 40c003cc andi r3,r8,15 +81129944: 01000104 movi r4,4 +81129948: 19000426 beq r3,r4,8112995c <__divdf3+0x5c0> +8112994c: 4107883a add r3,r8,r4 +81129950: 1a11803a cmpltu r8,r3,r8 +81129954: 8a23883a add r17,r17,r8 +81129958: 1811883a mov r8,r3 +8112995c: 88c0402c andhi r3,r17,256 +81129960: 18000426 beq r3,zero,81129974 <__divdf3+0x5d8> +81129964: 00ffc034 movhi r3,65280 +81129968: 18ffffc4 addi r3,r3,-1 +8112996c: a8810004 addi r2,r21,1024 +81129970: 88e2703a and r17,r17,r3 +81129974: 00c1ff84 movi r3,2046 +81129978: 18bee316 blt r3,r2,81129508 <__reset+0xfb109508> +8112997c: 8824977a slli r18,r17,29 +81129980: 4010d0fa srli r8,r8,3 +81129984: 8822927a slli r17,r17,9 +81129988: 1081ffcc andi r2,r2,2047 +8112998c: 9224b03a or r18,r18,r8 +81129990: 880ad33a srli r5,r17,12 +81129994: 98c0004c andi r3,r19,1 +81129998: 003edf06 br 81129518 <__reset+0xfb109518> +8112999c: 8080022c andhi r2,r16,8 +811299a0: 10001226 beq r2,zero,811299ec <__divdf3+0x650> +811299a4: 8880022c andhi r2,r17,8 +811299a8: 1000101e bne r2,zero,811299ec <__divdf3+0x650> 811299ac: 00800434 movhi r2,16 -811299b0: 81400234 orhi r5,r16,8 +811299b0: 89400234 orhi r5,r17,8 811299b4: 10bfffc4 addi r2,r2,-1 -811299b8: a007883a mov r3,r20 +811299b8: b007883a mov r3,r22 811299bc: 288a703a and r5,r5,r2 -811299c0: 003f2206 br 8112964c <__reset+0xfb10964c> -811299c4: 183fca26 beq r3,zero,811298f0 <__reset+0xfb1098f0> -811299c8: e5ef883a add r23,fp,r23 -811299cc: 40bfffc4 addi r2,r8,-1 -811299d0: bf00392e bgeu r23,fp,81129ab8 <__divdf3+0x75c> -811299d4: 1011883a mov r8,r2 -811299d8: ba7fc41e bne r23,r9,811298ec <__reset+0xfb1098ec> -811299dc: b0ffc31e bne r22,r3,811298ec <__reset+0xfb1098ec> -811299e0: 003fc306 br 811298f0 <__reset+0xfb1098f0> -811299e4: 143ff604 addi r16,r2,-40 -811299e8: 9c20983a sll r16,r19,r16 -811299ec: 0025883a mov r18,zero -811299f0: 003ee206 br 8112957c <__reset+0xfb10957c> -811299f4: d9800315 stw r6,12(sp) -811299f8: d9c00215 stw r7,8(sp) -811299fc: 112b1f00 call 8112b1f0 <__clzsi2> -81129a00: 10800804 addi r2,r2,32 -81129a04: d9c00217 ldw r7,8(sp) -81129a08: d9800317 ldw r6,12(sp) -81129a0c: 003ed106 br 81129554 <__reset+0xfb109554> -81129a10: 147ff604 addi r17,r2,-40 -81129a14: 3462983a sll r17,r6,r17 -81129a18: 0011883a mov r8,zero -81129a1c: 003ef506 br 811295f4 <__reset+0xfb1095f4> -81129a20: 3009883a mov r4,r6 -81129a24: d9800315 stw r6,12(sp) -81129a28: da400115 stw r9,4(sp) -81129a2c: 112b1f00 call 8112b1f0 <__clzsi2> -81129a30: 10800804 addi r2,r2,32 -81129a34: da400117 ldw r9,4(sp) -81129a38: d9800317 ldw r6,12(sp) -81129a3c: 003ee306 br 811295cc <__reset+0xfb1095cc> -81129a40: 85a1883a add r16,r16,r22 -81129a44: 8585803a cmpltu r2,r16,r22 -81129a48: 1705883a add r2,r2,fp -81129a4c: 14a5883a add r18,r2,r18 -81129a50: 88bfffc4 addi r2,r17,-1 -81129a54: e4800c2e bgeu fp,r18,81129a88 <__divdf3+0x72c> -81129a58: 90c03e36 bltu r18,r3,81129b54 <__divdf3+0x7f8> -81129a5c: 1c806926 beq r3,r18,81129c04 <__divdf3+0x8a8> -81129a60: 90c7c83a sub r3,r18,r3 -81129a64: 1023883a mov r17,r2 -81129a68: 003f5206 br 811297b4 <__reset+0xfb1097b4> -81129a6c: 923f0436 bltu r18,r8,81129680 <__reset+0xfb109680> -81129a70: 800897fa slli r4,r16,31 -81129a74: 9004d07a srli r2,r18,1 -81129a78: 8006d07a srli r3,r16,1 -81129a7c: 902097fa slli r16,r18,31 -81129a80: 20a4b03a or r18,r4,r2 -81129a84: 003f0106 br 8112968c <__reset+0xfb10968c> -81129a88: e4bff51e bne fp,r18,81129a60 <__reset+0xfb109a60> -81129a8c: 85bff22e bgeu r16,r22,81129a58 <__reset+0xfb109a58> -81129a90: e0c7c83a sub r3,fp,r3 -81129a94: 1023883a mov r17,r2 -81129a98: 003f4606 br 811297b4 <__reset+0xfb1097b4> -81129a9c: 100f883a mov r7,r2 -81129aa0: 003f2b06 br 81129750 <__reset+0xfb109750> -81129aa4: 180d883a mov r6,r3 -81129aa8: 003f1306 br 811296f8 <__reset+0xfb1096f8> -81129aac: 813fe436 bltu r16,r4,81129a40 <__reset+0xfb109a40> -81129ab0: 0007883a mov r3,zero -81129ab4: 003f3f06 br 811297b4 <__reset+0xfb1097b4> -81129ab8: ba402c36 bltu r23,r9,81129b6c <__divdf3+0x810> -81129abc: 4dc05426 beq r9,r23,81129c10 <__divdf3+0x8b4> -81129ac0: 1011883a mov r8,r2 -81129ac4: 003f8906 br 811298ec <__reset+0xfb1098ec> -81129ac8: 023fffc4 movi r8,-1 -81129acc: 003f8806 br 811298f0 <__reset+0xfb1098f0> -81129ad0: 1811883a mov r8,r3 -81129ad4: 003f5406 br 81129828 <__reset+0xfb109828> -81129ad8: 1025883a mov r18,r2 -81129adc: 003f6d06 br 81129894 <__reset+0xfb109894> -81129ae0: b827883a mov r19,r23 -81129ae4: 003f8206 br 811298f0 <__reset+0xfb1098f0> -81129ae8: 010007c4 movi r4,31 -81129aec: 20c02616 blt r4,r3,81129b88 <__divdf3+0x82c> -81129af0: 00800804 movi r2,32 -81129af4: 10c5c83a sub r2,r2,r3 -81129af8: 888a983a sll r5,r17,r2 -81129afc: 40c8d83a srl r4,r8,r3 -81129b00: 4084983a sll r2,r8,r2 -81129b04: 88e2d83a srl r17,r17,r3 -81129b08: 2906b03a or r3,r5,r4 -81129b0c: 1004c03a cmpne r2,r2,zero -81129b10: 1886b03a or r3,r3,r2 -81129b14: 188001cc andi r2,r3,7 -81129b18: 10000726 beq r2,zero,81129b38 <__divdf3+0x7dc> -81129b1c: 188003cc andi r2,r3,15 -81129b20: 01000104 movi r4,4 -81129b24: 11000426 beq r2,r4,81129b38 <__divdf3+0x7dc> -81129b28: 1805883a mov r2,r3 -81129b2c: 10c00104 addi r3,r2,4 -81129b30: 1885803a cmpltu r2,r3,r2 -81129b34: 88a3883a add r17,r17,r2 -81129b38: 8880202c andhi r2,r17,128 -81129b3c: 10002726 beq r2,zero,81129bdc <__divdf3+0x880> -81129b40: 98c0004c andi r3,r19,1 -81129b44: 00800044 movi r2,1 -81129b48: 000b883a mov r5,zero -81129b4c: 0025883a mov r18,zero -81129b50: 003e6106 br 811294d8 <__reset+0xfb1094d8> -81129b54: 85a1883a add r16,r16,r22 -81129b58: 8585803a cmpltu r2,r16,r22 -81129b5c: 1705883a add r2,r2,fp -81129b60: 14a5883a add r18,r2,r18 -81129b64: 8c7fff84 addi r17,r17,-2 -81129b68: 003f1106 br 811297b0 <__reset+0xfb1097b0> -81129b6c: b589883a add r4,r22,r22 -81129b70: 25ad803a cmpltu r22,r4,r22 -81129b74: b739883a add fp,r22,fp -81129b78: 40bfff84 addi r2,r8,-2 -81129b7c: bf2f883a add r23,r23,fp -81129b80: 202d883a mov r22,r4 -81129b84: 003f9306 br 811299d4 <__reset+0xfb1099d4> -81129b88: 013ff844 movi r4,-31 -81129b8c: 2085c83a sub r2,r4,r2 -81129b90: 8888d83a srl r4,r17,r2 -81129b94: 00800804 movi r2,32 -81129b98: 18802126 beq r3,r2,81129c20 <__divdf3+0x8c4> -81129b9c: 00801004 movi r2,64 -81129ba0: 10c5c83a sub r2,r2,r3 -81129ba4: 8884983a sll r2,r17,r2 -81129ba8: 1204b03a or r2,r2,r8 -81129bac: 1004c03a cmpne r2,r2,zero -81129bb0: 2084b03a or r2,r4,r2 -81129bb4: 144001cc andi r17,r2,7 -81129bb8: 88000d1e bne r17,zero,81129bf0 <__divdf3+0x894> -81129bbc: 000b883a mov r5,zero -81129bc0: 1024d0fa srli r18,r2,3 -81129bc4: 98c0004c andi r3,r19,1 -81129bc8: 0005883a mov r2,zero -81129bcc: 9464b03a or r18,r18,r17 -81129bd0: 003e4106 br 811294d8 <__reset+0xfb1094d8> -81129bd4: 1007883a mov r3,r2 -81129bd8: 0023883a mov r17,zero -81129bdc: 880a927a slli r5,r17,9 -81129be0: 1805883a mov r2,r3 -81129be4: 8822977a slli r17,r17,29 -81129be8: 280ad33a srli r5,r5,12 -81129bec: 003ff406 br 81129bc0 <__reset+0xfb109bc0> -81129bf0: 10c003cc andi r3,r2,15 -81129bf4: 01000104 movi r4,4 -81129bf8: 193ff626 beq r3,r4,81129bd4 <__reset+0xfb109bd4> -81129bfc: 0023883a mov r17,zero -81129c00: 003fca06 br 81129b2c <__reset+0xfb109b2c> -81129c04: 813fd336 bltu r16,r4,81129b54 <__reset+0xfb109b54> -81129c08: 1023883a mov r17,r2 -81129c0c: 003fa806 br 81129ab0 <__reset+0xfb109ab0> -81129c10: b0ffd636 bltu r22,r3,81129b6c <__reset+0xfb109b6c> -81129c14: 1011883a mov r8,r2 -81129c18: b0ff341e bne r22,r3,811298ec <__reset+0xfb1098ec> -81129c1c: 003f3406 br 811298f0 <__reset+0xfb1098f0> -81129c20: 0005883a mov r2,zero -81129c24: 003fe006 br 81129ba8 <__reset+0xfb109ba8> -81129c28: 00800434 movhi r2,16 -81129c2c: 89400234 orhi r5,r17,8 -81129c30: 10bfffc4 addi r2,r2,-1 -81129c34: b807883a mov r3,r23 -81129c38: 288a703a and r5,r5,r2 -81129c3c: 4025883a mov r18,r8 -81129c40: 003e8206 br 8112964c <__reset+0xfb10964c> - -81129c44 <__gedf2>: -81129c44: 2804d53a srli r2,r5,20 -81129c48: 3806d53a srli r3,r7,20 -81129c4c: 02000434 movhi r8,16 -81129c50: 423fffc4 addi r8,r8,-1 -81129c54: 1081ffcc andi r2,r2,2047 -81129c58: 0241ffc4 movi r9,2047 -81129c5c: 2a14703a and r10,r5,r8 -81129c60: 18c1ffcc andi r3,r3,2047 -81129c64: 3a10703a and r8,r7,r8 -81129c68: 280ad7fa srli r5,r5,31 -81129c6c: 380ed7fa srli r7,r7,31 -81129c70: 12401d26 beq r2,r9,81129ce8 <__gedf2+0xa4> -81129c74: 0241ffc4 movi r9,2047 -81129c78: 1a401226 beq r3,r9,81129cc4 <__gedf2+0x80> -81129c7c: 1000081e bne r2,zero,81129ca0 <__gedf2+0x5c> -81129c80: 2296b03a or r11,r4,r10 -81129c84: 5813003a cmpeq r9,r11,zero -81129c88: 1800091e bne r3,zero,81129cb0 <__gedf2+0x6c> -81129c8c: 3218b03a or r12,r6,r8 -81129c90: 6000071e bne r12,zero,81129cb0 <__gedf2+0x6c> -81129c94: 0005883a mov r2,zero -81129c98: 5800101e bne r11,zero,81129cdc <__gedf2+0x98> -81129c9c: f800283a ret -81129ca0: 18000c1e bne r3,zero,81129cd4 <__gedf2+0x90> -81129ca4: 3212b03a or r9,r6,r8 -81129ca8: 48000c26 beq r9,zero,81129cdc <__gedf2+0x98> -81129cac: 0013883a mov r9,zero -81129cb0: 39c03fcc andi r7,r7,255 -81129cb4: 48000826 beq r9,zero,81129cd8 <__gedf2+0x94> -81129cb8: 38000926 beq r7,zero,81129ce0 <__gedf2+0x9c> -81129cbc: 00800044 movi r2,1 -81129cc0: f800283a ret -81129cc4: 3212b03a or r9,r6,r8 -81129cc8: 483fec26 beq r9,zero,81129c7c <__reset+0xfb109c7c> -81129ccc: 00bfff84 movi r2,-2 -81129cd0: f800283a ret -81129cd4: 39c03fcc andi r7,r7,255 -81129cd8: 29c00626 beq r5,r7,81129cf4 <__gedf2+0xb0> -81129cdc: 283ff726 beq r5,zero,81129cbc <__reset+0xfb109cbc> -81129ce0: 00bfffc4 movi r2,-1 -81129ce4: f800283a ret -81129ce8: 2292b03a or r9,r4,r10 -81129cec: 483fe126 beq r9,zero,81129c74 <__reset+0xfb109c74> -81129cf0: 003ff606 br 81129ccc <__reset+0xfb109ccc> -81129cf4: 18bff916 blt r3,r2,81129cdc <__reset+0xfb109cdc> -81129cf8: 10c00316 blt r2,r3,81129d08 <__gedf2+0xc4> -81129cfc: 42bff736 bltu r8,r10,81129cdc <__reset+0xfb109cdc> -81129d00: 52000326 beq r10,r8,81129d10 <__gedf2+0xcc> -81129d04: 5200042e bgeu r10,r8,81129d18 <__gedf2+0xd4> -81129d08: 283fec1e bne r5,zero,81129cbc <__reset+0xfb109cbc> -81129d0c: 003ff406 br 81129ce0 <__reset+0xfb109ce0> -81129d10: 313ff236 bltu r6,r4,81129cdc <__reset+0xfb109cdc> -81129d14: 21bffc36 bltu r4,r6,81129d08 <__reset+0xfb109d08> -81129d18: 0005883a mov r2,zero -81129d1c: f800283a ret - -81129d20 <__muldf3>: -81129d20: defff304 addi sp,sp,-52 -81129d24: 2804d53a srli r2,r5,20 -81129d28: dd800915 stw r22,36(sp) -81129d2c: 282cd7fa srli r22,r5,31 -81129d30: dc000315 stw r16,12(sp) -81129d34: 04000434 movhi r16,16 -81129d38: dd400815 stw r21,32(sp) -81129d3c: dc800515 stw r18,20(sp) -81129d40: 843fffc4 addi r16,r16,-1 -81129d44: dfc00c15 stw ra,48(sp) -81129d48: df000b15 stw fp,44(sp) -81129d4c: ddc00a15 stw r23,40(sp) -81129d50: dd000715 stw r20,28(sp) -81129d54: dcc00615 stw r19,24(sp) -81129d58: dc400415 stw r17,16(sp) -81129d5c: 1481ffcc andi r18,r2,2047 -81129d60: 2c20703a and r16,r5,r16 -81129d64: b02b883a mov r21,r22 -81129d68: b2403fcc andi r9,r22,255 -81129d6c: 90006026 beq r18,zero,81129ef0 <__muldf3+0x1d0> -81129d70: 0081ffc4 movi r2,2047 -81129d74: 2029883a mov r20,r4 -81129d78: 90803626 beq r18,r2,81129e54 <__muldf3+0x134> -81129d7c: 80800434 orhi r2,r16,16 -81129d80: 100490fa slli r2,r2,3 -81129d84: 2020d77a srli r16,r4,29 -81129d88: 202890fa slli r20,r4,3 -81129d8c: 94bf0044 addi r18,r18,-1023 -81129d90: 80a0b03a or r16,r16,r2 -81129d94: 0027883a mov r19,zero -81129d98: 0039883a mov fp,zero -81129d9c: 3804d53a srli r2,r7,20 -81129da0: 382ed7fa srli r23,r7,31 -81129da4: 04400434 movhi r17,16 -81129da8: 8c7fffc4 addi r17,r17,-1 -81129dac: 1081ffcc andi r2,r2,2047 -81129db0: 3011883a mov r8,r6 -81129db4: 3c62703a and r17,r7,r17 -81129db8: ba803fcc andi r10,r23,255 -81129dbc: 10006d26 beq r2,zero,81129f74 <__muldf3+0x254> -81129dc0: 00c1ffc4 movi r3,2047 -81129dc4: 10c06526 beq r2,r3,81129f5c <__muldf3+0x23c> -81129dc8: 88c00434 orhi r3,r17,16 -81129dcc: 180690fa slli r3,r3,3 -81129dd0: 3022d77a srli r17,r6,29 -81129dd4: 301090fa slli r8,r6,3 -81129dd8: 10bf0044 addi r2,r2,-1023 -81129ddc: 88e2b03a or r17,r17,r3 -81129de0: 000b883a mov r5,zero -81129de4: 9085883a add r2,r18,r2 -81129de8: 2cc8b03a or r4,r5,r19 -81129dec: 00c003c4 movi r3,15 -81129df0: bdacf03a xor r22,r23,r22 -81129df4: 12c00044 addi r11,r2,1 -81129df8: 19009936 bltu r3,r4,8112a060 <__muldf3+0x340> -81129dfc: 200890ba slli r4,r4,2 -81129e00: 00e044f4 movhi r3,33043 -81129e04: 18e78504 addi r3,r3,-25068 -81129e08: 20c9883a add r4,r4,r3 -81129e0c: 20c00017 ldw r3,0(r4) -81129e10: 1800683a jmp r3 -81129e14: 8112a060 cmpeqi r4,r16,19073 -81129e18: 81129e74 orhi r4,r16,19065 -81129e1c: 81129e74 orhi r4,r16,19065 -81129e20: 81129e70 cmpltui r4,r16,19065 -81129e24: 8112a03c xorhi r4,r16,19072 -81129e28: 8112a03c xorhi r4,r16,19072 -81129e2c: 8112a024 muli r4,r16,19072 -81129e30: 81129e70 cmpltui r4,r16,19065 -81129e34: 8112a03c xorhi r4,r16,19072 -81129e38: 8112a024 muli r4,r16,19072 -81129e3c: 8112a03c xorhi r4,r16,19072 -81129e40: 81129e70 cmpltui r4,r16,19065 -81129e44: 8112a04c andi r4,r16,19073 -81129e48: 8112a04c andi r4,r16,19073 -81129e4c: 8112a04c andi r4,r16,19073 -81129e50: 8112a268 cmpgeui r4,r16,19081 -81129e54: 2404b03a or r2,r4,r16 -81129e58: 10006f1e bne r2,zero,8112a018 <__muldf3+0x2f8> -81129e5c: 04c00204 movi r19,8 -81129e60: 0021883a mov r16,zero -81129e64: 0029883a mov r20,zero -81129e68: 07000084 movi fp,2 -81129e6c: 003fcb06 br 81129d9c <__reset+0xfb109d9c> -81129e70: 502d883a mov r22,r10 -81129e74: 00800084 movi r2,2 -81129e78: 28805726 beq r5,r2,81129fd8 <__muldf3+0x2b8> -81129e7c: 008000c4 movi r2,3 -81129e80: 28816626 beq r5,r2,8112a41c <__muldf3+0x6fc> -81129e84: 00800044 movi r2,1 -81129e88: 2881411e bne r5,r2,8112a390 <__muldf3+0x670> -81129e8c: b02b883a mov r21,r22 -81129e90: 0005883a mov r2,zero -81129e94: 000b883a mov r5,zero -81129e98: 0029883a mov r20,zero -81129e9c: 1004953a slli r2,r2,20 -81129ea0: a8c03fcc andi r3,r21,255 -81129ea4: 04400434 movhi r17,16 -81129ea8: 8c7fffc4 addi r17,r17,-1 -81129eac: 180697fa slli r3,r3,31 -81129eb0: 2c4a703a and r5,r5,r17 -81129eb4: 288ab03a or r5,r5,r2 -81129eb8: 28c6b03a or r3,r5,r3 -81129ebc: a005883a mov r2,r20 -81129ec0: dfc00c17 ldw ra,48(sp) -81129ec4: df000b17 ldw fp,44(sp) -81129ec8: ddc00a17 ldw r23,40(sp) -81129ecc: dd800917 ldw r22,36(sp) -81129ed0: dd400817 ldw r21,32(sp) -81129ed4: dd000717 ldw r20,28(sp) -81129ed8: dcc00617 ldw r19,24(sp) -81129edc: dc800517 ldw r18,20(sp) -81129ee0: dc400417 ldw r17,16(sp) -81129ee4: dc000317 ldw r16,12(sp) -81129ee8: dec00d04 addi sp,sp,52 -81129eec: f800283a ret -81129ef0: 2404b03a or r2,r4,r16 -81129ef4: 2027883a mov r19,r4 -81129ef8: 10004226 beq r2,zero,8112a004 <__muldf3+0x2e4> -81129efc: 8000fc26 beq r16,zero,8112a2f0 <__muldf3+0x5d0> -81129f00: 8009883a mov r4,r16 -81129f04: d9800215 stw r6,8(sp) -81129f08: d9c00015 stw r7,0(sp) -81129f0c: da400115 stw r9,4(sp) -81129f10: 112b1f00 call 8112b1f0 <__clzsi2> -81129f14: d9800217 ldw r6,8(sp) -81129f18: d9c00017 ldw r7,0(sp) -81129f1c: da400117 ldw r9,4(sp) -81129f20: 113ffd44 addi r4,r2,-11 -81129f24: 00c00704 movi r3,28 -81129f28: 1900ed16 blt r3,r4,8112a2e0 <__muldf3+0x5c0> -81129f2c: 00c00744 movi r3,29 -81129f30: 147ffe04 addi r17,r2,-8 -81129f34: 1907c83a sub r3,r3,r4 -81129f38: 8460983a sll r16,r16,r17 -81129f3c: 98c6d83a srl r3,r19,r3 -81129f40: 9c68983a sll r20,r19,r17 -81129f44: 1c20b03a or r16,r3,r16 -81129f48: 1080fcc4 addi r2,r2,1011 -81129f4c: 00a5c83a sub r18,zero,r2 -81129f50: 0027883a mov r19,zero -81129f54: 0039883a mov fp,zero -81129f58: 003f9006 br 81129d9c <__reset+0xfb109d9c> -81129f5c: 3446b03a or r3,r6,r17 -81129f60: 1800261e bne r3,zero,81129ffc <__muldf3+0x2dc> -81129f64: 0023883a mov r17,zero -81129f68: 0011883a mov r8,zero -81129f6c: 01400084 movi r5,2 -81129f70: 003f9c06 br 81129de4 <__reset+0xfb109de4> -81129f74: 3446b03a or r3,r6,r17 -81129f78: 18001c26 beq r3,zero,81129fec <__muldf3+0x2cc> -81129f7c: 8800ce26 beq r17,zero,8112a2b8 <__muldf3+0x598> -81129f80: 8809883a mov r4,r17 -81129f84: d9800215 stw r6,8(sp) -81129f88: da400115 stw r9,4(sp) -81129f8c: da800015 stw r10,0(sp) -81129f90: 112b1f00 call 8112b1f0 <__clzsi2> -81129f94: d9800217 ldw r6,8(sp) -81129f98: da400117 ldw r9,4(sp) -81129f9c: da800017 ldw r10,0(sp) -81129fa0: 113ffd44 addi r4,r2,-11 -81129fa4: 00c00704 movi r3,28 -81129fa8: 1900bf16 blt r3,r4,8112a2a8 <__muldf3+0x588> -81129fac: 00c00744 movi r3,29 -81129fb0: 123ffe04 addi r8,r2,-8 -81129fb4: 1907c83a sub r3,r3,r4 -81129fb8: 8a22983a sll r17,r17,r8 -81129fbc: 30c6d83a srl r3,r6,r3 -81129fc0: 3210983a sll r8,r6,r8 -81129fc4: 1c62b03a or r17,r3,r17 -81129fc8: 1080fcc4 addi r2,r2,1011 -81129fcc: 0085c83a sub r2,zero,r2 -81129fd0: 000b883a mov r5,zero -81129fd4: 003f8306 br 81129de4 <__reset+0xfb109de4> -81129fd8: b02b883a mov r21,r22 -81129fdc: 0081ffc4 movi r2,2047 -81129fe0: 000b883a mov r5,zero -81129fe4: 0029883a mov r20,zero -81129fe8: 003fac06 br 81129e9c <__reset+0xfb109e9c> -81129fec: 0023883a mov r17,zero -81129ff0: 0011883a mov r8,zero -81129ff4: 01400044 movi r5,1 -81129ff8: 003f7a06 br 81129de4 <__reset+0xfb109de4> -81129ffc: 014000c4 movi r5,3 -8112a000: 003f7806 br 81129de4 <__reset+0xfb109de4> -8112a004: 04c00104 movi r19,4 -8112a008: 0021883a mov r16,zero -8112a00c: 0029883a mov r20,zero -8112a010: 07000044 movi fp,1 -8112a014: 003f6106 br 81129d9c <__reset+0xfb109d9c> -8112a018: 04c00304 movi r19,12 -8112a01c: 070000c4 movi fp,3 -8112a020: 003f5e06 br 81129d9c <__reset+0xfb109d9c> -8112a024: 01400434 movhi r5,16 -8112a028: 002b883a mov r21,zero -8112a02c: 297fffc4 addi r5,r5,-1 -8112a030: 053fffc4 movi r20,-1 -8112a034: 0081ffc4 movi r2,2047 -8112a038: 003f9806 br 81129e9c <__reset+0xfb109e9c> -8112a03c: 8023883a mov r17,r16 -8112a040: a011883a mov r8,r20 -8112a044: e00b883a mov r5,fp -8112a048: 003f8a06 br 81129e74 <__reset+0xfb109e74> -8112a04c: 8023883a mov r17,r16 -8112a050: a011883a mov r8,r20 -8112a054: 482d883a mov r22,r9 -8112a058: e00b883a mov r5,fp -8112a05c: 003f8506 br 81129e74 <__reset+0xfb109e74> -8112a060: a00ad43a srli r5,r20,16 -8112a064: 401ad43a srli r13,r8,16 -8112a068: a53fffcc andi r20,r20,65535 -8112a06c: 423fffcc andi r8,r8,65535 -8112a070: 4519383a mul r12,r8,r20 -8112a074: 4147383a mul r3,r8,r5 -8112a078: 6d09383a mul r4,r13,r20 -8112a07c: 600cd43a srli r6,r12,16 -8112a080: 2b5d383a mul r14,r5,r13 -8112a084: 20c9883a add r4,r4,r3 -8112a088: 310d883a add r6,r6,r4 -8112a08c: 30c0022e bgeu r6,r3,8112a098 <__muldf3+0x378> -8112a090: 00c00074 movhi r3,1 -8112a094: 70dd883a add r14,r14,r3 -8112a098: 8826d43a srli r19,r17,16 -8112a09c: 8bffffcc andi r15,r17,65535 -8112a0a0: 7d23383a mul r17,r15,r20 -8112a0a4: 7949383a mul r4,r15,r5 -8112a0a8: 9d29383a mul r20,r19,r20 -8112a0ac: 8814d43a srli r10,r17,16 -8112a0b0: 3012943a slli r9,r6,16 -8112a0b4: a129883a add r20,r20,r4 -8112a0b8: 633fffcc andi r12,r12,65535 -8112a0bc: 5515883a add r10,r10,r20 -8112a0c0: 3006d43a srli r3,r6,16 -8112a0c4: 4b13883a add r9,r9,r12 -8112a0c8: 2ccb383a mul r5,r5,r19 -8112a0cc: 5100022e bgeu r10,r4,8112a0d8 <__muldf3+0x3b8> -8112a0d0: 01000074 movhi r4,1 -8112a0d4: 290b883a add r5,r5,r4 -8112a0d8: 802ad43a srli r21,r16,16 -8112a0dc: 843fffcc andi r16,r16,65535 -8112a0e0: 440d383a mul r6,r8,r16 -8112a0e4: 4565383a mul r18,r8,r21 -8112a0e8: 8349383a mul r4,r16,r13 -8112a0ec: 500e943a slli r7,r10,16 -8112a0f0: 3010d43a srli r8,r6,16 -8112a0f4: 5028d43a srli r20,r10,16 -8112a0f8: 2489883a add r4,r4,r18 -8112a0fc: 8abfffcc andi r10,r17,65535 -8112a100: 3a95883a add r10,r7,r10 -8112a104: 4119883a add r12,r8,r4 -8112a108: a169883a add r20,r20,r5 -8112a10c: 1a87883a add r3,r3,r10 -8112a110: 6d5b383a mul r13,r13,r21 -8112a114: 6480022e bgeu r12,r18,8112a120 <__muldf3+0x400> -8112a118: 01000074 movhi r4,1 -8112a11c: 691b883a add r13,r13,r4 -8112a120: 7c25383a mul r18,r15,r16 -8112a124: 7d4b383a mul r5,r15,r21 -8112a128: 84cf383a mul r7,r16,r19 -8112a12c: 901ed43a srli r15,r18,16 -8112a130: 6008d43a srli r4,r12,16 -8112a134: 6010943a slli r8,r12,16 -8112a138: 394f883a add r7,r7,r5 -8112a13c: 333fffcc andi r12,r6,65535 -8112a140: 79df883a add r15,r15,r7 -8112a144: 235b883a add r13,r4,r13 -8112a148: 9d63383a mul r17,r19,r21 -8112a14c: 4309883a add r4,r8,r12 -8112a150: 7940022e bgeu r15,r5,8112a15c <__muldf3+0x43c> -8112a154: 01400074 movhi r5,1 -8112a158: 8963883a add r17,r17,r5 -8112a15c: 780a943a slli r5,r15,16 -8112a160: 91bfffcc andi r6,r18,65535 -8112a164: 70c7883a add r3,r14,r3 -8112a168: 298d883a add r6,r5,r6 -8112a16c: 1a8f803a cmpltu r7,r3,r10 -8112a170: 350b883a add r5,r6,r20 -8112a174: 20c7883a add r3,r4,r3 -8112a178: 3955883a add r10,r7,r5 -8112a17c: 1909803a cmpltu r4,r3,r4 -8112a180: 6a91883a add r8,r13,r10 -8112a184: 780cd43a srli r6,r15,16 -8112a188: 2219883a add r12,r4,r8 -8112a18c: 2d0b803a cmpltu r5,r5,r20 -8112a190: 51cf803a cmpltu r7,r10,r7 -8112a194: 29ceb03a or r7,r5,r7 -8112a198: 4351803a cmpltu r8,r8,r13 -8112a19c: 610b803a cmpltu r5,r12,r4 -8112a1a0: 4148b03a or r4,r8,r5 -8112a1a4: 398f883a add r7,r7,r6 -8112a1a8: 3909883a add r4,r7,r4 -8112a1ac: 1810927a slli r8,r3,9 -8112a1b0: 2449883a add r4,r4,r17 -8112a1b4: 2008927a slli r4,r4,9 -8112a1b8: 6022d5fa srli r17,r12,23 -8112a1bc: 1806d5fa srli r3,r3,23 -8112a1c0: 4252b03a or r9,r8,r9 -8112a1c4: 600a927a slli r5,r12,9 -8112a1c8: 4810c03a cmpne r8,r9,zero -8112a1cc: 2462b03a or r17,r4,r17 -8112a1d0: 40c6b03a or r3,r8,r3 -8112a1d4: 8900402c andhi r4,r17,256 -8112a1d8: 1950b03a or r8,r3,r5 -8112a1dc: 20000726 beq r4,zero,8112a1fc <__muldf3+0x4dc> -8112a1e0: 4006d07a srli r3,r8,1 -8112a1e4: 880497fa slli r2,r17,31 -8112a1e8: 4200004c andi r8,r8,1 -8112a1ec: 8822d07a srli r17,r17,1 -8112a1f0: 1a10b03a or r8,r3,r8 -8112a1f4: 1210b03a or r8,r2,r8 -8112a1f8: 5805883a mov r2,r11 -8112a1fc: 1140ffc4 addi r5,r2,1023 -8112a200: 0140440e bge zero,r5,8112a314 <__muldf3+0x5f4> -8112a204: 40c001cc andi r3,r8,7 -8112a208: 18000726 beq r3,zero,8112a228 <__muldf3+0x508> -8112a20c: 40c003cc andi r3,r8,15 -8112a210: 01000104 movi r4,4 -8112a214: 19000426 beq r3,r4,8112a228 <__muldf3+0x508> -8112a218: 4107883a add r3,r8,r4 -8112a21c: 1a11803a cmpltu r8,r3,r8 -8112a220: 8a23883a add r17,r17,r8 -8112a224: 1811883a mov r8,r3 -8112a228: 88c0402c andhi r3,r17,256 -8112a22c: 18000426 beq r3,zero,8112a240 <__muldf3+0x520> -8112a230: 11410004 addi r5,r2,1024 -8112a234: 00bfc034 movhi r2,65280 -8112a238: 10bfffc4 addi r2,r2,-1 -8112a23c: 88a2703a and r17,r17,r2 -8112a240: 0081ff84 movi r2,2046 -8112a244: 117f6416 blt r2,r5,81129fd8 <__reset+0xfb109fd8> -8112a248: 8828977a slli r20,r17,29 -8112a24c: 4010d0fa srli r8,r8,3 -8112a250: 8822927a slli r17,r17,9 -8112a254: 2881ffcc andi r2,r5,2047 -8112a258: a228b03a or r20,r20,r8 -8112a25c: 880ad33a srli r5,r17,12 -8112a260: b02b883a mov r21,r22 -8112a264: 003f0d06 br 81129e9c <__reset+0xfb109e9c> -8112a268: 8080022c andhi r2,r16,8 -8112a26c: 10000926 beq r2,zero,8112a294 <__muldf3+0x574> -8112a270: 8880022c andhi r2,r17,8 -8112a274: 1000071e bne r2,zero,8112a294 <__muldf3+0x574> -8112a278: 00800434 movhi r2,16 -8112a27c: 89400234 orhi r5,r17,8 -8112a280: 10bfffc4 addi r2,r2,-1 -8112a284: b82b883a mov r21,r23 -8112a288: 288a703a and r5,r5,r2 -8112a28c: 4029883a mov r20,r8 -8112a290: 003f6806 br 8112a034 <__reset+0xfb10a034> -8112a294: 00800434 movhi r2,16 -8112a298: 81400234 orhi r5,r16,8 -8112a29c: 10bfffc4 addi r2,r2,-1 -8112a2a0: 288a703a and r5,r5,r2 -8112a2a4: 003f6306 br 8112a034 <__reset+0xfb10a034> -8112a2a8: 147ff604 addi r17,r2,-40 -8112a2ac: 3462983a sll r17,r6,r17 -8112a2b0: 0011883a mov r8,zero -8112a2b4: 003f4406 br 81129fc8 <__reset+0xfb109fc8> -8112a2b8: 3009883a mov r4,r6 -8112a2bc: d9800215 stw r6,8(sp) -8112a2c0: da400115 stw r9,4(sp) -8112a2c4: da800015 stw r10,0(sp) -8112a2c8: 112b1f00 call 8112b1f0 <__clzsi2> -8112a2cc: 10800804 addi r2,r2,32 -8112a2d0: da800017 ldw r10,0(sp) -8112a2d4: da400117 ldw r9,4(sp) -8112a2d8: d9800217 ldw r6,8(sp) -8112a2dc: 003f3006 br 81129fa0 <__reset+0xfb109fa0> -8112a2e0: 143ff604 addi r16,r2,-40 -8112a2e4: 9c20983a sll r16,r19,r16 -8112a2e8: 0029883a mov r20,zero -8112a2ec: 003f1606 br 81129f48 <__reset+0xfb109f48> -8112a2f0: d9800215 stw r6,8(sp) -8112a2f4: d9c00015 stw r7,0(sp) -8112a2f8: da400115 stw r9,4(sp) -8112a2fc: 112b1f00 call 8112b1f0 <__clzsi2> -8112a300: 10800804 addi r2,r2,32 -8112a304: da400117 ldw r9,4(sp) -8112a308: d9c00017 ldw r7,0(sp) -8112a30c: d9800217 ldw r6,8(sp) -8112a310: 003f0306 br 81129f20 <__reset+0xfb109f20> -8112a314: 00c00044 movi r3,1 -8112a318: 1947c83a sub r3,r3,r5 -8112a31c: 00800e04 movi r2,56 -8112a320: 10feda16 blt r2,r3,81129e8c <__reset+0xfb109e8c> -8112a324: 008007c4 movi r2,31 -8112a328: 10c01b16 blt r2,r3,8112a398 <__muldf3+0x678> -8112a32c: 00800804 movi r2,32 -8112a330: 10c5c83a sub r2,r2,r3 -8112a334: 888a983a sll r5,r17,r2 -8112a338: 40c8d83a srl r4,r8,r3 -8112a33c: 4084983a sll r2,r8,r2 -8112a340: 88e2d83a srl r17,r17,r3 -8112a344: 2906b03a or r3,r5,r4 -8112a348: 1004c03a cmpne r2,r2,zero -8112a34c: 1886b03a or r3,r3,r2 -8112a350: 188001cc andi r2,r3,7 -8112a354: 10000726 beq r2,zero,8112a374 <__muldf3+0x654> -8112a358: 188003cc andi r2,r3,15 -8112a35c: 01000104 movi r4,4 -8112a360: 11000426 beq r2,r4,8112a374 <__muldf3+0x654> -8112a364: 1805883a mov r2,r3 -8112a368: 10c00104 addi r3,r2,4 -8112a36c: 1885803a cmpltu r2,r3,r2 -8112a370: 88a3883a add r17,r17,r2 -8112a374: 8880202c andhi r2,r17,128 -8112a378: 10001c26 beq r2,zero,8112a3ec <__muldf3+0x6cc> -8112a37c: b02b883a mov r21,r22 -8112a380: 00800044 movi r2,1 -8112a384: 000b883a mov r5,zero -8112a388: 0029883a mov r20,zero -8112a38c: 003ec306 br 81129e9c <__reset+0xfb109e9c> -8112a390: 5805883a mov r2,r11 -8112a394: 003f9906 br 8112a1fc <__reset+0xfb10a1fc> -8112a398: 00bff844 movi r2,-31 -8112a39c: 1145c83a sub r2,r2,r5 -8112a3a0: 8888d83a srl r4,r17,r2 -8112a3a4: 00800804 movi r2,32 -8112a3a8: 18801a26 beq r3,r2,8112a414 <__muldf3+0x6f4> -8112a3ac: 00801004 movi r2,64 -8112a3b0: 10c5c83a sub r2,r2,r3 -8112a3b4: 8884983a sll r2,r17,r2 -8112a3b8: 1204b03a or r2,r2,r8 -8112a3bc: 1004c03a cmpne r2,r2,zero -8112a3c0: 2084b03a or r2,r4,r2 -8112a3c4: 144001cc andi r17,r2,7 -8112a3c8: 88000d1e bne r17,zero,8112a400 <__muldf3+0x6e0> -8112a3cc: 000b883a mov r5,zero -8112a3d0: 1028d0fa srli r20,r2,3 -8112a3d4: b02b883a mov r21,r22 -8112a3d8: 0005883a mov r2,zero -8112a3dc: a468b03a or r20,r20,r17 -8112a3e0: 003eae06 br 81129e9c <__reset+0xfb109e9c> -8112a3e4: 1007883a mov r3,r2 -8112a3e8: 0023883a mov r17,zero -8112a3ec: 880a927a slli r5,r17,9 -8112a3f0: 1805883a mov r2,r3 -8112a3f4: 8822977a slli r17,r17,29 -8112a3f8: 280ad33a srli r5,r5,12 -8112a3fc: 003ff406 br 8112a3d0 <__reset+0xfb10a3d0> -8112a400: 10c003cc andi r3,r2,15 -8112a404: 01000104 movi r4,4 -8112a408: 193ff626 beq r3,r4,8112a3e4 <__reset+0xfb10a3e4> -8112a40c: 0023883a mov r17,zero -8112a410: 003fd506 br 8112a368 <__reset+0xfb10a368> -8112a414: 0005883a mov r2,zero -8112a418: 003fe706 br 8112a3b8 <__reset+0xfb10a3b8> -8112a41c: 00800434 movhi r2,16 -8112a420: 89400234 orhi r5,r17,8 -8112a424: 10bfffc4 addi r2,r2,-1 -8112a428: b02b883a mov r21,r22 -8112a42c: 288a703a and r5,r5,r2 -8112a430: 4029883a mov r20,r8 -8112a434: 003eff06 br 8112a034 <__reset+0xfb10a034> - -8112a438 <__subdf3>: -8112a438: 02000434 movhi r8,16 -8112a43c: 423fffc4 addi r8,r8,-1 -8112a440: defffb04 addi sp,sp,-20 -8112a444: 2a14703a and r10,r5,r8 -8112a448: 3812d53a srli r9,r7,20 -8112a44c: 3a10703a and r8,r7,r8 -8112a450: 2006d77a srli r3,r4,29 -8112a454: 3004d77a srli r2,r6,29 -8112a458: dc000015 stw r16,0(sp) -8112a45c: 501490fa slli r10,r10,3 -8112a460: 2820d53a srli r16,r5,20 -8112a464: 401090fa slli r8,r8,3 -8112a468: dc800215 stw r18,8(sp) -8112a46c: dc400115 stw r17,4(sp) -8112a470: dfc00415 stw ra,16(sp) -8112a474: 202290fa slli r17,r4,3 -8112a478: dcc00315 stw r19,12(sp) -8112a47c: 4a41ffcc andi r9,r9,2047 -8112a480: 0101ffc4 movi r4,2047 -8112a484: 2824d7fa srli r18,r5,31 -8112a488: 8401ffcc andi r16,r16,2047 -8112a48c: 50c6b03a or r3,r10,r3 -8112a490: 380ed7fa srli r7,r7,31 -8112a494: 408ab03a or r5,r8,r2 -8112a498: 300c90fa slli r6,r6,3 -8112a49c: 49009626 beq r9,r4,8112a6f8 <__subdf3+0x2c0> -8112a4a0: 39c0005c xori r7,r7,1 -8112a4a4: 8245c83a sub r2,r16,r9 -8112a4a8: 3c807426 beq r7,r18,8112a67c <__subdf3+0x244> -8112a4ac: 0080af0e bge zero,r2,8112a76c <__subdf3+0x334> -8112a4b0: 48002a1e bne r9,zero,8112a55c <__subdf3+0x124> -8112a4b4: 2988b03a or r4,r5,r6 -8112a4b8: 20009a1e bne r4,zero,8112a724 <__subdf3+0x2ec> -8112a4bc: 888001cc andi r2,r17,7 -8112a4c0: 10000726 beq r2,zero,8112a4e0 <__subdf3+0xa8> -8112a4c4: 888003cc andi r2,r17,15 -8112a4c8: 01000104 movi r4,4 -8112a4cc: 11000426 beq r2,r4,8112a4e0 <__subdf3+0xa8> -8112a4d0: 890b883a add r5,r17,r4 -8112a4d4: 2c63803a cmpltu r17,r5,r17 -8112a4d8: 1c47883a add r3,r3,r17 -8112a4dc: 2823883a mov r17,r5 -8112a4e0: 1880202c andhi r2,r3,128 -8112a4e4: 10005926 beq r2,zero,8112a64c <__subdf3+0x214> -8112a4e8: 84000044 addi r16,r16,1 -8112a4ec: 0081ffc4 movi r2,2047 -8112a4f0: 8080be26 beq r16,r2,8112a7ec <__subdf3+0x3b4> -8112a4f4: 017fe034 movhi r5,65408 -8112a4f8: 297fffc4 addi r5,r5,-1 -8112a4fc: 1946703a and r3,r3,r5 -8112a500: 1804977a slli r2,r3,29 -8112a504: 1806927a slli r3,r3,9 -8112a508: 8822d0fa srli r17,r17,3 -8112a50c: 8401ffcc andi r16,r16,2047 -8112a510: 180ad33a srli r5,r3,12 -8112a514: 9100004c andi r4,r18,1 -8112a518: 1444b03a or r2,r2,r17 -8112a51c: 80c1ffcc andi r3,r16,2047 -8112a520: 1820953a slli r16,r3,20 -8112a524: 20c03fcc andi r3,r4,255 -8112a528: 180897fa slli r4,r3,31 -8112a52c: 00c00434 movhi r3,16 -8112a530: 18ffffc4 addi r3,r3,-1 -8112a534: 28c6703a and r3,r5,r3 -8112a538: 1c06b03a or r3,r3,r16 -8112a53c: 1906b03a or r3,r3,r4 -8112a540: dfc00417 ldw ra,16(sp) -8112a544: dcc00317 ldw r19,12(sp) -8112a548: dc800217 ldw r18,8(sp) -8112a54c: dc400117 ldw r17,4(sp) -8112a550: dc000017 ldw r16,0(sp) -8112a554: dec00504 addi sp,sp,20 -8112a558: f800283a ret -8112a55c: 0101ffc4 movi r4,2047 -8112a560: 813fd626 beq r16,r4,8112a4bc <__reset+0xfb10a4bc> -8112a564: 29402034 orhi r5,r5,128 -8112a568: 01000e04 movi r4,56 -8112a56c: 2080a316 blt r4,r2,8112a7fc <__subdf3+0x3c4> -8112a570: 010007c4 movi r4,31 -8112a574: 2080c616 blt r4,r2,8112a890 <__subdf3+0x458> -8112a578: 01000804 movi r4,32 -8112a57c: 2089c83a sub r4,r4,r2 -8112a580: 2910983a sll r8,r5,r4 -8112a584: 308ed83a srl r7,r6,r2 -8112a588: 3108983a sll r4,r6,r4 -8112a58c: 2884d83a srl r2,r5,r2 -8112a590: 41ccb03a or r6,r8,r7 -8112a594: 2008c03a cmpne r4,r4,zero -8112a598: 310cb03a or r6,r6,r4 -8112a59c: 898dc83a sub r6,r17,r6 -8112a5a0: 89a3803a cmpltu r17,r17,r6 -8112a5a4: 1887c83a sub r3,r3,r2 -8112a5a8: 1c47c83a sub r3,r3,r17 -8112a5ac: 3023883a mov r17,r6 -8112a5b0: 1880202c andhi r2,r3,128 -8112a5b4: 10002326 beq r2,zero,8112a644 <__subdf3+0x20c> -8112a5b8: 04c02034 movhi r19,128 -8112a5bc: 9cffffc4 addi r19,r19,-1 -8112a5c0: 1ce6703a and r19,r3,r19 -8112a5c4: 98007a26 beq r19,zero,8112a7b0 <__subdf3+0x378> -8112a5c8: 9809883a mov r4,r19 -8112a5cc: 112b1f00 call 8112b1f0 <__clzsi2> -8112a5d0: 113ffe04 addi r4,r2,-8 -8112a5d4: 00c007c4 movi r3,31 -8112a5d8: 19007b16 blt r3,r4,8112a7c8 <__subdf3+0x390> -8112a5dc: 00800804 movi r2,32 -8112a5e0: 1105c83a sub r2,r2,r4 -8112a5e4: 8884d83a srl r2,r17,r2 -8112a5e8: 9906983a sll r3,r19,r4 -8112a5ec: 8922983a sll r17,r17,r4 -8112a5f0: 10c4b03a or r2,r2,r3 -8112a5f4: 24007816 blt r4,r16,8112a7d8 <__subdf3+0x3a0> -8112a5f8: 2421c83a sub r16,r4,r16 -8112a5fc: 80c00044 addi r3,r16,1 -8112a600: 010007c4 movi r4,31 -8112a604: 20c09516 blt r4,r3,8112a85c <__subdf3+0x424> -8112a608: 01400804 movi r5,32 -8112a60c: 28cbc83a sub r5,r5,r3 -8112a610: 88c8d83a srl r4,r17,r3 -8112a614: 8962983a sll r17,r17,r5 -8112a618: 114a983a sll r5,r2,r5 -8112a61c: 10c6d83a srl r3,r2,r3 -8112a620: 8804c03a cmpne r2,r17,zero -8112a624: 290ab03a or r5,r5,r4 -8112a628: 28a2b03a or r17,r5,r2 -8112a62c: 0021883a mov r16,zero -8112a630: 003fa206 br 8112a4bc <__reset+0xfb10a4bc> -8112a634: 2090b03a or r8,r4,r2 -8112a638: 40018e26 beq r8,zero,8112ac74 <__subdf3+0x83c> -8112a63c: 1007883a mov r3,r2 -8112a640: 2023883a mov r17,r4 -8112a644: 888001cc andi r2,r17,7 -8112a648: 103f9e1e bne r2,zero,8112a4c4 <__reset+0xfb10a4c4> -8112a64c: 1804977a slli r2,r3,29 -8112a650: 8822d0fa srli r17,r17,3 -8112a654: 1810d0fa srli r8,r3,3 -8112a658: 9100004c andi r4,r18,1 -8112a65c: 1444b03a or r2,r2,r17 -8112a660: 00c1ffc4 movi r3,2047 -8112a664: 80c02826 beq r16,r3,8112a708 <__subdf3+0x2d0> -8112a668: 01400434 movhi r5,16 -8112a66c: 297fffc4 addi r5,r5,-1 -8112a670: 80e0703a and r16,r16,r3 -8112a674: 414a703a and r5,r8,r5 -8112a678: 003fa806 br 8112a51c <__reset+0xfb10a51c> -8112a67c: 0080630e bge zero,r2,8112a80c <__subdf3+0x3d4> -8112a680: 48003026 beq r9,zero,8112a744 <__subdf3+0x30c> -8112a684: 0101ffc4 movi r4,2047 -8112a688: 813f8c26 beq r16,r4,8112a4bc <__reset+0xfb10a4bc> -8112a68c: 29402034 orhi r5,r5,128 -8112a690: 01000e04 movi r4,56 -8112a694: 2080a90e bge r4,r2,8112a93c <__subdf3+0x504> -8112a698: 298cb03a or r6,r5,r6 -8112a69c: 3012c03a cmpne r9,r6,zero -8112a6a0: 0005883a mov r2,zero -8112a6a4: 4c53883a add r9,r9,r17 -8112a6a8: 4c63803a cmpltu r17,r9,r17 -8112a6ac: 10c7883a add r3,r2,r3 -8112a6b0: 88c7883a add r3,r17,r3 -8112a6b4: 4823883a mov r17,r9 -8112a6b8: 1880202c andhi r2,r3,128 -8112a6bc: 1000d026 beq r2,zero,8112aa00 <__subdf3+0x5c8> -8112a6c0: 84000044 addi r16,r16,1 -8112a6c4: 0081ffc4 movi r2,2047 -8112a6c8: 8080fe26 beq r16,r2,8112aac4 <__subdf3+0x68c> -8112a6cc: 00bfe034 movhi r2,65408 -8112a6d0: 10bfffc4 addi r2,r2,-1 -8112a6d4: 1886703a and r3,r3,r2 -8112a6d8: 880ad07a srli r5,r17,1 -8112a6dc: 180497fa slli r2,r3,31 -8112a6e0: 8900004c andi r4,r17,1 -8112a6e4: 2922b03a or r17,r5,r4 -8112a6e8: 1806d07a srli r3,r3,1 -8112a6ec: 1462b03a or r17,r2,r17 -8112a6f0: 3825883a mov r18,r7 -8112a6f4: 003f7106 br 8112a4bc <__reset+0xfb10a4bc> -8112a6f8: 2984b03a or r2,r5,r6 -8112a6fc: 103f6826 beq r2,zero,8112a4a0 <__reset+0xfb10a4a0> -8112a700: 39c03fcc andi r7,r7,255 -8112a704: 003f6706 br 8112a4a4 <__reset+0xfb10a4a4> -8112a708: 4086b03a or r3,r8,r2 -8112a70c: 18015226 beq r3,zero,8112ac58 <__subdf3+0x820> -8112a710: 00c00434 movhi r3,16 -8112a714: 41400234 orhi r5,r8,8 -8112a718: 18ffffc4 addi r3,r3,-1 -8112a71c: 28ca703a and r5,r5,r3 -8112a720: 003f7e06 br 8112a51c <__reset+0xfb10a51c> -8112a724: 10bfffc4 addi r2,r2,-1 -8112a728: 1000491e bne r2,zero,8112a850 <__subdf3+0x418> -8112a72c: 898fc83a sub r7,r17,r6 -8112a730: 89e3803a cmpltu r17,r17,r7 -8112a734: 1947c83a sub r3,r3,r5 -8112a738: 1c47c83a sub r3,r3,r17 -8112a73c: 3823883a mov r17,r7 -8112a740: 003f9b06 br 8112a5b0 <__reset+0xfb10a5b0> -8112a744: 2988b03a or r4,r5,r6 -8112a748: 203f5c26 beq r4,zero,8112a4bc <__reset+0xfb10a4bc> -8112a74c: 10bfffc4 addi r2,r2,-1 -8112a750: 1000931e bne r2,zero,8112a9a0 <__subdf3+0x568> -8112a754: 898d883a add r6,r17,r6 -8112a758: 3463803a cmpltu r17,r6,r17 -8112a75c: 1947883a add r3,r3,r5 -8112a760: 88c7883a add r3,r17,r3 -8112a764: 3023883a mov r17,r6 -8112a768: 003fd306 br 8112a6b8 <__reset+0xfb10a6b8> -8112a76c: 1000541e bne r2,zero,8112a8c0 <__subdf3+0x488> -8112a770: 80800044 addi r2,r16,1 -8112a774: 1081ffcc andi r2,r2,2047 -8112a778: 01000044 movi r4,1 -8112a77c: 2080a20e bge r4,r2,8112aa08 <__subdf3+0x5d0> -8112a780: 8989c83a sub r4,r17,r6 -8112a784: 8905803a cmpltu r2,r17,r4 -8112a788: 1967c83a sub r19,r3,r5 -8112a78c: 98a7c83a sub r19,r19,r2 -8112a790: 9880202c andhi r2,r19,128 -8112a794: 10006326 beq r2,zero,8112a924 <__subdf3+0x4ec> -8112a798: 3463c83a sub r17,r6,r17 -8112a79c: 28c7c83a sub r3,r5,r3 -8112a7a0: 344d803a cmpltu r6,r6,r17 -8112a7a4: 19a7c83a sub r19,r3,r6 -8112a7a8: 3825883a mov r18,r7 -8112a7ac: 983f861e bne r19,zero,8112a5c8 <__reset+0xfb10a5c8> -8112a7b0: 8809883a mov r4,r17 -8112a7b4: 112b1f00 call 8112b1f0 <__clzsi2> -8112a7b8: 10800804 addi r2,r2,32 -8112a7bc: 113ffe04 addi r4,r2,-8 -8112a7c0: 00c007c4 movi r3,31 -8112a7c4: 193f850e bge r3,r4,8112a5dc <__reset+0xfb10a5dc> -8112a7c8: 10bff604 addi r2,r2,-40 -8112a7cc: 8884983a sll r2,r17,r2 -8112a7d0: 0023883a mov r17,zero -8112a7d4: 243f880e bge r4,r16,8112a5f8 <__reset+0xfb10a5f8> -8112a7d8: 00ffe034 movhi r3,65408 -8112a7dc: 18ffffc4 addi r3,r3,-1 -8112a7e0: 8121c83a sub r16,r16,r4 -8112a7e4: 10c6703a and r3,r2,r3 -8112a7e8: 003f3406 br 8112a4bc <__reset+0xfb10a4bc> -8112a7ec: 9100004c andi r4,r18,1 -8112a7f0: 000b883a mov r5,zero -8112a7f4: 0005883a mov r2,zero -8112a7f8: 003f4806 br 8112a51c <__reset+0xfb10a51c> -8112a7fc: 298cb03a or r6,r5,r6 -8112a800: 300cc03a cmpne r6,r6,zero -8112a804: 0005883a mov r2,zero -8112a808: 003f6406 br 8112a59c <__reset+0xfb10a59c> -8112a80c: 10009a1e bne r2,zero,8112aa78 <__subdf3+0x640> -8112a810: 82400044 addi r9,r16,1 -8112a814: 4881ffcc andi r2,r9,2047 -8112a818: 02800044 movi r10,1 -8112a81c: 5080670e bge r10,r2,8112a9bc <__subdf3+0x584> -8112a820: 0081ffc4 movi r2,2047 -8112a824: 4880af26 beq r9,r2,8112aae4 <__subdf3+0x6ac> -8112a828: 898d883a add r6,r17,r6 -8112a82c: 1945883a add r2,r3,r5 -8112a830: 3447803a cmpltu r3,r6,r17 -8112a834: 1887883a add r3,r3,r2 -8112a838: 182297fa slli r17,r3,31 -8112a83c: 300cd07a srli r6,r6,1 -8112a840: 1806d07a srli r3,r3,1 -8112a844: 4821883a mov r16,r9 -8112a848: 89a2b03a or r17,r17,r6 -8112a84c: 003f1b06 br 8112a4bc <__reset+0xfb10a4bc> -8112a850: 0101ffc4 movi r4,2047 -8112a854: 813f441e bne r16,r4,8112a568 <__reset+0xfb10a568> -8112a858: 003f1806 br 8112a4bc <__reset+0xfb10a4bc> -8112a85c: 843ff844 addi r16,r16,-31 -8112a860: 01400804 movi r5,32 -8112a864: 1408d83a srl r4,r2,r16 -8112a868: 19405026 beq r3,r5,8112a9ac <__subdf3+0x574> -8112a86c: 01401004 movi r5,64 -8112a870: 28c7c83a sub r3,r5,r3 -8112a874: 10c4983a sll r2,r2,r3 -8112a878: 88a2b03a or r17,r17,r2 -8112a87c: 8822c03a cmpne r17,r17,zero -8112a880: 2462b03a or r17,r4,r17 -8112a884: 0007883a mov r3,zero -8112a888: 0021883a mov r16,zero -8112a88c: 003f6d06 br 8112a644 <__reset+0xfb10a644> -8112a890: 11fff804 addi r7,r2,-32 -8112a894: 01000804 movi r4,32 -8112a898: 29ced83a srl r7,r5,r7 -8112a89c: 11004526 beq r2,r4,8112a9b4 <__subdf3+0x57c> -8112a8a0: 01001004 movi r4,64 -8112a8a4: 2089c83a sub r4,r4,r2 -8112a8a8: 2904983a sll r2,r5,r4 -8112a8ac: 118cb03a or r6,r2,r6 -8112a8b0: 300cc03a cmpne r6,r6,zero -8112a8b4: 398cb03a or r6,r7,r6 -8112a8b8: 0005883a mov r2,zero -8112a8bc: 003f3706 br 8112a59c <__reset+0xfb10a59c> -8112a8c0: 80002a26 beq r16,zero,8112a96c <__subdf3+0x534> -8112a8c4: 0101ffc4 movi r4,2047 -8112a8c8: 49006626 beq r9,r4,8112aa64 <__subdf3+0x62c> -8112a8cc: 0085c83a sub r2,zero,r2 -8112a8d0: 18c02034 orhi r3,r3,128 -8112a8d4: 01000e04 movi r4,56 -8112a8d8: 20807e16 blt r4,r2,8112aad4 <__subdf3+0x69c> -8112a8dc: 010007c4 movi r4,31 -8112a8e0: 2080e716 blt r4,r2,8112ac80 <__subdf3+0x848> -8112a8e4: 01000804 movi r4,32 -8112a8e8: 2089c83a sub r4,r4,r2 -8112a8ec: 1914983a sll r10,r3,r4 -8112a8f0: 8890d83a srl r8,r17,r2 -8112a8f4: 8908983a sll r4,r17,r4 -8112a8f8: 1884d83a srl r2,r3,r2 -8112a8fc: 5222b03a or r17,r10,r8 -8112a900: 2006c03a cmpne r3,r4,zero -8112a904: 88e2b03a or r17,r17,r3 -8112a908: 3463c83a sub r17,r6,r17 -8112a90c: 2885c83a sub r2,r5,r2 -8112a910: 344d803a cmpltu r6,r6,r17 -8112a914: 1187c83a sub r3,r2,r6 -8112a918: 4821883a mov r16,r9 -8112a91c: 3825883a mov r18,r7 -8112a920: 003f2306 br 8112a5b0 <__reset+0xfb10a5b0> -8112a924: 24d0b03a or r8,r4,r19 -8112a928: 40001b1e bne r8,zero,8112a998 <__subdf3+0x560> -8112a92c: 0005883a mov r2,zero -8112a930: 0009883a mov r4,zero -8112a934: 0021883a mov r16,zero -8112a938: 003f4906 br 8112a660 <__reset+0xfb10a660> -8112a93c: 010007c4 movi r4,31 -8112a940: 20803a16 blt r4,r2,8112aa2c <__subdf3+0x5f4> -8112a944: 01000804 movi r4,32 -8112a948: 2089c83a sub r4,r4,r2 -8112a94c: 2912983a sll r9,r5,r4 -8112a950: 3090d83a srl r8,r6,r2 -8112a954: 3108983a sll r4,r6,r4 -8112a958: 2884d83a srl r2,r5,r2 -8112a95c: 4a12b03a or r9,r9,r8 -8112a960: 2008c03a cmpne r4,r4,zero -8112a964: 4912b03a or r9,r9,r4 -8112a968: 003f4e06 br 8112a6a4 <__reset+0xfb10a6a4> -8112a96c: 1c48b03a or r4,r3,r17 -8112a970: 20003c26 beq r4,zero,8112aa64 <__subdf3+0x62c> -8112a974: 0084303a nor r2,zero,r2 -8112a978: 1000381e bne r2,zero,8112aa5c <__subdf3+0x624> -8112a97c: 3463c83a sub r17,r6,r17 -8112a980: 28c5c83a sub r2,r5,r3 -8112a984: 344d803a cmpltu r6,r6,r17 -8112a988: 1187c83a sub r3,r2,r6 -8112a98c: 4821883a mov r16,r9 -8112a990: 3825883a mov r18,r7 -8112a994: 003f0606 br 8112a5b0 <__reset+0xfb10a5b0> -8112a998: 2023883a mov r17,r4 -8112a99c: 003f0906 br 8112a5c4 <__reset+0xfb10a5c4> -8112a9a0: 0101ffc4 movi r4,2047 -8112a9a4: 813f3a1e bne r16,r4,8112a690 <__reset+0xfb10a690> -8112a9a8: 003ec406 br 8112a4bc <__reset+0xfb10a4bc> -8112a9ac: 0005883a mov r2,zero -8112a9b0: 003fb106 br 8112a878 <__reset+0xfb10a878> -8112a9b4: 0005883a mov r2,zero -8112a9b8: 003fbc06 br 8112a8ac <__reset+0xfb10a8ac> -8112a9bc: 1c44b03a or r2,r3,r17 -8112a9c0: 80008e1e bne r16,zero,8112abfc <__subdf3+0x7c4> -8112a9c4: 1000c826 beq r2,zero,8112ace8 <__subdf3+0x8b0> -8112a9c8: 2984b03a or r2,r5,r6 -8112a9cc: 103ebb26 beq r2,zero,8112a4bc <__reset+0xfb10a4bc> -8112a9d0: 8989883a add r4,r17,r6 -8112a9d4: 1945883a add r2,r3,r5 -8112a9d8: 2447803a cmpltu r3,r4,r17 -8112a9dc: 1887883a add r3,r3,r2 -8112a9e0: 1880202c andhi r2,r3,128 -8112a9e4: 2023883a mov r17,r4 -8112a9e8: 103f1626 beq r2,zero,8112a644 <__reset+0xfb10a644> -8112a9ec: 00bfe034 movhi r2,65408 -8112a9f0: 10bfffc4 addi r2,r2,-1 -8112a9f4: 5021883a mov r16,r10 -8112a9f8: 1886703a and r3,r3,r2 -8112a9fc: 003eaf06 br 8112a4bc <__reset+0xfb10a4bc> -8112aa00: 3825883a mov r18,r7 -8112aa04: 003f0f06 br 8112a644 <__reset+0xfb10a644> -8112aa08: 1c44b03a or r2,r3,r17 -8112aa0c: 8000251e bne r16,zero,8112aaa4 <__subdf3+0x66c> -8112aa10: 1000661e bne r2,zero,8112abac <__subdf3+0x774> -8112aa14: 2990b03a or r8,r5,r6 -8112aa18: 40009626 beq r8,zero,8112ac74 <__subdf3+0x83c> -8112aa1c: 2807883a mov r3,r5 -8112aa20: 3023883a mov r17,r6 -8112aa24: 3825883a mov r18,r7 -8112aa28: 003ea406 br 8112a4bc <__reset+0xfb10a4bc> -8112aa2c: 127ff804 addi r9,r2,-32 -8112aa30: 01000804 movi r4,32 -8112aa34: 2a52d83a srl r9,r5,r9 -8112aa38: 11008c26 beq r2,r4,8112ac6c <__subdf3+0x834> -8112aa3c: 01001004 movi r4,64 -8112aa40: 2085c83a sub r2,r4,r2 -8112aa44: 2884983a sll r2,r5,r2 -8112aa48: 118cb03a or r6,r2,r6 -8112aa4c: 300cc03a cmpne r6,r6,zero -8112aa50: 4992b03a or r9,r9,r6 -8112aa54: 0005883a mov r2,zero -8112aa58: 003f1206 br 8112a6a4 <__reset+0xfb10a6a4> -8112aa5c: 0101ffc4 movi r4,2047 -8112aa60: 493f9c1e bne r9,r4,8112a8d4 <__reset+0xfb10a8d4> -8112aa64: 2807883a mov r3,r5 -8112aa68: 3023883a mov r17,r6 -8112aa6c: 4821883a mov r16,r9 -8112aa70: 3825883a mov r18,r7 -8112aa74: 003e9106 br 8112a4bc <__reset+0xfb10a4bc> -8112aa78: 80001f1e bne r16,zero,8112aaf8 <__subdf3+0x6c0> -8112aa7c: 1c48b03a or r4,r3,r17 -8112aa80: 20005a26 beq r4,zero,8112abec <__subdf3+0x7b4> -8112aa84: 0084303a nor r2,zero,r2 -8112aa88: 1000561e bne r2,zero,8112abe4 <__subdf3+0x7ac> -8112aa8c: 89a3883a add r17,r17,r6 -8112aa90: 1945883a add r2,r3,r5 -8112aa94: 898d803a cmpltu r6,r17,r6 -8112aa98: 3087883a add r3,r6,r2 -8112aa9c: 4821883a mov r16,r9 -8112aaa0: 003f0506 br 8112a6b8 <__reset+0xfb10a6b8> -8112aaa4: 10002b1e bne r2,zero,8112ab54 <__subdf3+0x71c> -8112aaa8: 2984b03a or r2,r5,r6 -8112aaac: 10008026 beq r2,zero,8112acb0 <__subdf3+0x878> -8112aab0: 2807883a mov r3,r5 -8112aab4: 3023883a mov r17,r6 -8112aab8: 3825883a mov r18,r7 -8112aabc: 0401ffc4 movi r16,2047 -8112aac0: 003e7e06 br 8112a4bc <__reset+0xfb10a4bc> -8112aac4: 3809883a mov r4,r7 -8112aac8: 0011883a mov r8,zero -8112aacc: 0005883a mov r2,zero -8112aad0: 003ee306 br 8112a660 <__reset+0xfb10a660> -8112aad4: 1c62b03a or r17,r3,r17 -8112aad8: 8822c03a cmpne r17,r17,zero -8112aadc: 0005883a mov r2,zero -8112aae0: 003f8906 br 8112a908 <__reset+0xfb10a908> -8112aae4: 3809883a mov r4,r7 -8112aae8: 4821883a mov r16,r9 -8112aaec: 0011883a mov r8,zero -8112aaf0: 0005883a mov r2,zero -8112aaf4: 003eda06 br 8112a660 <__reset+0xfb10a660> -8112aaf8: 0101ffc4 movi r4,2047 -8112aafc: 49003b26 beq r9,r4,8112abec <__subdf3+0x7b4> -8112ab00: 0085c83a sub r2,zero,r2 -8112ab04: 18c02034 orhi r3,r3,128 -8112ab08: 01000e04 movi r4,56 -8112ab0c: 20806e16 blt r4,r2,8112acc8 <__subdf3+0x890> -8112ab10: 010007c4 movi r4,31 -8112ab14: 20807716 blt r4,r2,8112acf4 <__subdf3+0x8bc> -8112ab18: 01000804 movi r4,32 -8112ab1c: 2089c83a sub r4,r4,r2 -8112ab20: 1914983a sll r10,r3,r4 -8112ab24: 8890d83a srl r8,r17,r2 -8112ab28: 8908983a sll r4,r17,r4 -8112ab2c: 1884d83a srl r2,r3,r2 -8112ab30: 5222b03a or r17,r10,r8 -8112ab34: 2006c03a cmpne r3,r4,zero -8112ab38: 88e2b03a or r17,r17,r3 -8112ab3c: 89a3883a add r17,r17,r6 -8112ab40: 1145883a add r2,r2,r5 -8112ab44: 898d803a cmpltu r6,r17,r6 -8112ab48: 3087883a add r3,r6,r2 -8112ab4c: 4821883a mov r16,r9 -8112ab50: 003ed906 br 8112a6b8 <__reset+0xfb10a6b8> -8112ab54: 2984b03a or r2,r5,r6 -8112ab58: 10004226 beq r2,zero,8112ac64 <__subdf3+0x82c> -8112ab5c: 1808d0fa srli r4,r3,3 -8112ab60: 8822d0fa srli r17,r17,3 -8112ab64: 1806977a slli r3,r3,29 -8112ab68: 2080022c andhi r2,r4,8 -8112ab6c: 1c62b03a or r17,r3,r17 -8112ab70: 10000826 beq r2,zero,8112ab94 <__subdf3+0x75c> -8112ab74: 2812d0fa srli r9,r5,3 -8112ab78: 4880022c andhi r2,r9,8 -8112ab7c: 1000051e bne r2,zero,8112ab94 <__subdf3+0x75c> -8112ab80: 300cd0fa srli r6,r6,3 -8112ab84: 2804977a slli r2,r5,29 -8112ab88: 4809883a mov r4,r9 -8112ab8c: 3825883a mov r18,r7 -8112ab90: 11a2b03a or r17,r2,r6 -8112ab94: 8806d77a srli r3,r17,29 -8112ab98: 200890fa slli r4,r4,3 -8112ab9c: 882290fa slli r17,r17,3 -8112aba0: 0401ffc4 movi r16,2047 -8112aba4: 1906b03a or r3,r3,r4 -8112aba8: 003e4406 br 8112a4bc <__reset+0xfb10a4bc> -8112abac: 2984b03a or r2,r5,r6 -8112abb0: 103e4226 beq r2,zero,8112a4bc <__reset+0xfb10a4bc> -8112abb4: 8989c83a sub r4,r17,r6 -8112abb8: 8911803a cmpltu r8,r17,r4 -8112abbc: 1945c83a sub r2,r3,r5 -8112abc0: 1205c83a sub r2,r2,r8 -8112abc4: 1200202c andhi r8,r2,128 -8112abc8: 403e9a26 beq r8,zero,8112a634 <__reset+0xfb10a634> -8112abcc: 3463c83a sub r17,r6,r17 -8112abd0: 28c5c83a sub r2,r5,r3 -8112abd4: 344d803a cmpltu r6,r6,r17 -8112abd8: 1187c83a sub r3,r2,r6 -8112abdc: 3825883a mov r18,r7 -8112abe0: 003e3606 br 8112a4bc <__reset+0xfb10a4bc> -8112abe4: 0101ffc4 movi r4,2047 -8112abe8: 493fc71e bne r9,r4,8112ab08 <__reset+0xfb10ab08> -8112abec: 2807883a mov r3,r5 -8112abf0: 3023883a mov r17,r6 -8112abf4: 4821883a mov r16,r9 -8112abf8: 003e3006 br 8112a4bc <__reset+0xfb10a4bc> -8112abfc: 10003626 beq r2,zero,8112acd8 <__subdf3+0x8a0> -8112ac00: 2984b03a or r2,r5,r6 -8112ac04: 10001726 beq r2,zero,8112ac64 <__subdf3+0x82c> -8112ac08: 1808d0fa srli r4,r3,3 -8112ac0c: 8822d0fa srli r17,r17,3 -8112ac10: 1806977a slli r3,r3,29 -8112ac14: 2080022c andhi r2,r4,8 -8112ac18: 1c62b03a or r17,r3,r17 -8112ac1c: 10000726 beq r2,zero,8112ac3c <__subdf3+0x804> -8112ac20: 2812d0fa srli r9,r5,3 -8112ac24: 4880022c andhi r2,r9,8 -8112ac28: 1000041e bne r2,zero,8112ac3c <__subdf3+0x804> -8112ac2c: 300cd0fa srli r6,r6,3 -8112ac30: 2804977a slli r2,r5,29 -8112ac34: 4809883a mov r4,r9 -8112ac38: 11a2b03a or r17,r2,r6 -8112ac3c: 8806d77a srli r3,r17,29 -8112ac40: 200890fa slli r4,r4,3 -8112ac44: 882290fa slli r17,r17,3 -8112ac48: 3825883a mov r18,r7 -8112ac4c: 1906b03a or r3,r3,r4 -8112ac50: 0401ffc4 movi r16,2047 -8112ac54: 003e1906 br 8112a4bc <__reset+0xfb10a4bc> -8112ac58: 000b883a mov r5,zero -8112ac5c: 0005883a mov r2,zero -8112ac60: 003e2e06 br 8112a51c <__reset+0xfb10a51c> -8112ac64: 0401ffc4 movi r16,2047 -8112ac68: 003e1406 br 8112a4bc <__reset+0xfb10a4bc> -8112ac6c: 0005883a mov r2,zero -8112ac70: 003f7506 br 8112aa48 <__reset+0xfb10aa48> -8112ac74: 0005883a mov r2,zero -8112ac78: 0009883a mov r4,zero -8112ac7c: 003e7806 br 8112a660 <__reset+0xfb10a660> -8112ac80: 123ff804 addi r8,r2,-32 -8112ac84: 01000804 movi r4,32 -8112ac88: 1a10d83a srl r8,r3,r8 -8112ac8c: 11002526 beq r2,r4,8112ad24 <__subdf3+0x8ec> -8112ac90: 01001004 movi r4,64 -8112ac94: 2085c83a sub r2,r4,r2 -8112ac98: 1884983a sll r2,r3,r2 -8112ac9c: 1444b03a or r2,r2,r17 -8112aca0: 1004c03a cmpne r2,r2,zero -8112aca4: 40a2b03a or r17,r8,r2 -8112aca8: 0005883a mov r2,zero -8112acac: 003f1606 br 8112a908 <__reset+0xfb10a908> -8112acb0: 02000434 movhi r8,16 -8112acb4: 0009883a mov r4,zero -8112acb8: 423fffc4 addi r8,r8,-1 -8112acbc: 00bfffc4 movi r2,-1 -8112acc0: 0401ffc4 movi r16,2047 -8112acc4: 003e6606 br 8112a660 <__reset+0xfb10a660> -8112acc8: 1c62b03a or r17,r3,r17 -8112accc: 8822c03a cmpne r17,r17,zero -8112acd0: 0005883a mov r2,zero -8112acd4: 003f9906 br 8112ab3c <__reset+0xfb10ab3c> -8112acd8: 2807883a mov r3,r5 -8112acdc: 3023883a mov r17,r6 -8112ace0: 0401ffc4 movi r16,2047 -8112ace4: 003df506 br 8112a4bc <__reset+0xfb10a4bc> -8112ace8: 2807883a mov r3,r5 -8112acec: 3023883a mov r17,r6 -8112acf0: 003df206 br 8112a4bc <__reset+0xfb10a4bc> -8112acf4: 123ff804 addi r8,r2,-32 -8112acf8: 01000804 movi r4,32 -8112acfc: 1a10d83a srl r8,r3,r8 -8112ad00: 11000a26 beq r2,r4,8112ad2c <__subdf3+0x8f4> -8112ad04: 01001004 movi r4,64 -8112ad08: 2085c83a sub r2,r4,r2 -8112ad0c: 1884983a sll r2,r3,r2 -8112ad10: 1444b03a or r2,r2,r17 -8112ad14: 1004c03a cmpne r2,r2,zero -8112ad18: 40a2b03a or r17,r8,r2 -8112ad1c: 0005883a mov r2,zero -8112ad20: 003f8606 br 8112ab3c <__reset+0xfb10ab3c> -8112ad24: 0005883a mov r2,zero -8112ad28: 003fdc06 br 8112ac9c <__reset+0xfb10ac9c> -8112ad2c: 0005883a mov r2,zero -8112ad30: 003ff706 br 8112ad10 <__reset+0xfb10ad10> - -8112ad34 <__fixdfsi>: -8112ad34: 280cd53a srli r6,r5,20 -8112ad38: 00c00434 movhi r3,16 -8112ad3c: 18ffffc4 addi r3,r3,-1 -8112ad40: 3181ffcc andi r6,r6,2047 -8112ad44: 01c0ff84 movi r7,1022 -8112ad48: 28c6703a and r3,r5,r3 -8112ad4c: 280ad7fa srli r5,r5,31 -8112ad50: 3980120e bge r7,r6,8112ad9c <__fixdfsi+0x68> -8112ad54: 00810744 movi r2,1053 -8112ad58: 11800c16 blt r2,r6,8112ad8c <__fixdfsi+0x58> -8112ad5c: 00810cc4 movi r2,1075 -8112ad60: 1185c83a sub r2,r2,r6 -8112ad64: 01c007c4 movi r7,31 -8112ad68: 18c00434 orhi r3,r3,16 -8112ad6c: 38800d16 blt r7,r2,8112ada4 <__fixdfsi+0x70> -8112ad70: 31befb44 addi r6,r6,-1043 -8112ad74: 2084d83a srl r2,r4,r2 -8112ad78: 1986983a sll r3,r3,r6 -8112ad7c: 1884b03a or r2,r3,r2 -8112ad80: 28000726 beq r5,zero,8112ada0 <__fixdfsi+0x6c> -8112ad84: 0085c83a sub r2,zero,r2 -8112ad88: f800283a ret -8112ad8c: 00a00034 movhi r2,32768 -8112ad90: 10bfffc4 addi r2,r2,-1 -8112ad94: 2885883a add r2,r5,r2 -8112ad98: f800283a ret -8112ad9c: 0005883a mov r2,zero -8112ada0: f800283a ret -8112ada4: 008104c4 movi r2,1043 -8112ada8: 1185c83a sub r2,r2,r6 -8112adac: 1884d83a srl r2,r3,r2 -8112adb0: 003ff306 br 8112ad80 <__reset+0xfb10ad80> - -8112adb4 <__floatsidf>: -8112adb4: defffd04 addi sp,sp,-12 -8112adb8: dfc00215 stw ra,8(sp) -8112adbc: dc400115 stw r17,4(sp) -8112adc0: dc000015 stw r16,0(sp) -8112adc4: 20002b26 beq r4,zero,8112ae74 <__floatsidf+0xc0> -8112adc8: 2023883a mov r17,r4 -8112adcc: 2020d7fa srli r16,r4,31 -8112add0: 20002d16 blt r4,zero,8112ae88 <__floatsidf+0xd4> -8112add4: 8809883a mov r4,r17 -8112add8: 112b1f00 call 8112b1f0 <__clzsi2> -8112addc: 01410784 movi r5,1054 -8112ade0: 288bc83a sub r5,r5,r2 -8112ade4: 01010cc4 movi r4,1075 -8112ade8: 2149c83a sub r4,r4,r5 -8112adec: 00c007c4 movi r3,31 -8112adf0: 1900160e bge r3,r4,8112ae4c <__floatsidf+0x98> -8112adf4: 00c104c4 movi r3,1043 -8112adf8: 1947c83a sub r3,r3,r5 -8112adfc: 88c6983a sll r3,r17,r3 -8112ae00: 00800434 movhi r2,16 -8112ae04: 10bfffc4 addi r2,r2,-1 -8112ae08: 1886703a and r3,r3,r2 -8112ae0c: 2941ffcc andi r5,r5,2047 -8112ae10: 800d883a mov r6,r16 -8112ae14: 0005883a mov r2,zero -8112ae18: 280a953a slli r5,r5,20 -8112ae1c: 31803fcc andi r6,r6,255 -8112ae20: 01000434 movhi r4,16 -8112ae24: 300c97fa slli r6,r6,31 -8112ae28: 213fffc4 addi r4,r4,-1 -8112ae2c: 1906703a and r3,r3,r4 -8112ae30: 1946b03a or r3,r3,r5 -8112ae34: 1986b03a or r3,r3,r6 -8112ae38: dfc00217 ldw ra,8(sp) -8112ae3c: dc400117 ldw r17,4(sp) -8112ae40: dc000017 ldw r16,0(sp) -8112ae44: dec00304 addi sp,sp,12 -8112ae48: f800283a ret -8112ae4c: 00c002c4 movi r3,11 -8112ae50: 1887c83a sub r3,r3,r2 -8112ae54: 88c6d83a srl r3,r17,r3 -8112ae58: 8904983a sll r2,r17,r4 -8112ae5c: 01000434 movhi r4,16 -8112ae60: 213fffc4 addi r4,r4,-1 -8112ae64: 2941ffcc andi r5,r5,2047 -8112ae68: 1906703a and r3,r3,r4 -8112ae6c: 800d883a mov r6,r16 -8112ae70: 003fe906 br 8112ae18 <__reset+0xfb10ae18> -8112ae74: 000d883a mov r6,zero -8112ae78: 000b883a mov r5,zero -8112ae7c: 0007883a mov r3,zero -8112ae80: 0005883a mov r2,zero -8112ae84: 003fe406 br 8112ae18 <__reset+0xfb10ae18> -8112ae88: 0123c83a sub r17,zero,r4 -8112ae8c: 003fd106 br 8112add4 <__reset+0xfb10add4> - -8112ae90 <__floatunsidf>: -8112ae90: defffe04 addi sp,sp,-8 -8112ae94: dc000015 stw r16,0(sp) -8112ae98: dfc00115 stw ra,4(sp) -8112ae9c: 2021883a mov r16,r4 -8112aea0: 20002226 beq r4,zero,8112af2c <__floatunsidf+0x9c> -8112aea4: 112b1f00 call 8112b1f0 <__clzsi2> -8112aea8: 01010784 movi r4,1054 -8112aeac: 2089c83a sub r4,r4,r2 -8112aeb0: 01810cc4 movi r6,1075 -8112aeb4: 310dc83a sub r6,r6,r4 -8112aeb8: 00c007c4 movi r3,31 -8112aebc: 1980120e bge r3,r6,8112af08 <__floatunsidf+0x78> -8112aec0: 00c104c4 movi r3,1043 -8112aec4: 1907c83a sub r3,r3,r4 -8112aec8: 80ca983a sll r5,r16,r3 -8112aecc: 00800434 movhi r2,16 -8112aed0: 10bfffc4 addi r2,r2,-1 -8112aed4: 2101ffcc andi r4,r4,2047 -8112aed8: 0021883a mov r16,zero -8112aedc: 288a703a and r5,r5,r2 -8112aee0: 2008953a slli r4,r4,20 -8112aee4: 00c00434 movhi r3,16 -8112aee8: 18ffffc4 addi r3,r3,-1 -8112aeec: 28c6703a and r3,r5,r3 -8112aef0: 8005883a mov r2,r16 -8112aef4: 1906b03a or r3,r3,r4 -8112aef8: dfc00117 ldw ra,4(sp) -8112aefc: dc000017 ldw r16,0(sp) -8112af00: dec00204 addi sp,sp,8 -8112af04: f800283a ret -8112af08: 00c002c4 movi r3,11 -8112af0c: 188bc83a sub r5,r3,r2 -8112af10: 814ad83a srl r5,r16,r5 -8112af14: 00c00434 movhi r3,16 -8112af18: 18ffffc4 addi r3,r3,-1 -8112af1c: 81a0983a sll r16,r16,r6 -8112af20: 2101ffcc andi r4,r4,2047 -8112af24: 28ca703a and r5,r5,r3 -8112af28: 003fed06 br 8112aee0 <__reset+0xfb10aee0> -8112af2c: 0009883a mov r4,zero -8112af30: 000b883a mov r5,zero -8112af34: 003fea06 br 8112aee0 <__reset+0xfb10aee0> - -8112af38 <__extendsfdf2>: -8112af38: 200ad5fa srli r5,r4,23 -8112af3c: defffd04 addi sp,sp,-12 -8112af40: dc400115 stw r17,4(sp) -8112af44: 29403fcc andi r5,r5,255 -8112af48: 29800044 addi r6,r5,1 -8112af4c: 04402034 movhi r17,128 -8112af50: dc000015 stw r16,0(sp) -8112af54: 8c7fffc4 addi r17,r17,-1 -8112af58: dfc00215 stw ra,8(sp) -8112af5c: 31803fcc andi r6,r6,255 -8112af60: 00800044 movi r2,1 -8112af64: 8922703a and r17,r17,r4 -8112af68: 2020d7fa srli r16,r4,31 -8112af6c: 1180110e bge r2,r6,8112afb4 <__extendsfdf2+0x7c> -8112af70: 880cd0fa srli r6,r17,3 -8112af74: 8822977a slli r17,r17,29 -8112af78: 2940e004 addi r5,r5,896 -8112af7c: 2941ffcc andi r5,r5,2047 -8112af80: 2804953a slli r2,r5,20 -8112af84: 01400434 movhi r5,16 -8112af88: 800697fa slli r3,r16,31 -8112af8c: 297fffc4 addi r5,r5,-1 -8112af90: 314a703a and r5,r6,r5 -8112af94: 288ab03a or r5,r5,r2 -8112af98: 28c6b03a or r3,r5,r3 -8112af9c: 8805883a mov r2,r17 -8112afa0: dfc00217 ldw ra,8(sp) -8112afa4: dc400117 ldw r17,4(sp) -8112afa8: dc000017 ldw r16,0(sp) -8112afac: dec00304 addi sp,sp,12 -8112afb0: f800283a ret -8112afb4: 2800111e bne r5,zero,8112affc <__extendsfdf2+0xc4> -8112afb8: 88001c26 beq r17,zero,8112b02c <__extendsfdf2+0xf4> -8112afbc: 8809883a mov r4,r17 -8112afc0: 112b1f00 call 8112b1f0 <__clzsi2> -8112afc4: 00c00284 movi r3,10 -8112afc8: 18801b16 blt r3,r2,8112b038 <__extendsfdf2+0x100> -8112afcc: 018002c4 movi r6,11 -8112afd0: 308dc83a sub r6,r6,r2 -8112afd4: 11000544 addi r4,r2,21 -8112afd8: 8986d83a srl r3,r17,r6 -8112afdc: 8922983a sll r17,r17,r4 -8112afe0: 0180e244 movi r6,905 -8112afe4: 01400434 movhi r5,16 -8112afe8: 3085c83a sub r2,r6,r2 -8112afec: 297fffc4 addi r5,r5,-1 -8112aff0: 194c703a and r6,r3,r5 -8112aff4: 1141ffcc andi r5,r2,2047 -8112aff8: 003fe006 br 8112af7c <__reset+0xfb10af7c> -8112affc: 88000826 beq r17,zero,8112b020 <__extendsfdf2+0xe8> -8112b000: 880cd0fa srli r6,r17,3 -8112b004: 00800434 movhi r2,16 -8112b008: 10bfffc4 addi r2,r2,-1 -8112b00c: 31800234 orhi r6,r6,8 -8112b010: 8822977a slli r17,r17,29 -8112b014: 308c703a and r6,r6,r2 -8112b018: 0141ffc4 movi r5,2047 -8112b01c: 003fd706 br 8112af7c <__reset+0xfb10af7c> -8112b020: 0141ffc4 movi r5,2047 -8112b024: 000d883a mov r6,zero -8112b028: 003fd406 br 8112af7c <__reset+0xfb10af7c> -8112b02c: 000b883a mov r5,zero -8112b030: 000d883a mov r6,zero -8112b034: 003fd106 br 8112af7c <__reset+0xfb10af7c> -8112b038: 11bffd44 addi r6,r2,-11 -8112b03c: 8986983a sll r3,r17,r6 -8112b040: 0023883a mov r17,zero -8112b044: 003fe606 br 8112afe0 <__reset+0xfb10afe0> - -8112b048 <__truncdfsf2>: -8112b048: 2810d53a srli r8,r5,20 -8112b04c: 01c00434 movhi r7,16 -8112b050: 39ffffc4 addi r7,r7,-1 -8112b054: 29ce703a and r7,r5,r7 -8112b058: 4201ffcc andi r8,r8,2047 -8112b05c: 380e90fa slli r7,r7,3 -8112b060: 200cd77a srli r6,r4,29 -8112b064: 42400044 addi r9,r8,1 -8112b068: 4a41ffcc andi r9,r9,2047 -8112b06c: 00c00044 movi r3,1 -8112b070: 280ad7fa srli r5,r5,31 -8112b074: 31ceb03a or r7,r6,r7 -8112b078: 200490fa slli r2,r4,3 -8112b07c: 1a40230e bge r3,r9,8112b10c <__truncdfsf2+0xc4> -8112b080: 40ff2004 addi r3,r8,-896 -8112b084: 01803f84 movi r6,254 -8112b088: 30c01516 blt r6,r3,8112b0e0 <__truncdfsf2+0x98> -8112b08c: 00c0380e bge zero,r3,8112b170 <__truncdfsf2+0x128> -8112b090: 200c91ba slli r6,r4,6 -8112b094: 380e90fa slli r7,r7,3 -8112b098: 1004d77a srli r2,r2,29 -8112b09c: 300cc03a cmpne r6,r6,zero -8112b0a0: 31ccb03a or r6,r6,r7 -8112b0a4: 308cb03a or r6,r6,r2 -8112b0a8: 308001cc andi r2,r6,7 -8112b0ac: 10000426 beq r2,zero,8112b0c0 <__truncdfsf2+0x78> -8112b0b0: 308003cc andi r2,r6,15 -8112b0b4: 01000104 movi r4,4 -8112b0b8: 11000126 beq r2,r4,8112b0c0 <__truncdfsf2+0x78> -8112b0bc: 31800104 addi r6,r6,4 -8112b0c0: 3081002c andhi r2,r6,1024 -8112b0c4: 10001626 beq r2,zero,8112b120 <__truncdfsf2+0xd8> -8112b0c8: 18c00044 addi r3,r3,1 -8112b0cc: 00803fc4 movi r2,255 -8112b0d0: 18800326 beq r3,r2,8112b0e0 <__truncdfsf2+0x98> -8112b0d4: 300c91ba slli r6,r6,6 -8112b0d8: 300cd27a srli r6,r6,9 -8112b0dc: 00000206 br 8112b0e8 <__truncdfsf2+0xa0> -8112b0e0: 00ffffc4 movi r3,-1 -8112b0e4: 000d883a mov r6,zero -8112b0e8: 18c03fcc andi r3,r3,255 -8112b0ec: 180895fa slli r4,r3,23 -8112b0f0: 00c02034 movhi r3,128 -8112b0f4: 280a97fa slli r5,r5,31 -8112b0f8: 18ffffc4 addi r3,r3,-1 -8112b0fc: 30c6703a and r3,r6,r3 -8112b100: 1906b03a or r3,r3,r4 -8112b104: 1944b03a or r2,r3,r5 -8112b108: f800283a ret -8112b10c: 40000b1e bne r8,zero,8112b13c <__truncdfsf2+0xf4> -8112b110: 388cb03a or r6,r7,r2 -8112b114: 0007883a mov r3,zero -8112b118: 30000426 beq r6,zero,8112b12c <__truncdfsf2+0xe4> -8112b11c: 01800144 movi r6,5 -8112b120: 00803fc4 movi r2,255 -8112b124: 300cd0fa srli r6,r6,3 -8112b128: 18800a26 beq r3,r2,8112b154 <__truncdfsf2+0x10c> -8112b12c: 00802034 movhi r2,128 -8112b130: 10bfffc4 addi r2,r2,-1 -8112b134: 308c703a and r6,r6,r2 -8112b138: 003feb06 br 8112b0e8 <__reset+0xfb10b0e8> -8112b13c: 3888b03a or r4,r7,r2 -8112b140: 203fe726 beq r4,zero,8112b0e0 <__reset+0xfb10b0e0> -8112b144: 380c90fa slli r6,r7,3 -8112b148: 00c03fc4 movi r3,255 -8112b14c: 31808034 orhi r6,r6,512 -8112b150: 003fd506 br 8112b0a8 <__reset+0xfb10b0a8> -8112b154: 303fe226 beq r6,zero,8112b0e0 <__reset+0xfb10b0e0> -8112b158: 00802034 movhi r2,128 -8112b15c: 31801034 orhi r6,r6,64 -8112b160: 10bfffc4 addi r2,r2,-1 -8112b164: 00ffffc4 movi r3,-1 -8112b168: 308c703a and r6,r6,r2 -8112b16c: 003fde06 br 8112b0e8 <__reset+0xfb10b0e8> -8112b170: 013ffa44 movi r4,-23 -8112b174: 19000e16 blt r3,r4,8112b1b0 <__truncdfsf2+0x168> -8112b178: 01000784 movi r4,30 -8112b17c: 20c9c83a sub r4,r4,r3 -8112b180: 018007c4 movi r6,31 -8112b184: 39c02034 orhi r7,r7,128 -8112b188: 31000b16 blt r6,r4,8112b1b8 <__truncdfsf2+0x170> -8112b18c: 423f2084 addi r8,r8,-894 -8112b190: 120c983a sll r6,r2,r8 -8112b194: 3a0e983a sll r7,r7,r8 -8112b198: 1104d83a srl r2,r2,r4 -8112b19c: 300cc03a cmpne r6,r6,zero -8112b1a0: 31ceb03a or r7,r6,r7 -8112b1a4: 388cb03a or r6,r7,r2 -8112b1a8: 0007883a mov r3,zero -8112b1ac: 003fbe06 br 8112b0a8 <__reset+0xfb10b0a8> -8112b1b0: 0007883a mov r3,zero -8112b1b4: 003fd906 br 8112b11c <__reset+0xfb10b11c> -8112b1b8: 01bfff84 movi r6,-2 -8112b1bc: 30cdc83a sub r6,r6,r3 -8112b1c0: 00c00804 movi r3,32 -8112b1c4: 398cd83a srl r6,r7,r6 -8112b1c8: 20c00726 beq r4,r3,8112b1e8 <__truncdfsf2+0x1a0> -8112b1cc: 423f2884 addi r8,r8,-862 -8112b1d0: 3a0e983a sll r7,r7,r8 -8112b1d4: 3884b03a or r2,r7,r2 -8112b1d8: 1004c03a cmpne r2,r2,zero -8112b1dc: 118cb03a or r6,r2,r6 -8112b1e0: 0007883a mov r3,zero -8112b1e4: 003fb006 br 8112b0a8 <__reset+0xfb10b0a8> -8112b1e8: 000f883a mov r7,zero -8112b1ec: 003ff906 br 8112b1d4 <__reset+0xfb10b1d4> - -8112b1f0 <__clzsi2>: -8112b1f0: 00bfffd4 movui r2,65535 -8112b1f4: 11000536 bltu r2,r4,8112b20c <__clzsi2+0x1c> -8112b1f8: 00803fc4 movi r2,255 -8112b1fc: 11000f36 bltu r2,r4,8112b23c <__clzsi2+0x4c> -8112b200: 00800804 movi r2,32 -8112b204: 0007883a mov r3,zero -8112b208: 00000506 br 8112b220 <__clzsi2+0x30> -8112b20c: 00804034 movhi r2,256 -8112b210: 10bfffc4 addi r2,r2,-1 -8112b214: 11000c2e bgeu r2,r4,8112b248 <__clzsi2+0x58> -8112b218: 00800204 movi r2,8 -8112b21c: 00c00604 movi r3,24 -8112b220: 20c8d83a srl r4,r4,r3 -8112b224: 00e04574 movhi r3,33045 -8112b228: 18fdca04 addi r3,r3,-2264 -8112b22c: 1909883a add r4,r3,r4 -8112b230: 20c00003 ldbu r3,0(r4) -8112b234: 10c5c83a sub r2,r2,r3 -8112b238: f800283a ret -8112b23c: 00800604 movi r2,24 -8112b240: 00c00204 movi r3,8 -8112b244: 003ff606 br 8112b220 <__reset+0xfb10b220> -8112b248: 00800404 movi r2,16 -8112b24c: 1007883a mov r3,r2 -8112b250: 003ff306 br 8112b220 <__reset+0xfb10b220> - -8112b254 <__divsi3>: -8112b254: 20001b16 blt r4,zero,8112b2c4 <__divsi3+0x70> -8112b258: 000f883a mov r7,zero -8112b25c: 28001616 blt r5,zero,8112b2b8 <__divsi3+0x64> -8112b260: 200d883a mov r6,r4 -8112b264: 29001a2e bgeu r5,r4,8112b2d0 <__divsi3+0x7c> -8112b268: 00800804 movi r2,32 -8112b26c: 00c00044 movi r3,1 -8112b270: 00000106 br 8112b278 <__divsi3+0x24> -8112b274: 10000d26 beq r2,zero,8112b2ac <__divsi3+0x58> -8112b278: 294b883a add r5,r5,r5 -8112b27c: 10bfffc4 addi r2,r2,-1 -8112b280: 18c7883a add r3,r3,r3 -8112b284: 293ffb36 bltu r5,r4,8112b274 <__reset+0xfb10b274> -8112b288: 0005883a mov r2,zero -8112b28c: 18000726 beq r3,zero,8112b2ac <__divsi3+0x58> -8112b290: 0005883a mov r2,zero -8112b294: 31400236 bltu r6,r5,8112b2a0 <__divsi3+0x4c> -8112b298: 314dc83a sub r6,r6,r5 -8112b29c: 10c4b03a or r2,r2,r3 -8112b2a0: 1806d07a srli r3,r3,1 -8112b2a4: 280ad07a srli r5,r5,1 -8112b2a8: 183ffa1e bne r3,zero,8112b294 <__reset+0xfb10b294> -8112b2ac: 38000126 beq r7,zero,8112b2b4 <__divsi3+0x60> -8112b2b0: 0085c83a sub r2,zero,r2 -8112b2b4: f800283a ret -8112b2b8: 014bc83a sub r5,zero,r5 -8112b2bc: 39c0005c xori r7,r7,1 -8112b2c0: 003fe706 br 8112b260 <__reset+0xfb10b260> -8112b2c4: 0109c83a sub r4,zero,r4 -8112b2c8: 01c00044 movi r7,1 -8112b2cc: 003fe306 br 8112b25c <__reset+0xfb10b25c> -8112b2d0: 00c00044 movi r3,1 -8112b2d4: 003fee06 br 8112b290 <__reset+0xfb10b290> - -8112b2d8 <__modsi3>: -8112b2d8: 20001716 blt r4,zero,8112b338 <__modsi3+0x60> -8112b2dc: 000f883a mov r7,zero -8112b2e0: 2005883a mov r2,r4 -8112b2e4: 28001216 blt r5,zero,8112b330 <__modsi3+0x58> -8112b2e8: 2900162e bgeu r5,r4,8112b344 <__modsi3+0x6c> -8112b2ec: 01800804 movi r6,32 -8112b2f0: 00c00044 movi r3,1 -8112b2f4: 00000106 br 8112b2fc <__modsi3+0x24> -8112b2f8: 30000a26 beq r6,zero,8112b324 <__modsi3+0x4c> -8112b2fc: 294b883a add r5,r5,r5 -8112b300: 31bfffc4 addi r6,r6,-1 -8112b304: 18c7883a add r3,r3,r3 -8112b308: 293ffb36 bltu r5,r4,8112b2f8 <__reset+0xfb10b2f8> -8112b30c: 18000526 beq r3,zero,8112b324 <__modsi3+0x4c> -8112b310: 1806d07a srli r3,r3,1 -8112b314: 11400136 bltu r2,r5,8112b31c <__modsi3+0x44> -8112b318: 1145c83a sub r2,r2,r5 -8112b31c: 280ad07a srli r5,r5,1 -8112b320: 183ffb1e bne r3,zero,8112b310 <__reset+0xfb10b310> -8112b324: 38000126 beq r7,zero,8112b32c <__modsi3+0x54> -8112b328: 0085c83a sub r2,zero,r2 -8112b32c: f800283a ret -8112b330: 014bc83a sub r5,zero,r5 -8112b334: 003fec06 br 8112b2e8 <__reset+0xfb10b2e8> -8112b338: 0109c83a sub r4,zero,r4 -8112b33c: 01c00044 movi r7,1 -8112b340: 003fe706 br 8112b2e0 <__reset+0xfb10b2e0> -8112b344: 00c00044 movi r3,1 -8112b348: 003ff106 br 8112b310 <__reset+0xfb10b310> - -8112b34c <__udivsi3>: -8112b34c: 200d883a mov r6,r4 -8112b350: 2900152e bgeu r5,r4,8112b3a8 <__udivsi3+0x5c> -8112b354: 28001416 blt r5,zero,8112b3a8 <__udivsi3+0x5c> -8112b358: 00800804 movi r2,32 -8112b35c: 00c00044 movi r3,1 -8112b360: 00000206 br 8112b36c <__udivsi3+0x20> -8112b364: 10000e26 beq r2,zero,8112b3a0 <__udivsi3+0x54> -8112b368: 28000516 blt r5,zero,8112b380 <__udivsi3+0x34> -8112b36c: 294b883a add r5,r5,r5 -8112b370: 10bfffc4 addi r2,r2,-1 -8112b374: 18c7883a add r3,r3,r3 -8112b378: 293ffa36 bltu r5,r4,8112b364 <__reset+0xfb10b364> -8112b37c: 18000826 beq r3,zero,8112b3a0 <__udivsi3+0x54> -8112b380: 0005883a mov r2,zero -8112b384: 31400236 bltu r6,r5,8112b390 <__udivsi3+0x44> -8112b388: 314dc83a sub r6,r6,r5 -8112b38c: 10c4b03a or r2,r2,r3 -8112b390: 1806d07a srli r3,r3,1 -8112b394: 280ad07a srli r5,r5,1 -8112b398: 183ffa1e bne r3,zero,8112b384 <__reset+0xfb10b384> -8112b39c: f800283a ret -8112b3a0: 0005883a mov r2,zero -8112b3a4: f800283a ret -8112b3a8: 00c00044 movi r3,1 -8112b3ac: 003ff406 br 8112b380 <__reset+0xfb10b380> - -8112b3b0 <__umodsi3>: -8112b3b0: 2005883a mov r2,r4 -8112b3b4: 2900122e bgeu r5,r4,8112b400 <__umodsi3+0x50> -8112b3b8: 28001116 blt r5,zero,8112b400 <__umodsi3+0x50> -8112b3bc: 01800804 movi r6,32 -8112b3c0: 00c00044 movi r3,1 -8112b3c4: 00000206 br 8112b3d0 <__umodsi3+0x20> -8112b3c8: 30000c26 beq r6,zero,8112b3fc <__umodsi3+0x4c> -8112b3cc: 28000516 blt r5,zero,8112b3e4 <__umodsi3+0x34> -8112b3d0: 294b883a add r5,r5,r5 -8112b3d4: 31bfffc4 addi r6,r6,-1 -8112b3d8: 18c7883a add r3,r3,r3 -8112b3dc: 293ffa36 bltu r5,r4,8112b3c8 <__reset+0xfb10b3c8> -8112b3e0: 18000626 beq r3,zero,8112b3fc <__umodsi3+0x4c> -8112b3e4: 1806d07a srli r3,r3,1 -8112b3e8: 11400136 bltu r2,r5,8112b3f0 <__umodsi3+0x40> -8112b3ec: 1145c83a sub r2,r2,r5 -8112b3f0: 280ad07a srli r5,r5,1 -8112b3f4: 183ffb1e bne r3,zero,8112b3e4 <__reset+0xfb10b3e4> -8112b3f8: f800283a ret -8112b3fc: f800283a ret +811299c0: 4025883a mov r18,r8 +811299c4: 003f3106 br 8112968c <__reset+0xfb10968c> +811299c8: 008000c4 movi r2,3 +811299cc: 3880a626 beq r7,r2,81129c68 <__divdf3+0x8cc> +811299d0: 00800044 movi r2,1 +811299d4: 3880521e bne r7,r2,81129b20 <__divdf3+0x784> +811299d8: b807883a mov r3,r23 +811299dc: 0005883a mov r2,zero +811299e0: 000b883a mov r5,zero +811299e4: 0025883a mov r18,zero +811299e8: 003ecb06 br 81129518 <__reset+0xfb109518> +811299ec: 00800434 movhi r2,16 +811299f0: 81400234 orhi r5,r16,8 +811299f4: 10bfffc4 addi r2,r2,-1 +811299f8: a007883a mov r3,r20 +811299fc: 288a703a and r5,r5,r2 +81129a00: 003f2206 br 8112968c <__reset+0xfb10968c> +81129a04: 183fca26 beq r3,zero,81129930 <__reset+0xfb109930> +81129a08: e5ef883a add r23,fp,r23 +81129a0c: 40bfffc4 addi r2,r8,-1 +81129a10: bf00392e bgeu r23,fp,81129af8 <__divdf3+0x75c> +81129a14: 1011883a mov r8,r2 +81129a18: ba7fc41e bne r23,r9,8112992c <__reset+0xfb10992c> +81129a1c: b0ffc31e bne r22,r3,8112992c <__reset+0xfb10992c> +81129a20: 003fc306 br 81129930 <__reset+0xfb109930> +81129a24: 143ff604 addi r16,r2,-40 +81129a28: 9c20983a sll r16,r19,r16 +81129a2c: 0025883a mov r18,zero +81129a30: 003ee206 br 811295bc <__reset+0xfb1095bc> +81129a34: d9800315 stw r6,12(sp) +81129a38: d9c00215 stw r7,8(sp) +81129a3c: 112b2300 call 8112b230 <__clzsi2> +81129a40: 10800804 addi r2,r2,32 +81129a44: d9c00217 ldw r7,8(sp) +81129a48: d9800317 ldw r6,12(sp) +81129a4c: 003ed106 br 81129594 <__reset+0xfb109594> +81129a50: 147ff604 addi r17,r2,-40 +81129a54: 3462983a sll r17,r6,r17 +81129a58: 0011883a mov r8,zero +81129a5c: 003ef506 br 81129634 <__reset+0xfb109634> +81129a60: 3009883a mov r4,r6 +81129a64: d9800315 stw r6,12(sp) +81129a68: da400115 stw r9,4(sp) +81129a6c: 112b2300 call 8112b230 <__clzsi2> +81129a70: 10800804 addi r2,r2,32 +81129a74: da400117 ldw r9,4(sp) +81129a78: d9800317 ldw r6,12(sp) +81129a7c: 003ee306 br 8112960c <__reset+0xfb10960c> +81129a80: 85a1883a add r16,r16,r22 +81129a84: 8585803a cmpltu r2,r16,r22 +81129a88: 1705883a add r2,r2,fp +81129a8c: 14a5883a add r18,r2,r18 +81129a90: 88bfffc4 addi r2,r17,-1 +81129a94: e4800c2e bgeu fp,r18,81129ac8 <__divdf3+0x72c> +81129a98: 90c03e36 bltu r18,r3,81129b94 <__divdf3+0x7f8> +81129a9c: 1c806926 beq r3,r18,81129c44 <__divdf3+0x8a8> +81129aa0: 90c7c83a sub r3,r18,r3 +81129aa4: 1023883a mov r17,r2 +81129aa8: 003f5206 br 811297f4 <__reset+0xfb1097f4> +81129aac: 923f0436 bltu r18,r8,811296c0 <__reset+0xfb1096c0> +81129ab0: 800897fa slli r4,r16,31 +81129ab4: 9004d07a srli r2,r18,1 +81129ab8: 8006d07a srli r3,r16,1 +81129abc: 902097fa slli r16,r18,31 +81129ac0: 20a4b03a or r18,r4,r2 +81129ac4: 003f0106 br 811296cc <__reset+0xfb1096cc> +81129ac8: e4bff51e bne fp,r18,81129aa0 <__reset+0xfb109aa0> +81129acc: 85bff22e bgeu r16,r22,81129a98 <__reset+0xfb109a98> +81129ad0: e0c7c83a sub r3,fp,r3 +81129ad4: 1023883a mov r17,r2 +81129ad8: 003f4606 br 811297f4 <__reset+0xfb1097f4> +81129adc: 100f883a mov r7,r2 +81129ae0: 003f2b06 br 81129790 <__reset+0xfb109790> +81129ae4: 180d883a mov r6,r3 +81129ae8: 003f1306 br 81129738 <__reset+0xfb109738> +81129aec: 813fe436 bltu r16,r4,81129a80 <__reset+0xfb109a80> +81129af0: 0007883a mov r3,zero +81129af4: 003f3f06 br 811297f4 <__reset+0xfb1097f4> +81129af8: ba402c36 bltu r23,r9,81129bac <__divdf3+0x810> +81129afc: 4dc05426 beq r9,r23,81129c50 <__divdf3+0x8b4> +81129b00: 1011883a mov r8,r2 +81129b04: 003f8906 br 8112992c <__reset+0xfb10992c> +81129b08: 023fffc4 movi r8,-1 +81129b0c: 003f8806 br 81129930 <__reset+0xfb109930> +81129b10: 1811883a mov r8,r3 +81129b14: 003f5406 br 81129868 <__reset+0xfb109868> +81129b18: 1025883a mov r18,r2 +81129b1c: 003f6d06 br 811298d4 <__reset+0xfb1098d4> +81129b20: b827883a mov r19,r23 +81129b24: 003f8206 br 81129930 <__reset+0xfb109930> +81129b28: 010007c4 movi r4,31 +81129b2c: 20c02616 blt r4,r3,81129bc8 <__divdf3+0x82c> +81129b30: 00800804 movi r2,32 +81129b34: 10c5c83a sub r2,r2,r3 +81129b38: 888a983a sll r5,r17,r2 +81129b3c: 40c8d83a srl r4,r8,r3 +81129b40: 4084983a sll r2,r8,r2 +81129b44: 88e2d83a srl r17,r17,r3 +81129b48: 2906b03a or r3,r5,r4 +81129b4c: 1004c03a cmpne r2,r2,zero +81129b50: 1886b03a or r3,r3,r2 +81129b54: 188001cc andi r2,r3,7 +81129b58: 10000726 beq r2,zero,81129b78 <__divdf3+0x7dc> +81129b5c: 188003cc andi r2,r3,15 +81129b60: 01000104 movi r4,4 +81129b64: 11000426 beq r2,r4,81129b78 <__divdf3+0x7dc> +81129b68: 1805883a mov r2,r3 +81129b6c: 10c00104 addi r3,r2,4 +81129b70: 1885803a cmpltu r2,r3,r2 +81129b74: 88a3883a add r17,r17,r2 +81129b78: 8880202c andhi r2,r17,128 +81129b7c: 10002726 beq r2,zero,81129c1c <__divdf3+0x880> +81129b80: 98c0004c andi r3,r19,1 +81129b84: 00800044 movi r2,1 +81129b88: 000b883a mov r5,zero +81129b8c: 0025883a mov r18,zero +81129b90: 003e6106 br 81129518 <__reset+0xfb109518> +81129b94: 85a1883a add r16,r16,r22 +81129b98: 8585803a cmpltu r2,r16,r22 +81129b9c: 1705883a add r2,r2,fp +81129ba0: 14a5883a add r18,r2,r18 +81129ba4: 8c7fff84 addi r17,r17,-2 +81129ba8: 003f1106 br 811297f0 <__reset+0xfb1097f0> +81129bac: b589883a add r4,r22,r22 +81129bb0: 25ad803a cmpltu r22,r4,r22 +81129bb4: b739883a add fp,r22,fp +81129bb8: 40bfff84 addi r2,r8,-2 +81129bbc: bf2f883a add r23,r23,fp +81129bc0: 202d883a mov r22,r4 +81129bc4: 003f9306 br 81129a14 <__reset+0xfb109a14> +81129bc8: 013ff844 movi r4,-31 +81129bcc: 2085c83a sub r2,r4,r2 +81129bd0: 8888d83a srl r4,r17,r2 +81129bd4: 00800804 movi r2,32 +81129bd8: 18802126 beq r3,r2,81129c60 <__divdf3+0x8c4> +81129bdc: 00801004 movi r2,64 +81129be0: 10c5c83a sub r2,r2,r3 +81129be4: 8884983a sll r2,r17,r2 +81129be8: 1204b03a or r2,r2,r8 +81129bec: 1004c03a cmpne r2,r2,zero +81129bf0: 2084b03a or r2,r4,r2 +81129bf4: 144001cc andi r17,r2,7 +81129bf8: 88000d1e bne r17,zero,81129c30 <__divdf3+0x894> +81129bfc: 000b883a mov r5,zero +81129c00: 1024d0fa srli r18,r2,3 +81129c04: 98c0004c andi r3,r19,1 +81129c08: 0005883a mov r2,zero +81129c0c: 9464b03a or r18,r18,r17 +81129c10: 003e4106 br 81129518 <__reset+0xfb109518> +81129c14: 1007883a mov r3,r2 +81129c18: 0023883a mov r17,zero +81129c1c: 880a927a slli r5,r17,9 +81129c20: 1805883a mov r2,r3 +81129c24: 8822977a slli r17,r17,29 +81129c28: 280ad33a srli r5,r5,12 +81129c2c: 003ff406 br 81129c00 <__reset+0xfb109c00> +81129c30: 10c003cc andi r3,r2,15 +81129c34: 01000104 movi r4,4 +81129c38: 193ff626 beq r3,r4,81129c14 <__reset+0xfb109c14> +81129c3c: 0023883a mov r17,zero +81129c40: 003fca06 br 81129b6c <__reset+0xfb109b6c> +81129c44: 813fd336 bltu r16,r4,81129b94 <__reset+0xfb109b94> +81129c48: 1023883a mov r17,r2 +81129c4c: 003fa806 br 81129af0 <__reset+0xfb109af0> +81129c50: b0ffd636 bltu r22,r3,81129bac <__reset+0xfb109bac> +81129c54: 1011883a mov r8,r2 +81129c58: b0ff341e bne r22,r3,8112992c <__reset+0xfb10992c> +81129c5c: 003f3406 br 81129930 <__reset+0xfb109930> +81129c60: 0005883a mov r2,zero +81129c64: 003fe006 br 81129be8 <__reset+0xfb109be8> +81129c68: 00800434 movhi r2,16 +81129c6c: 89400234 orhi r5,r17,8 +81129c70: 10bfffc4 addi r2,r2,-1 +81129c74: b807883a mov r3,r23 +81129c78: 288a703a and r5,r5,r2 +81129c7c: 4025883a mov r18,r8 +81129c80: 003e8206 br 8112968c <__reset+0xfb10968c> + +81129c84 <__gedf2>: +81129c84: 2804d53a srli r2,r5,20 +81129c88: 3806d53a srli r3,r7,20 +81129c8c: 02000434 movhi r8,16 +81129c90: 423fffc4 addi r8,r8,-1 +81129c94: 1081ffcc andi r2,r2,2047 +81129c98: 0241ffc4 movi r9,2047 +81129c9c: 2a14703a and r10,r5,r8 +81129ca0: 18c1ffcc andi r3,r3,2047 +81129ca4: 3a10703a and r8,r7,r8 +81129ca8: 280ad7fa srli r5,r5,31 +81129cac: 380ed7fa srli r7,r7,31 +81129cb0: 12401d26 beq r2,r9,81129d28 <__gedf2+0xa4> +81129cb4: 0241ffc4 movi r9,2047 +81129cb8: 1a401226 beq r3,r9,81129d04 <__gedf2+0x80> +81129cbc: 1000081e bne r2,zero,81129ce0 <__gedf2+0x5c> +81129cc0: 2296b03a or r11,r4,r10 +81129cc4: 5813003a cmpeq r9,r11,zero +81129cc8: 1800091e bne r3,zero,81129cf0 <__gedf2+0x6c> +81129ccc: 3218b03a or r12,r6,r8 +81129cd0: 6000071e bne r12,zero,81129cf0 <__gedf2+0x6c> +81129cd4: 0005883a mov r2,zero +81129cd8: 5800101e bne r11,zero,81129d1c <__gedf2+0x98> +81129cdc: f800283a ret +81129ce0: 18000c1e bne r3,zero,81129d14 <__gedf2+0x90> +81129ce4: 3212b03a or r9,r6,r8 +81129ce8: 48000c26 beq r9,zero,81129d1c <__gedf2+0x98> +81129cec: 0013883a mov r9,zero +81129cf0: 39c03fcc andi r7,r7,255 +81129cf4: 48000826 beq r9,zero,81129d18 <__gedf2+0x94> +81129cf8: 38000926 beq r7,zero,81129d20 <__gedf2+0x9c> +81129cfc: 00800044 movi r2,1 +81129d00: f800283a ret +81129d04: 3212b03a or r9,r6,r8 +81129d08: 483fec26 beq r9,zero,81129cbc <__reset+0xfb109cbc> +81129d0c: 00bfff84 movi r2,-2 +81129d10: f800283a ret +81129d14: 39c03fcc andi r7,r7,255 +81129d18: 29c00626 beq r5,r7,81129d34 <__gedf2+0xb0> +81129d1c: 283ff726 beq r5,zero,81129cfc <__reset+0xfb109cfc> +81129d20: 00bfffc4 movi r2,-1 +81129d24: f800283a ret +81129d28: 2292b03a or r9,r4,r10 +81129d2c: 483fe126 beq r9,zero,81129cb4 <__reset+0xfb109cb4> +81129d30: 003ff606 br 81129d0c <__reset+0xfb109d0c> +81129d34: 18bff916 blt r3,r2,81129d1c <__reset+0xfb109d1c> +81129d38: 10c00316 blt r2,r3,81129d48 <__gedf2+0xc4> +81129d3c: 42bff736 bltu r8,r10,81129d1c <__reset+0xfb109d1c> +81129d40: 52000326 beq r10,r8,81129d50 <__gedf2+0xcc> +81129d44: 5200042e bgeu r10,r8,81129d58 <__gedf2+0xd4> +81129d48: 283fec1e bne r5,zero,81129cfc <__reset+0xfb109cfc> +81129d4c: 003ff406 br 81129d20 <__reset+0xfb109d20> +81129d50: 313ff236 bltu r6,r4,81129d1c <__reset+0xfb109d1c> +81129d54: 21bffc36 bltu r4,r6,81129d48 <__reset+0xfb109d48> +81129d58: 0005883a mov r2,zero +81129d5c: f800283a ret + +81129d60 <__muldf3>: +81129d60: defff304 addi sp,sp,-52 +81129d64: 2804d53a srli r2,r5,20 +81129d68: dd800915 stw r22,36(sp) +81129d6c: 282cd7fa srli r22,r5,31 +81129d70: dc000315 stw r16,12(sp) +81129d74: 04000434 movhi r16,16 +81129d78: dd400815 stw r21,32(sp) +81129d7c: dc800515 stw r18,20(sp) +81129d80: 843fffc4 addi r16,r16,-1 +81129d84: dfc00c15 stw ra,48(sp) +81129d88: df000b15 stw fp,44(sp) +81129d8c: ddc00a15 stw r23,40(sp) +81129d90: dd000715 stw r20,28(sp) +81129d94: dcc00615 stw r19,24(sp) +81129d98: dc400415 stw r17,16(sp) +81129d9c: 1481ffcc andi r18,r2,2047 +81129da0: 2c20703a and r16,r5,r16 +81129da4: b02b883a mov r21,r22 +81129da8: b2403fcc andi r9,r22,255 +81129dac: 90006026 beq r18,zero,81129f30 <__muldf3+0x1d0> +81129db0: 0081ffc4 movi r2,2047 +81129db4: 2029883a mov r20,r4 +81129db8: 90803626 beq r18,r2,81129e94 <__muldf3+0x134> +81129dbc: 80800434 orhi r2,r16,16 +81129dc0: 100490fa slli r2,r2,3 +81129dc4: 2020d77a srli r16,r4,29 +81129dc8: 202890fa slli r20,r4,3 +81129dcc: 94bf0044 addi r18,r18,-1023 +81129dd0: 80a0b03a or r16,r16,r2 +81129dd4: 0027883a mov r19,zero +81129dd8: 0039883a mov fp,zero +81129ddc: 3804d53a srli r2,r7,20 +81129de0: 382ed7fa srli r23,r7,31 +81129de4: 04400434 movhi r17,16 +81129de8: 8c7fffc4 addi r17,r17,-1 +81129dec: 1081ffcc andi r2,r2,2047 +81129df0: 3011883a mov r8,r6 +81129df4: 3c62703a and r17,r7,r17 +81129df8: ba803fcc andi r10,r23,255 +81129dfc: 10006d26 beq r2,zero,81129fb4 <__muldf3+0x254> +81129e00: 00c1ffc4 movi r3,2047 +81129e04: 10c06526 beq r2,r3,81129f9c <__muldf3+0x23c> +81129e08: 88c00434 orhi r3,r17,16 +81129e0c: 180690fa slli r3,r3,3 +81129e10: 3022d77a srli r17,r6,29 +81129e14: 301090fa slli r8,r6,3 +81129e18: 10bf0044 addi r2,r2,-1023 +81129e1c: 88e2b03a or r17,r17,r3 +81129e20: 000b883a mov r5,zero +81129e24: 9085883a add r2,r18,r2 +81129e28: 2cc8b03a or r4,r5,r19 +81129e2c: 00c003c4 movi r3,15 +81129e30: bdacf03a xor r22,r23,r22 +81129e34: 12c00044 addi r11,r2,1 +81129e38: 19009936 bltu r3,r4,8112a0a0 <__muldf3+0x340> +81129e3c: 200890ba slli r4,r4,2 +81129e40: 00e044f4 movhi r3,33043 +81129e44: 18e79504 addi r3,r3,-25004 +81129e48: 20c9883a add r4,r4,r3 +81129e4c: 20c00017 ldw r3,0(r4) +81129e50: 1800683a jmp r3 +81129e54: 8112a0a0 cmpeqi r4,r16,19074 +81129e58: 81129eb4 orhi r4,r16,19066 +81129e5c: 81129eb4 orhi r4,r16,19066 +81129e60: 81129eb0 cmpltui r4,r16,19066 +81129e64: 8112a07c xorhi r4,r16,19073 +81129e68: 8112a07c xorhi r4,r16,19073 +81129e6c: 8112a064 muli r4,r16,19073 +81129e70: 81129eb0 cmpltui r4,r16,19066 +81129e74: 8112a07c xorhi r4,r16,19073 +81129e78: 8112a064 muli r4,r16,19073 +81129e7c: 8112a07c xorhi r4,r16,19073 +81129e80: 81129eb0 cmpltui r4,r16,19066 +81129e84: 8112a08c andi r4,r16,19074 +81129e88: 8112a08c andi r4,r16,19074 +81129e8c: 8112a08c andi r4,r16,19074 +81129e90: 8112a2a8 cmpgeui r4,r16,19082 +81129e94: 2404b03a or r2,r4,r16 +81129e98: 10006f1e bne r2,zero,8112a058 <__muldf3+0x2f8> +81129e9c: 04c00204 movi r19,8 +81129ea0: 0021883a mov r16,zero +81129ea4: 0029883a mov r20,zero +81129ea8: 07000084 movi fp,2 +81129eac: 003fcb06 br 81129ddc <__reset+0xfb109ddc> +81129eb0: 502d883a mov r22,r10 +81129eb4: 00800084 movi r2,2 +81129eb8: 28805726 beq r5,r2,8112a018 <__muldf3+0x2b8> +81129ebc: 008000c4 movi r2,3 +81129ec0: 28816626 beq r5,r2,8112a45c <__muldf3+0x6fc> +81129ec4: 00800044 movi r2,1 +81129ec8: 2881411e bne r5,r2,8112a3d0 <__muldf3+0x670> +81129ecc: b02b883a mov r21,r22 +81129ed0: 0005883a mov r2,zero +81129ed4: 000b883a mov r5,zero +81129ed8: 0029883a mov r20,zero +81129edc: 1004953a slli r2,r2,20 +81129ee0: a8c03fcc andi r3,r21,255 +81129ee4: 04400434 movhi r17,16 +81129ee8: 8c7fffc4 addi r17,r17,-1 +81129eec: 180697fa slli r3,r3,31 +81129ef0: 2c4a703a and r5,r5,r17 +81129ef4: 288ab03a or r5,r5,r2 +81129ef8: 28c6b03a or r3,r5,r3 +81129efc: a005883a mov r2,r20 +81129f00: dfc00c17 ldw ra,48(sp) +81129f04: df000b17 ldw fp,44(sp) +81129f08: ddc00a17 ldw r23,40(sp) +81129f0c: dd800917 ldw r22,36(sp) +81129f10: dd400817 ldw r21,32(sp) +81129f14: dd000717 ldw r20,28(sp) +81129f18: dcc00617 ldw r19,24(sp) +81129f1c: dc800517 ldw r18,20(sp) +81129f20: dc400417 ldw r17,16(sp) +81129f24: dc000317 ldw r16,12(sp) +81129f28: dec00d04 addi sp,sp,52 +81129f2c: f800283a ret +81129f30: 2404b03a or r2,r4,r16 +81129f34: 2027883a mov r19,r4 +81129f38: 10004226 beq r2,zero,8112a044 <__muldf3+0x2e4> +81129f3c: 8000fc26 beq r16,zero,8112a330 <__muldf3+0x5d0> +81129f40: 8009883a mov r4,r16 +81129f44: d9800215 stw r6,8(sp) +81129f48: d9c00015 stw r7,0(sp) +81129f4c: da400115 stw r9,4(sp) +81129f50: 112b2300 call 8112b230 <__clzsi2> +81129f54: d9800217 ldw r6,8(sp) +81129f58: d9c00017 ldw r7,0(sp) +81129f5c: da400117 ldw r9,4(sp) +81129f60: 113ffd44 addi r4,r2,-11 +81129f64: 00c00704 movi r3,28 +81129f68: 1900ed16 blt r3,r4,8112a320 <__muldf3+0x5c0> +81129f6c: 00c00744 movi r3,29 +81129f70: 147ffe04 addi r17,r2,-8 +81129f74: 1907c83a sub r3,r3,r4 +81129f78: 8460983a sll r16,r16,r17 +81129f7c: 98c6d83a srl r3,r19,r3 +81129f80: 9c68983a sll r20,r19,r17 +81129f84: 1c20b03a or r16,r3,r16 +81129f88: 1080fcc4 addi r2,r2,1011 +81129f8c: 00a5c83a sub r18,zero,r2 +81129f90: 0027883a mov r19,zero +81129f94: 0039883a mov fp,zero +81129f98: 003f9006 br 81129ddc <__reset+0xfb109ddc> +81129f9c: 3446b03a or r3,r6,r17 +81129fa0: 1800261e bne r3,zero,8112a03c <__muldf3+0x2dc> +81129fa4: 0023883a mov r17,zero +81129fa8: 0011883a mov r8,zero +81129fac: 01400084 movi r5,2 +81129fb0: 003f9c06 br 81129e24 <__reset+0xfb109e24> +81129fb4: 3446b03a or r3,r6,r17 +81129fb8: 18001c26 beq r3,zero,8112a02c <__muldf3+0x2cc> +81129fbc: 8800ce26 beq r17,zero,8112a2f8 <__muldf3+0x598> +81129fc0: 8809883a mov r4,r17 +81129fc4: d9800215 stw r6,8(sp) +81129fc8: da400115 stw r9,4(sp) +81129fcc: da800015 stw r10,0(sp) +81129fd0: 112b2300 call 8112b230 <__clzsi2> +81129fd4: d9800217 ldw r6,8(sp) +81129fd8: da400117 ldw r9,4(sp) +81129fdc: da800017 ldw r10,0(sp) +81129fe0: 113ffd44 addi r4,r2,-11 +81129fe4: 00c00704 movi r3,28 +81129fe8: 1900bf16 blt r3,r4,8112a2e8 <__muldf3+0x588> +81129fec: 00c00744 movi r3,29 +81129ff0: 123ffe04 addi r8,r2,-8 +81129ff4: 1907c83a sub r3,r3,r4 +81129ff8: 8a22983a sll r17,r17,r8 +81129ffc: 30c6d83a srl r3,r6,r3 +8112a000: 3210983a sll r8,r6,r8 +8112a004: 1c62b03a or r17,r3,r17 +8112a008: 1080fcc4 addi r2,r2,1011 +8112a00c: 0085c83a sub r2,zero,r2 +8112a010: 000b883a mov r5,zero +8112a014: 003f8306 br 81129e24 <__reset+0xfb109e24> +8112a018: b02b883a mov r21,r22 +8112a01c: 0081ffc4 movi r2,2047 +8112a020: 000b883a mov r5,zero +8112a024: 0029883a mov r20,zero +8112a028: 003fac06 br 81129edc <__reset+0xfb109edc> +8112a02c: 0023883a mov r17,zero +8112a030: 0011883a mov r8,zero +8112a034: 01400044 movi r5,1 +8112a038: 003f7a06 br 81129e24 <__reset+0xfb109e24> +8112a03c: 014000c4 movi r5,3 +8112a040: 003f7806 br 81129e24 <__reset+0xfb109e24> +8112a044: 04c00104 movi r19,4 +8112a048: 0021883a mov r16,zero +8112a04c: 0029883a mov r20,zero +8112a050: 07000044 movi fp,1 +8112a054: 003f6106 br 81129ddc <__reset+0xfb109ddc> +8112a058: 04c00304 movi r19,12 +8112a05c: 070000c4 movi fp,3 +8112a060: 003f5e06 br 81129ddc <__reset+0xfb109ddc> +8112a064: 01400434 movhi r5,16 +8112a068: 002b883a mov r21,zero +8112a06c: 297fffc4 addi r5,r5,-1 +8112a070: 053fffc4 movi r20,-1 +8112a074: 0081ffc4 movi r2,2047 +8112a078: 003f9806 br 81129edc <__reset+0xfb109edc> +8112a07c: 8023883a mov r17,r16 +8112a080: a011883a mov r8,r20 +8112a084: e00b883a mov r5,fp +8112a088: 003f8a06 br 81129eb4 <__reset+0xfb109eb4> +8112a08c: 8023883a mov r17,r16 +8112a090: a011883a mov r8,r20 +8112a094: 482d883a mov r22,r9 +8112a098: e00b883a mov r5,fp +8112a09c: 003f8506 br 81129eb4 <__reset+0xfb109eb4> +8112a0a0: a00ad43a srli r5,r20,16 +8112a0a4: 401ad43a srli r13,r8,16 +8112a0a8: a53fffcc andi r20,r20,65535 +8112a0ac: 423fffcc andi r8,r8,65535 +8112a0b0: 4519383a mul r12,r8,r20 +8112a0b4: 4147383a mul r3,r8,r5 +8112a0b8: 6d09383a mul r4,r13,r20 +8112a0bc: 600cd43a srli r6,r12,16 +8112a0c0: 2b5d383a mul r14,r5,r13 +8112a0c4: 20c9883a add r4,r4,r3 +8112a0c8: 310d883a add r6,r6,r4 +8112a0cc: 30c0022e bgeu r6,r3,8112a0d8 <__muldf3+0x378> +8112a0d0: 00c00074 movhi r3,1 +8112a0d4: 70dd883a add r14,r14,r3 +8112a0d8: 8826d43a srli r19,r17,16 +8112a0dc: 8bffffcc andi r15,r17,65535 +8112a0e0: 7d23383a mul r17,r15,r20 +8112a0e4: 7949383a mul r4,r15,r5 +8112a0e8: 9d29383a mul r20,r19,r20 +8112a0ec: 8814d43a srli r10,r17,16 +8112a0f0: 3012943a slli r9,r6,16 +8112a0f4: a129883a add r20,r20,r4 +8112a0f8: 633fffcc andi r12,r12,65535 +8112a0fc: 5515883a add r10,r10,r20 +8112a100: 3006d43a srli r3,r6,16 +8112a104: 4b13883a add r9,r9,r12 +8112a108: 2ccb383a mul r5,r5,r19 +8112a10c: 5100022e bgeu r10,r4,8112a118 <__muldf3+0x3b8> +8112a110: 01000074 movhi r4,1 +8112a114: 290b883a add r5,r5,r4 +8112a118: 802ad43a srli r21,r16,16 +8112a11c: 843fffcc andi r16,r16,65535 +8112a120: 440d383a mul r6,r8,r16 +8112a124: 4565383a mul r18,r8,r21 +8112a128: 8349383a mul r4,r16,r13 +8112a12c: 500e943a slli r7,r10,16 +8112a130: 3010d43a srli r8,r6,16 +8112a134: 5028d43a srli r20,r10,16 +8112a138: 2489883a add r4,r4,r18 +8112a13c: 8abfffcc andi r10,r17,65535 +8112a140: 3a95883a add r10,r7,r10 +8112a144: 4119883a add r12,r8,r4 +8112a148: a169883a add r20,r20,r5 +8112a14c: 1a87883a add r3,r3,r10 +8112a150: 6d5b383a mul r13,r13,r21 +8112a154: 6480022e bgeu r12,r18,8112a160 <__muldf3+0x400> +8112a158: 01000074 movhi r4,1 +8112a15c: 691b883a add r13,r13,r4 +8112a160: 7c25383a mul r18,r15,r16 +8112a164: 7d4b383a mul r5,r15,r21 +8112a168: 84cf383a mul r7,r16,r19 +8112a16c: 901ed43a srli r15,r18,16 +8112a170: 6008d43a srli r4,r12,16 +8112a174: 6010943a slli r8,r12,16 +8112a178: 394f883a add r7,r7,r5 +8112a17c: 333fffcc andi r12,r6,65535 +8112a180: 79df883a add r15,r15,r7 +8112a184: 235b883a add r13,r4,r13 +8112a188: 9d63383a mul r17,r19,r21 +8112a18c: 4309883a add r4,r8,r12 +8112a190: 7940022e bgeu r15,r5,8112a19c <__muldf3+0x43c> +8112a194: 01400074 movhi r5,1 +8112a198: 8963883a add r17,r17,r5 +8112a19c: 780a943a slli r5,r15,16 +8112a1a0: 91bfffcc andi r6,r18,65535 +8112a1a4: 70c7883a add r3,r14,r3 +8112a1a8: 298d883a add r6,r5,r6 +8112a1ac: 1a8f803a cmpltu r7,r3,r10 +8112a1b0: 350b883a add r5,r6,r20 +8112a1b4: 20c7883a add r3,r4,r3 +8112a1b8: 3955883a add r10,r7,r5 +8112a1bc: 1909803a cmpltu r4,r3,r4 +8112a1c0: 6a91883a add r8,r13,r10 +8112a1c4: 780cd43a srli r6,r15,16 +8112a1c8: 2219883a add r12,r4,r8 +8112a1cc: 2d0b803a cmpltu r5,r5,r20 +8112a1d0: 51cf803a cmpltu r7,r10,r7 +8112a1d4: 29ceb03a or r7,r5,r7 +8112a1d8: 4351803a cmpltu r8,r8,r13 +8112a1dc: 610b803a cmpltu r5,r12,r4 +8112a1e0: 4148b03a or r4,r8,r5 +8112a1e4: 398f883a add r7,r7,r6 +8112a1e8: 3909883a add r4,r7,r4 +8112a1ec: 1810927a slli r8,r3,9 +8112a1f0: 2449883a add r4,r4,r17 +8112a1f4: 2008927a slli r4,r4,9 +8112a1f8: 6022d5fa srli r17,r12,23 +8112a1fc: 1806d5fa srli r3,r3,23 +8112a200: 4252b03a or r9,r8,r9 +8112a204: 600a927a slli r5,r12,9 +8112a208: 4810c03a cmpne r8,r9,zero +8112a20c: 2462b03a or r17,r4,r17 +8112a210: 40c6b03a or r3,r8,r3 +8112a214: 8900402c andhi r4,r17,256 +8112a218: 1950b03a or r8,r3,r5 +8112a21c: 20000726 beq r4,zero,8112a23c <__muldf3+0x4dc> +8112a220: 4006d07a srli r3,r8,1 +8112a224: 880497fa slli r2,r17,31 +8112a228: 4200004c andi r8,r8,1 +8112a22c: 8822d07a srli r17,r17,1 +8112a230: 1a10b03a or r8,r3,r8 +8112a234: 1210b03a or r8,r2,r8 +8112a238: 5805883a mov r2,r11 +8112a23c: 1140ffc4 addi r5,r2,1023 +8112a240: 0140440e bge zero,r5,8112a354 <__muldf3+0x5f4> +8112a244: 40c001cc andi r3,r8,7 +8112a248: 18000726 beq r3,zero,8112a268 <__muldf3+0x508> +8112a24c: 40c003cc andi r3,r8,15 +8112a250: 01000104 movi r4,4 +8112a254: 19000426 beq r3,r4,8112a268 <__muldf3+0x508> +8112a258: 4107883a add r3,r8,r4 +8112a25c: 1a11803a cmpltu r8,r3,r8 +8112a260: 8a23883a add r17,r17,r8 +8112a264: 1811883a mov r8,r3 +8112a268: 88c0402c andhi r3,r17,256 +8112a26c: 18000426 beq r3,zero,8112a280 <__muldf3+0x520> +8112a270: 11410004 addi r5,r2,1024 +8112a274: 00bfc034 movhi r2,65280 +8112a278: 10bfffc4 addi r2,r2,-1 +8112a27c: 88a2703a and r17,r17,r2 +8112a280: 0081ff84 movi r2,2046 +8112a284: 117f6416 blt r2,r5,8112a018 <__reset+0xfb10a018> +8112a288: 8828977a slli r20,r17,29 +8112a28c: 4010d0fa srli r8,r8,3 +8112a290: 8822927a slli r17,r17,9 +8112a294: 2881ffcc andi r2,r5,2047 +8112a298: a228b03a or r20,r20,r8 +8112a29c: 880ad33a srli r5,r17,12 +8112a2a0: b02b883a mov r21,r22 +8112a2a4: 003f0d06 br 81129edc <__reset+0xfb109edc> +8112a2a8: 8080022c andhi r2,r16,8 +8112a2ac: 10000926 beq r2,zero,8112a2d4 <__muldf3+0x574> +8112a2b0: 8880022c andhi r2,r17,8 +8112a2b4: 1000071e bne r2,zero,8112a2d4 <__muldf3+0x574> +8112a2b8: 00800434 movhi r2,16 +8112a2bc: 89400234 orhi r5,r17,8 +8112a2c0: 10bfffc4 addi r2,r2,-1 +8112a2c4: b82b883a mov r21,r23 +8112a2c8: 288a703a and r5,r5,r2 +8112a2cc: 4029883a mov r20,r8 +8112a2d0: 003f6806 br 8112a074 <__reset+0xfb10a074> +8112a2d4: 00800434 movhi r2,16 +8112a2d8: 81400234 orhi r5,r16,8 +8112a2dc: 10bfffc4 addi r2,r2,-1 +8112a2e0: 288a703a and r5,r5,r2 +8112a2e4: 003f6306 br 8112a074 <__reset+0xfb10a074> +8112a2e8: 147ff604 addi r17,r2,-40 +8112a2ec: 3462983a sll r17,r6,r17 +8112a2f0: 0011883a mov r8,zero +8112a2f4: 003f4406 br 8112a008 <__reset+0xfb10a008> +8112a2f8: 3009883a mov r4,r6 +8112a2fc: d9800215 stw r6,8(sp) +8112a300: da400115 stw r9,4(sp) +8112a304: da800015 stw r10,0(sp) +8112a308: 112b2300 call 8112b230 <__clzsi2> +8112a30c: 10800804 addi r2,r2,32 +8112a310: da800017 ldw r10,0(sp) +8112a314: da400117 ldw r9,4(sp) +8112a318: d9800217 ldw r6,8(sp) +8112a31c: 003f3006 br 81129fe0 <__reset+0xfb109fe0> +8112a320: 143ff604 addi r16,r2,-40 +8112a324: 9c20983a sll r16,r19,r16 +8112a328: 0029883a mov r20,zero +8112a32c: 003f1606 br 81129f88 <__reset+0xfb109f88> +8112a330: d9800215 stw r6,8(sp) +8112a334: d9c00015 stw r7,0(sp) +8112a338: da400115 stw r9,4(sp) +8112a33c: 112b2300 call 8112b230 <__clzsi2> +8112a340: 10800804 addi r2,r2,32 +8112a344: da400117 ldw r9,4(sp) +8112a348: d9c00017 ldw r7,0(sp) +8112a34c: d9800217 ldw r6,8(sp) +8112a350: 003f0306 br 81129f60 <__reset+0xfb109f60> +8112a354: 00c00044 movi r3,1 +8112a358: 1947c83a sub r3,r3,r5 +8112a35c: 00800e04 movi r2,56 +8112a360: 10feda16 blt r2,r3,81129ecc <__reset+0xfb109ecc> +8112a364: 008007c4 movi r2,31 +8112a368: 10c01b16 blt r2,r3,8112a3d8 <__muldf3+0x678> +8112a36c: 00800804 movi r2,32 +8112a370: 10c5c83a sub r2,r2,r3 +8112a374: 888a983a sll r5,r17,r2 +8112a378: 40c8d83a srl r4,r8,r3 +8112a37c: 4084983a sll r2,r8,r2 +8112a380: 88e2d83a srl r17,r17,r3 +8112a384: 2906b03a or r3,r5,r4 +8112a388: 1004c03a cmpne r2,r2,zero +8112a38c: 1886b03a or r3,r3,r2 +8112a390: 188001cc andi r2,r3,7 +8112a394: 10000726 beq r2,zero,8112a3b4 <__muldf3+0x654> +8112a398: 188003cc andi r2,r3,15 +8112a39c: 01000104 movi r4,4 +8112a3a0: 11000426 beq r2,r4,8112a3b4 <__muldf3+0x654> +8112a3a4: 1805883a mov r2,r3 +8112a3a8: 10c00104 addi r3,r2,4 +8112a3ac: 1885803a cmpltu r2,r3,r2 +8112a3b0: 88a3883a add r17,r17,r2 +8112a3b4: 8880202c andhi r2,r17,128 +8112a3b8: 10001c26 beq r2,zero,8112a42c <__muldf3+0x6cc> +8112a3bc: b02b883a mov r21,r22 +8112a3c0: 00800044 movi r2,1 +8112a3c4: 000b883a mov r5,zero +8112a3c8: 0029883a mov r20,zero +8112a3cc: 003ec306 br 81129edc <__reset+0xfb109edc> +8112a3d0: 5805883a mov r2,r11 +8112a3d4: 003f9906 br 8112a23c <__reset+0xfb10a23c> +8112a3d8: 00bff844 movi r2,-31 +8112a3dc: 1145c83a sub r2,r2,r5 +8112a3e0: 8888d83a srl r4,r17,r2 +8112a3e4: 00800804 movi r2,32 +8112a3e8: 18801a26 beq r3,r2,8112a454 <__muldf3+0x6f4> +8112a3ec: 00801004 movi r2,64 +8112a3f0: 10c5c83a sub r2,r2,r3 +8112a3f4: 8884983a sll r2,r17,r2 +8112a3f8: 1204b03a or r2,r2,r8 +8112a3fc: 1004c03a cmpne r2,r2,zero +8112a400: 2084b03a or r2,r4,r2 +8112a404: 144001cc andi r17,r2,7 +8112a408: 88000d1e bne r17,zero,8112a440 <__muldf3+0x6e0> +8112a40c: 000b883a mov r5,zero +8112a410: 1028d0fa srli r20,r2,3 +8112a414: b02b883a mov r21,r22 +8112a418: 0005883a mov r2,zero +8112a41c: a468b03a or r20,r20,r17 +8112a420: 003eae06 br 81129edc <__reset+0xfb109edc> +8112a424: 1007883a mov r3,r2 +8112a428: 0023883a mov r17,zero +8112a42c: 880a927a slli r5,r17,9 +8112a430: 1805883a mov r2,r3 +8112a434: 8822977a slli r17,r17,29 +8112a438: 280ad33a srli r5,r5,12 +8112a43c: 003ff406 br 8112a410 <__reset+0xfb10a410> +8112a440: 10c003cc andi r3,r2,15 +8112a444: 01000104 movi r4,4 +8112a448: 193ff626 beq r3,r4,8112a424 <__reset+0xfb10a424> +8112a44c: 0023883a mov r17,zero +8112a450: 003fd506 br 8112a3a8 <__reset+0xfb10a3a8> +8112a454: 0005883a mov r2,zero +8112a458: 003fe706 br 8112a3f8 <__reset+0xfb10a3f8> +8112a45c: 00800434 movhi r2,16 +8112a460: 89400234 orhi r5,r17,8 +8112a464: 10bfffc4 addi r2,r2,-1 +8112a468: b02b883a mov r21,r22 +8112a46c: 288a703a and r5,r5,r2 +8112a470: 4029883a mov r20,r8 +8112a474: 003eff06 br 8112a074 <__reset+0xfb10a074> + +8112a478 <__subdf3>: +8112a478: 02000434 movhi r8,16 +8112a47c: 423fffc4 addi r8,r8,-1 +8112a480: defffb04 addi sp,sp,-20 +8112a484: 2a14703a and r10,r5,r8 +8112a488: 3812d53a srli r9,r7,20 +8112a48c: 3a10703a and r8,r7,r8 +8112a490: 2006d77a srli r3,r4,29 +8112a494: 3004d77a srli r2,r6,29 +8112a498: dc000015 stw r16,0(sp) +8112a49c: 501490fa slli r10,r10,3 +8112a4a0: 2820d53a srli r16,r5,20 +8112a4a4: 401090fa slli r8,r8,3 +8112a4a8: dc800215 stw r18,8(sp) +8112a4ac: dc400115 stw r17,4(sp) +8112a4b0: dfc00415 stw ra,16(sp) +8112a4b4: 202290fa slli r17,r4,3 +8112a4b8: dcc00315 stw r19,12(sp) +8112a4bc: 4a41ffcc andi r9,r9,2047 +8112a4c0: 0101ffc4 movi r4,2047 +8112a4c4: 2824d7fa srli r18,r5,31 +8112a4c8: 8401ffcc andi r16,r16,2047 +8112a4cc: 50c6b03a or r3,r10,r3 +8112a4d0: 380ed7fa srli r7,r7,31 +8112a4d4: 408ab03a or r5,r8,r2 +8112a4d8: 300c90fa slli r6,r6,3 +8112a4dc: 49009626 beq r9,r4,8112a738 <__subdf3+0x2c0> +8112a4e0: 39c0005c xori r7,r7,1 +8112a4e4: 8245c83a sub r2,r16,r9 +8112a4e8: 3c807426 beq r7,r18,8112a6bc <__subdf3+0x244> +8112a4ec: 0080af0e bge zero,r2,8112a7ac <__subdf3+0x334> +8112a4f0: 48002a1e bne r9,zero,8112a59c <__subdf3+0x124> +8112a4f4: 2988b03a or r4,r5,r6 +8112a4f8: 20009a1e bne r4,zero,8112a764 <__subdf3+0x2ec> +8112a4fc: 888001cc andi r2,r17,7 +8112a500: 10000726 beq r2,zero,8112a520 <__subdf3+0xa8> +8112a504: 888003cc andi r2,r17,15 +8112a508: 01000104 movi r4,4 +8112a50c: 11000426 beq r2,r4,8112a520 <__subdf3+0xa8> +8112a510: 890b883a add r5,r17,r4 +8112a514: 2c63803a cmpltu r17,r5,r17 +8112a518: 1c47883a add r3,r3,r17 +8112a51c: 2823883a mov r17,r5 +8112a520: 1880202c andhi r2,r3,128 +8112a524: 10005926 beq r2,zero,8112a68c <__subdf3+0x214> +8112a528: 84000044 addi r16,r16,1 +8112a52c: 0081ffc4 movi r2,2047 +8112a530: 8080be26 beq r16,r2,8112a82c <__subdf3+0x3b4> +8112a534: 017fe034 movhi r5,65408 +8112a538: 297fffc4 addi r5,r5,-1 +8112a53c: 1946703a and r3,r3,r5 +8112a540: 1804977a slli r2,r3,29 +8112a544: 1806927a slli r3,r3,9 +8112a548: 8822d0fa srli r17,r17,3 +8112a54c: 8401ffcc andi r16,r16,2047 +8112a550: 180ad33a srli r5,r3,12 +8112a554: 9100004c andi r4,r18,1 +8112a558: 1444b03a or r2,r2,r17 +8112a55c: 80c1ffcc andi r3,r16,2047 +8112a560: 1820953a slli r16,r3,20 +8112a564: 20c03fcc andi r3,r4,255 +8112a568: 180897fa slli r4,r3,31 +8112a56c: 00c00434 movhi r3,16 +8112a570: 18ffffc4 addi r3,r3,-1 +8112a574: 28c6703a and r3,r5,r3 +8112a578: 1c06b03a or r3,r3,r16 +8112a57c: 1906b03a or r3,r3,r4 +8112a580: dfc00417 ldw ra,16(sp) +8112a584: dcc00317 ldw r19,12(sp) +8112a588: dc800217 ldw r18,8(sp) +8112a58c: dc400117 ldw r17,4(sp) +8112a590: dc000017 ldw r16,0(sp) +8112a594: dec00504 addi sp,sp,20 +8112a598: f800283a ret +8112a59c: 0101ffc4 movi r4,2047 +8112a5a0: 813fd626 beq r16,r4,8112a4fc <__reset+0xfb10a4fc> +8112a5a4: 29402034 orhi r5,r5,128 +8112a5a8: 01000e04 movi r4,56 +8112a5ac: 2080a316 blt r4,r2,8112a83c <__subdf3+0x3c4> +8112a5b0: 010007c4 movi r4,31 +8112a5b4: 2080c616 blt r4,r2,8112a8d0 <__subdf3+0x458> +8112a5b8: 01000804 movi r4,32 +8112a5bc: 2089c83a sub r4,r4,r2 +8112a5c0: 2910983a sll r8,r5,r4 +8112a5c4: 308ed83a srl r7,r6,r2 +8112a5c8: 3108983a sll r4,r6,r4 +8112a5cc: 2884d83a srl r2,r5,r2 +8112a5d0: 41ccb03a or r6,r8,r7 +8112a5d4: 2008c03a cmpne r4,r4,zero +8112a5d8: 310cb03a or r6,r6,r4 +8112a5dc: 898dc83a sub r6,r17,r6 +8112a5e0: 89a3803a cmpltu r17,r17,r6 +8112a5e4: 1887c83a sub r3,r3,r2 +8112a5e8: 1c47c83a sub r3,r3,r17 +8112a5ec: 3023883a mov r17,r6 +8112a5f0: 1880202c andhi r2,r3,128 +8112a5f4: 10002326 beq r2,zero,8112a684 <__subdf3+0x20c> +8112a5f8: 04c02034 movhi r19,128 +8112a5fc: 9cffffc4 addi r19,r19,-1 +8112a600: 1ce6703a and r19,r3,r19 +8112a604: 98007a26 beq r19,zero,8112a7f0 <__subdf3+0x378> +8112a608: 9809883a mov r4,r19 +8112a60c: 112b2300 call 8112b230 <__clzsi2> +8112a610: 113ffe04 addi r4,r2,-8 +8112a614: 00c007c4 movi r3,31 +8112a618: 19007b16 blt r3,r4,8112a808 <__subdf3+0x390> +8112a61c: 00800804 movi r2,32 +8112a620: 1105c83a sub r2,r2,r4 +8112a624: 8884d83a srl r2,r17,r2 +8112a628: 9906983a sll r3,r19,r4 +8112a62c: 8922983a sll r17,r17,r4 +8112a630: 10c4b03a or r2,r2,r3 +8112a634: 24007816 blt r4,r16,8112a818 <__subdf3+0x3a0> +8112a638: 2421c83a sub r16,r4,r16 +8112a63c: 80c00044 addi r3,r16,1 +8112a640: 010007c4 movi r4,31 +8112a644: 20c09516 blt r4,r3,8112a89c <__subdf3+0x424> +8112a648: 01400804 movi r5,32 +8112a64c: 28cbc83a sub r5,r5,r3 +8112a650: 88c8d83a srl r4,r17,r3 +8112a654: 8962983a sll r17,r17,r5 +8112a658: 114a983a sll r5,r2,r5 +8112a65c: 10c6d83a srl r3,r2,r3 +8112a660: 8804c03a cmpne r2,r17,zero +8112a664: 290ab03a or r5,r5,r4 +8112a668: 28a2b03a or r17,r5,r2 +8112a66c: 0021883a mov r16,zero +8112a670: 003fa206 br 8112a4fc <__reset+0xfb10a4fc> +8112a674: 2090b03a or r8,r4,r2 +8112a678: 40018e26 beq r8,zero,8112acb4 <__subdf3+0x83c> +8112a67c: 1007883a mov r3,r2 +8112a680: 2023883a mov r17,r4 +8112a684: 888001cc andi r2,r17,7 +8112a688: 103f9e1e bne r2,zero,8112a504 <__reset+0xfb10a504> +8112a68c: 1804977a slli r2,r3,29 +8112a690: 8822d0fa srli r17,r17,3 +8112a694: 1810d0fa srli r8,r3,3 +8112a698: 9100004c andi r4,r18,1 +8112a69c: 1444b03a or r2,r2,r17 +8112a6a0: 00c1ffc4 movi r3,2047 +8112a6a4: 80c02826 beq r16,r3,8112a748 <__subdf3+0x2d0> +8112a6a8: 01400434 movhi r5,16 +8112a6ac: 297fffc4 addi r5,r5,-1 +8112a6b0: 80e0703a and r16,r16,r3 +8112a6b4: 414a703a and r5,r8,r5 +8112a6b8: 003fa806 br 8112a55c <__reset+0xfb10a55c> +8112a6bc: 0080630e bge zero,r2,8112a84c <__subdf3+0x3d4> +8112a6c0: 48003026 beq r9,zero,8112a784 <__subdf3+0x30c> +8112a6c4: 0101ffc4 movi r4,2047 +8112a6c8: 813f8c26 beq r16,r4,8112a4fc <__reset+0xfb10a4fc> +8112a6cc: 29402034 orhi r5,r5,128 +8112a6d0: 01000e04 movi r4,56 +8112a6d4: 2080a90e bge r4,r2,8112a97c <__subdf3+0x504> +8112a6d8: 298cb03a or r6,r5,r6 +8112a6dc: 3012c03a cmpne r9,r6,zero +8112a6e0: 0005883a mov r2,zero +8112a6e4: 4c53883a add r9,r9,r17 +8112a6e8: 4c63803a cmpltu r17,r9,r17 +8112a6ec: 10c7883a add r3,r2,r3 +8112a6f0: 88c7883a add r3,r17,r3 +8112a6f4: 4823883a mov r17,r9 +8112a6f8: 1880202c andhi r2,r3,128 +8112a6fc: 1000d026 beq r2,zero,8112aa40 <__subdf3+0x5c8> +8112a700: 84000044 addi r16,r16,1 +8112a704: 0081ffc4 movi r2,2047 +8112a708: 8080fe26 beq r16,r2,8112ab04 <__subdf3+0x68c> +8112a70c: 00bfe034 movhi r2,65408 +8112a710: 10bfffc4 addi r2,r2,-1 +8112a714: 1886703a and r3,r3,r2 +8112a718: 880ad07a srli r5,r17,1 +8112a71c: 180497fa slli r2,r3,31 +8112a720: 8900004c andi r4,r17,1 +8112a724: 2922b03a or r17,r5,r4 +8112a728: 1806d07a srli r3,r3,1 +8112a72c: 1462b03a or r17,r2,r17 +8112a730: 3825883a mov r18,r7 +8112a734: 003f7106 br 8112a4fc <__reset+0xfb10a4fc> +8112a738: 2984b03a or r2,r5,r6 +8112a73c: 103f6826 beq r2,zero,8112a4e0 <__reset+0xfb10a4e0> +8112a740: 39c03fcc andi r7,r7,255 +8112a744: 003f6706 br 8112a4e4 <__reset+0xfb10a4e4> +8112a748: 4086b03a or r3,r8,r2 +8112a74c: 18015226 beq r3,zero,8112ac98 <__subdf3+0x820> +8112a750: 00c00434 movhi r3,16 +8112a754: 41400234 orhi r5,r8,8 +8112a758: 18ffffc4 addi r3,r3,-1 +8112a75c: 28ca703a and r5,r5,r3 +8112a760: 003f7e06 br 8112a55c <__reset+0xfb10a55c> +8112a764: 10bfffc4 addi r2,r2,-1 +8112a768: 1000491e bne r2,zero,8112a890 <__subdf3+0x418> +8112a76c: 898fc83a sub r7,r17,r6 +8112a770: 89e3803a cmpltu r17,r17,r7 +8112a774: 1947c83a sub r3,r3,r5 +8112a778: 1c47c83a sub r3,r3,r17 +8112a77c: 3823883a mov r17,r7 +8112a780: 003f9b06 br 8112a5f0 <__reset+0xfb10a5f0> +8112a784: 2988b03a or r4,r5,r6 +8112a788: 203f5c26 beq r4,zero,8112a4fc <__reset+0xfb10a4fc> +8112a78c: 10bfffc4 addi r2,r2,-1 +8112a790: 1000931e bne r2,zero,8112a9e0 <__subdf3+0x568> +8112a794: 898d883a add r6,r17,r6 +8112a798: 3463803a cmpltu r17,r6,r17 +8112a79c: 1947883a add r3,r3,r5 +8112a7a0: 88c7883a add r3,r17,r3 +8112a7a4: 3023883a mov r17,r6 +8112a7a8: 003fd306 br 8112a6f8 <__reset+0xfb10a6f8> +8112a7ac: 1000541e bne r2,zero,8112a900 <__subdf3+0x488> +8112a7b0: 80800044 addi r2,r16,1 +8112a7b4: 1081ffcc andi r2,r2,2047 +8112a7b8: 01000044 movi r4,1 +8112a7bc: 2080a20e bge r4,r2,8112aa48 <__subdf3+0x5d0> +8112a7c0: 8989c83a sub r4,r17,r6 +8112a7c4: 8905803a cmpltu r2,r17,r4 +8112a7c8: 1967c83a sub r19,r3,r5 +8112a7cc: 98a7c83a sub r19,r19,r2 +8112a7d0: 9880202c andhi r2,r19,128 +8112a7d4: 10006326 beq r2,zero,8112a964 <__subdf3+0x4ec> +8112a7d8: 3463c83a sub r17,r6,r17 +8112a7dc: 28c7c83a sub r3,r5,r3 +8112a7e0: 344d803a cmpltu r6,r6,r17 +8112a7e4: 19a7c83a sub r19,r3,r6 +8112a7e8: 3825883a mov r18,r7 +8112a7ec: 983f861e bne r19,zero,8112a608 <__reset+0xfb10a608> +8112a7f0: 8809883a mov r4,r17 +8112a7f4: 112b2300 call 8112b230 <__clzsi2> +8112a7f8: 10800804 addi r2,r2,32 +8112a7fc: 113ffe04 addi r4,r2,-8 +8112a800: 00c007c4 movi r3,31 +8112a804: 193f850e bge r3,r4,8112a61c <__reset+0xfb10a61c> +8112a808: 10bff604 addi r2,r2,-40 +8112a80c: 8884983a sll r2,r17,r2 +8112a810: 0023883a mov r17,zero +8112a814: 243f880e bge r4,r16,8112a638 <__reset+0xfb10a638> +8112a818: 00ffe034 movhi r3,65408 +8112a81c: 18ffffc4 addi r3,r3,-1 +8112a820: 8121c83a sub r16,r16,r4 +8112a824: 10c6703a and r3,r2,r3 +8112a828: 003f3406 br 8112a4fc <__reset+0xfb10a4fc> +8112a82c: 9100004c andi r4,r18,1 +8112a830: 000b883a mov r5,zero +8112a834: 0005883a mov r2,zero +8112a838: 003f4806 br 8112a55c <__reset+0xfb10a55c> +8112a83c: 298cb03a or r6,r5,r6 +8112a840: 300cc03a cmpne r6,r6,zero +8112a844: 0005883a mov r2,zero +8112a848: 003f6406 br 8112a5dc <__reset+0xfb10a5dc> +8112a84c: 10009a1e bne r2,zero,8112aab8 <__subdf3+0x640> +8112a850: 82400044 addi r9,r16,1 +8112a854: 4881ffcc andi r2,r9,2047 +8112a858: 02800044 movi r10,1 +8112a85c: 5080670e bge r10,r2,8112a9fc <__subdf3+0x584> +8112a860: 0081ffc4 movi r2,2047 +8112a864: 4880af26 beq r9,r2,8112ab24 <__subdf3+0x6ac> +8112a868: 898d883a add r6,r17,r6 +8112a86c: 1945883a add r2,r3,r5 +8112a870: 3447803a cmpltu r3,r6,r17 +8112a874: 1887883a add r3,r3,r2 +8112a878: 182297fa slli r17,r3,31 +8112a87c: 300cd07a srli r6,r6,1 +8112a880: 1806d07a srli r3,r3,1 +8112a884: 4821883a mov r16,r9 +8112a888: 89a2b03a or r17,r17,r6 +8112a88c: 003f1b06 br 8112a4fc <__reset+0xfb10a4fc> +8112a890: 0101ffc4 movi r4,2047 +8112a894: 813f441e bne r16,r4,8112a5a8 <__reset+0xfb10a5a8> +8112a898: 003f1806 br 8112a4fc <__reset+0xfb10a4fc> +8112a89c: 843ff844 addi r16,r16,-31 +8112a8a0: 01400804 movi r5,32 +8112a8a4: 1408d83a srl r4,r2,r16 +8112a8a8: 19405026 beq r3,r5,8112a9ec <__subdf3+0x574> +8112a8ac: 01401004 movi r5,64 +8112a8b0: 28c7c83a sub r3,r5,r3 +8112a8b4: 10c4983a sll r2,r2,r3 +8112a8b8: 88a2b03a or r17,r17,r2 +8112a8bc: 8822c03a cmpne r17,r17,zero +8112a8c0: 2462b03a or r17,r4,r17 +8112a8c4: 0007883a mov r3,zero +8112a8c8: 0021883a mov r16,zero +8112a8cc: 003f6d06 br 8112a684 <__reset+0xfb10a684> +8112a8d0: 11fff804 addi r7,r2,-32 +8112a8d4: 01000804 movi r4,32 +8112a8d8: 29ced83a srl r7,r5,r7 +8112a8dc: 11004526 beq r2,r4,8112a9f4 <__subdf3+0x57c> +8112a8e0: 01001004 movi r4,64 +8112a8e4: 2089c83a sub r4,r4,r2 +8112a8e8: 2904983a sll r2,r5,r4 +8112a8ec: 118cb03a or r6,r2,r6 +8112a8f0: 300cc03a cmpne r6,r6,zero +8112a8f4: 398cb03a or r6,r7,r6 +8112a8f8: 0005883a mov r2,zero +8112a8fc: 003f3706 br 8112a5dc <__reset+0xfb10a5dc> +8112a900: 80002a26 beq r16,zero,8112a9ac <__subdf3+0x534> +8112a904: 0101ffc4 movi r4,2047 +8112a908: 49006626 beq r9,r4,8112aaa4 <__subdf3+0x62c> +8112a90c: 0085c83a sub r2,zero,r2 +8112a910: 18c02034 orhi r3,r3,128 +8112a914: 01000e04 movi r4,56 +8112a918: 20807e16 blt r4,r2,8112ab14 <__subdf3+0x69c> +8112a91c: 010007c4 movi r4,31 +8112a920: 2080e716 blt r4,r2,8112acc0 <__subdf3+0x848> +8112a924: 01000804 movi r4,32 +8112a928: 2089c83a sub r4,r4,r2 +8112a92c: 1914983a sll r10,r3,r4 +8112a930: 8890d83a srl r8,r17,r2 +8112a934: 8908983a sll r4,r17,r4 +8112a938: 1884d83a srl r2,r3,r2 +8112a93c: 5222b03a or r17,r10,r8 +8112a940: 2006c03a cmpne r3,r4,zero +8112a944: 88e2b03a or r17,r17,r3 +8112a948: 3463c83a sub r17,r6,r17 +8112a94c: 2885c83a sub r2,r5,r2 +8112a950: 344d803a cmpltu r6,r6,r17 +8112a954: 1187c83a sub r3,r2,r6 +8112a958: 4821883a mov r16,r9 +8112a95c: 3825883a mov r18,r7 +8112a960: 003f2306 br 8112a5f0 <__reset+0xfb10a5f0> +8112a964: 24d0b03a or r8,r4,r19 +8112a968: 40001b1e bne r8,zero,8112a9d8 <__subdf3+0x560> +8112a96c: 0005883a mov r2,zero +8112a970: 0009883a mov r4,zero +8112a974: 0021883a mov r16,zero +8112a978: 003f4906 br 8112a6a0 <__reset+0xfb10a6a0> +8112a97c: 010007c4 movi r4,31 +8112a980: 20803a16 blt r4,r2,8112aa6c <__subdf3+0x5f4> +8112a984: 01000804 movi r4,32 +8112a988: 2089c83a sub r4,r4,r2 +8112a98c: 2912983a sll r9,r5,r4 +8112a990: 3090d83a srl r8,r6,r2 +8112a994: 3108983a sll r4,r6,r4 +8112a998: 2884d83a srl r2,r5,r2 +8112a99c: 4a12b03a or r9,r9,r8 +8112a9a0: 2008c03a cmpne r4,r4,zero +8112a9a4: 4912b03a or r9,r9,r4 +8112a9a8: 003f4e06 br 8112a6e4 <__reset+0xfb10a6e4> +8112a9ac: 1c48b03a or r4,r3,r17 +8112a9b0: 20003c26 beq r4,zero,8112aaa4 <__subdf3+0x62c> +8112a9b4: 0084303a nor r2,zero,r2 +8112a9b8: 1000381e bne r2,zero,8112aa9c <__subdf3+0x624> +8112a9bc: 3463c83a sub r17,r6,r17 +8112a9c0: 28c5c83a sub r2,r5,r3 +8112a9c4: 344d803a cmpltu r6,r6,r17 +8112a9c8: 1187c83a sub r3,r2,r6 +8112a9cc: 4821883a mov r16,r9 +8112a9d0: 3825883a mov r18,r7 +8112a9d4: 003f0606 br 8112a5f0 <__reset+0xfb10a5f0> +8112a9d8: 2023883a mov r17,r4 +8112a9dc: 003f0906 br 8112a604 <__reset+0xfb10a604> +8112a9e0: 0101ffc4 movi r4,2047 +8112a9e4: 813f3a1e bne r16,r4,8112a6d0 <__reset+0xfb10a6d0> +8112a9e8: 003ec406 br 8112a4fc <__reset+0xfb10a4fc> +8112a9ec: 0005883a mov r2,zero +8112a9f0: 003fb106 br 8112a8b8 <__reset+0xfb10a8b8> +8112a9f4: 0005883a mov r2,zero +8112a9f8: 003fbc06 br 8112a8ec <__reset+0xfb10a8ec> +8112a9fc: 1c44b03a or r2,r3,r17 +8112aa00: 80008e1e bne r16,zero,8112ac3c <__subdf3+0x7c4> +8112aa04: 1000c826 beq r2,zero,8112ad28 <__subdf3+0x8b0> +8112aa08: 2984b03a or r2,r5,r6 +8112aa0c: 103ebb26 beq r2,zero,8112a4fc <__reset+0xfb10a4fc> +8112aa10: 8989883a add r4,r17,r6 +8112aa14: 1945883a add r2,r3,r5 +8112aa18: 2447803a cmpltu r3,r4,r17 +8112aa1c: 1887883a add r3,r3,r2 +8112aa20: 1880202c andhi r2,r3,128 +8112aa24: 2023883a mov r17,r4 +8112aa28: 103f1626 beq r2,zero,8112a684 <__reset+0xfb10a684> +8112aa2c: 00bfe034 movhi r2,65408 +8112aa30: 10bfffc4 addi r2,r2,-1 +8112aa34: 5021883a mov r16,r10 +8112aa38: 1886703a and r3,r3,r2 +8112aa3c: 003eaf06 br 8112a4fc <__reset+0xfb10a4fc> +8112aa40: 3825883a mov r18,r7 +8112aa44: 003f0f06 br 8112a684 <__reset+0xfb10a684> +8112aa48: 1c44b03a or r2,r3,r17 +8112aa4c: 8000251e bne r16,zero,8112aae4 <__subdf3+0x66c> +8112aa50: 1000661e bne r2,zero,8112abec <__subdf3+0x774> +8112aa54: 2990b03a or r8,r5,r6 +8112aa58: 40009626 beq r8,zero,8112acb4 <__subdf3+0x83c> +8112aa5c: 2807883a mov r3,r5 +8112aa60: 3023883a mov r17,r6 +8112aa64: 3825883a mov r18,r7 +8112aa68: 003ea406 br 8112a4fc <__reset+0xfb10a4fc> +8112aa6c: 127ff804 addi r9,r2,-32 +8112aa70: 01000804 movi r4,32 +8112aa74: 2a52d83a srl r9,r5,r9 +8112aa78: 11008c26 beq r2,r4,8112acac <__subdf3+0x834> +8112aa7c: 01001004 movi r4,64 +8112aa80: 2085c83a sub r2,r4,r2 +8112aa84: 2884983a sll r2,r5,r2 +8112aa88: 118cb03a or r6,r2,r6 +8112aa8c: 300cc03a cmpne r6,r6,zero +8112aa90: 4992b03a or r9,r9,r6 +8112aa94: 0005883a mov r2,zero +8112aa98: 003f1206 br 8112a6e4 <__reset+0xfb10a6e4> +8112aa9c: 0101ffc4 movi r4,2047 +8112aaa0: 493f9c1e bne r9,r4,8112a914 <__reset+0xfb10a914> +8112aaa4: 2807883a mov r3,r5 +8112aaa8: 3023883a mov r17,r6 +8112aaac: 4821883a mov r16,r9 +8112aab0: 3825883a mov r18,r7 +8112aab4: 003e9106 br 8112a4fc <__reset+0xfb10a4fc> +8112aab8: 80001f1e bne r16,zero,8112ab38 <__subdf3+0x6c0> +8112aabc: 1c48b03a or r4,r3,r17 +8112aac0: 20005a26 beq r4,zero,8112ac2c <__subdf3+0x7b4> +8112aac4: 0084303a nor r2,zero,r2 +8112aac8: 1000561e bne r2,zero,8112ac24 <__subdf3+0x7ac> +8112aacc: 89a3883a add r17,r17,r6 +8112aad0: 1945883a add r2,r3,r5 +8112aad4: 898d803a cmpltu r6,r17,r6 +8112aad8: 3087883a add r3,r6,r2 +8112aadc: 4821883a mov r16,r9 +8112aae0: 003f0506 br 8112a6f8 <__reset+0xfb10a6f8> +8112aae4: 10002b1e bne r2,zero,8112ab94 <__subdf3+0x71c> +8112aae8: 2984b03a or r2,r5,r6 +8112aaec: 10008026 beq r2,zero,8112acf0 <__subdf3+0x878> +8112aaf0: 2807883a mov r3,r5 +8112aaf4: 3023883a mov r17,r6 +8112aaf8: 3825883a mov r18,r7 +8112aafc: 0401ffc4 movi r16,2047 +8112ab00: 003e7e06 br 8112a4fc <__reset+0xfb10a4fc> +8112ab04: 3809883a mov r4,r7 +8112ab08: 0011883a mov r8,zero +8112ab0c: 0005883a mov r2,zero +8112ab10: 003ee306 br 8112a6a0 <__reset+0xfb10a6a0> +8112ab14: 1c62b03a or r17,r3,r17 +8112ab18: 8822c03a cmpne r17,r17,zero +8112ab1c: 0005883a mov r2,zero +8112ab20: 003f8906 br 8112a948 <__reset+0xfb10a948> +8112ab24: 3809883a mov r4,r7 +8112ab28: 4821883a mov r16,r9 +8112ab2c: 0011883a mov r8,zero +8112ab30: 0005883a mov r2,zero +8112ab34: 003eda06 br 8112a6a0 <__reset+0xfb10a6a0> +8112ab38: 0101ffc4 movi r4,2047 +8112ab3c: 49003b26 beq r9,r4,8112ac2c <__subdf3+0x7b4> +8112ab40: 0085c83a sub r2,zero,r2 +8112ab44: 18c02034 orhi r3,r3,128 +8112ab48: 01000e04 movi r4,56 +8112ab4c: 20806e16 blt r4,r2,8112ad08 <__subdf3+0x890> +8112ab50: 010007c4 movi r4,31 +8112ab54: 20807716 blt r4,r2,8112ad34 <__subdf3+0x8bc> +8112ab58: 01000804 movi r4,32 +8112ab5c: 2089c83a sub r4,r4,r2 +8112ab60: 1914983a sll r10,r3,r4 +8112ab64: 8890d83a srl r8,r17,r2 +8112ab68: 8908983a sll r4,r17,r4 +8112ab6c: 1884d83a srl r2,r3,r2 +8112ab70: 5222b03a or r17,r10,r8 +8112ab74: 2006c03a cmpne r3,r4,zero +8112ab78: 88e2b03a or r17,r17,r3 +8112ab7c: 89a3883a add r17,r17,r6 +8112ab80: 1145883a add r2,r2,r5 +8112ab84: 898d803a cmpltu r6,r17,r6 +8112ab88: 3087883a add r3,r6,r2 +8112ab8c: 4821883a mov r16,r9 +8112ab90: 003ed906 br 8112a6f8 <__reset+0xfb10a6f8> +8112ab94: 2984b03a or r2,r5,r6 +8112ab98: 10004226 beq r2,zero,8112aca4 <__subdf3+0x82c> +8112ab9c: 1808d0fa srli r4,r3,3 +8112aba0: 8822d0fa srli r17,r17,3 +8112aba4: 1806977a slli r3,r3,29 +8112aba8: 2080022c andhi r2,r4,8 +8112abac: 1c62b03a or r17,r3,r17 +8112abb0: 10000826 beq r2,zero,8112abd4 <__subdf3+0x75c> +8112abb4: 2812d0fa srli r9,r5,3 +8112abb8: 4880022c andhi r2,r9,8 +8112abbc: 1000051e bne r2,zero,8112abd4 <__subdf3+0x75c> +8112abc0: 300cd0fa srli r6,r6,3 +8112abc4: 2804977a slli r2,r5,29 +8112abc8: 4809883a mov r4,r9 +8112abcc: 3825883a mov r18,r7 +8112abd0: 11a2b03a or r17,r2,r6 +8112abd4: 8806d77a srli r3,r17,29 +8112abd8: 200890fa slli r4,r4,3 +8112abdc: 882290fa slli r17,r17,3 +8112abe0: 0401ffc4 movi r16,2047 +8112abe4: 1906b03a or r3,r3,r4 +8112abe8: 003e4406 br 8112a4fc <__reset+0xfb10a4fc> +8112abec: 2984b03a or r2,r5,r6 +8112abf0: 103e4226 beq r2,zero,8112a4fc <__reset+0xfb10a4fc> +8112abf4: 8989c83a sub r4,r17,r6 +8112abf8: 8911803a cmpltu r8,r17,r4 +8112abfc: 1945c83a sub r2,r3,r5 +8112ac00: 1205c83a sub r2,r2,r8 +8112ac04: 1200202c andhi r8,r2,128 +8112ac08: 403e9a26 beq r8,zero,8112a674 <__reset+0xfb10a674> +8112ac0c: 3463c83a sub r17,r6,r17 +8112ac10: 28c5c83a sub r2,r5,r3 +8112ac14: 344d803a cmpltu r6,r6,r17 +8112ac18: 1187c83a sub r3,r2,r6 +8112ac1c: 3825883a mov r18,r7 +8112ac20: 003e3606 br 8112a4fc <__reset+0xfb10a4fc> +8112ac24: 0101ffc4 movi r4,2047 +8112ac28: 493fc71e bne r9,r4,8112ab48 <__reset+0xfb10ab48> +8112ac2c: 2807883a mov r3,r5 +8112ac30: 3023883a mov r17,r6 +8112ac34: 4821883a mov r16,r9 +8112ac38: 003e3006 br 8112a4fc <__reset+0xfb10a4fc> +8112ac3c: 10003626 beq r2,zero,8112ad18 <__subdf3+0x8a0> +8112ac40: 2984b03a or r2,r5,r6 +8112ac44: 10001726 beq r2,zero,8112aca4 <__subdf3+0x82c> +8112ac48: 1808d0fa srli r4,r3,3 +8112ac4c: 8822d0fa srli r17,r17,3 +8112ac50: 1806977a slli r3,r3,29 +8112ac54: 2080022c andhi r2,r4,8 +8112ac58: 1c62b03a or r17,r3,r17 +8112ac5c: 10000726 beq r2,zero,8112ac7c <__subdf3+0x804> +8112ac60: 2812d0fa srli r9,r5,3 +8112ac64: 4880022c andhi r2,r9,8 +8112ac68: 1000041e bne r2,zero,8112ac7c <__subdf3+0x804> +8112ac6c: 300cd0fa srli r6,r6,3 +8112ac70: 2804977a slli r2,r5,29 +8112ac74: 4809883a mov r4,r9 +8112ac78: 11a2b03a or r17,r2,r6 +8112ac7c: 8806d77a srli r3,r17,29 +8112ac80: 200890fa slli r4,r4,3 +8112ac84: 882290fa slli r17,r17,3 +8112ac88: 3825883a mov r18,r7 +8112ac8c: 1906b03a or r3,r3,r4 +8112ac90: 0401ffc4 movi r16,2047 +8112ac94: 003e1906 br 8112a4fc <__reset+0xfb10a4fc> +8112ac98: 000b883a mov r5,zero +8112ac9c: 0005883a mov r2,zero +8112aca0: 003e2e06 br 8112a55c <__reset+0xfb10a55c> +8112aca4: 0401ffc4 movi r16,2047 +8112aca8: 003e1406 br 8112a4fc <__reset+0xfb10a4fc> +8112acac: 0005883a mov r2,zero +8112acb0: 003f7506 br 8112aa88 <__reset+0xfb10aa88> +8112acb4: 0005883a mov r2,zero +8112acb8: 0009883a mov r4,zero +8112acbc: 003e7806 br 8112a6a0 <__reset+0xfb10a6a0> +8112acc0: 123ff804 addi r8,r2,-32 +8112acc4: 01000804 movi r4,32 +8112acc8: 1a10d83a srl r8,r3,r8 +8112accc: 11002526 beq r2,r4,8112ad64 <__subdf3+0x8ec> +8112acd0: 01001004 movi r4,64 +8112acd4: 2085c83a sub r2,r4,r2 +8112acd8: 1884983a sll r2,r3,r2 +8112acdc: 1444b03a or r2,r2,r17 +8112ace0: 1004c03a cmpne r2,r2,zero +8112ace4: 40a2b03a or r17,r8,r2 +8112ace8: 0005883a mov r2,zero +8112acec: 003f1606 br 8112a948 <__reset+0xfb10a948> +8112acf0: 02000434 movhi r8,16 +8112acf4: 0009883a mov r4,zero +8112acf8: 423fffc4 addi r8,r8,-1 +8112acfc: 00bfffc4 movi r2,-1 +8112ad00: 0401ffc4 movi r16,2047 +8112ad04: 003e6606 br 8112a6a0 <__reset+0xfb10a6a0> +8112ad08: 1c62b03a or r17,r3,r17 +8112ad0c: 8822c03a cmpne r17,r17,zero +8112ad10: 0005883a mov r2,zero +8112ad14: 003f9906 br 8112ab7c <__reset+0xfb10ab7c> +8112ad18: 2807883a mov r3,r5 +8112ad1c: 3023883a mov r17,r6 +8112ad20: 0401ffc4 movi r16,2047 +8112ad24: 003df506 br 8112a4fc <__reset+0xfb10a4fc> +8112ad28: 2807883a mov r3,r5 +8112ad2c: 3023883a mov r17,r6 +8112ad30: 003df206 br 8112a4fc <__reset+0xfb10a4fc> +8112ad34: 123ff804 addi r8,r2,-32 +8112ad38: 01000804 movi r4,32 +8112ad3c: 1a10d83a srl r8,r3,r8 +8112ad40: 11000a26 beq r2,r4,8112ad6c <__subdf3+0x8f4> +8112ad44: 01001004 movi r4,64 +8112ad48: 2085c83a sub r2,r4,r2 +8112ad4c: 1884983a sll r2,r3,r2 +8112ad50: 1444b03a or r2,r2,r17 +8112ad54: 1004c03a cmpne r2,r2,zero +8112ad58: 40a2b03a or r17,r8,r2 +8112ad5c: 0005883a mov r2,zero +8112ad60: 003f8606 br 8112ab7c <__reset+0xfb10ab7c> +8112ad64: 0005883a mov r2,zero +8112ad68: 003fdc06 br 8112acdc <__reset+0xfb10acdc> +8112ad6c: 0005883a mov r2,zero +8112ad70: 003ff706 br 8112ad50 <__reset+0xfb10ad50> + +8112ad74 <__fixdfsi>: +8112ad74: 280cd53a srli r6,r5,20 +8112ad78: 00c00434 movhi r3,16 +8112ad7c: 18ffffc4 addi r3,r3,-1 +8112ad80: 3181ffcc andi r6,r6,2047 +8112ad84: 01c0ff84 movi r7,1022 +8112ad88: 28c6703a and r3,r5,r3 +8112ad8c: 280ad7fa srli r5,r5,31 +8112ad90: 3980120e bge r7,r6,8112addc <__fixdfsi+0x68> +8112ad94: 00810744 movi r2,1053 +8112ad98: 11800c16 blt r2,r6,8112adcc <__fixdfsi+0x58> +8112ad9c: 00810cc4 movi r2,1075 +8112ada0: 1185c83a sub r2,r2,r6 +8112ada4: 01c007c4 movi r7,31 +8112ada8: 18c00434 orhi r3,r3,16 +8112adac: 38800d16 blt r7,r2,8112ade4 <__fixdfsi+0x70> +8112adb0: 31befb44 addi r6,r6,-1043 +8112adb4: 2084d83a srl r2,r4,r2 +8112adb8: 1986983a sll r3,r3,r6 +8112adbc: 1884b03a or r2,r3,r2 +8112adc0: 28000726 beq r5,zero,8112ade0 <__fixdfsi+0x6c> +8112adc4: 0085c83a sub r2,zero,r2 +8112adc8: f800283a ret +8112adcc: 00a00034 movhi r2,32768 +8112add0: 10bfffc4 addi r2,r2,-1 +8112add4: 2885883a add r2,r5,r2 +8112add8: f800283a ret +8112addc: 0005883a mov r2,zero +8112ade0: f800283a ret +8112ade4: 008104c4 movi r2,1043 +8112ade8: 1185c83a sub r2,r2,r6 +8112adec: 1884d83a srl r2,r3,r2 +8112adf0: 003ff306 br 8112adc0 <__reset+0xfb10adc0> + +8112adf4 <__floatsidf>: +8112adf4: defffd04 addi sp,sp,-12 +8112adf8: dfc00215 stw ra,8(sp) +8112adfc: dc400115 stw r17,4(sp) +8112ae00: dc000015 stw r16,0(sp) +8112ae04: 20002b26 beq r4,zero,8112aeb4 <__floatsidf+0xc0> +8112ae08: 2023883a mov r17,r4 +8112ae0c: 2020d7fa srli r16,r4,31 +8112ae10: 20002d16 blt r4,zero,8112aec8 <__floatsidf+0xd4> +8112ae14: 8809883a mov r4,r17 +8112ae18: 112b2300 call 8112b230 <__clzsi2> +8112ae1c: 01410784 movi r5,1054 +8112ae20: 288bc83a sub r5,r5,r2 +8112ae24: 01010cc4 movi r4,1075 +8112ae28: 2149c83a sub r4,r4,r5 +8112ae2c: 00c007c4 movi r3,31 +8112ae30: 1900160e bge r3,r4,8112ae8c <__floatsidf+0x98> +8112ae34: 00c104c4 movi r3,1043 +8112ae38: 1947c83a sub r3,r3,r5 +8112ae3c: 88c6983a sll r3,r17,r3 +8112ae40: 00800434 movhi r2,16 +8112ae44: 10bfffc4 addi r2,r2,-1 +8112ae48: 1886703a and r3,r3,r2 +8112ae4c: 2941ffcc andi r5,r5,2047 +8112ae50: 800d883a mov r6,r16 +8112ae54: 0005883a mov r2,zero +8112ae58: 280a953a slli r5,r5,20 +8112ae5c: 31803fcc andi r6,r6,255 +8112ae60: 01000434 movhi r4,16 +8112ae64: 300c97fa slli r6,r6,31 +8112ae68: 213fffc4 addi r4,r4,-1 +8112ae6c: 1906703a and r3,r3,r4 +8112ae70: 1946b03a or r3,r3,r5 +8112ae74: 1986b03a or r3,r3,r6 +8112ae78: dfc00217 ldw ra,8(sp) +8112ae7c: dc400117 ldw r17,4(sp) +8112ae80: dc000017 ldw r16,0(sp) +8112ae84: dec00304 addi sp,sp,12 +8112ae88: f800283a ret +8112ae8c: 00c002c4 movi r3,11 +8112ae90: 1887c83a sub r3,r3,r2 +8112ae94: 88c6d83a srl r3,r17,r3 +8112ae98: 8904983a sll r2,r17,r4 +8112ae9c: 01000434 movhi r4,16 +8112aea0: 213fffc4 addi r4,r4,-1 +8112aea4: 2941ffcc andi r5,r5,2047 +8112aea8: 1906703a and r3,r3,r4 +8112aeac: 800d883a mov r6,r16 +8112aeb0: 003fe906 br 8112ae58 <__reset+0xfb10ae58> +8112aeb4: 000d883a mov r6,zero +8112aeb8: 000b883a mov r5,zero +8112aebc: 0007883a mov r3,zero +8112aec0: 0005883a mov r2,zero +8112aec4: 003fe406 br 8112ae58 <__reset+0xfb10ae58> +8112aec8: 0123c83a sub r17,zero,r4 +8112aecc: 003fd106 br 8112ae14 <__reset+0xfb10ae14> + +8112aed0 <__floatunsidf>: +8112aed0: defffe04 addi sp,sp,-8 +8112aed4: dc000015 stw r16,0(sp) +8112aed8: dfc00115 stw ra,4(sp) +8112aedc: 2021883a mov r16,r4 +8112aee0: 20002226 beq r4,zero,8112af6c <__floatunsidf+0x9c> +8112aee4: 112b2300 call 8112b230 <__clzsi2> +8112aee8: 01010784 movi r4,1054 +8112aeec: 2089c83a sub r4,r4,r2 +8112aef0: 01810cc4 movi r6,1075 +8112aef4: 310dc83a sub r6,r6,r4 +8112aef8: 00c007c4 movi r3,31 +8112aefc: 1980120e bge r3,r6,8112af48 <__floatunsidf+0x78> +8112af00: 00c104c4 movi r3,1043 +8112af04: 1907c83a sub r3,r3,r4 +8112af08: 80ca983a sll r5,r16,r3 +8112af0c: 00800434 movhi r2,16 +8112af10: 10bfffc4 addi r2,r2,-1 +8112af14: 2101ffcc andi r4,r4,2047 +8112af18: 0021883a mov r16,zero +8112af1c: 288a703a and r5,r5,r2 +8112af20: 2008953a slli r4,r4,20 +8112af24: 00c00434 movhi r3,16 +8112af28: 18ffffc4 addi r3,r3,-1 +8112af2c: 28c6703a and r3,r5,r3 +8112af30: 8005883a mov r2,r16 +8112af34: 1906b03a or r3,r3,r4 +8112af38: dfc00117 ldw ra,4(sp) +8112af3c: dc000017 ldw r16,0(sp) +8112af40: dec00204 addi sp,sp,8 +8112af44: f800283a ret +8112af48: 00c002c4 movi r3,11 +8112af4c: 188bc83a sub r5,r3,r2 +8112af50: 814ad83a srl r5,r16,r5 +8112af54: 00c00434 movhi r3,16 +8112af58: 18ffffc4 addi r3,r3,-1 +8112af5c: 81a0983a sll r16,r16,r6 +8112af60: 2101ffcc andi r4,r4,2047 +8112af64: 28ca703a and r5,r5,r3 +8112af68: 003fed06 br 8112af20 <__reset+0xfb10af20> +8112af6c: 0009883a mov r4,zero +8112af70: 000b883a mov r5,zero +8112af74: 003fea06 br 8112af20 <__reset+0xfb10af20> + +8112af78 <__extendsfdf2>: +8112af78: 200ad5fa srli r5,r4,23 +8112af7c: defffd04 addi sp,sp,-12 +8112af80: dc400115 stw r17,4(sp) +8112af84: 29403fcc andi r5,r5,255 +8112af88: 29800044 addi r6,r5,1 +8112af8c: 04402034 movhi r17,128 +8112af90: dc000015 stw r16,0(sp) +8112af94: 8c7fffc4 addi r17,r17,-1 +8112af98: dfc00215 stw ra,8(sp) +8112af9c: 31803fcc andi r6,r6,255 +8112afa0: 00800044 movi r2,1 +8112afa4: 8922703a and r17,r17,r4 +8112afa8: 2020d7fa srli r16,r4,31 +8112afac: 1180110e bge r2,r6,8112aff4 <__extendsfdf2+0x7c> +8112afb0: 880cd0fa srli r6,r17,3 +8112afb4: 8822977a slli r17,r17,29 +8112afb8: 2940e004 addi r5,r5,896 +8112afbc: 2941ffcc andi r5,r5,2047 +8112afc0: 2804953a slli r2,r5,20 +8112afc4: 01400434 movhi r5,16 +8112afc8: 800697fa slli r3,r16,31 +8112afcc: 297fffc4 addi r5,r5,-1 +8112afd0: 314a703a and r5,r6,r5 +8112afd4: 288ab03a or r5,r5,r2 +8112afd8: 28c6b03a or r3,r5,r3 +8112afdc: 8805883a mov r2,r17 +8112afe0: dfc00217 ldw ra,8(sp) +8112afe4: dc400117 ldw r17,4(sp) +8112afe8: dc000017 ldw r16,0(sp) +8112afec: dec00304 addi sp,sp,12 +8112aff0: f800283a ret +8112aff4: 2800111e bne r5,zero,8112b03c <__extendsfdf2+0xc4> +8112aff8: 88001c26 beq r17,zero,8112b06c <__extendsfdf2+0xf4> +8112affc: 8809883a mov r4,r17 +8112b000: 112b2300 call 8112b230 <__clzsi2> +8112b004: 00c00284 movi r3,10 +8112b008: 18801b16 blt r3,r2,8112b078 <__extendsfdf2+0x100> +8112b00c: 018002c4 movi r6,11 +8112b010: 308dc83a sub r6,r6,r2 +8112b014: 11000544 addi r4,r2,21 +8112b018: 8986d83a srl r3,r17,r6 +8112b01c: 8922983a sll r17,r17,r4 +8112b020: 0180e244 movi r6,905 +8112b024: 01400434 movhi r5,16 +8112b028: 3085c83a sub r2,r6,r2 +8112b02c: 297fffc4 addi r5,r5,-1 +8112b030: 194c703a and r6,r3,r5 +8112b034: 1141ffcc andi r5,r2,2047 +8112b038: 003fe006 br 8112afbc <__reset+0xfb10afbc> +8112b03c: 88000826 beq r17,zero,8112b060 <__extendsfdf2+0xe8> +8112b040: 880cd0fa srli r6,r17,3 +8112b044: 00800434 movhi r2,16 +8112b048: 10bfffc4 addi r2,r2,-1 +8112b04c: 31800234 orhi r6,r6,8 +8112b050: 8822977a slli r17,r17,29 +8112b054: 308c703a and r6,r6,r2 +8112b058: 0141ffc4 movi r5,2047 +8112b05c: 003fd706 br 8112afbc <__reset+0xfb10afbc> +8112b060: 0141ffc4 movi r5,2047 +8112b064: 000d883a mov r6,zero +8112b068: 003fd406 br 8112afbc <__reset+0xfb10afbc> +8112b06c: 000b883a mov r5,zero +8112b070: 000d883a mov r6,zero +8112b074: 003fd106 br 8112afbc <__reset+0xfb10afbc> +8112b078: 11bffd44 addi r6,r2,-11 +8112b07c: 8986983a sll r3,r17,r6 +8112b080: 0023883a mov r17,zero +8112b084: 003fe606 br 8112b020 <__reset+0xfb10b020> + +8112b088 <__truncdfsf2>: +8112b088: 2810d53a srli r8,r5,20 +8112b08c: 01c00434 movhi r7,16 +8112b090: 39ffffc4 addi r7,r7,-1 +8112b094: 29ce703a and r7,r5,r7 +8112b098: 4201ffcc andi r8,r8,2047 +8112b09c: 380e90fa slli r7,r7,3 +8112b0a0: 200cd77a srli r6,r4,29 +8112b0a4: 42400044 addi r9,r8,1 +8112b0a8: 4a41ffcc andi r9,r9,2047 +8112b0ac: 00c00044 movi r3,1 +8112b0b0: 280ad7fa srli r5,r5,31 +8112b0b4: 31ceb03a or r7,r6,r7 +8112b0b8: 200490fa slli r2,r4,3 +8112b0bc: 1a40230e bge r3,r9,8112b14c <__truncdfsf2+0xc4> +8112b0c0: 40ff2004 addi r3,r8,-896 +8112b0c4: 01803f84 movi r6,254 +8112b0c8: 30c01516 blt r6,r3,8112b120 <__truncdfsf2+0x98> +8112b0cc: 00c0380e bge zero,r3,8112b1b0 <__truncdfsf2+0x128> +8112b0d0: 200c91ba slli r6,r4,6 +8112b0d4: 380e90fa slli r7,r7,3 +8112b0d8: 1004d77a srli r2,r2,29 +8112b0dc: 300cc03a cmpne r6,r6,zero +8112b0e0: 31ccb03a or r6,r6,r7 +8112b0e4: 308cb03a or r6,r6,r2 +8112b0e8: 308001cc andi r2,r6,7 +8112b0ec: 10000426 beq r2,zero,8112b100 <__truncdfsf2+0x78> +8112b0f0: 308003cc andi r2,r6,15 +8112b0f4: 01000104 movi r4,4 +8112b0f8: 11000126 beq r2,r4,8112b100 <__truncdfsf2+0x78> +8112b0fc: 31800104 addi r6,r6,4 +8112b100: 3081002c andhi r2,r6,1024 +8112b104: 10001626 beq r2,zero,8112b160 <__truncdfsf2+0xd8> +8112b108: 18c00044 addi r3,r3,1 +8112b10c: 00803fc4 movi r2,255 +8112b110: 18800326 beq r3,r2,8112b120 <__truncdfsf2+0x98> +8112b114: 300c91ba slli r6,r6,6 +8112b118: 300cd27a srli r6,r6,9 +8112b11c: 00000206 br 8112b128 <__truncdfsf2+0xa0> +8112b120: 00ffffc4 movi r3,-1 +8112b124: 000d883a mov r6,zero +8112b128: 18c03fcc andi r3,r3,255 +8112b12c: 180895fa slli r4,r3,23 +8112b130: 00c02034 movhi r3,128 +8112b134: 280a97fa slli r5,r5,31 +8112b138: 18ffffc4 addi r3,r3,-1 +8112b13c: 30c6703a and r3,r6,r3 +8112b140: 1906b03a or r3,r3,r4 +8112b144: 1944b03a or r2,r3,r5 +8112b148: f800283a ret +8112b14c: 40000b1e bne r8,zero,8112b17c <__truncdfsf2+0xf4> +8112b150: 388cb03a or r6,r7,r2 +8112b154: 0007883a mov r3,zero +8112b158: 30000426 beq r6,zero,8112b16c <__truncdfsf2+0xe4> +8112b15c: 01800144 movi r6,5 +8112b160: 00803fc4 movi r2,255 +8112b164: 300cd0fa srli r6,r6,3 +8112b168: 18800a26 beq r3,r2,8112b194 <__truncdfsf2+0x10c> +8112b16c: 00802034 movhi r2,128 +8112b170: 10bfffc4 addi r2,r2,-1 +8112b174: 308c703a and r6,r6,r2 +8112b178: 003feb06 br 8112b128 <__reset+0xfb10b128> +8112b17c: 3888b03a or r4,r7,r2 +8112b180: 203fe726 beq r4,zero,8112b120 <__reset+0xfb10b120> +8112b184: 380c90fa slli r6,r7,3 +8112b188: 00c03fc4 movi r3,255 +8112b18c: 31808034 orhi r6,r6,512 +8112b190: 003fd506 br 8112b0e8 <__reset+0xfb10b0e8> +8112b194: 303fe226 beq r6,zero,8112b120 <__reset+0xfb10b120> +8112b198: 00802034 movhi r2,128 +8112b19c: 31801034 orhi r6,r6,64 +8112b1a0: 10bfffc4 addi r2,r2,-1 +8112b1a4: 00ffffc4 movi r3,-1 +8112b1a8: 308c703a and r6,r6,r2 +8112b1ac: 003fde06 br 8112b128 <__reset+0xfb10b128> +8112b1b0: 013ffa44 movi r4,-23 +8112b1b4: 19000e16 blt r3,r4,8112b1f0 <__truncdfsf2+0x168> +8112b1b8: 01000784 movi r4,30 +8112b1bc: 20c9c83a sub r4,r4,r3 +8112b1c0: 018007c4 movi r6,31 +8112b1c4: 39c02034 orhi r7,r7,128 +8112b1c8: 31000b16 blt r6,r4,8112b1f8 <__truncdfsf2+0x170> +8112b1cc: 423f2084 addi r8,r8,-894 +8112b1d0: 120c983a sll r6,r2,r8 +8112b1d4: 3a0e983a sll r7,r7,r8 +8112b1d8: 1104d83a srl r2,r2,r4 +8112b1dc: 300cc03a cmpne r6,r6,zero +8112b1e0: 31ceb03a or r7,r6,r7 +8112b1e4: 388cb03a or r6,r7,r2 +8112b1e8: 0007883a mov r3,zero +8112b1ec: 003fbe06 br 8112b0e8 <__reset+0xfb10b0e8> +8112b1f0: 0007883a mov r3,zero +8112b1f4: 003fd906 br 8112b15c <__reset+0xfb10b15c> +8112b1f8: 01bfff84 movi r6,-2 +8112b1fc: 30cdc83a sub r6,r6,r3 +8112b200: 00c00804 movi r3,32 +8112b204: 398cd83a srl r6,r7,r6 +8112b208: 20c00726 beq r4,r3,8112b228 <__truncdfsf2+0x1a0> +8112b20c: 423f2884 addi r8,r8,-862 +8112b210: 3a0e983a sll r7,r7,r8 +8112b214: 3884b03a or r2,r7,r2 +8112b218: 1004c03a cmpne r2,r2,zero +8112b21c: 118cb03a or r6,r2,r6 +8112b220: 0007883a mov r3,zero +8112b224: 003fb006 br 8112b0e8 <__reset+0xfb10b0e8> +8112b228: 000f883a mov r7,zero +8112b22c: 003ff906 br 8112b214 <__reset+0xfb10b214> + +8112b230 <__clzsi2>: +8112b230: 00bfffd4 movui r2,65535 +8112b234: 11000536 bltu r2,r4,8112b24c <__clzsi2+0x1c> +8112b238: 00803fc4 movi r2,255 +8112b23c: 11000f36 bltu r2,r4,8112b27c <__clzsi2+0x4c> +8112b240: 00800804 movi r2,32 +8112b244: 0007883a mov r3,zero +8112b248: 00000506 br 8112b260 <__clzsi2+0x30> +8112b24c: 00804034 movhi r2,256 +8112b250: 10bfffc4 addi r2,r2,-1 +8112b254: 11000c2e bgeu r2,r4,8112b288 <__clzsi2+0x58> +8112b258: 00800204 movi r2,8 +8112b25c: 00c00604 movi r3,24 +8112b260: 20c8d83a srl r4,r4,r3 +8112b264: 00e04574 movhi r3,33045 +8112b268: 18fddb04 addi r3,r3,-2196 +8112b26c: 1909883a add r4,r3,r4 +8112b270: 20c00003 ldbu r3,0(r4) +8112b274: 10c5c83a sub r2,r2,r3 +8112b278: f800283a ret +8112b27c: 00800604 movi r2,24 +8112b280: 00c00204 movi r3,8 +8112b284: 003ff606 br 8112b260 <__reset+0xfb10b260> +8112b288: 00800404 movi r2,16 +8112b28c: 1007883a mov r3,r2 +8112b290: 003ff306 br 8112b260 <__reset+0xfb10b260> + +8112b294 <__divsi3>: +8112b294: 20001b16 blt r4,zero,8112b304 <__divsi3+0x70> +8112b298: 000f883a mov r7,zero +8112b29c: 28001616 blt r5,zero,8112b2f8 <__divsi3+0x64> +8112b2a0: 200d883a mov r6,r4 +8112b2a4: 29001a2e bgeu r5,r4,8112b310 <__divsi3+0x7c> +8112b2a8: 00800804 movi r2,32 +8112b2ac: 00c00044 movi r3,1 +8112b2b0: 00000106 br 8112b2b8 <__divsi3+0x24> +8112b2b4: 10000d26 beq r2,zero,8112b2ec <__divsi3+0x58> +8112b2b8: 294b883a add r5,r5,r5 +8112b2bc: 10bfffc4 addi r2,r2,-1 +8112b2c0: 18c7883a add r3,r3,r3 +8112b2c4: 293ffb36 bltu r5,r4,8112b2b4 <__reset+0xfb10b2b4> +8112b2c8: 0005883a mov r2,zero +8112b2cc: 18000726 beq r3,zero,8112b2ec <__divsi3+0x58> +8112b2d0: 0005883a mov r2,zero +8112b2d4: 31400236 bltu r6,r5,8112b2e0 <__divsi3+0x4c> +8112b2d8: 314dc83a sub r6,r6,r5 +8112b2dc: 10c4b03a or r2,r2,r3 +8112b2e0: 1806d07a srli r3,r3,1 +8112b2e4: 280ad07a srli r5,r5,1 +8112b2e8: 183ffa1e bne r3,zero,8112b2d4 <__reset+0xfb10b2d4> +8112b2ec: 38000126 beq r7,zero,8112b2f4 <__divsi3+0x60> +8112b2f0: 0085c83a sub r2,zero,r2 +8112b2f4: f800283a ret +8112b2f8: 014bc83a sub r5,zero,r5 +8112b2fc: 39c0005c xori r7,r7,1 +8112b300: 003fe706 br 8112b2a0 <__reset+0xfb10b2a0> +8112b304: 0109c83a sub r4,zero,r4 +8112b308: 01c00044 movi r7,1 +8112b30c: 003fe306 br 8112b29c <__reset+0xfb10b29c> +8112b310: 00c00044 movi r3,1 +8112b314: 003fee06 br 8112b2d0 <__reset+0xfb10b2d0> + +8112b318 <__modsi3>: +8112b318: 20001716 blt r4,zero,8112b378 <__modsi3+0x60> +8112b31c: 000f883a mov r7,zero +8112b320: 2005883a mov r2,r4 +8112b324: 28001216 blt r5,zero,8112b370 <__modsi3+0x58> +8112b328: 2900162e bgeu r5,r4,8112b384 <__modsi3+0x6c> +8112b32c: 01800804 movi r6,32 +8112b330: 00c00044 movi r3,1 +8112b334: 00000106 br 8112b33c <__modsi3+0x24> +8112b338: 30000a26 beq r6,zero,8112b364 <__modsi3+0x4c> +8112b33c: 294b883a add r5,r5,r5 +8112b340: 31bfffc4 addi r6,r6,-1 +8112b344: 18c7883a add r3,r3,r3 +8112b348: 293ffb36 bltu r5,r4,8112b338 <__reset+0xfb10b338> +8112b34c: 18000526 beq r3,zero,8112b364 <__modsi3+0x4c> +8112b350: 1806d07a srli r3,r3,1 +8112b354: 11400136 bltu r2,r5,8112b35c <__modsi3+0x44> +8112b358: 1145c83a sub r2,r2,r5 +8112b35c: 280ad07a srli r5,r5,1 +8112b360: 183ffb1e bne r3,zero,8112b350 <__reset+0xfb10b350> +8112b364: 38000126 beq r7,zero,8112b36c <__modsi3+0x54> +8112b368: 0085c83a sub r2,zero,r2 +8112b36c: f800283a ret +8112b370: 014bc83a sub r5,zero,r5 +8112b374: 003fec06 br 8112b328 <__reset+0xfb10b328> +8112b378: 0109c83a sub r4,zero,r4 +8112b37c: 01c00044 movi r7,1 +8112b380: 003fe706 br 8112b320 <__reset+0xfb10b320> +8112b384: 00c00044 movi r3,1 +8112b388: 003ff106 br 8112b350 <__reset+0xfb10b350> + +8112b38c <__udivsi3>: +8112b38c: 200d883a mov r6,r4 +8112b390: 2900152e bgeu r5,r4,8112b3e8 <__udivsi3+0x5c> +8112b394: 28001416 blt r5,zero,8112b3e8 <__udivsi3+0x5c> +8112b398: 00800804 movi r2,32 +8112b39c: 00c00044 movi r3,1 +8112b3a0: 00000206 br 8112b3ac <__udivsi3+0x20> +8112b3a4: 10000e26 beq r2,zero,8112b3e0 <__udivsi3+0x54> +8112b3a8: 28000516 blt r5,zero,8112b3c0 <__udivsi3+0x34> +8112b3ac: 294b883a add r5,r5,r5 +8112b3b0: 10bfffc4 addi r2,r2,-1 +8112b3b4: 18c7883a add r3,r3,r3 +8112b3b8: 293ffa36 bltu r5,r4,8112b3a4 <__reset+0xfb10b3a4> +8112b3bc: 18000826 beq r3,zero,8112b3e0 <__udivsi3+0x54> +8112b3c0: 0005883a mov r2,zero +8112b3c4: 31400236 bltu r6,r5,8112b3d0 <__udivsi3+0x44> +8112b3c8: 314dc83a sub r6,r6,r5 +8112b3cc: 10c4b03a or r2,r2,r3 +8112b3d0: 1806d07a srli r3,r3,1 +8112b3d4: 280ad07a srli r5,r5,1 +8112b3d8: 183ffa1e bne r3,zero,8112b3c4 <__reset+0xfb10b3c4> +8112b3dc: f800283a ret +8112b3e0: 0005883a mov r2,zero +8112b3e4: f800283a ret +8112b3e8: 00c00044 movi r3,1 +8112b3ec: 003ff406 br 8112b3c0 <__reset+0xfb10b3c0> + +8112b3f0 <__umodsi3>: +8112b3f0: 2005883a mov r2,r4 +8112b3f4: 2900122e bgeu r5,r4,8112b440 <__umodsi3+0x50> +8112b3f8: 28001116 blt r5,zero,8112b440 <__umodsi3+0x50> +8112b3fc: 01800804 movi r6,32 8112b400: 00c00044 movi r3,1 -8112b404: 003ff706 br 8112b3e4 <__reset+0xfb10b3e4> - -8112b408 : -8112b408: 01800284 movi r6,10 -8112b40c: 000b883a mov r5,zero -8112b410: 112db801 jmpi 8112db80 - -8112b414 <_atoi_r>: -8112b414: 01c00284 movi r7,10 -8112b418: 000d883a mov r6,zero -8112b41c: 112d9481 jmpi 8112d948 <_strtol_r> - -8112b420 : -8112b420: 01800284 movi r6,10 -8112b424: 000b883a mov r5,zero -8112b428: 112db9c1 jmpi 8112db9c - -8112b42c <_atoll_r>: -8112b42c: 01c00284 movi r7,10 -8112b430: 000d883a mov r6,zero -8112b434: 112dbb81 jmpi 8112dbb8 <_strtoll_r> - -8112b438
: -8112b438: defffc04 addi sp,sp,-16 -8112b43c: dfc00315 stw ra,12(sp) -8112b440: dc800215 stw r18,8(sp) -8112b444: dc400115 stw r17,4(sp) -8112b448: dc000015 stw r16,0(sp) -8112b44c: 2825883a mov r18,r5 -8112b450: 2021883a mov r16,r4 -8112b454: 112b2540 call 8112b254 <__divsi3> -8112b458: 900b883a mov r5,r18 -8112b45c: 8009883a mov r4,r16 -8112b460: 1023883a mov r17,r2 -8112b464: 112b2d80 call 8112b2d8 <__modsi3> -8112b468: 1007883a mov r3,r2 -8112b46c: 80000816 blt r16,zero,8112b490 -8112b470: 10000b16 blt r2,zero,8112b4a0 -8112b474: 8805883a mov r2,r17 -8112b478: dfc00317 ldw ra,12(sp) -8112b47c: dc800217 ldw r18,8(sp) -8112b480: dc400117 ldw r17,4(sp) -8112b484: dc000017 ldw r16,0(sp) -8112b488: dec00404 addi sp,sp,16 -8112b48c: f800283a ret -8112b490: 00bff80e bge zero,r2,8112b474 <__reset+0xfb10b474> -8112b494: 8c7fffc4 addi r17,r17,-1 -8112b498: 1487883a add r3,r2,r18 -8112b49c: 003ff506 br 8112b474 <__reset+0xfb10b474> -8112b4a0: 8c400044 addi r17,r17,1 -8112b4a4: 1487c83a sub r3,r2,r18 -8112b4a8: 003ff206 br 8112b474 <__reset+0xfb10b474> - -8112b4ac <_fopen_r>: -8112b4ac: defffa04 addi sp,sp,-24 -8112b4b0: 3005883a mov r2,r6 -8112b4b4: dcc00415 stw r19,16(sp) -8112b4b8: d80d883a mov r6,sp -8112b4bc: 2827883a mov r19,r5 -8112b4c0: 100b883a mov r5,r2 -8112b4c4: dc800315 stw r18,12(sp) -8112b4c8: dfc00515 stw ra,20(sp) -8112b4cc: dc400215 stw r17,8(sp) -8112b4d0: dc000115 stw r16,4(sp) -8112b4d4: 2025883a mov r18,r4 -8112b4d8: 1135dfc0 call 81135dfc <__sflags> -8112b4dc: 10002726 beq r2,zero,8112b57c <_fopen_r+0xd0> -8112b4e0: 9009883a mov r4,r18 -8112b4e4: 1023883a mov r17,r2 -8112b4e8: 1135c7c0 call 81135c7c <__sfp> -8112b4ec: 1021883a mov r16,r2 -8112b4f0: 10002226 beq r2,zero,8112b57c <_fopen_r+0xd0> -8112b4f4: d9800017 ldw r6,0(sp) -8112b4f8: 01c06d84 movi r7,438 -8112b4fc: 980b883a mov r5,r19 -8112b500: 9009883a mov r4,r18 -8112b504: 112c8b80 call 8112c8b8 <_open_r> -8112b508: 10001916 blt r2,zero,8112b570 <_fopen_r+0xc4> -8112b50c: 8080038d sth r2,14(r16) -8112b510: 00a044f4 movhi r2,33043 -8112b514: 10b5b904 addi r2,r2,-10524 -8112b518: 80800815 stw r2,32(r16) -8112b51c: 00a044f4 movhi r2,33043 -8112b520: 10b5d004 addi r2,r2,-10432 -8112b524: 80800915 stw r2,36(r16) -8112b528: 00a044f4 movhi r2,33043 -8112b52c: 10b5ef04 addi r2,r2,-10308 -8112b530: 80800a15 stw r2,40(r16) -8112b534: 00a044f4 movhi r2,33043 -8112b538: 10b60604 addi r2,r2,-10216 -8112b53c: 8440030d sth r17,12(r16) -8112b540: 84000715 stw r16,28(r16) -8112b544: 80800b15 stw r2,44(r16) -8112b548: 8c40400c andi r17,r17,256 -8112b54c: 88000d1e bne r17,zero,8112b584 <_fopen_r+0xd8> -8112b550: 8005883a mov r2,r16 -8112b554: dfc00517 ldw ra,20(sp) -8112b558: dcc00417 ldw r19,16(sp) -8112b55c: dc800317 ldw r18,12(sp) -8112b560: dc400217 ldw r17,8(sp) -8112b564: dc000117 ldw r16,4(sp) -8112b568: dec00604 addi sp,sp,24 -8112b56c: f800283a ret -8112b570: 1135dbc0 call 81135dbc <__sfp_lock_acquire> -8112b574: 8000030d sth zero,12(r16) -8112b578: 1135dc00 call 81135dc0 <__sfp_lock_release> -8112b57c: 0005883a mov r2,zero -8112b580: 003ff406 br 8112b554 <__reset+0xfb10b554> -8112b584: 01c00084 movi r7,2 -8112b588: 000d883a mov r6,zero -8112b58c: 800b883a mov r5,r16 -8112b590: 9009883a mov r4,r18 -8112b594: 112b6a40 call 8112b6a4 <_fseek_r> -8112b598: 8005883a mov r2,r16 -8112b59c: 003fed06 br 8112b554 <__reset+0xfb10b554> - -8112b5a0 : -8112b5a0: 00a04574 movhi r2,33045 -8112b5a4: 1086e204 addi r2,r2,7048 -8112b5a8: 280d883a mov r6,r5 -8112b5ac: 200b883a mov r5,r4 -8112b5b0: 11000017 ldw r4,0(r2) -8112b5b4: 112b4ac1 jmpi 8112b4ac <_fopen_r> - -8112b5b8 <_fprintf_r>: -8112b5b8: defffe04 addi sp,sp,-8 -8112b5bc: 2809883a mov r4,r5 -8112b5c0: 300b883a mov r5,r6 -8112b5c4: dfc00015 stw ra,0(sp) -8112b5c8: d9c00115 stw r7,4(sp) -8112b5cc: d9800104 addi r6,sp,4 -8112b5d0: 11322680 call 81132268 <__vfprintf_internal> -8112b5d4: dfc00017 ldw ra,0(sp) -8112b5d8: dec00204 addi sp,sp,8 -8112b5dc: f800283a ret - -8112b5e0 : -8112b5e0: defffd04 addi sp,sp,-12 -8112b5e4: dfc00015 stw ra,0(sp) -8112b5e8: d9800115 stw r6,4(sp) -8112b5ec: d9c00215 stw r7,8(sp) -8112b5f0: d9800104 addi r6,sp,4 -8112b5f4: 11322680 call 81132268 <__vfprintf_internal> -8112b5f8: dfc00017 ldw ra,0(sp) -8112b5fc: dec00304 addi sp,sp,12 -8112b600: f800283a ret - -8112b604 <_fputc_r>: -8112b604: defffc04 addi sp,sp,-16 -8112b608: dc000215 stw r16,8(sp) -8112b60c: dfc00315 stw ra,12(sp) -8112b610: 2021883a mov r16,r4 -8112b614: 20000726 beq r4,zero,8112b634 <_fputc_r+0x30> -8112b618: 20800e17 ldw r2,56(r4) -8112b61c: 1000051e bne r2,zero,8112b634 <_fputc_r+0x30> -8112b620: d9400015 stw r5,0(sp) -8112b624: d9800115 stw r6,4(sp) -8112b628: 1135dac0 call 81135dac <__sinit> -8112b62c: d9800117 ldw r6,4(sp) -8112b630: d9400017 ldw r5,0(sp) -8112b634: 8009883a mov r4,r16 -8112b638: dfc00317 ldw ra,12(sp) -8112b63c: dc000217 ldw r16,8(sp) -8112b640: dec00404 addi sp,sp,16 -8112b644: 112c9181 jmpi 8112c918 <_putc_r> - -8112b648 : -8112b648: 00a04574 movhi r2,33045 -8112b64c: defffc04 addi sp,sp,-16 -8112b650: 1086e204 addi r2,r2,7048 -8112b654: dc000115 stw r16,4(sp) -8112b658: 14000017 ldw r16,0(r2) -8112b65c: dc400215 stw r17,8(sp) -8112b660: dfc00315 stw ra,12(sp) -8112b664: 2023883a mov r17,r4 -8112b668: 80000626 beq r16,zero,8112b684 -8112b66c: 80800e17 ldw r2,56(r16) -8112b670: 1000041e bne r2,zero,8112b684 +8112b404: 00000206 br 8112b410 <__umodsi3+0x20> +8112b408: 30000c26 beq r6,zero,8112b43c <__umodsi3+0x4c> +8112b40c: 28000516 blt r5,zero,8112b424 <__umodsi3+0x34> +8112b410: 294b883a add r5,r5,r5 +8112b414: 31bfffc4 addi r6,r6,-1 +8112b418: 18c7883a add r3,r3,r3 +8112b41c: 293ffa36 bltu r5,r4,8112b408 <__reset+0xfb10b408> +8112b420: 18000626 beq r3,zero,8112b43c <__umodsi3+0x4c> +8112b424: 1806d07a srli r3,r3,1 +8112b428: 11400136 bltu r2,r5,8112b430 <__umodsi3+0x40> +8112b42c: 1145c83a sub r2,r2,r5 +8112b430: 280ad07a srli r5,r5,1 +8112b434: 183ffb1e bne r3,zero,8112b424 <__reset+0xfb10b424> +8112b438: f800283a ret +8112b43c: f800283a ret +8112b440: 00c00044 movi r3,1 +8112b444: 003ff706 br 8112b424 <__reset+0xfb10b424> + +8112b448 : +8112b448: 01800284 movi r6,10 +8112b44c: 000b883a mov r5,zero +8112b450: 112dbc01 jmpi 8112dbc0 + +8112b454 <_atoi_r>: +8112b454: 01c00284 movi r7,10 +8112b458: 000d883a mov r6,zero +8112b45c: 112d9881 jmpi 8112d988 <_strtol_r> + +8112b460 : +8112b460: 01800284 movi r6,10 +8112b464: 000b883a mov r5,zero +8112b468: 112dbdc1 jmpi 8112dbdc + +8112b46c <_atoll_r>: +8112b46c: 01c00284 movi r7,10 +8112b470: 000d883a mov r6,zero +8112b474: 112dbf81 jmpi 8112dbf8 <_strtoll_r> + +8112b478
: +8112b478: defffc04 addi sp,sp,-16 +8112b47c: dfc00315 stw ra,12(sp) +8112b480: dc800215 stw r18,8(sp) +8112b484: dc400115 stw r17,4(sp) +8112b488: dc000015 stw r16,0(sp) +8112b48c: 2825883a mov r18,r5 +8112b490: 2021883a mov r16,r4 +8112b494: 112b2940 call 8112b294 <__divsi3> +8112b498: 900b883a mov r5,r18 +8112b49c: 8009883a mov r4,r16 +8112b4a0: 1023883a mov r17,r2 +8112b4a4: 112b3180 call 8112b318 <__modsi3> +8112b4a8: 1007883a mov r3,r2 +8112b4ac: 80000816 blt r16,zero,8112b4d0 +8112b4b0: 10000b16 blt r2,zero,8112b4e0 +8112b4b4: 8805883a mov r2,r17 +8112b4b8: dfc00317 ldw ra,12(sp) +8112b4bc: dc800217 ldw r18,8(sp) +8112b4c0: dc400117 ldw r17,4(sp) +8112b4c4: dc000017 ldw r16,0(sp) +8112b4c8: dec00404 addi sp,sp,16 +8112b4cc: f800283a ret +8112b4d0: 00bff80e bge zero,r2,8112b4b4 <__reset+0xfb10b4b4> +8112b4d4: 8c7fffc4 addi r17,r17,-1 +8112b4d8: 1487883a add r3,r2,r18 +8112b4dc: 003ff506 br 8112b4b4 <__reset+0xfb10b4b4> +8112b4e0: 8c400044 addi r17,r17,1 +8112b4e4: 1487c83a sub r3,r2,r18 +8112b4e8: 003ff206 br 8112b4b4 <__reset+0xfb10b4b4> + +8112b4ec <_fopen_r>: +8112b4ec: defffa04 addi sp,sp,-24 +8112b4f0: 3005883a mov r2,r6 +8112b4f4: dcc00415 stw r19,16(sp) +8112b4f8: d80d883a mov r6,sp +8112b4fc: 2827883a mov r19,r5 +8112b500: 100b883a mov r5,r2 +8112b504: dc800315 stw r18,12(sp) +8112b508: dfc00515 stw ra,20(sp) +8112b50c: dc400215 stw r17,8(sp) +8112b510: dc000115 stw r16,4(sp) +8112b514: 2025883a mov r18,r4 +8112b518: 1135e3c0 call 81135e3c <__sflags> +8112b51c: 10002726 beq r2,zero,8112b5bc <_fopen_r+0xd0> +8112b520: 9009883a mov r4,r18 +8112b524: 1023883a mov r17,r2 +8112b528: 1135cbc0 call 81135cbc <__sfp> +8112b52c: 1021883a mov r16,r2 +8112b530: 10002226 beq r2,zero,8112b5bc <_fopen_r+0xd0> +8112b534: d9800017 ldw r6,0(sp) +8112b538: 01c06d84 movi r7,438 +8112b53c: 980b883a mov r5,r19 +8112b540: 9009883a mov r4,r18 +8112b544: 112c8f80 call 8112c8f8 <_open_r> +8112b548: 10001916 blt r2,zero,8112b5b0 <_fopen_r+0xc4> +8112b54c: 8080038d sth r2,14(r16) +8112b550: 00a044f4 movhi r2,33043 +8112b554: 10b5c904 addi r2,r2,-10460 +8112b558: 80800815 stw r2,32(r16) +8112b55c: 00a044f4 movhi r2,33043 +8112b560: 10b5e004 addi r2,r2,-10368 +8112b564: 80800915 stw r2,36(r16) +8112b568: 00a044f4 movhi r2,33043 +8112b56c: 10b5ff04 addi r2,r2,-10244 +8112b570: 80800a15 stw r2,40(r16) +8112b574: 00a044f4 movhi r2,33043 +8112b578: 10b61604 addi r2,r2,-10152 +8112b57c: 8440030d sth r17,12(r16) +8112b580: 84000715 stw r16,28(r16) +8112b584: 80800b15 stw r2,44(r16) +8112b588: 8c40400c andi r17,r17,256 +8112b58c: 88000d1e bne r17,zero,8112b5c4 <_fopen_r+0xd8> +8112b590: 8005883a mov r2,r16 +8112b594: dfc00517 ldw ra,20(sp) +8112b598: dcc00417 ldw r19,16(sp) +8112b59c: dc800317 ldw r18,12(sp) +8112b5a0: dc400217 ldw r17,8(sp) +8112b5a4: dc000117 ldw r16,4(sp) +8112b5a8: dec00604 addi sp,sp,24 +8112b5ac: f800283a ret +8112b5b0: 1135dfc0 call 81135dfc <__sfp_lock_acquire> +8112b5b4: 8000030d sth zero,12(r16) +8112b5b8: 1135e000 call 81135e00 <__sfp_lock_release> +8112b5bc: 0005883a mov r2,zero +8112b5c0: 003ff406 br 8112b594 <__reset+0xfb10b594> +8112b5c4: 01c00084 movi r7,2 +8112b5c8: 000d883a mov r6,zero +8112b5cc: 800b883a mov r5,r16 +8112b5d0: 9009883a mov r4,r18 +8112b5d4: 112b6e40 call 8112b6e4 <_fseek_r> +8112b5d8: 8005883a mov r2,r16 +8112b5dc: 003fed06 br 8112b594 <__reset+0xfb10b594> + +8112b5e0 : +8112b5e0: 00a04574 movhi r2,33045 +8112b5e4: 1086f304 addi r2,r2,7116 +8112b5e8: 280d883a mov r6,r5 +8112b5ec: 200b883a mov r5,r4 +8112b5f0: 11000017 ldw r4,0(r2) +8112b5f4: 112b4ec1 jmpi 8112b4ec <_fopen_r> + +8112b5f8 <_fprintf_r>: +8112b5f8: defffe04 addi sp,sp,-8 +8112b5fc: 2809883a mov r4,r5 +8112b600: 300b883a mov r5,r6 +8112b604: dfc00015 stw ra,0(sp) +8112b608: d9c00115 stw r7,4(sp) +8112b60c: d9800104 addi r6,sp,4 +8112b610: 11322a80 call 811322a8 <__vfprintf_internal> +8112b614: dfc00017 ldw ra,0(sp) +8112b618: dec00204 addi sp,sp,8 +8112b61c: f800283a ret + +8112b620 : +8112b620: defffd04 addi sp,sp,-12 +8112b624: dfc00015 stw ra,0(sp) +8112b628: d9800115 stw r6,4(sp) +8112b62c: d9c00215 stw r7,8(sp) +8112b630: d9800104 addi r6,sp,4 +8112b634: 11322a80 call 811322a8 <__vfprintf_internal> +8112b638: dfc00017 ldw ra,0(sp) +8112b63c: dec00304 addi sp,sp,12 +8112b640: f800283a ret + +8112b644 <_fputc_r>: +8112b644: defffc04 addi sp,sp,-16 +8112b648: dc000215 stw r16,8(sp) +8112b64c: dfc00315 stw ra,12(sp) +8112b650: 2021883a mov r16,r4 +8112b654: 20000726 beq r4,zero,8112b674 <_fputc_r+0x30> +8112b658: 20800e17 ldw r2,56(r4) +8112b65c: 1000051e bne r2,zero,8112b674 <_fputc_r+0x30> +8112b660: d9400015 stw r5,0(sp) +8112b664: d9800115 stw r6,4(sp) +8112b668: 1135dec0 call 81135dec <__sinit> +8112b66c: d9800117 ldw r6,4(sp) +8112b670: d9400017 ldw r5,0(sp) 8112b674: 8009883a mov r4,r16 -8112b678: d9400015 stw r5,0(sp) -8112b67c: 1135dac0 call 81135dac <__sinit> -8112b680: d9400017 ldw r5,0(sp) -8112b684: 280d883a mov r6,r5 -8112b688: 8009883a mov r4,r16 -8112b68c: 880b883a mov r5,r17 -8112b690: dfc00317 ldw ra,12(sp) -8112b694: dc400217 ldw r17,8(sp) -8112b698: dc000117 ldw r16,4(sp) -8112b69c: dec00404 addi sp,sp,16 -8112b6a0: 112c9181 jmpi 8112c918 <_putc_r> - -8112b6a4 <_fseek_r>: -8112b6a4: 112b6c41 jmpi 8112b6c4 <_fseeko_r> - -8112b6a8 : -8112b6a8: 00a04574 movhi r2,33045 -8112b6ac: 1086e204 addi r2,r2,7048 -8112b6b0: 300f883a mov r7,r6 -8112b6b4: 280d883a mov r6,r5 -8112b6b8: 200b883a mov r5,r4 -8112b6bc: 11000017 ldw r4,0(r2) -8112b6c0: 112b6c41 jmpi 8112b6c4 <_fseeko_r> - -8112b6c4 <_fseeko_r>: -8112b6c4: deffe804 addi sp,sp,-96 -8112b6c8: dd401415 stw r21,80(sp) -8112b6cc: dc801115 stw r18,68(sp) -8112b6d0: dc401015 stw r17,64(sp) -8112b6d4: dc000f15 stw r16,60(sp) -8112b6d8: dfc01715 stw ra,92(sp) -8112b6dc: ddc01615 stw r23,88(sp) -8112b6e0: dd801515 stw r22,84(sp) -8112b6e4: dd001315 stw r20,76(sp) -8112b6e8: dcc01215 stw r19,72(sp) -8112b6ec: 2023883a mov r17,r4 -8112b6f0: 2821883a mov r16,r5 -8112b6f4: 302b883a mov r21,r6 -8112b6f8: 3825883a mov r18,r7 -8112b6fc: 20000226 beq r4,zero,8112b708 <_fseeko_r+0x44> -8112b700: 20800e17 ldw r2,56(r4) -8112b704: 10005a26 beq r2,zero,8112b870 <_fseeko_r+0x1ac> -8112b708: 8080030b ldhu r2,12(r16) -8112b70c: 00c04204 movi r3,264 -8112b710: 1080420c andi r2,r2,264 -8112b714: 10c05b26 beq r2,r3,8112b884 <_fseeko_r+0x1c0> -8112b718: 85000a17 ldw r20,40(r16) -8112b71c: a000f626 beq r20,zero,8112baf8 <_fseeko_r+0x434> -8112b720: 00800044 movi r2,1 -8112b724: 90803e26 beq r18,r2,8112b820 <_fseeko_r+0x15c> -8112b728: 00800084 movi r2,2 -8112b72c: 90801026 beq r18,r2,8112b770 <_fseeko_r+0xac> -8112b730: 90000f26 beq r18,zero,8112b770 <_fseeko_r+0xac> -8112b734: 00800584 movi r2,22 -8112b738: 88800015 stw r2,0(r17) -8112b73c: 04ffffc4 movi r19,-1 -8112b740: 9805883a mov r2,r19 -8112b744: dfc01717 ldw ra,92(sp) -8112b748: ddc01617 ldw r23,88(sp) -8112b74c: dd801517 ldw r22,84(sp) -8112b750: dd401417 ldw r21,80(sp) -8112b754: dd001317 ldw r20,76(sp) -8112b758: dcc01217 ldw r19,72(sp) -8112b75c: dc801117 ldw r18,68(sp) -8112b760: dc401017 ldw r17,64(sp) -8112b764: dc000f17 ldw r16,60(sp) -8112b768: dec01804 addi sp,sp,96 -8112b76c: f800283a ret -8112b770: 80800417 ldw r2,16(r16) -8112b774: 002f883a mov r23,zero -8112b778: 0027883a mov r19,zero -8112b77c: 1000cb26 beq r2,zero,8112baac <_fseeko_r+0x3e8> -8112b780: 8080030b ldhu r2,12(r16) -8112b784: 10c2068c andi r3,r2,2074 -8112b788: 1800071e bne r3,zero,8112b7a8 <_fseeko_r+0xe4> -8112b78c: 10c1000c andi r3,r2,1024 -8112b790: 1800451e bne r3,zero,8112b8a8 <_fseeko_r+0x1e4> -8112b794: 00e044f4 movhi r3,33043 -8112b798: 18f5ef04 addi r3,r3,-10308 -8112b79c: a0c0b726 beq r20,r3,8112ba7c <_fseeko_r+0x3b8> -8112b7a0: 10820014 ori r2,r2,2048 -8112b7a4: 8080030d sth r2,12(r16) -8112b7a8: 800b883a mov r5,r16 -8112b7ac: 8809883a mov r4,r17 -8112b7b0: 11359d00 call 811359d0 <_fflush_r> -8112b7b4: 1027883a mov r19,r2 -8112b7b8: 103fe01e bne r2,zero,8112b73c <__reset+0xfb10b73c> -8112b7bc: 81400717 ldw r5,28(r16) -8112b7c0: 900f883a mov r7,r18 -8112b7c4: a80d883a mov r6,r21 -8112b7c8: 8809883a mov r4,r17 -8112b7cc: a03ee83a callr r20 -8112b7d0: 00ffffc4 movi r3,-1 -8112b7d4: 10ffd926 beq r2,r3,8112b73c <__reset+0xfb10b73c> -8112b7d8: 81400c17 ldw r5,48(r16) -8112b7dc: 28000526 beq r5,zero,8112b7f4 <_fseeko_r+0x130> -8112b7e0: 80801004 addi r2,r16,64 -8112b7e4: 28800226 beq r5,r2,8112b7f0 <_fseeko_r+0x12c> -8112b7e8: 8809883a mov r4,r17 -8112b7ec: 113622c0 call 8113622c <_free_r> -8112b7f0: 80000c15 stw zero,48(r16) -8112b7f4: 8080030b ldhu r2,12(r16) -8112b7f8: 80c00417 ldw r3,16(r16) -8112b7fc: 80000115 stw zero,4(r16) -8112b800: 10bdf7cc andi r2,r2,63455 -8112b804: 80c00015 stw r3,0(r16) -8112b808: 8080030d sth r2,12(r16) -8112b80c: 01800204 movi r6,8 -8112b810: 000b883a mov r5,zero -8112b814: 81001704 addi r4,r16,92 -8112b818: 112c7900 call 8112c790 -8112b81c: 003fc806 br 8112b740 <__reset+0xfb10b740> -8112b820: 800b883a mov r5,r16 -8112b824: 8809883a mov r4,r17 -8112b828: 11359d00 call 811359d0 <_fflush_r> -8112b82c: 8080030b ldhu r2,12(r16) -8112b830: 10c4000c andi r3,r2,4096 -8112b834: 18008726 beq r3,zero,8112ba54 <_fseeko_r+0x390> -8112b838: 84c01417 ldw r19,80(r16) -8112b83c: 10c0010c andi r3,r2,4 -8112b840: 1800431e bne r3,zero,8112b950 <_fseeko_r+0x28c> -8112b844: 1080020c andi r2,r2,8 -8112b848: 10008026 beq r2,zero,8112ba4c <_fseeko_r+0x388> -8112b84c: 80c00017 ldw r3,0(r16) -8112b850: 80800417 ldw r2,16(r16) -8112b854: 18000226 beq r3,zero,8112b860 <_fseeko_r+0x19c> -8112b858: 1887c83a sub r3,r3,r2 -8112b85c: 98e7883a add r19,r19,r3 -8112b860: aceb883a add r21,r21,r19 -8112b864: 05c00044 movi r23,1 -8112b868: 0025883a mov r18,zero -8112b86c: 003fc306 br 8112b77c <__reset+0xfb10b77c> -8112b870: 1135dac0 call 81135dac <__sinit> -8112b874: 8080030b ldhu r2,12(r16) -8112b878: 00c04204 movi r3,264 -8112b87c: 1080420c andi r2,r2,264 -8112b880: 10ffa51e bne r2,r3,8112b718 <__reset+0xfb10b718> -8112b884: 800b883a mov r5,r16 -8112b888: 8809883a mov r4,r17 -8112b88c: 11359d00 call 811359d0 <_fflush_r> -8112b890: 003fa106 br 8112b718 <__reset+0xfb10b718> -8112b894: 8080030b ldhu r2,12(r16) -8112b898: 00c10004 movi r3,1024 -8112b89c: 80c01315 stw r3,76(r16) -8112b8a0: 10c4b03a or r2,r2,r3 -8112b8a4: 8080030d sth r2,12(r16) -8112b8a8: 9000311e bne r18,zero,8112b970 <_fseeko_r+0x2ac> -8112b8ac: a82d883a mov r22,r21 -8112b8b0: b800371e bne r23,zero,8112b990 <_fseeko_r+0x2cc> +8112b678: dfc00317 ldw ra,12(sp) +8112b67c: dc000217 ldw r16,8(sp) +8112b680: dec00404 addi sp,sp,16 +8112b684: 112c9581 jmpi 8112c958 <_putc_r> + +8112b688 : +8112b688: 00a04574 movhi r2,33045 +8112b68c: defffc04 addi sp,sp,-16 +8112b690: 1086f304 addi r2,r2,7116 +8112b694: dc000115 stw r16,4(sp) +8112b698: 14000017 ldw r16,0(r2) +8112b69c: dc400215 stw r17,8(sp) +8112b6a0: dfc00315 stw ra,12(sp) +8112b6a4: 2023883a mov r17,r4 +8112b6a8: 80000626 beq r16,zero,8112b6c4 +8112b6ac: 80800e17 ldw r2,56(r16) +8112b6b0: 1000041e bne r2,zero,8112b6c4 +8112b6b4: 8009883a mov r4,r16 +8112b6b8: d9400015 stw r5,0(sp) +8112b6bc: 1135dec0 call 81135dec <__sinit> +8112b6c0: d9400017 ldw r5,0(sp) +8112b6c4: 280d883a mov r6,r5 +8112b6c8: 8009883a mov r4,r16 +8112b6cc: 880b883a mov r5,r17 +8112b6d0: dfc00317 ldw ra,12(sp) +8112b6d4: dc400217 ldw r17,8(sp) +8112b6d8: dc000117 ldw r16,4(sp) +8112b6dc: dec00404 addi sp,sp,16 +8112b6e0: 112c9581 jmpi 8112c958 <_putc_r> + +8112b6e4 <_fseek_r>: +8112b6e4: 112b7041 jmpi 8112b704 <_fseeko_r> + +8112b6e8 : +8112b6e8: 00a04574 movhi r2,33045 +8112b6ec: 1086f304 addi r2,r2,7116 +8112b6f0: 300f883a mov r7,r6 +8112b6f4: 280d883a mov r6,r5 +8112b6f8: 200b883a mov r5,r4 +8112b6fc: 11000017 ldw r4,0(r2) +8112b700: 112b7041 jmpi 8112b704 <_fseeko_r> + +8112b704 <_fseeko_r>: +8112b704: deffe804 addi sp,sp,-96 +8112b708: dd401415 stw r21,80(sp) +8112b70c: dc801115 stw r18,68(sp) +8112b710: dc401015 stw r17,64(sp) +8112b714: dc000f15 stw r16,60(sp) +8112b718: dfc01715 stw ra,92(sp) +8112b71c: ddc01615 stw r23,88(sp) +8112b720: dd801515 stw r22,84(sp) +8112b724: dd001315 stw r20,76(sp) +8112b728: dcc01215 stw r19,72(sp) +8112b72c: 2023883a mov r17,r4 +8112b730: 2821883a mov r16,r5 +8112b734: 302b883a mov r21,r6 +8112b738: 3825883a mov r18,r7 +8112b73c: 20000226 beq r4,zero,8112b748 <_fseeko_r+0x44> +8112b740: 20800e17 ldw r2,56(r4) +8112b744: 10005a26 beq r2,zero,8112b8b0 <_fseeko_r+0x1ac> +8112b748: 8080030b ldhu r2,12(r16) +8112b74c: 00c04204 movi r3,264 +8112b750: 1080420c andi r2,r2,264 +8112b754: 10c05b26 beq r2,r3,8112b8c4 <_fseeko_r+0x1c0> +8112b758: 85000a17 ldw r20,40(r16) +8112b75c: a000f626 beq r20,zero,8112bb38 <_fseeko_r+0x434> +8112b760: 00800044 movi r2,1 +8112b764: 90803e26 beq r18,r2,8112b860 <_fseeko_r+0x15c> +8112b768: 00800084 movi r2,2 +8112b76c: 90801026 beq r18,r2,8112b7b0 <_fseeko_r+0xac> +8112b770: 90000f26 beq r18,zero,8112b7b0 <_fseeko_r+0xac> +8112b774: 00800584 movi r2,22 +8112b778: 88800015 stw r2,0(r17) +8112b77c: 04ffffc4 movi r19,-1 +8112b780: 9805883a mov r2,r19 +8112b784: dfc01717 ldw ra,92(sp) +8112b788: ddc01617 ldw r23,88(sp) +8112b78c: dd801517 ldw r22,84(sp) +8112b790: dd401417 ldw r21,80(sp) +8112b794: dd001317 ldw r20,76(sp) +8112b798: dcc01217 ldw r19,72(sp) +8112b79c: dc801117 ldw r18,68(sp) +8112b7a0: dc401017 ldw r17,64(sp) +8112b7a4: dc000f17 ldw r16,60(sp) +8112b7a8: dec01804 addi sp,sp,96 +8112b7ac: f800283a ret +8112b7b0: 80800417 ldw r2,16(r16) +8112b7b4: 002f883a mov r23,zero +8112b7b8: 0027883a mov r19,zero +8112b7bc: 1000cb26 beq r2,zero,8112baec <_fseeko_r+0x3e8> +8112b7c0: 8080030b ldhu r2,12(r16) +8112b7c4: 10c2068c andi r3,r2,2074 +8112b7c8: 1800071e bne r3,zero,8112b7e8 <_fseeko_r+0xe4> +8112b7cc: 10c1000c andi r3,r2,1024 +8112b7d0: 1800451e bne r3,zero,8112b8e8 <_fseeko_r+0x1e4> +8112b7d4: 00e044f4 movhi r3,33043 +8112b7d8: 18f5ff04 addi r3,r3,-10244 +8112b7dc: a0c0b726 beq r20,r3,8112babc <_fseeko_r+0x3b8> +8112b7e0: 10820014 ori r2,r2,2048 +8112b7e4: 8080030d sth r2,12(r16) +8112b7e8: 800b883a mov r5,r16 +8112b7ec: 8809883a mov r4,r17 +8112b7f0: 1135a100 call 81135a10 <_fflush_r> +8112b7f4: 1027883a mov r19,r2 +8112b7f8: 103fe01e bne r2,zero,8112b77c <__reset+0xfb10b77c> +8112b7fc: 81400717 ldw r5,28(r16) +8112b800: 900f883a mov r7,r18 +8112b804: a80d883a mov r6,r21 +8112b808: 8809883a mov r4,r17 +8112b80c: a03ee83a callr r20 +8112b810: 00ffffc4 movi r3,-1 +8112b814: 10ffd926 beq r2,r3,8112b77c <__reset+0xfb10b77c> +8112b818: 81400c17 ldw r5,48(r16) +8112b81c: 28000526 beq r5,zero,8112b834 <_fseeko_r+0x130> +8112b820: 80801004 addi r2,r16,64 +8112b824: 28800226 beq r5,r2,8112b830 <_fseeko_r+0x12c> +8112b828: 8809883a mov r4,r17 +8112b82c: 113626c0 call 8113626c <_free_r> +8112b830: 80000c15 stw zero,48(r16) +8112b834: 8080030b ldhu r2,12(r16) +8112b838: 80c00417 ldw r3,16(r16) +8112b83c: 80000115 stw zero,4(r16) +8112b840: 10bdf7cc andi r2,r2,63455 +8112b844: 80c00015 stw r3,0(r16) +8112b848: 8080030d sth r2,12(r16) +8112b84c: 01800204 movi r6,8 +8112b850: 000b883a mov r5,zero +8112b854: 81001704 addi r4,r16,92 +8112b858: 112c7d00 call 8112c7d0 +8112b85c: 003fc806 br 8112b780 <__reset+0xfb10b780> +8112b860: 800b883a mov r5,r16 +8112b864: 8809883a mov r4,r17 +8112b868: 1135a100 call 81135a10 <_fflush_r> +8112b86c: 8080030b ldhu r2,12(r16) +8112b870: 10c4000c andi r3,r2,4096 +8112b874: 18008726 beq r3,zero,8112ba94 <_fseeko_r+0x390> +8112b878: 84c01417 ldw r19,80(r16) +8112b87c: 10c0010c andi r3,r2,4 +8112b880: 1800431e bne r3,zero,8112b990 <_fseeko_r+0x28c> +8112b884: 1080020c andi r2,r2,8 +8112b888: 10008026 beq r2,zero,8112ba8c <_fseeko_r+0x388> +8112b88c: 80c00017 ldw r3,0(r16) +8112b890: 80800417 ldw r2,16(r16) +8112b894: 18000226 beq r3,zero,8112b8a0 <_fseeko_r+0x19c> +8112b898: 1887c83a sub r3,r3,r2 +8112b89c: 98e7883a add r19,r19,r3 +8112b8a0: aceb883a add r21,r21,r19 +8112b8a4: 05c00044 movi r23,1 +8112b8a8: 0025883a mov r18,zero +8112b8ac: 003fc306 br 8112b7bc <__reset+0xfb10b7bc> +8112b8b0: 1135dec0 call 81135dec <__sinit> 8112b8b4: 8080030b ldhu r2,12(r16) -8112b8b8: 1084000c andi r2,r2,4096 -8112b8bc: 10007f26 beq r2,zero,8112babc <_fseeko_r+0x3f8> -8112b8c0: 80801417 ldw r2,80(r16) -8112b8c4: 81800117 ldw r6,4(r16) -8112b8c8: 81400c17 ldw r5,48(r16) -8112b8cc: 11a7c83a sub r19,r2,r6 -8112b8d0: 28008226 beq r5,zero,8112badc <_fseeko_r+0x418> -8112b8d4: 81c00f17 ldw r7,60(r16) -8112b8d8: 99e7c83a sub r19,r19,r7 -8112b8dc: 81000e17 ldw r4,56(r16) -8112b8e0: 80800417 ldw r2,16(r16) -8112b8e4: 99a7883a add r19,r19,r6 -8112b8e8: 2087c83a sub r3,r4,r2 -8112b8ec: 98e7c83a sub r19,r19,r3 -8112b8f0: 38c7883a add r3,r7,r3 -8112b8f4: b4c02b16 blt r22,r19,8112b9a4 <_fseeko_r+0x2e0> -8112b8f8: 98c9883a add r4,r19,r3 -8112b8fc: b100292e bgeu r22,r4,8112b9a4 <_fseeko_r+0x2e0> -8112b900: b4e7c83a sub r19,r22,r19 -8112b904: 14c5883a add r2,r2,r19 -8112b908: 1ce7c83a sub r19,r3,r19 -8112b90c: 80800015 stw r2,0(r16) -8112b910: 84c00115 stw r19,4(r16) -8112b914: 28000526 beq r5,zero,8112b92c <_fseeko_r+0x268> -8112b918: 80801004 addi r2,r16,64 -8112b91c: 28800226 beq r5,r2,8112b928 <_fseeko_r+0x264> -8112b920: 8809883a mov r4,r17 -8112b924: 113622c0 call 8113622c <_free_r> -8112b928: 80000c15 stw zero,48(r16) -8112b92c: 8080030b ldhu r2,12(r16) -8112b930: 01800204 movi r6,8 -8112b934: 000b883a mov r5,zero -8112b938: 10bff7cc andi r2,r2,65503 -8112b93c: 8080030d sth r2,12(r16) -8112b940: 81001704 addi r4,r16,92 -8112b944: 112c7900 call 8112c790 -8112b948: 0027883a mov r19,zero -8112b94c: 003f7c06 br 8112b740 <__reset+0xfb10b740> -8112b950: 80c00117 ldw r3,4(r16) -8112b954: 80800c17 ldw r2,48(r16) -8112b958: 98e7c83a sub r19,r19,r3 -8112b95c: 10003b26 beq r2,zero,8112ba4c <_fseeko_r+0x388> -8112b960: 80c00f17 ldw r3,60(r16) -8112b964: 80800417 ldw r2,16(r16) -8112b968: 98e7c83a sub r19,r19,r3 -8112b96c: 003fbc06 br 8112b860 <__reset+0xfb10b860> -8112b970: 8140038f ldh r5,14(r16) -8112b974: d80d883a mov r6,sp -8112b978: 8809883a mov r4,r17 -8112b97c: 112bb240 call 8112bb24 <_fstat_r> -8112b980: 103f891e bne r2,zero,8112b7a8 <__reset+0xfb10b7a8> -8112b984: dd800417 ldw r22,16(sp) -8112b988: adad883a add r22,r21,r22 -8112b98c: b83fc926 beq r23,zero,8112b8b4 <__reset+0xfb10b8b4> -8112b990: 81400c17 ldw r5,48(r16) -8112b994: 81800117 ldw r6,4(r16) -8112b998: 28005026 beq r5,zero,8112badc <_fseeko_r+0x418> -8112b99c: 81c00f17 ldw r7,60(r16) -8112b9a0: 003fce06 br 8112b8dc <__reset+0xfb10b8dc> -8112b9a4: 84c01317 ldw r19,76(r16) -8112b9a8: 81400717 ldw r5,28(r16) -8112b9ac: 000f883a mov r7,zero -8112b9b0: 04e7c83a sub r19,zero,r19 -8112b9b4: 9da6703a and r19,r19,r22 -8112b9b8: 980d883a mov r6,r19 -8112b9bc: 8809883a mov r4,r17 -8112b9c0: a03ee83a callr r20 -8112b9c4: 00ffffc4 movi r3,-1 -8112b9c8: 10ff7726 beq r2,r3,8112b7a8 <__reset+0xfb10b7a8> -8112b9cc: 80800417 ldw r2,16(r16) +8112b8b8: 00c04204 movi r3,264 +8112b8bc: 1080420c andi r2,r2,264 +8112b8c0: 10ffa51e bne r2,r3,8112b758 <__reset+0xfb10b758> +8112b8c4: 800b883a mov r5,r16 +8112b8c8: 8809883a mov r4,r17 +8112b8cc: 1135a100 call 81135a10 <_fflush_r> +8112b8d0: 003fa106 br 8112b758 <__reset+0xfb10b758> +8112b8d4: 8080030b ldhu r2,12(r16) +8112b8d8: 00c10004 movi r3,1024 +8112b8dc: 80c01315 stw r3,76(r16) +8112b8e0: 10c4b03a or r2,r2,r3 +8112b8e4: 8080030d sth r2,12(r16) +8112b8e8: 9000311e bne r18,zero,8112b9b0 <_fseeko_r+0x2ac> +8112b8ec: a82d883a mov r22,r21 +8112b8f0: b800371e bne r23,zero,8112b9d0 <_fseeko_r+0x2cc> +8112b8f4: 8080030b ldhu r2,12(r16) +8112b8f8: 1084000c andi r2,r2,4096 +8112b8fc: 10007f26 beq r2,zero,8112bafc <_fseeko_r+0x3f8> +8112b900: 80801417 ldw r2,80(r16) +8112b904: 81800117 ldw r6,4(r16) +8112b908: 81400c17 ldw r5,48(r16) +8112b90c: 11a7c83a sub r19,r2,r6 +8112b910: 28008226 beq r5,zero,8112bb1c <_fseeko_r+0x418> +8112b914: 81c00f17 ldw r7,60(r16) +8112b918: 99e7c83a sub r19,r19,r7 +8112b91c: 81000e17 ldw r4,56(r16) +8112b920: 80800417 ldw r2,16(r16) +8112b924: 99a7883a add r19,r19,r6 +8112b928: 2087c83a sub r3,r4,r2 +8112b92c: 98e7c83a sub r19,r19,r3 +8112b930: 38c7883a add r3,r7,r3 +8112b934: b4c02b16 blt r22,r19,8112b9e4 <_fseeko_r+0x2e0> +8112b938: 98c9883a add r4,r19,r3 +8112b93c: b100292e bgeu r22,r4,8112b9e4 <_fseeko_r+0x2e0> +8112b940: b4e7c83a sub r19,r22,r19 +8112b944: 14c5883a add r2,r2,r19 +8112b948: 1ce7c83a sub r19,r3,r19 +8112b94c: 80800015 stw r2,0(r16) +8112b950: 84c00115 stw r19,4(r16) +8112b954: 28000526 beq r5,zero,8112b96c <_fseeko_r+0x268> +8112b958: 80801004 addi r2,r16,64 +8112b95c: 28800226 beq r5,r2,8112b968 <_fseeko_r+0x264> +8112b960: 8809883a mov r4,r17 +8112b964: 113626c0 call 8113626c <_free_r> +8112b968: 80000c15 stw zero,48(r16) +8112b96c: 8080030b ldhu r2,12(r16) +8112b970: 01800204 movi r6,8 +8112b974: 000b883a mov r5,zero +8112b978: 10bff7cc andi r2,r2,65503 +8112b97c: 8080030d sth r2,12(r16) +8112b980: 81001704 addi r4,r16,92 +8112b984: 112c7d00 call 8112c7d0 +8112b988: 0027883a mov r19,zero +8112b98c: 003f7c06 br 8112b780 <__reset+0xfb10b780> +8112b990: 80c00117 ldw r3,4(r16) +8112b994: 80800c17 ldw r2,48(r16) +8112b998: 98e7c83a sub r19,r19,r3 +8112b99c: 10003b26 beq r2,zero,8112ba8c <_fseeko_r+0x388> +8112b9a0: 80c00f17 ldw r3,60(r16) +8112b9a4: 80800417 ldw r2,16(r16) +8112b9a8: 98e7c83a sub r19,r19,r3 +8112b9ac: 003fbc06 br 8112b8a0 <__reset+0xfb10b8a0> +8112b9b0: 8140038f ldh r5,14(r16) +8112b9b4: d80d883a mov r6,sp +8112b9b8: 8809883a mov r4,r17 +8112b9bc: 112bb640 call 8112bb64 <_fstat_r> +8112b9c0: 103f891e bne r2,zero,8112b7e8 <__reset+0xfb10b7e8> +8112b9c4: dd800417 ldw r22,16(sp) +8112b9c8: adad883a add r22,r21,r22 +8112b9cc: b83fc926 beq r23,zero,8112b8f4 <__reset+0xfb10b8f4> 8112b9d0: 81400c17 ldw r5,48(r16) -8112b9d4: 80000115 stw zero,4(r16) -8112b9d8: 80800015 stw r2,0(r16) -8112b9dc: 28000526 beq r5,zero,8112b9f4 <_fseeko_r+0x330> -8112b9e0: 80801004 addi r2,r16,64 -8112b9e4: 28800226 beq r5,r2,8112b9f0 <_fseeko_r+0x32c> -8112b9e8: 8809883a mov r4,r17 -8112b9ec: 113622c0 call 8113622c <_free_r> -8112b9f0: 80000c15 stw zero,48(r16) -8112b9f4: 8080030b ldhu r2,12(r16) -8112b9f8: b4e7c83a sub r19,r22,r19 -8112b9fc: 10bff7cc andi r2,r2,65503 -8112ba00: 8080030d sth r2,12(r16) -8112ba04: 98000b26 beq r19,zero,8112ba34 <_fseeko_r+0x370> -8112ba08: 800b883a mov r5,r16 -8112ba0c: 8809883a mov r4,r17 -8112ba10: 112d38c0 call 8112d38c <__srefill_r> -8112ba14: 103f641e bne r2,zero,8112b7a8 <__reset+0xfb10b7a8> -8112ba18: 80800117 ldw r2,4(r16) -8112ba1c: 14ff6236 bltu r2,r19,8112b7a8 <__reset+0xfb10b7a8> -8112ba20: 80c00017 ldw r3,0(r16) -8112ba24: 14c5c83a sub r2,r2,r19 -8112ba28: 80800115 stw r2,4(r16) -8112ba2c: 1ce7883a add r19,r3,r19 -8112ba30: 84c00015 stw r19,0(r16) -8112ba34: 01800204 movi r6,8 -8112ba38: 000b883a mov r5,zero -8112ba3c: 81001704 addi r4,r16,92 -8112ba40: 112c7900 call 8112c790 -8112ba44: 0027883a mov r19,zero -8112ba48: 003f3d06 br 8112b740 <__reset+0xfb10b740> -8112ba4c: 80800417 ldw r2,16(r16) -8112ba50: 003f8306 br 8112b860 <__reset+0xfb10b860> -8112ba54: 81400717 ldw r5,28(r16) -8112ba58: 900f883a mov r7,r18 -8112ba5c: 000d883a mov r6,zero -8112ba60: 8809883a mov r4,r17 -8112ba64: a03ee83a callr r20 -8112ba68: 1027883a mov r19,r2 -8112ba6c: 00bfffc4 movi r2,-1 -8112ba70: 98bf3226 beq r19,r2,8112b73c <__reset+0xfb10b73c> -8112ba74: 8080030b ldhu r2,12(r16) -8112ba78: 003f7006 br 8112b83c <__reset+0xfb10b83c> -8112ba7c: 8140038f ldh r5,14(r16) -8112ba80: 283f4716 blt r5,zero,8112b7a0 <__reset+0xfb10b7a0> -8112ba84: d80d883a mov r6,sp -8112ba88: 8809883a mov r4,r17 -8112ba8c: 112bb240 call 8112bb24 <_fstat_r> -8112ba90: 1000041e bne r2,zero,8112baa4 <_fseeko_r+0x3e0> -8112ba94: d8800117 ldw r2,4(sp) -8112ba98: 00e00014 movui r3,32768 -8112ba9c: 10bc000c andi r2,r2,61440 -8112baa0: 10ff7c26 beq r2,r3,8112b894 <__reset+0xfb10b894> -8112baa4: 8080030b ldhu r2,12(r16) -8112baa8: 003f3d06 br 8112b7a0 <__reset+0xfb10b7a0> -8112baac: 800b883a mov r5,r16 -8112bab0: 8809883a mov r4,r17 -8112bab4: 112bc800 call 8112bc80 <__smakebuf_r> -8112bab8: 003f3106 br 8112b780 <__reset+0xfb10b780> -8112babc: 81400717 ldw r5,28(r16) -8112bac0: 01c00044 movi r7,1 -8112bac4: 000d883a mov r6,zero +8112b9d4: 81800117 ldw r6,4(r16) +8112b9d8: 28005026 beq r5,zero,8112bb1c <_fseeko_r+0x418> +8112b9dc: 81c00f17 ldw r7,60(r16) +8112b9e0: 003fce06 br 8112b91c <__reset+0xfb10b91c> +8112b9e4: 84c01317 ldw r19,76(r16) +8112b9e8: 81400717 ldw r5,28(r16) +8112b9ec: 000f883a mov r7,zero +8112b9f0: 04e7c83a sub r19,zero,r19 +8112b9f4: 9da6703a and r19,r19,r22 +8112b9f8: 980d883a mov r6,r19 +8112b9fc: 8809883a mov r4,r17 +8112ba00: a03ee83a callr r20 +8112ba04: 00ffffc4 movi r3,-1 +8112ba08: 10ff7726 beq r2,r3,8112b7e8 <__reset+0xfb10b7e8> +8112ba0c: 80800417 ldw r2,16(r16) +8112ba10: 81400c17 ldw r5,48(r16) +8112ba14: 80000115 stw zero,4(r16) +8112ba18: 80800015 stw r2,0(r16) +8112ba1c: 28000526 beq r5,zero,8112ba34 <_fseeko_r+0x330> +8112ba20: 80801004 addi r2,r16,64 +8112ba24: 28800226 beq r5,r2,8112ba30 <_fseeko_r+0x32c> +8112ba28: 8809883a mov r4,r17 +8112ba2c: 113626c0 call 8113626c <_free_r> +8112ba30: 80000c15 stw zero,48(r16) +8112ba34: 8080030b ldhu r2,12(r16) +8112ba38: b4e7c83a sub r19,r22,r19 +8112ba3c: 10bff7cc andi r2,r2,65503 +8112ba40: 8080030d sth r2,12(r16) +8112ba44: 98000b26 beq r19,zero,8112ba74 <_fseeko_r+0x370> +8112ba48: 800b883a mov r5,r16 +8112ba4c: 8809883a mov r4,r17 +8112ba50: 112d3cc0 call 8112d3cc <__srefill_r> +8112ba54: 103f641e bne r2,zero,8112b7e8 <__reset+0xfb10b7e8> +8112ba58: 80800117 ldw r2,4(r16) +8112ba5c: 14ff6236 bltu r2,r19,8112b7e8 <__reset+0xfb10b7e8> +8112ba60: 80c00017 ldw r3,0(r16) +8112ba64: 14c5c83a sub r2,r2,r19 +8112ba68: 80800115 stw r2,4(r16) +8112ba6c: 1ce7883a add r19,r3,r19 +8112ba70: 84c00015 stw r19,0(r16) +8112ba74: 01800204 movi r6,8 +8112ba78: 000b883a mov r5,zero +8112ba7c: 81001704 addi r4,r16,92 +8112ba80: 112c7d00 call 8112c7d0 +8112ba84: 0027883a mov r19,zero +8112ba88: 003f3d06 br 8112b780 <__reset+0xfb10b780> +8112ba8c: 80800417 ldw r2,16(r16) +8112ba90: 003f8306 br 8112b8a0 <__reset+0xfb10b8a0> +8112ba94: 81400717 ldw r5,28(r16) +8112ba98: 900f883a mov r7,r18 +8112ba9c: 000d883a mov r6,zero +8112baa0: 8809883a mov r4,r17 +8112baa4: a03ee83a callr r20 +8112baa8: 1027883a mov r19,r2 +8112baac: 00bfffc4 movi r2,-1 +8112bab0: 98bf3226 beq r19,r2,8112b77c <__reset+0xfb10b77c> +8112bab4: 8080030b ldhu r2,12(r16) +8112bab8: 003f7006 br 8112b87c <__reset+0xfb10b87c> +8112babc: 8140038f ldh r5,14(r16) +8112bac0: 283f4716 blt r5,zero,8112b7e0 <__reset+0xfb10b7e0> +8112bac4: d80d883a mov r6,sp 8112bac8: 8809883a mov r4,r17 -8112bacc: a03ee83a callr r20 -8112bad0: 00ffffc4 movi r3,-1 -8112bad4: 10ff7b1e bne r2,r3,8112b8c4 <__reset+0xfb10b8c4> -8112bad8: 003f3306 br 8112b7a8 <__reset+0xfb10b7a8> -8112badc: 80c00017 ldw r3,0(r16) -8112bae0: 80800417 ldw r2,16(r16) -8112bae4: 000b883a mov r5,zero -8112bae8: 1887c83a sub r3,r3,r2 -8112baec: 98e7c83a sub r19,r19,r3 -8112baf0: 30c7883a add r3,r6,r3 -8112baf4: 003f7f06 br 8112b8f4 <__reset+0xfb10b8f4> -8112baf8: 00800744 movi r2,29 -8112bafc: 88800015 stw r2,0(r17) -8112bb00: 04ffffc4 movi r19,-1 -8112bb04: 003f0e06 br 8112b740 <__reset+0xfb10b740> - -8112bb08 : -8112bb08: 00a04574 movhi r2,33045 -8112bb0c: 1086e204 addi r2,r2,7048 -8112bb10: 300f883a mov r7,r6 -8112bb14: 280d883a mov r6,r5 -8112bb18: 200b883a mov r5,r4 -8112bb1c: 11000017 ldw r4,0(r2) -8112bb20: 112b6c41 jmpi 8112b6c4 <_fseeko_r> - -8112bb24 <_fstat_r>: -8112bb24: defffd04 addi sp,sp,-12 -8112bb28: 2805883a mov r2,r5 -8112bb2c: dc000015 stw r16,0(sp) -8112bb30: 04204574 movhi r16,33045 -8112bb34: dc400115 stw r17,4(sp) -8112bb38: 84076904 addi r16,r16,7588 -8112bb3c: 2023883a mov r17,r4 -8112bb40: 300b883a mov r5,r6 -8112bb44: 1009883a mov r4,r2 -8112bb48: dfc00215 stw ra,8(sp) -8112bb4c: 80000015 stw zero,0(r16) -8112bb50: 113f3440 call 8113f344 -8112bb54: 00ffffc4 movi r3,-1 -8112bb58: 10c00526 beq r2,r3,8112bb70 <_fstat_r+0x4c> -8112bb5c: dfc00217 ldw ra,8(sp) -8112bb60: dc400117 ldw r17,4(sp) -8112bb64: dc000017 ldw r16,0(sp) -8112bb68: dec00304 addi sp,sp,12 -8112bb6c: f800283a ret -8112bb70: 80c00017 ldw r3,0(r16) -8112bb74: 183ff926 beq r3,zero,8112bb5c <__reset+0xfb10bb5c> -8112bb78: 88c00015 stw r3,0(r17) -8112bb7c: 003ff706 br 8112bb5c <__reset+0xfb10bb5c> - -8112bb80 <_fwrite_r>: -8112bb80: defff504 addi sp,sp,-44 -8112bb84: dc800815 stw r18,32(sp) -8112bb88: 39a5383a mul r18,r7,r6 -8112bb8c: d8800304 addi r2,sp,12 -8112bb90: d8800015 stw r2,0(sp) -8112bb94: 00800044 movi r2,1 -8112bb98: dcc00915 stw r19,36(sp) -8112bb9c: dc400715 stw r17,28(sp) -8112bba0: dc000615 stw r16,24(sp) -8112bba4: d9400315 stw r5,12(sp) -8112bba8: dfc00a15 stw ra,40(sp) -8112bbac: dc800415 stw r18,16(sp) -8112bbb0: dc800215 stw r18,8(sp) -8112bbb4: d8800115 stw r2,4(sp) -8112bbb8: 3027883a mov r19,r6 -8112bbbc: 3821883a mov r16,r7 -8112bbc0: 2023883a mov r17,r4 -8112bbc4: d9400b17 ldw r5,44(sp) -8112bbc8: 20000226 beq r4,zero,8112bbd4 <_fwrite_r+0x54> -8112bbcc: 20800e17 ldw r2,56(r4) -8112bbd0: 10001a26 beq r2,zero,8112bc3c <_fwrite_r+0xbc> -8112bbd4: 2880030b ldhu r2,12(r5) -8112bbd8: 10c8000c andi r3,r2,8192 -8112bbdc: 1800061e bne r3,zero,8112bbf8 <_fwrite_r+0x78> -8112bbe0: 29001917 ldw r4,100(r5) -8112bbe4: 00f7ffc4 movi r3,-8193 -8112bbe8: 10880014 ori r2,r2,8192 -8112bbec: 20c6703a and r3,r4,r3 -8112bbf0: 2880030d sth r2,12(r5) -8112bbf4: 28c01915 stw r3,100(r5) -8112bbf8: d80d883a mov r6,sp -8112bbfc: 8809883a mov r4,r17 -8112bc00: 113653c0 call 8113653c <__sfvwrite_r> -8112bc04: 10000b26 beq r2,zero,8112bc34 <_fwrite_r+0xb4> -8112bc08: d9000217 ldw r4,8(sp) -8112bc0c: 980b883a mov r5,r19 -8112bc10: 9109c83a sub r4,r18,r4 -8112bc14: 112b34c0 call 8112b34c <__udivsi3> -8112bc18: dfc00a17 ldw ra,40(sp) -8112bc1c: dcc00917 ldw r19,36(sp) -8112bc20: dc800817 ldw r18,32(sp) -8112bc24: dc400717 ldw r17,28(sp) -8112bc28: dc000617 ldw r16,24(sp) -8112bc2c: dec00b04 addi sp,sp,44 -8112bc30: f800283a ret -8112bc34: 8005883a mov r2,r16 -8112bc38: 003ff706 br 8112bc18 <__reset+0xfb10bc18> -8112bc3c: d9400515 stw r5,20(sp) -8112bc40: 1135dac0 call 81135dac <__sinit> -8112bc44: d9400517 ldw r5,20(sp) -8112bc48: 003fe206 br 8112bbd4 <__reset+0xfb10bbd4> - -8112bc4c : -8112bc4c: defffe04 addi sp,sp,-8 -8112bc50: 00a04574 movhi r2,33045 -8112bc54: d9c00015 stw r7,0(sp) -8112bc58: 1086e204 addi r2,r2,7048 -8112bc5c: 300f883a mov r7,r6 -8112bc60: 280d883a mov r6,r5 -8112bc64: 200b883a mov r5,r4 -8112bc68: 11000017 ldw r4,0(r2) -8112bc6c: dfc00115 stw ra,4(sp) -8112bc70: 112bb800 call 8112bb80 <_fwrite_r> -8112bc74: dfc00117 ldw ra,4(sp) -8112bc78: dec00204 addi sp,sp,8 -8112bc7c: f800283a ret - -8112bc80 <__smakebuf_r>: -8112bc80: 2880030b ldhu r2,12(r5) -8112bc84: 10c0008c andi r3,r2,2 -8112bc88: 1800411e bne r3,zero,8112bd90 <__smakebuf_r+0x110> -8112bc8c: deffec04 addi sp,sp,-80 -8112bc90: dc000f15 stw r16,60(sp) -8112bc94: 2821883a mov r16,r5 -8112bc98: 2940038f ldh r5,14(r5) -8112bc9c: dc401015 stw r17,64(sp) -8112bca0: dfc01315 stw ra,76(sp) -8112bca4: dcc01215 stw r19,72(sp) -8112bca8: dc801115 stw r18,68(sp) -8112bcac: 2023883a mov r17,r4 -8112bcb0: 28001c16 blt r5,zero,8112bd24 <__smakebuf_r+0xa4> -8112bcb4: d80d883a mov r6,sp -8112bcb8: 112bb240 call 8112bb24 <_fstat_r> -8112bcbc: 10001816 blt r2,zero,8112bd20 <__smakebuf_r+0xa0> -8112bcc0: d8800117 ldw r2,4(sp) -8112bcc4: 00e00014 movui r3,32768 -8112bcc8: 10bc000c andi r2,r2,61440 -8112bccc: 14c80020 cmpeqi r19,r2,8192 -8112bcd0: 10c03726 beq r2,r3,8112bdb0 <__smakebuf_r+0x130> -8112bcd4: 80c0030b ldhu r3,12(r16) -8112bcd8: 18c20014 ori r3,r3,2048 -8112bcdc: 80c0030d sth r3,12(r16) -8112bce0: 00c80004 movi r3,8192 -8112bce4: 10c0521e bne r2,r3,8112be30 <__smakebuf_r+0x1b0> -8112bce8: 8140038f ldh r5,14(r16) -8112bcec: 8809883a mov r4,r17 -8112bcf0: 1136b800 call 81136b80 <_isatty_r> -8112bcf4: 10004c26 beq r2,zero,8112be28 <__smakebuf_r+0x1a8> -8112bcf8: 8080030b ldhu r2,12(r16) -8112bcfc: 80c010c4 addi r3,r16,67 -8112bd00: 80c00015 stw r3,0(r16) -8112bd04: 10800054 ori r2,r2,1 -8112bd08: 8080030d sth r2,12(r16) -8112bd0c: 00800044 movi r2,1 -8112bd10: 80c00415 stw r3,16(r16) -8112bd14: 80800515 stw r2,20(r16) -8112bd18: 04810004 movi r18,1024 -8112bd1c: 00000706 br 8112bd3c <__smakebuf_r+0xbc> -8112bd20: 8080030b ldhu r2,12(r16) -8112bd24: 10c0200c andi r3,r2,128 -8112bd28: 18001f1e bne r3,zero,8112bda8 <__smakebuf_r+0x128> -8112bd2c: 04810004 movi r18,1024 -8112bd30: 10820014 ori r2,r2,2048 -8112bd34: 8080030d sth r2,12(r16) -8112bd38: 0027883a mov r19,zero -8112bd3c: 900b883a mov r5,r18 -8112bd40: 8809883a mov r4,r17 -8112bd44: 112be3c0 call 8112be3c <_malloc_r> -8112bd48: 10002c26 beq r2,zero,8112bdfc <__smakebuf_r+0x17c> -8112bd4c: 80c0030b ldhu r3,12(r16) -8112bd50: 012044f4 movhi r4,33043 -8112bd54: 21169904 addi r4,r4,23140 -8112bd58: 89000f15 stw r4,60(r17) -8112bd5c: 18c02014 ori r3,r3,128 -8112bd60: 80c0030d sth r3,12(r16) -8112bd64: 80800015 stw r2,0(r16) -8112bd68: 80800415 stw r2,16(r16) -8112bd6c: 84800515 stw r18,20(r16) -8112bd70: 98001a1e bne r19,zero,8112bddc <__smakebuf_r+0x15c> -8112bd74: dfc01317 ldw ra,76(sp) -8112bd78: dcc01217 ldw r19,72(sp) -8112bd7c: dc801117 ldw r18,68(sp) -8112bd80: dc401017 ldw r17,64(sp) -8112bd84: dc000f17 ldw r16,60(sp) -8112bd88: dec01404 addi sp,sp,80 -8112bd8c: f800283a ret -8112bd90: 288010c4 addi r2,r5,67 -8112bd94: 28800015 stw r2,0(r5) -8112bd98: 28800415 stw r2,16(r5) -8112bd9c: 00800044 movi r2,1 -8112bda0: 28800515 stw r2,20(r5) -8112bda4: f800283a ret -8112bda8: 04801004 movi r18,64 -8112bdac: 003fe006 br 8112bd30 <__reset+0xfb10bd30> -8112bdb0: 81000a17 ldw r4,40(r16) -8112bdb4: 00e044f4 movhi r3,33043 -8112bdb8: 18f5ef04 addi r3,r3,-10308 -8112bdbc: 20ffc51e bne r4,r3,8112bcd4 <__reset+0xfb10bcd4> -8112bdc0: 8080030b ldhu r2,12(r16) -8112bdc4: 04810004 movi r18,1024 -8112bdc8: 84801315 stw r18,76(r16) -8112bdcc: 1484b03a or r2,r2,r18 -8112bdd0: 8080030d sth r2,12(r16) -8112bdd4: 0027883a mov r19,zero -8112bdd8: 003fd806 br 8112bd3c <__reset+0xfb10bd3c> -8112bddc: 8140038f ldh r5,14(r16) -8112bde0: 8809883a mov r4,r17 -8112bde4: 1136b800 call 81136b80 <_isatty_r> -8112bde8: 103fe226 beq r2,zero,8112bd74 <__reset+0xfb10bd74> -8112bdec: 8080030b ldhu r2,12(r16) -8112bdf0: 10800054 ori r2,r2,1 -8112bdf4: 8080030d sth r2,12(r16) -8112bdf8: 003fde06 br 8112bd74 <__reset+0xfb10bd74> -8112bdfc: 8080030b ldhu r2,12(r16) -8112be00: 10c0800c andi r3,r2,512 -8112be04: 183fdb1e bne r3,zero,8112bd74 <__reset+0xfb10bd74> -8112be08: 10800094 ori r2,r2,2 -8112be0c: 80c010c4 addi r3,r16,67 +8112bacc: 112bb640 call 8112bb64 <_fstat_r> +8112bad0: 1000041e bne r2,zero,8112bae4 <_fseeko_r+0x3e0> +8112bad4: d8800117 ldw r2,4(sp) +8112bad8: 00e00014 movui r3,32768 +8112badc: 10bc000c andi r2,r2,61440 +8112bae0: 10ff7c26 beq r2,r3,8112b8d4 <__reset+0xfb10b8d4> +8112bae4: 8080030b ldhu r2,12(r16) +8112bae8: 003f3d06 br 8112b7e0 <__reset+0xfb10b7e0> +8112baec: 800b883a mov r5,r16 +8112baf0: 8809883a mov r4,r17 +8112baf4: 112bcc00 call 8112bcc0 <__smakebuf_r> +8112baf8: 003f3106 br 8112b7c0 <__reset+0xfb10b7c0> +8112bafc: 81400717 ldw r5,28(r16) +8112bb00: 01c00044 movi r7,1 +8112bb04: 000d883a mov r6,zero +8112bb08: 8809883a mov r4,r17 +8112bb0c: a03ee83a callr r20 +8112bb10: 00ffffc4 movi r3,-1 +8112bb14: 10ff7b1e bne r2,r3,8112b904 <__reset+0xfb10b904> +8112bb18: 003f3306 br 8112b7e8 <__reset+0xfb10b7e8> +8112bb1c: 80c00017 ldw r3,0(r16) +8112bb20: 80800417 ldw r2,16(r16) +8112bb24: 000b883a mov r5,zero +8112bb28: 1887c83a sub r3,r3,r2 +8112bb2c: 98e7c83a sub r19,r19,r3 +8112bb30: 30c7883a add r3,r6,r3 +8112bb34: 003f7f06 br 8112b934 <__reset+0xfb10b934> +8112bb38: 00800744 movi r2,29 +8112bb3c: 88800015 stw r2,0(r17) +8112bb40: 04ffffc4 movi r19,-1 +8112bb44: 003f0e06 br 8112b780 <__reset+0xfb10b780> + +8112bb48 : +8112bb48: 00a04574 movhi r2,33045 +8112bb4c: 1086f304 addi r2,r2,7116 +8112bb50: 300f883a mov r7,r6 +8112bb54: 280d883a mov r6,r5 +8112bb58: 200b883a mov r5,r4 +8112bb5c: 11000017 ldw r4,0(r2) +8112bb60: 112b7041 jmpi 8112b704 <_fseeko_r> + +8112bb64 <_fstat_r>: +8112bb64: defffd04 addi sp,sp,-12 +8112bb68: 2805883a mov r2,r5 +8112bb6c: dc000015 stw r16,0(sp) +8112bb70: 04204574 movhi r16,33045 +8112bb74: dc400115 stw r17,4(sp) +8112bb78: 84077a04 addi r16,r16,7656 +8112bb7c: 2023883a mov r17,r4 +8112bb80: 300b883a mov r5,r6 +8112bb84: 1009883a mov r4,r2 +8112bb88: dfc00215 stw ra,8(sp) +8112bb8c: 80000015 stw zero,0(r16) +8112bb90: 113f3840 call 8113f384 +8112bb94: 00ffffc4 movi r3,-1 +8112bb98: 10c00526 beq r2,r3,8112bbb0 <_fstat_r+0x4c> +8112bb9c: dfc00217 ldw ra,8(sp) +8112bba0: dc400117 ldw r17,4(sp) +8112bba4: dc000017 ldw r16,0(sp) +8112bba8: dec00304 addi sp,sp,12 +8112bbac: f800283a ret +8112bbb0: 80c00017 ldw r3,0(r16) +8112bbb4: 183ff926 beq r3,zero,8112bb9c <__reset+0xfb10bb9c> +8112bbb8: 88c00015 stw r3,0(r17) +8112bbbc: 003ff706 br 8112bb9c <__reset+0xfb10bb9c> + +8112bbc0 <_fwrite_r>: +8112bbc0: defff504 addi sp,sp,-44 +8112bbc4: dc800815 stw r18,32(sp) +8112bbc8: 39a5383a mul r18,r7,r6 +8112bbcc: d8800304 addi r2,sp,12 +8112bbd0: d8800015 stw r2,0(sp) +8112bbd4: 00800044 movi r2,1 +8112bbd8: dcc00915 stw r19,36(sp) +8112bbdc: dc400715 stw r17,28(sp) +8112bbe0: dc000615 stw r16,24(sp) +8112bbe4: d9400315 stw r5,12(sp) +8112bbe8: dfc00a15 stw ra,40(sp) +8112bbec: dc800415 stw r18,16(sp) +8112bbf0: dc800215 stw r18,8(sp) +8112bbf4: d8800115 stw r2,4(sp) +8112bbf8: 3027883a mov r19,r6 +8112bbfc: 3821883a mov r16,r7 +8112bc00: 2023883a mov r17,r4 +8112bc04: d9400b17 ldw r5,44(sp) +8112bc08: 20000226 beq r4,zero,8112bc14 <_fwrite_r+0x54> +8112bc0c: 20800e17 ldw r2,56(r4) +8112bc10: 10001a26 beq r2,zero,8112bc7c <_fwrite_r+0xbc> +8112bc14: 2880030b ldhu r2,12(r5) +8112bc18: 10c8000c andi r3,r2,8192 +8112bc1c: 1800061e bne r3,zero,8112bc38 <_fwrite_r+0x78> +8112bc20: 29001917 ldw r4,100(r5) +8112bc24: 00f7ffc4 movi r3,-8193 +8112bc28: 10880014 ori r2,r2,8192 +8112bc2c: 20c6703a and r3,r4,r3 +8112bc30: 2880030d sth r2,12(r5) +8112bc34: 28c01915 stw r3,100(r5) +8112bc38: d80d883a mov r6,sp +8112bc3c: 8809883a mov r4,r17 +8112bc40: 113657c0 call 8113657c <__sfvwrite_r> +8112bc44: 10000b26 beq r2,zero,8112bc74 <_fwrite_r+0xb4> +8112bc48: d9000217 ldw r4,8(sp) +8112bc4c: 980b883a mov r5,r19 +8112bc50: 9109c83a sub r4,r18,r4 +8112bc54: 112b38c0 call 8112b38c <__udivsi3> +8112bc58: dfc00a17 ldw ra,40(sp) +8112bc5c: dcc00917 ldw r19,36(sp) +8112bc60: dc800817 ldw r18,32(sp) +8112bc64: dc400717 ldw r17,28(sp) +8112bc68: dc000617 ldw r16,24(sp) +8112bc6c: dec00b04 addi sp,sp,44 +8112bc70: f800283a ret +8112bc74: 8005883a mov r2,r16 +8112bc78: 003ff706 br 8112bc58 <__reset+0xfb10bc58> +8112bc7c: d9400515 stw r5,20(sp) +8112bc80: 1135dec0 call 81135dec <__sinit> +8112bc84: d9400517 ldw r5,20(sp) +8112bc88: 003fe206 br 8112bc14 <__reset+0xfb10bc14> + +8112bc8c : +8112bc8c: defffe04 addi sp,sp,-8 +8112bc90: 00a04574 movhi r2,33045 +8112bc94: d9c00015 stw r7,0(sp) +8112bc98: 1086f304 addi r2,r2,7116 +8112bc9c: 300f883a mov r7,r6 +8112bca0: 280d883a mov r6,r5 +8112bca4: 200b883a mov r5,r4 +8112bca8: 11000017 ldw r4,0(r2) +8112bcac: dfc00115 stw ra,4(sp) +8112bcb0: 112bbc00 call 8112bbc0 <_fwrite_r> +8112bcb4: dfc00117 ldw ra,4(sp) +8112bcb8: dec00204 addi sp,sp,8 +8112bcbc: f800283a ret + +8112bcc0 <__smakebuf_r>: +8112bcc0: 2880030b ldhu r2,12(r5) +8112bcc4: 10c0008c andi r3,r2,2 +8112bcc8: 1800411e bne r3,zero,8112bdd0 <__smakebuf_r+0x110> +8112bccc: deffec04 addi sp,sp,-80 +8112bcd0: dc000f15 stw r16,60(sp) +8112bcd4: 2821883a mov r16,r5 +8112bcd8: 2940038f ldh r5,14(r5) +8112bcdc: dc401015 stw r17,64(sp) +8112bce0: dfc01315 stw ra,76(sp) +8112bce4: dcc01215 stw r19,72(sp) +8112bce8: dc801115 stw r18,68(sp) +8112bcec: 2023883a mov r17,r4 +8112bcf0: 28001c16 blt r5,zero,8112bd64 <__smakebuf_r+0xa4> +8112bcf4: d80d883a mov r6,sp +8112bcf8: 112bb640 call 8112bb64 <_fstat_r> +8112bcfc: 10001816 blt r2,zero,8112bd60 <__smakebuf_r+0xa0> +8112bd00: d8800117 ldw r2,4(sp) +8112bd04: 00e00014 movui r3,32768 +8112bd08: 10bc000c andi r2,r2,61440 +8112bd0c: 14c80020 cmpeqi r19,r2,8192 +8112bd10: 10c03726 beq r2,r3,8112bdf0 <__smakebuf_r+0x130> +8112bd14: 80c0030b ldhu r3,12(r16) +8112bd18: 18c20014 ori r3,r3,2048 +8112bd1c: 80c0030d sth r3,12(r16) +8112bd20: 00c80004 movi r3,8192 +8112bd24: 10c0521e bne r2,r3,8112be70 <__smakebuf_r+0x1b0> +8112bd28: 8140038f ldh r5,14(r16) +8112bd2c: 8809883a mov r4,r17 +8112bd30: 1136bc00 call 81136bc0 <_isatty_r> +8112bd34: 10004c26 beq r2,zero,8112be68 <__smakebuf_r+0x1a8> +8112bd38: 8080030b ldhu r2,12(r16) +8112bd3c: 80c010c4 addi r3,r16,67 +8112bd40: 80c00015 stw r3,0(r16) +8112bd44: 10800054 ori r2,r2,1 +8112bd48: 8080030d sth r2,12(r16) +8112bd4c: 00800044 movi r2,1 +8112bd50: 80c00415 stw r3,16(r16) +8112bd54: 80800515 stw r2,20(r16) +8112bd58: 04810004 movi r18,1024 +8112bd5c: 00000706 br 8112bd7c <__smakebuf_r+0xbc> +8112bd60: 8080030b ldhu r2,12(r16) +8112bd64: 10c0200c andi r3,r2,128 +8112bd68: 18001f1e bne r3,zero,8112bde8 <__smakebuf_r+0x128> +8112bd6c: 04810004 movi r18,1024 +8112bd70: 10820014 ori r2,r2,2048 +8112bd74: 8080030d sth r2,12(r16) +8112bd78: 0027883a mov r19,zero +8112bd7c: 900b883a mov r5,r18 +8112bd80: 8809883a mov r4,r17 +8112bd84: 112be7c0 call 8112be7c <_malloc_r> +8112bd88: 10002c26 beq r2,zero,8112be3c <__smakebuf_r+0x17c> +8112bd8c: 80c0030b ldhu r3,12(r16) +8112bd90: 012044f4 movhi r4,33043 +8112bd94: 2116a904 addi r4,r4,23204 +8112bd98: 89000f15 stw r4,60(r17) +8112bd9c: 18c02014 ori r3,r3,128 +8112bda0: 80c0030d sth r3,12(r16) +8112bda4: 80800015 stw r2,0(r16) +8112bda8: 80800415 stw r2,16(r16) +8112bdac: 84800515 stw r18,20(r16) +8112bdb0: 98001a1e bne r19,zero,8112be1c <__smakebuf_r+0x15c> +8112bdb4: dfc01317 ldw ra,76(sp) +8112bdb8: dcc01217 ldw r19,72(sp) +8112bdbc: dc801117 ldw r18,68(sp) +8112bdc0: dc401017 ldw r17,64(sp) +8112bdc4: dc000f17 ldw r16,60(sp) +8112bdc8: dec01404 addi sp,sp,80 +8112bdcc: f800283a ret +8112bdd0: 288010c4 addi r2,r5,67 +8112bdd4: 28800015 stw r2,0(r5) +8112bdd8: 28800415 stw r2,16(r5) +8112bddc: 00800044 movi r2,1 +8112bde0: 28800515 stw r2,20(r5) +8112bde4: f800283a ret +8112bde8: 04801004 movi r18,64 +8112bdec: 003fe006 br 8112bd70 <__reset+0xfb10bd70> +8112bdf0: 81000a17 ldw r4,40(r16) +8112bdf4: 00e044f4 movhi r3,33043 +8112bdf8: 18f5ff04 addi r3,r3,-10244 +8112bdfc: 20ffc51e bne r4,r3,8112bd14 <__reset+0xfb10bd14> +8112be00: 8080030b ldhu r2,12(r16) +8112be04: 04810004 movi r18,1024 +8112be08: 84801315 stw r18,76(r16) +8112be0c: 1484b03a or r2,r2,r18 8112be10: 8080030d sth r2,12(r16) -8112be14: 00800044 movi r2,1 -8112be18: 80c00015 stw r3,0(r16) -8112be1c: 80c00415 stw r3,16(r16) -8112be20: 80800515 stw r2,20(r16) -8112be24: 003fd306 br 8112bd74 <__reset+0xfb10bd74> -8112be28: 04810004 movi r18,1024 -8112be2c: 003fc306 br 8112bd3c <__reset+0xfb10bd3c> -8112be30: 0027883a mov r19,zero -8112be34: 04810004 movi r18,1024 -8112be38: 003fc006 br 8112bd3c <__reset+0xfb10bd3c> - -8112be3c <_malloc_r>: -8112be3c: defff504 addi sp,sp,-44 -8112be40: dc800315 stw r18,12(sp) -8112be44: dfc00a15 stw ra,40(sp) -8112be48: df000915 stw fp,36(sp) -8112be4c: ddc00815 stw r23,32(sp) -8112be50: dd800715 stw r22,28(sp) -8112be54: dd400615 stw r21,24(sp) -8112be58: dd000515 stw r20,20(sp) -8112be5c: dcc00415 stw r19,16(sp) -8112be60: dc400215 stw r17,8(sp) -8112be64: dc000115 stw r16,4(sp) -8112be68: 288002c4 addi r2,r5,11 -8112be6c: 00c00584 movi r3,22 -8112be70: 2025883a mov r18,r4 -8112be74: 18807f2e bgeu r3,r2,8112c074 <_malloc_r+0x238> -8112be78: 047ffe04 movi r17,-8 -8112be7c: 1462703a and r17,r2,r17 -8112be80: 8800a316 blt r17,zero,8112c110 <_malloc_r+0x2d4> -8112be84: 8940a236 bltu r17,r5,8112c110 <_malloc_r+0x2d4> -8112be88: 113fbf80 call 8113fbf8 <__malloc_lock> -8112be8c: 00807dc4 movi r2,503 -8112be90: 1441e92e bgeu r2,r17,8112c638 <_malloc_r+0x7fc> -8112be94: 8804d27a srli r2,r17,9 -8112be98: 1000a126 beq r2,zero,8112c120 <_malloc_r+0x2e4> -8112be9c: 00c00104 movi r3,4 -8112bea0: 18811e36 bltu r3,r2,8112c31c <_malloc_r+0x4e0> -8112bea4: 8804d1ba srli r2,r17,6 -8112bea8: 12000e44 addi r8,r2,57 -8112beac: 11c00e04 addi r7,r2,56 -8112beb0: 4209883a add r4,r8,r8 -8112beb4: 04e04574 movhi r19,33045 -8112beb8: 2109883a add r4,r4,r4 -8112bebc: 9cc0fa04 addi r19,r19,1000 -8112bec0: 2109883a add r4,r4,r4 -8112bec4: 9909883a add r4,r19,r4 -8112bec8: 24000117 ldw r16,4(r4) -8112becc: 213ffe04 addi r4,r4,-8 -8112bed0: 24009726 beq r4,r16,8112c130 <_malloc_r+0x2f4> -8112bed4: 80800117 ldw r2,4(r16) -8112bed8: 01bfff04 movi r6,-4 -8112bedc: 014003c4 movi r5,15 -8112bee0: 1184703a and r2,r2,r6 -8112bee4: 1447c83a sub r3,r2,r17 -8112bee8: 28c00716 blt r5,r3,8112bf08 <_malloc_r+0xcc> -8112beec: 1800920e bge r3,zero,8112c138 <_malloc_r+0x2fc> -8112bef0: 84000317 ldw r16,12(r16) -8112bef4: 24008e26 beq r4,r16,8112c130 <_malloc_r+0x2f4> -8112bef8: 80800117 ldw r2,4(r16) -8112befc: 1184703a and r2,r2,r6 -8112bf00: 1447c83a sub r3,r2,r17 -8112bf04: 28fff90e bge r5,r3,8112beec <__reset+0xfb10beec> -8112bf08: 3809883a mov r4,r7 -8112bf0c: 01a04574 movhi r6,33045 -8112bf10: 9c000417 ldw r16,16(r19) -8112bf14: 3180fa04 addi r6,r6,1000 -8112bf18: 32000204 addi r8,r6,8 -8112bf1c: 82013426 beq r16,r8,8112c3f0 <_malloc_r+0x5b4> -8112bf20: 80c00117 ldw r3,4(r16) -8112bf24: 00bfff04 movi r2,-4 -8112bf28: 188e703a and r7,r3,r2 -8112bf2c: 3c45c83a sub r2,r7,r17 -8112bf30: 00c003c4 movi r3,15 -8112bf34: 18811f16 blt r3,r2,8112c3b4 <_malloc_r+0x578> -8112bf38: 32000515 stw r8,20(r6) -8112bf3c: 32000415 stw r8,16(r6) -8112bf40: 10007f0e bge r2,zero,8112c140 <_malloc_r+0x304> -8112bf44: 00807fc4 movi r2,511 -8112bf48: 11c0fd36 bltu r2,r7,8112c340 <_malloc_r+0x504> -8112bf4c: 3806d0fa srli r3,r7,3 -8112bf50: 01c00044 movi r7,1 -8112bf54: 30800117 ldw r2,4(r6) -8112bf58: 19400044 addi r5,r3,1 -8112bf5c: 294b883a add r5,r5,r5 -8112bf60: 1807d0ba srai r3,r3,2 -8112bf64: 294b883a add r5,r5,r5 -8112bf68: 294b883a add r5,r5,r5 -8112bf6c: 298b883a add r5,r5,r6 -8112bf70: 38c6983a sll r3,r7,r3 -8112bf74: 29c00017 ldw r7,0(r5) -8112bf78: 2a7ffe04 addi r9,r5,-8 -8112bf7c: 1886b03a or r3,r3,r2 -8112bf80: 82400315 stw r9,12(r16) -8112bf84: 81c00215 stw r7,8(r16) -8112bf88: 30c00115 stw r3,4(r6) -8112bf8c: 2c000015 stw r16,0(r5) -8112bf90: 3c000315 stw r16,12(r7) -8112bf94: 2005d0ba srai r2,r4,2 -8112bf98: 01400044 movi r5,1 -8112bf9c: 288a983a sll r5,r5,r2 -8112bfa0: 19406f36 bltu r3,r5,8112c160 <_malloc_r+0x324> -8112bfa4: 28c4703a and r2,r5,r3 -8112bfa8: 10000a1e bne r2,zero,8112bfd4 <_malloc_r+0x198> -8112bfac: 00bfff04 movi r2,-4 -8112bfb0: 294b883a add r5,r5,r5 -8112bfb4: 2088703a and r4,r4,r2 -8112bfb8: 28c4703a and r2,r5,r3 -8112bfbc: 21000104 addi r4,r4,4 -8112bfc0: 1000041e bne r2,zero,8112bfd4 <_malloc_r+0x198> -8112bfc4: 294b883a add r5,r5,r5 -8112bfc8: 28c4703a and r2,r5,r3 -8112bfcc: 21000104 addi r4,r4,4 -8112bfd0: 103ffc26 beq r2,zero,8112bfc4 <__reset+0xfb10bfc4> -8112bfd4: 02bfff04 movi r10,-4 -8112bfd8: 024003c4 movi r9,15 -8112bfdc: 21800044 addi r6,r4,1 -8112bfe0: 318d883a add r6,r6,r6 -8112bfe4: 318d883a add r6,r6,r6 -8112bfe8: 318d883a add r6,r6,r6 -8112bfec: 998d883a add r6,r19,r6 -8112bff0: 333ffe04 addi r12,r6,-8 -8112bff4: 2017883a mov r11,r4 -8112bff8: 31800104 addi r6,r6,4 -8112bffc: 34000017 ldw r16,0(r6) -8112c000: 31fffd04 addi r7,r6,-12 -8112c004: 81c0041e bne r16,r7,8112c018 <_malloc_r+0x1dc> -8112c008: 0000fb06 br 8112c3f8 <_malloc_r+0x5bc> -8112c00c: 1801030e bge r3,zero,8112c41c <_malloc_r+0x5e0> -8112c010: 84000317 ldw r16,12(r16) -8112c014: 81c0f826 beq r16,r7,8112c3f8 <_malloc_r+0x5bc> -8112c018: 80800117 ldw r2,4(r16) -8112c01c: 1284703a and r2,r2,r10 -8112c020: 1447c83a sub r3,r2,r17 -8112c024: 48fff90e bge r9,r3,8112c00c <__reset+0xfb10c00c> -8112c028: 80800317 ldw r2,12(r16) -8112c02c: 81000217 ldw r4,8(r16) -8112c030: 89400054 ori r5,r17,1 -8112c034: 81400115 stw r5,4(r16) -8112c038: 20800315 stw r2,12(r4) -8112c03c: 11000215 stw r4,8(r2) -8112c040: 8463883a add r17,r16,r17 -8112c044: 9c400515 stw r17,20(r19) -8112c048: 9c400415 stw r17,16(r19) -8112c04c: 18800054 ori r2,r3,1 -8112c050: 88800115 stw r2,4(r17) -8112c054: 8a000315 stw r8,12(r17) -8112c058: 8a000215 stw r8,8(r17) -8112c05c: 88e3883a add r17,r17,r3 -8112c060: 88c00015 stw r3,0(r17) -8112c064: 9009883a mov r4,r18 -8112c068: 113fca40 call 8113fca4 <__malloc_unlock> -8112c06c: 80800204 addi r2,r16,8 -8112c070: 00001b06 br 8112c0e0 <_malloc_r+0x2a4> -8112c074: 04400404 movi r17,16 -8112c078: 89402536 bltu r17,r5,8112c110 <_malloc_r+0x2d4> -8112c07c: 113fbf80 call 8113fbf8 <__malloc_lock> -8112c080: 00800184 movi r2,6 -8112c084: 01000084 movi r4,2 -8112c088: 04e04574 movhi r19,33045 -8112c08c: 1085883a add r2,r2,r2 -8112c090: 9cc0fa04 addi r19,r19,1000 -8112c094: 1085883a add r2,r2,r2 -8112c098: 9885883a add r2,r19,r2 -8112c09c: 14000117 ldw r16,4(r2) -8112c0a0: 10fffe04 addi r3,r2,-8 -8112c0a4: 80c0d926 beq r16,r3,8112c40c <_malloc_r+0x5d0> -8112c0a8: 80c00117 ldw r3,4(r16) -8112c0ac: 81000317 ldw r4,12(r16) -8112c0b0: 00bfff04 movi r2,-4 -8112c0b4: 1884703a and r2,r3,r2 -8112c0b8: 81400217 ldw r5,8(r16) -8112c0bc: 8085883a add r2,r16,r2 -8112c0c0: 10c00117 ldw r3,4(r2) -8112c0c4: 29000315 stw r4,12(r5) -8112c0c8: 21400215 stw r5,8(r4) -8112c0cc: 18c00054 ori r3,r3,1 -8112c0d0: 10c00115 stw r3,4(r2) -8112c0d4: 9009883a mov r4,r18 -8112c0d8: 113fca40 call 8113fca4 <__malloc_unlock> -8112c0dc: 80800204 addi r2,r16,8 -8112c0e0: dfc00a17 ldw ra,40(sp) -8112c0e4: df000917 ldw fp,36(sp) -8112c0e8: ddc00817 ldw r23,32(sp) -8112c0ec: dd800717 ldw r22,28(sp) -8112c0f0: dd400617 ldw r21,24(sp) -8112c0f4: dd000517 ldw r20,20(sp) -8112c0f8: dcc00417 ldw r19,16(sp) -8112c0fc: dc800317 ldw r18,12(sp) -8112c100: dc400217 ldw r17,8(sp) -8112c104: dc000117 ldw r16,4(sp) -8112c108: dec00b04 addi sp,sp,44 -8112c10c: f800283a ret -8112c110: 00800304 movi r2,12 -8112c114: 90800015 stw r2,0(r18) -8112c118: 0005883a mov r2,zero -8112c11c: 003ff006 br 8112c0e0 <__reset+0xfb10c0e0> -8112c120: 01002004 movi r4,128 -8112c124: 02001004 movi r8,64 -8112c128: 01c00fc4 movi r7,63 -8112c12c: 003f6106 br 8112beb4 <__reset+0xfb10beb4> -8112c130: 4009883a mov r4,r8 -8112c134: 003f7506 br 8112bf0c <__reset+0xfb10bf0c> -8112c138: 81000317 ldw r4,12(r16) -8112c13c: 003fde06 br 8112c0b8 <__reset+0xfb10c0b8> -8112c140: 81c5883a add r2,r16,r7 -8112c144: 11400117 ldw r5,4(r2) -8112c148: 9009883a mov r4,r18 -8112c14c: 29400054 ori r5,r5,1 -8112c150: 11400115 stw r5,4(r2) -8112c154: 113fca40 call 8113fca4 <__malloc_unlock> -8112c158: 80800204 addi r2,r16,8 -8112c15c: 003fe006 br 8112c0e0 <__reset+0xfb10c0e0> -8112c160: 9c000217 ldw r16,8(r19) -8112c164: 00bfff04 movi r2,-4 -8112c168: 85800117 ldw r22,4(r16) -8112c16c: b0ac703a and r22,r22,r2 -8112c170: b4400336 bltu r22,r17,8112c180 <_malloc_r+0x344> -8112c174: b445c83a sub r2,r22,r17 -8112c178: 00c003c4 movi r3,15 -8112c17c: 18805d16 blt r3,r2,8112c2f4 <_malloc_r+0x4b8> -8112c180: 05e04574 movhi r23,33045 -8112c184: 00a04574 movhi r2,33045 -8112c188: 10876c04 addi r2,r2,7600 -8112c18c: bdc6e304 addi r23,r23,7052 -8112c190: 15400017 ldw r21,0(r2) -8112c194: b8c00017 ldw r3,0(r23) -8112c198: 00bfffc4 movi r2,-1 -8112c19c: 858d883a add r6,r16,r22 -8112c1a0: 8d6b883a add r21,r17,r21 -8112c1a4: 1880ea26 beq r3,r2,8112c550 <_malloc_r+0x714> -8112c1a8: ad4403c4 addi r21,r21,4111 -8112c1ac: 00bc0004 movi r2,-4096 -8112c1b0: a8aa703a and r21,r21,r2 -8112c1b4: a80b883a mov r5,r21 -8112c1b8: 9009883a mov r4,r18 -8112c1bc: d9800015 stw r6,0(sp) -8112c1c0: 112d5600 call 8112d560 <_sbrk_r> -8112c1c4: 1029883a mov r20,r2 -8112c1c8: 00bfffc4 movi r2,-1 -8112c1cc: d9800017 ldw r6,0(sp) -8112c1d0: a080e826 beq r20,r2,8112c574 <_malloc_r+0x738> -8112c1d4: a180a636 bltu r20,r6,8112c470 <_malloc_r+0x634> -8112c1d8: 07204574 movhi fp,33045 -8112c1dc: e7088d04 addi fp,fp,8756 -8112c1e0: e0800017 ldw r2,0(fp) -8112c1e4: a887883a add r3,r21,r2 -8112c1e8: e0c00015 stw r3,0(fp) -8112c1ec: 3500e626 beq r6,r20,8112c588 <_malloc_r+0x74c> -8112c1f0: b9000017 ldw r4,0(r23) -8112c1f4: 00bfffc4 movi r2,-1 -8112c1f8: 2080ee26 beq r4,r2,8112c5b4 <_malloc_r+0x778> -8112c1fc: a185c83a sub r2,r20,r6 -8112c200: 10c5883a add r2,r2,r3 -8112c204: e0800015 stw r2,0(fp) -8112c208: a0c001cc andi r3,r20,7 -8112c20c: 1800bc26 beq r3,zero,8112c500 <_malloc_r+0x6c4> -8112c210: a0e9c83a sub r20,r20,r3 -8112c214: 00840204 movi r2,4104 -8112c218: a5000204 addi r20,r20,8 -8112c21c: 10c7c83a sub r3,r2,r3 -8112c220: a545883a add r2,r20,r21 -8112c224: 1083ffcc andi r2,r2,4095 -8112c228: 18abc83a sub r21,r3,r2 -8112c22c: a80b883a mov r5,r21 -8112c230: 9009883a mov r4,r18 -8112c234: 112d5600 call 8112d560 <_sbrk_r> -8112c238: 00ffffc4 movi r3,-1 -8112c23c: 10c0e126 beq r2,r3,8112c5c4 <_malloc_r+0x788> -8112c240: 1505c83a sub r2,r2,r20 -8112c244: 1545883a add r2,r2,r21 -8112c248: 10800054 ori r2,r2,1 -8112c24c: e0c00017 ldw r3,0(fp) -8112c250: 9d000215 stw r20,8(r19) -8112c254: a0800115 stw r2,4(r20) -8112c258: a8c7883a add r3,r21,r3 -8112c25c: e0c00015 stw r3,0(fp) -8112c260: 84c00e26 beq r16,r19,8112c29c <_malloc_r+0x460> -8112c264: 018003c4 movi r6,15 -8112c268: 3580a72e bgeu r6,r22,8112c508 <_malloc_r+0x6cc> -8112c26c: 81400117 ldw r5,4(r16) -8112c270: 013ffe04 movi r4,-8 -8112c274: b0bffd04 addi r2,r22,-12 -8112c278: 1104703a and r2,r2,r4 -8112c27c: 2900004c andi r4,r5,1 -8112c280: 2088b03a or r4,r4,r2 -8112c284: 81000115 stw r4,4(r16) -8112c288: 01400144 movi r5,5 -8112c28c: 8089883a add r4,r16,r2 -8112c290: 21400115 stw r5,4(r4) -8112c294: 21400215 stw r5,8(r4) -8112c298: 3080cd36 bltu r6,r2,8112c5d0 <_malloc_r+0x794> -8112c29c: 00a04574 movhi r2,33045 -8112c2a0: 10876b04 addi r2,r2,7596 -8112c2a4: 11000017 ldw r4,0(r2) -8112c2a8: 20c0012e bgeu r4,r3,8112c2b0 <_malloc_r+0x474> -8112c2ac: 10c00015 stw r3,0(r2) -8112c2b0: 00a04574 movhi r2,33045 -8112c2b4: 10876a04 addi r2,r2,7592 -8112c2b8: 11000017 ldw r4,0(r2) -8112c2bc: 9c000217 ldw r16,8(r19) -8112c2c0: 20c0012e bgeu r4,r3,8112c2c8 <_malloc_r+0x48c> -8112c2c4: 10c00015 stw r3,0(r2) -8112c2c8: 80c00117 ldw r3,4(r16) -8112c2cc: 00bfff04 movi r2,-4 -8112c2d0: 1886703a and r3,r3,r2 -8112c2d4: 1c45c83a sub r2,r3,r17 -8112c2d8: 1c400236 bltu r3,r17,8112c2e4 <_malloc_r+0x4a8> -8112c2dc: 00c003c4 movi r3,15 -8112c2e0: 18800416 blt r3,r2,8112c2f4 <_malloc_r+0x4b8> -8112c2e4: 9009883a mov r4,r18 -8112c2e8: 113fca40 call 8113fca4 <__malloc_unlock> -8112c2ec: 0005883a mov r2,zero -8112c2f0: 003f7b06 br 8112c0e0 <__reset+0xfb10c0e0> -8112c2f4: 88c00054 ori r3,r17,1 -8112c2f8: 80c00115 stw r3,4(r16) -8112c2fc: 8463883a add r17,r16,r17 -8112c300: 10800054 ori r2,r2,1 -8112c304: 9c400215 stw r17,8(r19) -8112c308: 88800115 stw r2,4(r17) -8112c30c: 9009883a mov r4,r18 -8112c310: 113fca40 call 8113fca4 <__malloc_unlock> -8112c314: 80800204 addi r2,r16,8 -8112c318: 003f7106 br 8112c0e0 <__reset+0xfb10c0e0> -8112c31c: 00c00504 movi r3,20 -8112c320: 18804a2e bgeu r3,r2,8112c44c <_malloc_r+0x610> -8112c324: 00c01504 movi r3,84 -8112c328: 18806e36 bltu r3,r2,8112c4e4 <_malloc_r+0x6a8> -8112c32c: 8804d33a srli r2,r17,12 -8112c330: 12001bc4 addi r8,r2,111 -8112c334: 11c01b84 addi r7,r2,110 -8112c338: 4209883a add r4,r8,r8 -8112c33c: 003edd06 br 8112beb4 <__reset+0xfb10beb4> -8112c340: 3804d27a srli r2,r7,9 -8112c344: 00c00104 movi r3,4 -8112c348: 1880442e bgeu r3,r2,8112c45c <_malloc_r+0x620> -8112c34c: 00c00504 movi r3,20 -8112c350: 18808136 bltu r3,r2,8112c558 <_malloc_r+0x71c> -8112c354: 11401704 addi r5,r2,92 -8112c358: 10c016c4 addi r3,r2,91 -8112c35c: 294b883a add r5,r5,r5 -8112c360: 294b883a add r5,r5,r5 -8112c364: 294b883a add r5,r5,r5 -8112c368: 994b883a add r5,r19,r5 -8112c36c: 28800017 ldw r2,0(r5) -8112c370: 01a04574 movhi r6,33045 -8112c374: 297ffe04 addi r5,r5,-8 -8112c378: 3180fa04 addi r6,r6,1000 -8112c37c: 28806526 beq r5,r2,8112c514 <_malloc_r+0x6d8> -8112c380: 01bfff04 movi r6,-4 -8112c384: 10c00117 ldw r3,4(r2) -8112c388: 1986703a and r3,r3,r6 -8112c38c: 38c0022e bgeu r7,r3,8112c398 <_malloc_r+0x55c> -8112c390: 10800217 ldw r2,8(r2) -8112c394: 28bffb1e bne r5,r2,8112c384 <__reset+0xfb10c384> -8112c398: 11400317 ldw r5,12(r2) -8112c39c: 98c00117 ldw r3,4(r19) -8112c3a0: 81400315 stw r5,12(r16) -8112c3a4: 80800215 stw r2,8(r16) -8112c3a8: 2c000215 stw r16,8(r5) -8112c3ac: 14000315 stw r16,12(r2) -8112c3b0: 003ef806 br 8112bf94 <__reset+0xfb10bf94> -8112c3b4: 88c00054 ori r3,r17,1 -8112c3b8: 80c00115 stw r3,4(r16) -8112c3bc: 8463883a add r17,r16,r17 -8112c3c0: 34400515 stw r17,20(r6) -8112c3c4: 34400415 stw r17,16(r6) -8112c3c8: 10c00054 ori r3,r2,1 -8112c3cc: 8a000315 stw r8,12(r17) -8112c3d0: 8a000215 stw r8,8(r17) -8112c3d4: 88c00115 stw r3,4(r17) -8112c3d8: 88a3883a add r17,r17,r2 -8112c3dc: 88800015 stw r2,0(r17) -8112c3e0: 9009883a mov r4,r18 -8112c3e4: 113fca40 call 8113fca4 <__malloc_unlock> -8112c3e8: 80800204 addi r2,r16,8 -8112c3ec: 003f3c06 br 8112c0e0 <__reset+0xfb10c0e0> -8112c3f0: 30c00117 ldw r3,4(r6) -8112c3f4: 003ee706 br 8112bf94 <__reset+0xfb10bf94> -8112c3f8: 5ac00044 addi r11,r11,1 -8112c3fc: 588000cc andi r2,r11,3 -8112c400: 31800204 addi r6,r6,8 -8112c404: 103efd1e bne r2,zero,8112bffc <__reset+0xfb10bffc> -8112c408: 00002406 br 8112c49c <_malloc_r+0x660> -8112c40c: 14000317 ldw r16,12(r2) -8112c410: 143f251e bne r2,r16,8112c0a8 <__reset+0xfb10c0a8> -8112c414: 21000084 addi r4,r4,2 -8112c418: 003ebc06 br 8112bf0c <__reset+0xfb10bf0c> -8112c41c: 8085883a add r2,r16,r2 -8112c420: 10c00117 ldw r3,4(r2) -8112c424: 81000317 ldw r4,12(r16) -8112c428: 81400217 ldw r5,8(r16) -8112c42c: 18c00054 ori r3,r3,1 -8112c430: 10c00115 stw r3,4(r2) -8112c434: 29000315 stw r4,12(r5) -8112c438: 21400215 stw r5,8(r4) -8112c43c: 9009883a mov r4,r18 -8112c440: 113fca40 call 8113fca4 <__malloc_unlock> -8112c444: 80800204 addi r2,r16,8 -8112c448: 003f2506 br 8112c0e0 <__reset+0xfb10c0e0> -8112c44c: 12001704 addi r8,r2,92 -8112c450: 11c016c4 addi r7,r2,91 -8112c454: 4209883a add r4,r8,r8 -8112c458: 003e9606 br 8112beb4 <__reset+0xfb10beb4> -8112c45c: 3804d1ba srli r2,r7,6 -8112c460: 11400e44 addi r5,r2,57 -8112c464: 10c00e04 addi r3,r2,56 -8112c468: 294b883a add r5,r5,r5 -8112c46c: 003fbc06 br 8112c360 <__reset+0xfb10c360> -8112c470: 84ff5926 beq r16,r19,8112c1d8 <__reset+0xfb10c1d8> -8112c474: 00a04574 movhi r2,33045 -8112c478: 1080fa04 addi r2,r2,1000 -8112c47c: 14000217 ldw r16,8(r2) -8112c480: 00bfff04 movi r2,-4 -8112c484: 80c00117 ldw r3,4(r16) -8112c488: 1886703a and r3,r3,r2 -8112c48c: 003f9106 br 8112c2d4 <__reset+0xfb10c2d4> -8112c490: 60800217 ldw r2,8(r12) -8112c494: 213fffc4 addi r4,r4,-1 -8112c498: 1300651e bne r2,r12,8112c630 <_malloc_r+0x7f4> -8112c49c: 208000cc andi r2,r4,3 -8112c4a0: 633ffe04 addi r12,r12,-8 -8112c4a4: 103ffa1e bne r2,zero,8112c490 <__reset+0xfb10c490> -8112c4a8: 98800117 ldw r2,4(r19) -8112c4ac: 0146303a nor r3,zero,r5 -8112c4b0: 1884703a and r2,r3,r2 -8112c4b4: 98800115 stw r2,4(r19) -8112c4b8: 294b883a add r5,r5,r5 -8112c4bc: 117f2836 bltu r2,r5,8112c160 <__reset+0xfb10c160> -8112c4c0: 283f2726 beq r5,zero,8112c160 <__reset+0xfb10c160> -8112c4c4: 2886703a and r3,r5,r2 -8112c4c8: 5809883a mov r4,r11 -8112c4cc: 183ec31e bne r3,zero,8112bfdc <__reset+0xfb10bfdc> -8112c4d0: 294b883a add r5,r5,r5 -8112c4d4: 2886703a and r3,r5,r2 -8112c4d8: 21000104 addi r4,r4,4 -8112c4dc: 183ffc26 beq r3,zero,8112c4d0 <__reset+0xfb10c4d0> -8112c4e0: 003ebe06 br 8112bfdc <__reset+0xfb10bfdc> -8112c4e4: 00c05504 movi r3,340 -8112c4e8: 18801236 bltu r3,r2,8112c534 <_malloc_r+0x6f8> -8112c4ec: 8804d3fa srli r2,r17,15 -8112c4f0: 12001e04 addi r8,r2,120 -8112c4f4: 11c01dc4 addi r7,r2,119 -8112c4f8: 4209883a add r4,r8,r8 -8112c4fc: 003e6d06 br 8112beb4 <__reset+0xfb10beb4> -8112c500: 00c40004 movi r3,4096 -8112c504: 003f4606 br 8112c220 <__reset+0xfb10c220> -8112c508: 00800044 movi r2,1 -8112c50c: a0800115 stw r2,4(r20) -8112c510: 003f7406 br 8112c2e4 <__reset+0xfb10c2e4> -8112c514: 1805d0ba srai r2,r3,2 -8112c518: 01c00044 movi r7,1 -8112c51c: 30c00117 ldw r3,4(r6) -8112c520: 388e983a sll r7,r7,r2 -8112c524: 2805883a mov r2,r5 -8112c528: 38c6b03a or r3,r7,r3 -8112c52c: 30c00115 stw r3,4(r6) -8112c530: 003f9b06 br 8112c3a0 <__reset+0xfb10c3a0> -8112c534: 00c15504 movi r3,1364 -8112c538: 18801a36 bltu r3,r2,8112c5a4 <_malloc_r+0x768> -8112c53c: 8804d4ba srli r2,r17,18 -8112c540: 12001f44 addi r8,r2,125 -8112c544: 11c01f04 addi r7,r2,124 -8112c548: 4209883a add r4,r8,r8 -8112c54c: 003e5906 br 8112beb4 <__reset+0xfb10beb4> -8112c550: ad400404 addi r21,r21,16 -8112c554: 003f1706 br 8112c1b4 <__reset+0xfb10c1b4> -8112c558: 00c01504 movi r3,84 -8112c55c: 18802336 bltu r3,r2,8112c5ec <_malloc_r+0x7b0> -8112c560: 3804d33a srli r2,r7,12 -8112c564: 11401bc4 addi r5,r2,111 -8112c568: 10c01b84 addi r3,r2,110 -8112c56c: 294b883a add r5,r5,r5 -8112c570: 003f7b06 br 8112c360 <__reset+0xfb10c360> -8112c574: 9c000217 ldw r16,8(r19) -8112c578: 00bfff04 movi r2,-4 -8112c57c: 80c00117 ldw r3,4(r16) -8112c580: 1886703a and r3,r3,r2 -8112c584: 003f5306 br 8112c2d4 <__reset+0xfb10c2d4> -8112c588: 3083ffcc andi r2,r6,4095 -8112c58c: 103f181e bne r2,zero,8112c1f0 <__reset+0xfb10c1f0> -8112c590: 99000217 ldw r4,8(r19) -8112c594: b545883a add r2,r22,r21 -8112c598: 10800054 ori r2,r2,1 -8112c59c: 20800115 stw r2,4(r4) -8112c5a0: 003f3e06 br 8112c29c <__reset+0xfb10c29c> -8112c5a4: 01003f84 movi r4,254 -8112c5a8: 02001fc4 movi r8,127 -8112c5ac: 01c01f84 movi r7,126 -8112c5b0: 003e4006 br 8112beb4 <__reset+0xfb10beb4> -8112c5b4: 00a04574 movhi r2,33045 -8112c5b8: 1086e304 addi r2,r2,7052 -8112c5bc: 15000015 stw r20,0(r2) -8112c5c0: 003f1106 br 8112c208 <__reset+0xfb10c208> -8112c5c4: 00800044 movi r2,1 -8112c5c8: 002b883a mov r21,zero -8112c5cc: 003f1f06 br 8112c24c <__reset+0xfb10c24c> -8112c5d0: 81400204 addi r5,r16,8 -8112c5d4: 9009883a mov r4,r18 -8112c5d8: 113622c0 call 8113622c <_free_r> -8112c5dc: 00a04574 movhi r2,33045 -8112c5e0: 10888d04 addi r2,r2,8756 -8112c5e4: 10c00017 ldw r3,0(r2) -8112c5e8: 003f2c06 br 8112c29c <__reset+0xfb10c29c> -8112c5ec: 00c05504 movi r3,340 -8112c5f0: 18800536 bltu r3,r2,8112c608 <_malloc_r+0x7cc> -8112c5f4: 3804d3fa srli r2,r7,15 -8112c5f8: 11401e04 addi r5,r2,120 -8112c5fc: 10c01dc4 addi r3,r2,119 -8112c600: 294b883a add r5,r5,r5 -8112c604: 003f5606 br 8112c360 <__reset+0xfb10c360> -8112c608: 00c15504 movi r3,1364 -8112c60c: 18800536 bltu r3,r2,8112c624 <_malloc_r+0x7e8> -8112c610: 3804d4ba srli r2,r7,18 -8112c614: 11401f44 addi r5,r2,125 -8112c618: 10c01f04 addi r3,r2,124 -8112c61c: 294b883a add r5,r5,r5 -8112c620: 003f4f06 br 8112c360 <__reset+0xfb10c360> -8112c624: 01403f84 movi r5,254 -8112c628: 00c01f84 movi r3,126 -8112c62c: 003f4c06 br 8112c360 <__reset+0xfb10c360> -8112c630: 98800117 ldw r2,4(r19) -8112c634: 003fa006 br 8112c4b8 <__reset+0xfb10c4b8> -8112c638: 8808d0fa srli r4,r17,3 -8112c63c: 20800044 addi r2,r4,1 -8112c640: 1085883a add r2,r2,r2 -8112c644: 003e9006 br 8112c088 <__reset+0xfb10c088> - -8112c648 : -8112c648: defffd04 addi sp,sp,-12 -8112c64c: dfc00215 stw ra,8(sp) -8112c650: dc400115 stw r17,4(sp) -8112c654: dc000015 stw r16,0(sp) -8112c658: 00c003c4 movi r3,15 -8112c65c: 2005883a mov r2,r4 -8112c660: 1980452e bgeu r3,r6,8112c778 -8112c664: 2906b03a or r3,r5,r4 -8112c668: 18c000cc andi r3,r3,3 -8112c66c: 1800441e bne r3,zero,8112c780 -8112c670: 347ffc04 addi r17,r6,-16 -8112c674: 8822d13a srli r17,r17,4 -8112c678: 28c00104 addi r3,r5,4 -8112c67c: 23400104 addi r13,r4,4 -8112c680: 8820913a slli r16,r17,4 -8112c684: 2b000204 addi r12,r5,8 -8112c688: 22c00204 addi r11,r4,8 -8112c68c: 84000504 addi r16,r16,20 -8112c690: 2a800304 addi r10,r5,12 -8112c694: 22400304 addi r9,r4,12 -8112c698: 2c21883a add r16,r5,r16 -8112c69c: 2811883a mov r8,r5 -8112c6a0: 200f883a mov r7,r4 -8112c6a4: 41000017 ldw r4,0(r8) -8112c6a8: 1fc00017 ldw ra,0(r3) -8112c6ac: 63c00017 ldw r15,0(r12) -8112c6b0: 39000015 stw r4,0(r7) -8112c6b4: 53800017 ldw r14,0(r10) -8112c6b8: 6fc00015 stw ra,0(r13) -8112c6bc: 5bc00015 stw r15,0(r11) -8112c6c0: 4b800015 stw r14,0(r9) -8112c6c4: 18c00404 addi r3,r3,16 -8112c6c8: 39c00404 addi r7,r7,16 -8112c6cc: 42000404 addi r8,r8,16 -8112c6d0: 6b400404 addi r13,r13,16 -8112c6d4: 63000404 addi r12,r12,16 -8112c6d8: 5ac00404 addi r11,r11,16 -8112c6dc: 52800404 addi r10,r10,16 -8112c6e0: 4a400404 addi r9,r9,16 -8112c6e4: 1c3fef1e bne r3,r16,8112c6a4 <__reset+0xfb10c6a4> -8112c6e8: 89c00044 addi r7,r17,1 -8112c6ec: 380e913a slli r7,r7,4 -8112c6f0: 310003cc andi r4,r6,15 -8112c6f4: 02c000c4 movi r11,3 -8112c6f8: 11c7883a add r3,r2,r7 -8112c6fc: 29cb883a add r5,r5,r7 -8112c700: 5900212e bgeu r11,r4,8112c788 -8112c704: 1813883a mov r9,r3 -8112c708: 2811883a mov r8,r5 -8112c70c: 200f883a mov r7,r4 -8112c710: 42800017 ldw r10,0(r8) -8112c714: 4a400104 addi r9,r9,4 -8112c718: 39ffff04 addi r7,r7,-4 -8112c71c: 4abfff15 stw r10,-4(r9) -8112c720: 42000104 addi r8,r8,4 -8112c724: 59fffa36 bltu r11,r7,8112c710 <__reset+0xfb10c710> -8112c728: 213fff04 addi r4,r4,-4 -8112c72c: 2008d0ba srli r4,r4,2 -8112c730: 318000cc andi r6,r6,3 -8112c734: 21000044 addi r4,r4,1 -8112c738: 2109883a add r4,r4,r4 -8112c73c: 2109883a add r4,r4,r4 -8112c740: 1907883a add r3,r3,r4 -8112c744: 290b883a add r5,r5,r4 -8112c748: 30000626 beq r6,zero,8112c764 -8112c74c: 198d883a add r6,r3,r6 -8112c750: 29c00003 ldbu r7,0(r5) -8112c754: 18c00044 addi r3,r3,1 -8112c758: 29400044 addi r5,r5,1 -8112c75c: 19ffffc5 stb r7,-1(r3) -8112c760: 19bffb1e bne r3,r6,8112c750 <__reset+0xfb10c750> -8112c764: dfc00217 ldw ra,8(sp) -8112c768: dc400117 ldw r17,4(sp) -8112c76c: dc000017 ldw r16,0(sp) -8112c770: dec00304 addi sp,sp,12 -8112c774: f800283a ret -8112c778: 2007883a mov r3,r4 -8112c77c: 003ff206 br 8112c748 <__reset+0xfb10c748> -8112c780: 2007883a mov r3,r4 -8112c784: 003ff106 br 8112c74c <__reset+0xfb10c74c> -8112c788: 200d883a mov r6,r4 -8112c78c: 003fee06 br 8112c748 <__reset+0xfb10c748> - -8112c790 : -8112c790: 20c000cc andi r3,r4,3 -8112c794: 2005883a mov r2,r4 -8112c798: 18004426 beq r3,zero,8112c8ac -8112c79c: 31ffffc4 addi r7,r6,-1 -8112c7a0: 30004026 beq r6,zero,8112c8a4 -8112c7a4: 2813883a mov r9,r5 -8112c7a8: 200d883a mov r6,r4 -8112c7ac: 2007883a mov r3,r4 -8112c7b0: 00000406 br 8112c7c4 -8112c7b4: 3a3fffc4 addi r8,r7,-1 -8112c7b8: 31800044 addi r6,r6,1 -8112c7bc: 38003926 beq r7,zero,8112c8a4 -8112c7c0: 400f883a mov r7,r8 -8112c7c4: 18c00044 addi r3,r3,1 -8112c7c8: 32400005 stb r9,0(r6) -8112c7cc: 1a0000cc andi r8,r3,3 -8112c7d0: 403ff81e bne r8,zero,8112c7b4 <__reset+0xfb10c7b4> -8112c7d4: 010000c4 movi r4,3 -8112c7d8: 21c02d2e bgeu r4,r7,8112c890 -8112c7dc: 29003fcc andi r4,r5,255 -8112c7e0: 200c923a slli r6,r4,8 -8112c7e4: 3108b03a or r4,r6,r4 -8112c7e8: 200c943a slli r6,r4,16 -8112c7ec: 218cb03a or r6,r4,r6 -8112c7f0: 010003c4 movi r4,15 -8112c7f4: 21c0182e bgeu r4,r7,8112c858 -8112c7f8: 3b3ffc04 addi r12,r7,-16 -8112c7fc: 6018d13a srli r12,r12,4 -8112c800: 1a000104 addi r8,r3,4 -8112c804: 1ac00204 addi r11,r3,8 -8112c808: 6008913a slli r4,r12,4 -8112c80c: 1a800304 addi r10,r3,12 -8112c810: 1813883a mov r9,r3 -8112c814: 21000504 addi r4,r4,20 -8112c818: 1909883a add r4,r3,r4 -8112c81c: 49800015 stw r6,0(r9) -8112c820: 41800015 stw r6,0(r8) -8112c824: 59800015 stw r6,0(r11) -8112c828: 51800015 stw r6,0(r10) -8112c82c: 42000404 addi r8,r8,16 -8112c830: 4a400404 addi r9,r9,16 -8112c834: 5ac00404 addi r11,r11,16 -8112c838: 52800404 addi r10,r10,16 -8112c83c: 413ff71e bne r8,r4,8112c81c <__reset+0xfb10c81c> -8112c840: 63000044 addi r12,r12,1 -8112c844: 6018913a slli r12,r12,4 -8112c848: 39c003cc andi r7,r7,15 -8112c84c: 010000c4 movi r4,3 -8112c850: 1b07883a add r3,r3,r12 -8112c854: 21c00e2e bgeu r4,r7,8112c890 -8112c858: 1813883a mov r9,r3 -8112c85c: 3811883a mov r8,r7 -8112c860: 010000c4 movi r4,3 -8112c864: 49800015 stw r6,0(r9) -8112c868: 423fff04 addi r8,r8,-4 -8112c86c: 4a400104 addi r9,r9,4 -8112c870: 223ffc36 bltu r4,r8,8112c864 <__reset+0xfb10c864> -8112c874: 393fff04 addi r4,r7,-4 -8112c878: 2008d0ba srli r4,r4,2 -8112c87c: 39c000cc andi r7,r7,3 -8112c880: 21000044 addi r4,r4,1 -8112c884: 2109883a add r4,r4,r4 -8112c888: 2109883a add r4,r4,r4 -8112c88c: 1907883a add r3,r3,r4 -8112c890: 38000526 beq r7,zero,8112c8a8 -8112c894: 19cf883a add r7,r3,r7 -8112c898: 19400005 stb r5,0(r3) -8112c89c: 18c00044 addi r3,r3,1 -8112c8a0: 38fffd1e bne r7,r3,8112c898 <__reset+0xfb10c898> -8112c8a4: f800283a ret -8112c8a8: f800283a ret -8112c8ac: 2007883a mov r3,r4 -8112c8b0: 300f883a mov r7,r6 -8112c8b4: 003fc706 br 8112c7d4 <__reset+0xfb10c7d4> - -8112c8b8 <_open_r>: -8112c8b8: defffd04 addi sp,sp,-12 -8112c8bc: 2805883a mov r2,r5 -8112c8c0: dc000015 stw r16,0(sp) -8112c8c4: 04204574 movhi r16,33045 -8112c8c8: dc400115 stw r17,4(sp) -8112c8cc: 300b883a mov r5,r6 -8112c8d0: 84076904 addi r16,r16,7588 -8112c8d4: 2023883a mov r17,r4 -8112c8d8: 380d883a mov r6,r7 -8112c8dc: 1009883a mov r4,r2 -8112c8e0: dfc00215 stw ra,8(sp) -8112c8e4: 80000015 stw zero,0(r16) -8112c8e8: 113f5ec0 call 8113f5ec -8112c8ec: 00ffffc4 movi r3,-1 -8112c8f0: 10c00526 beq r2,r3,8112c908 <_open_r+0x50> -8112c8f4: dfc00217 ldw ra,8(sp) -8112c8f8: dc400117 ldw r17,4(sp) -8112c8fc: dc000017 ldw r16,0(sp) -8112c900: dec00304 addi sp,sp,12 -8112c904: f800283a ret -8112c908: 80c00017 ldw r3,0(r16) -8112c90c: 183ff926 beq r3,zero,8112c8f4 <__reset+0xfb10c8f4> -8112c910: 88c00015 stw r3,0(r17) -8112c914: 003ff706 br 8112c8f4 <__reset+0xfb10c8f4> - -8112c918 <_putc_r>: -8112c918: defffc04 addi sp,sp,-16 -8112c91c: dc000215 stw r16,8(sp) -8112c920: dfc00315 stw ra,12(sp) -8112c924: 2021883a mov r16,r4 -8112c928: 20000226 beq r4,zero,8112c934 <_putc_r+0x1c> -8112c92c: 20800e17 ldw r2,56(r4) -8112c930: 10001b26 beq r2,zero,8112c9a0 <_putc_r+0x88> -8112c934: 30800217 ldw r2,8(r6) -8112c938: 10bfffc4 addi r2,r2,-1 -8112c93c: 30800215 stw r2,8(r6) -8112c940: 10000a16 blt r2,zero,8112c96c <_putc_r+0x54> -8112c944: 30800017 ldw r2,0(r6) -8112c948: 11400005 stb r5,0(r2) -8112c94c: 30800017 ldw r2,0(r6) -8112c950: 10c00044 addi r3,r2,1 -8112c954: 30c00015 stw r3,0(r6) -8112c958: 10800003 ldbu r2,0(r2) -8112c95c: dfc00317 ldw ra,12(sp) -8112c960: dc000217 ldw r16,8(sp) -8112c964: dec00404 addi sp,sp,16 -8112c968: f800283a ret -8112c96c: 30c00617 ldw r3,24(r6) -8112c970: 10c00616 blt r2,r3,8112c98c <_putc_r+0x74> -8112c974: 30800017 ldw r2,0(r6) -8112c978: 00c00284 movi r3,10 -8112c97c: 11400005 stb r5,0(r2) -8112c980: 30800017 ldw r2,0(r6) -8112c984: 11400003 ldbu r5,0(r2) -8112c988: 28fff11e bne r5,r3,8112c950 <__reset+0xfb10c950> -8112c98c: 8009883a mov r4,r16 -8112c990: dfc00317 ldw ra,12(sp) -8112c994: dc000217 ldw r16,8(sp) -8112c998: dec00404 addi sp,sp,16 -8112c99c: 1133bcc1 jmpi 81133bcc <__swbuf_r> -8112c9a0: d9400015 stw r5,0(sp) -8112c9a4: d9800115 stw r6,4(sp) -8112c9a8: 1135dac0 call 81135dac <__sinit> -8112c9ac: d9800117 ldw r6,4(sp) -8112c9b0: d9400017 ldw r5,0(sp) -8112c9b4: 003fdf06 br 8112c934 <__reset+0xfb10c934> - -8112c9b8 : -8112c9b8: 00a04574 movhi r2,33045 -8112c9bc: defffc04 addi sp,sp,-16 -8112c9c0: 1086e204 addi r2,r2,7048 -8112c9c4: dc000115 stw r16,4(sp) -8112c9c8: 14000017 ldw r16,0(r2) -8112c9cc: dc400215 stw r17,8(sp) -8112c9d0: dfc00315 stw ra,12(sp) -8112c9d4: 2023883a mov r17,r4 -8112c9d8: 80000226 beq r16,zero,8112c9e4 -8112c9dc: 80800e17 ldw r2,56(r16) -8112c9e0: 10001a26 beq r2,zero,8112ca4c -8112c9e4: 28800217 ldw r2,8(r5) -8112c9e8: 10bfffc4 addi r2,r2,-1 -8112c9ec: 28800215 stw r2,8(r5) -8112c9f0: 10000b16 blt r2,zero,8112ca20 -8112c9f4: 28800017 ldw r2,0(r5) -8112c9f8: 14400005 stb r17,0(r2) -8112c9fc: 28800017 ldw r2,0(r5) -8112ca00: 10c00044 addi r3,r2,1 -8112ca04: 28c00015 stw r3,0(r5) -8112ca08: 10800003 ldbu r2,0(r2) -8112ca0c: dfc00317 ldw ra,12(sp) -8112ca10: dc400217 ldw r17,8(sp) -8112ca14: dc000117 ldw r16,4(sp) -8112ca18: dec00404 addi sp,sp,16 -8112ca1c: f800283a ret -8112ca20: 28c00617 ldw r3,24(r5) -8112ca24: 10c00e16 blt r2,r3,8112ca60 -8112ca28: 28800017 ldw r2,0(r5) -8112ca2c: 01000284 movi r4,10 -8112ca30: 14400005 stb r17,0(r2) +8112be14: 0027883a mov r19,zero +8112be18: 003fd806 br 8112bd7c <__reset+0xfb10bd7c> +8112be1c: 8140038f ldh r5,14(r16) +8112be20: 8809883a mov r4,r17 +8112be24: 1136bc00 call 81136bc0 <_isatty_r> +8112be28: 103fe226 beq r2,zero,8112bdb4 <__reset+0xfb10bdb4> +8112be2c: 8080030b ldhu r2,12(r16) +8112be30: 10800054 ori r2,r2,1 +8112be34: 8080030d sth r2,12(r16) +8112be38: 003fde06 br 8112bdb4 <__reset+0xfb10bdb4> +8112be3c: 8080030b ldhu r2,12(r16) +8112be40: 10c0800c andi r3,r2,512 +8112be44: 183fdb1e bne r3,zero,8112bdb4 <__reset+0xfb10bdb4> +8112be48: 10800094 ori r2,r2,2 +8112be4c: 80c010c4 addi r3,r16,67 +8112be50: 8080030d sth r2,12(r16) +8112be54: 00800044 movi r2,1 +8112be58: 80c00015 stw r3,0(r16) +8112be5c: 80c00415 stw r3,16(r16) +8112be60: 80800515 stw r2,20(r16) +8112be64: 003fd306 br 8112bdb4 <__reset+0xfb10bdb4> +8112be68: 04810004 movi r18,1024 +8112be6c: 003fc306 br 8112bd7c <__reset+0xfb10bd7c> +8112be70: 0027883a mov r19,zero +8112be74: 04810004 movi r18,1024 +8112be78: 003fc006 br 8112bd7c <__reset+0xfb10bd7c> + +8112be7c <_malloc_r>: +8112be7c: defff504 addi sp,sp,-44 +8112be80: dc800315 stw r18,12(sp) +8112be84: dfc00a15 stw ra,40(sp) +8112be88: df000915 stw fp,36(sp) +8112be8c: ddc00815 stw r23,32(sp) +8112be90: dd800715 stw r22,28(sp) +8112be94: dd400615 stw r21,24(sp) +8112be98: dd000515 stw r20,20(sp) +8112be9c: dcc00415 stw r19,16(sp) +8112bea0: dc400215 stw r17,8(sp) +8112bea4: dc000115 stw r16,4(sp) +8112bea8: 288002c4 addi r2,r5,11 +8112beac: 00c00584 movi r3,22 +8112beb0: 2025883a mov r18,r4 +8112beb4: 18807f2e bgeu r3,r2,8112c0b4 <_malloc_r+0x238> +8112beb8: 047ffe04 movi r17,-8 +8112bebc: 1462703a and r17,r2,r17 +8112bec0: 8800a316 blt r17,zero,8112c150 <_malloc_r+0x2d4> +8112bec4: 8940a236 bltu r17,r5,8112c150 <_malloc_r+0x2d4> +8112bec8: 113fc380 call 8113fc38 <__malloc_lock> +8112becc: 00807dc4 movi r2,503 +8112bed0: 1441e92e bgeu r2,r17,8112c678 <_malloc_r+0x7fc> +8112bed4: 8804d27a srli r2,r17,9 +8112bed8: 1000a126 beq r2,zero,8112c160 <_malloc_r+0x2e4> +8112bedc: 00c00104 movi r3,4 +8112bee0: 18811e36 bltu r3,r2,8112c35c <_malloc_r+0x4e0> +8112bee4: 8804d1ba srli r2,r17,6 +8112bee8: 12000e44 addi r8,r2,57 +8112beec: 11c00e04 addi r7,r2,56 +8112bef0: 4209883a add r4,r8,r8 +8112bef4: 04e04574 movhi r19,33045 +8112bef8: 2109883a add r4,r4,r4 +8112befc: 9cc10b04 addi r19,r19,1068 +8112bf00: 2109883a add r4,r4,r4 +8112bf04: 9909883a add r4,r19,r4 +8112bf08: 24000117 ldw r16,4(r4) +8112bf0c: 213ffe04 addi r4,r4,-8 +8112bf10: 24009726 beq r4,r16,8112c170 <_malloc_r+0x2f4> +8112bf14: 80800117 ldw r2,4(r16) +8112bf18: 01bfff04 movi r6,-4 +8112bf1c: 014003c4 movi r5,15 +8112bf20: 1184703a and r2,r2,r6 +8112bf24: 1447c83a sub r3,r2,r17 +8112bf28: 28c00716 blt r5,r3,8112bf48 <_malloc_r+0xcc> +8112bf2c: 1800920e bge r3,zero,8112c178 <_malloc_r+0x2fc> +8112bf30: 84000317 ldw r16,12(r16) +8112bf34: 24008e26 beq r4,r16,8112c170 <_malloc_r+0x2f4> +8112bf38: 80800117 ldw r2,4(r16) +8112bf3c: 1184703a and r2,r2,r6 +8112bf40: 1447c83a sub r3,r2,r17 +8112bf44: 28fff90e bge r5,r3,8112bf2c <__reset+0xfb10bf2c> +8112bf48: 3809883a mov r4,r7 +8112bf4c: 01a04574 movhi r6,33045 +8112bf50: 9c000417 ldw r16,16(r19) +8112bf54: 31810b04 addi r6,r6,1068 +8112bf58: 32000204 addi r8,r6,8 +8112bf5c: 82013426 beq r16,r8,8112c430 <_malloc_r+0x5b4> +8112bf60: 80c00117 ldw r3,4(r16) +8112bf64: 00bfff04 movi r2,-4 +8112bf68: 188e703a and r7,r3,r2 +8112bf6c: 3c45c83a sub r2,r7,r17 +8112bf70: 00c003c4 movi r3,15 +8112bf74: 18811f16 blt r3,r2,8112c3f4 <_malloc_r+0x578> +8112bf78: 32000515 stw r8,20(r6) +8112bf7c: 32000415 stw r8,16(r6) +8112bf80: 10007f0e bge r2,zero,8112c180 <_malloc_r+0x304> +8112bf84: 00807fc4 movi r2,511 +8112bf88: 11c0fd36 bltu r2,r7,8112c380 <_malloc_r+0x504> +8112bf8c: 3806d0fa srli r3,r7,3 +8112bf90: 01c00044 movi r7,1 +8112bf94: 30800117 ldw r2,4(r6) +8112bf98: 19400044 addi r5,r3,1 +8112bf9c: 294b883a add r5,r5,r5 +8112bfa0: 1807d0ba srai r3,r3,2 +8112bfa4: 294b883a add r5,r5,r5 +8112bfa8: 294b883a add r5,r5,r5 +8112bfac: 298b883a add r5,r5,r6 +8112bfb0: 38c6983a sll r3,r7,r3 +8112bfb4: 29c00017 ldw r7,0(r5) +8112bfb8: 2a7ffe04 addi r9,r5,-8 +8112bfbc: 1886b03a or r3,r3,r2 +8112bfc0: 82400315 stw r9,12(r16) +8112bfc4: 81c00215 stw r7,8(r16) +8112bfc8: 30c00115 stw r3,4(r6) +8112bfcc: 2c000015 stw r16,0(r5) +8112bfd0: 3c000315 stw r16,12(r7) +8112bfd4: 2005d0ba srai r2,r4,2 +8112bfd8: 01400044 movi r5,1 +8112bfdc: 288a983a sll r5,r5,r2 +8112bfe0: 19406f36 bltu r3,r5,8112c1a0 <_malloc_r+0x324> +8112bfe4: 28c4703a and r2,r5,r3 +8112bfe8: 10000a1e bne r2,zero,8112c014 <_malloc_r+0x198> +8112bfec: 00bfff04 movi r2,-4 +8112bff0: 294b883a add r5,r5,r5 +8112bff4: 2088703a and r4,r4,r2 +8112bff8: 28c4703a and r2,r5,r3 +8112bffc: 21000104 addi r4,r4,4 +8112c000: 1000041e bne r2,zero,8112c014 <_malloc_r+0x198> +8112c004: 294b883a add r5,r5,r5 +8112c008: 28c4703a and r2,r5,r3 +8112c00c: 21000104 addi r4,r4,4 +8112c010: 103ffc26 beq r2,zero,8112c004 <__reset+0xfb10c004> +8112c014: 02bfff04 movi r10,-4 +8112c018: 024003c4 movi r9,15 +8112c01c: 21800044 addi r6,r4,1 +8112c020: 318d883a add r6,r6,r6 +8112c024: 318d883a add r6,r6,r6 +8112c028: 318d883a add r6,r6,r6 +8112c02c: 998d883a add r6,r19,r6 +8112c030: 333ffe04 addi r12,r6,-8 +8112c034: 2017883a mov r11,r4 +8112c038: 31800104 addi r6,r6,4 +8112c03c: 34000017 ldw r16,0(r6) +8112c040: 31fffd04 addi r7,r6,-12 +8112c044: 81c0041e bne r16,r7,8112c058 <_malloc_r+0x1dc> +8112c048: 0000fb06 br 8112c438 <_malloc_r+0x5bc> +8112c04c: 1801030e bge r3,zero,8112c45c <_malloc_r+0x5e0> +8112c050: 84000317 ldw r16,12(r16) +8112c054: 81c0f826 beq r16,r7,8112c438 <_malloc_r+0x5bc> +8112c058: 80800117 ldw r2,4(r16) +8112c05c: 1284703a and r2,r2,r10 +8112c060: 1447c83a sub r3,r2,r17 +8112c064: 48fff90e bge r9,r3,8112c04c <__reset+0xfb10c04c> +8112c068: 80800317 ldw r2,12(r16) +8112c06c: 81000217 ldw r4,8(r16) +8112c070: 89400054 ori r5,r17,1 +8112c074: 81400115 stw r5,4(r16) +8112c078: 20800315 stw r2,12(r4) +8112c07c: 11000215 stw r4,8(r2) +8112c080: 8463883a add r17,r16,r17 +8112c084: 9c400515 stw r17,20(r19) +8112c088: 9c400415 stw r17,16(r19) +8112c08c: 18800054 ori r2,r3,1 +8112c090: 88800115 stw r2,4(r17) +8112c094: 8a000315 stw r8,12(r17) +8112c098: 8a000215 stw r8,8(r17) +8112c09c: 88e3883a add r17,r17,r3 +8112c0a0: 88c00015 stw r3,0(r17) +8112c0a4: 9009883a mov r4,r18 +8112c0a8: 113fce40 call 8113fce4 <__malloc_unlock> +8112c0ac: 80800204 addi r2,r16,8 +8112c0b0: 00001b06 br 8112c120 <_malloc_r+0x2a4> +8112c0b4: 04400404 movi r17,16 +8112c0b8: 89402536 bltu r17,r5,8112c150 <_malloc_r+0x2d4> +8112c0bc: 113fc380 call 8113fc38 <__malloc_lock> +8112c0c0: 00800184 movi r2,6 +8112c0c4: 01000084 movi r4,2 +8112c0c8: 04e04574 movhi r19,33045 +8112c0cc: 1085883a add r2,r2,r2 +8112c0d0: 9cc10b04 addi r19,r19,1068 +8112c0d4: 1085883a add r2,r2,r2 +8112c0d8: 9885883a add r2,r19,r2 +8112c0dc: 14000117 ldw r16,4(r2) +8112c0e0: 10fffe04 addi r3,r2,-8 +8112c0e4: 80c0d926 beq r16,r3,8112c44c <_malloc_r+0x5d0> +8112c0e8: 80c00117 ldw r3,4(r16) +8112c0ec: 81000317 ldw r4,12(r16) +8112c0f0: 00bfff04 movi r2,-4 +8112c0f4: 1884703a and r2,r3,r2 +8112c0f8: 81400217 ldw r5,8(r16) +8112c0fc: 8085883a add r2,r16,r2 +8112c100: 10c00117 ldw r3,4(r2) +8112c104: 29000315 stw r4,12(r5) +8112c108: 21400215 stw r5,8(r4) +8112c10c: 18c00054 ori r3,r3,1 +8112c110: 10c00115 stw r3,4(r2) +8112c114: 9009883a mov r4,r18 +8112c118: 113fce40 call 8113fce4 <__malloc_unlock> +8112c11c: 80800204 addi r2,r16,8 +8112c120: dfc00a17 ldw ra,40(sp) +8112c124: df000917 ldw fp,36(sp) +8112c128: ddc00817 ldw r23,32(sp) +8112c12c: dd800717 ldw r22,28(sp) +8112c130: dd400617 ldw r21,24(sp) +8112c134: dd000517 ldw r20,20(sp) +8112c138: dcc00417 ldw r19,16(sp) +8112c13c: dc800317 ldw r18,12(sp) +8112c140: dc400217 ldw r17,8(sp) +8112c144: dc000117 ldw r16,4(sp) +8112c148: dec00b04 addi sp,sp,44 +8112c14c: f800283a ret +8112c150: 00800304 movi r2,12 +8112c154: 90800015 stw r2,0(r18) +8112c158: 0005883a mov r2,zero +8112c15c: 003ff006 br 8112c120 <__reset+0xfb10c120> +8112c160: 01002004 movi r4,128 +8112c164: 02001004 movi r8,64 +8112c168: 01c00fc4 movi r7,63 +8112c16c: 003f6106 br 8112bef4 <__reset+0xfb10bef4> +8112c170: 4009883a mov r4,r8 +8112c174: 003f7506 br 8112bf4c <__reset+0xfb10bf4c> +8112c178: 81000317 ldw r4,12(r16) +8112c17c: 003fde06 br 8112c0f8 <__reset+0xfb10c0f8> +8112c180: 81c5883a add r2,r16,r7 +8112c184: 11400117 ldw r5,4(r2) +8112c188: 9009883a mov r4,r18 +8112c18c: 29400054 ori r5,r5,1 +8112c190: 11400115 stw r5,4(r2) +8112c194: 113fce40 call 8113fce4 <__malloc_unlock> +8112c198: 80800204 addi r2,r16,8 +8112c19c: 003fe006 br 8112c120 <__reset+0xfb10c120> +8112c1a0: 9c000217 ldw r16,8(r19) +8112c1a4: 00bfff04 movi r2,-4 +8112c1a8: 85800117 ldw r22,4(r16) +8112c1ac: b0ac703a and r22,r22,r2 +8112c1b0: b4400336 bltu r22,r17,8112c1c0 <_malloc_r+0x344> +8112c1b4: b445c83a sub r2,r22,r17 +8112c1b8: 00c003c4 movi r3,15 +8112c1bc: 18805d16 blt r3,r2,8112c334 <_malloc_r+0x4b8> +8112c1c0: 05e04574 movhi r23,33045 +8112c1c4: 00a04574 movhi r2,33045 +8112c1c8: 10877d04 addi r2,r2,7668 +8112c1cc: bdc6f404 addi r23,r23,7120 +8112c1d0: 15400017 ldw r21,0(r2) +8112c1d4: b8c00017 ldw r3,0(r23) +8112c1d8: 00bfffc4 movi r2,-1 +8112c1dc: 858d883a add r6,r16,r22 +8112c1e0: 8d6b883a add r21,r17,r21 +8112c1e4: 1880ea26 beq r3,r2,8112c590 <_malloc_r+0x714> +8112c1e8: ad4403c4 addi r21,r21,4111 +8112c1ec: 00bc0004 movi r2,-4096 +8112c1f0: a8aa703a and r21,r21,r2 +8112c1f4: a80b883a mov r5,r21 +8112c1f8: 9009883a mov r4,r18 +8112c1fc: d9800015 stw r6,0(sp) +8112c200: 112d5a00 call 8112d5a0 <_sbrk_r> +8112c204: 1029883a mov r20,r2 +8112c208: 00bfffc4 movi r2,-1 +8112c20c: d9800017 ldw r6,0(sp) +8112c210: a080e826 beq r20,r2,8112c5b4 <_malloc_r+0x738> +8112c214: a180a636 bltu r20,r6,8112c4b0 <_malloc_r+0x634> +8112c218: 07204574 movhi fp,33045 +8112c21c: e7089e04 addi fp,fp,8824 +8112c220: e0800017 ldw r2,0(fp) +8112c224: a887883a add r3,r21,r2 +8112c228: e0c00015 stw r3,0(fp) +8112c22c: 3500e626 beq r6,r20,8112c5c8 <_malloc_r+0x74c> +8112c230: b9000017 ldw r4,0(r23) +8112c234: 00bfffc4 movi r2,-1 +8112c238: 2080ee26 beq r4,r2,8112c5f4 <_malloc_r+0x778> +8112c23c: a185c83a sub r2,r20,r6 +8112c240: 10c5883a add r2,r2,r3 +8112c244: e0800015 stw r2,0(fp) +8112c248: a0c001cc andi r3,r20,7 +8112c24c: 1800bc26 beq r3,zero,8112c540 <_malloc_r+0x6c4> +8112c250: a0e9c83a sub r20,r20,r3 +8112c254: 00840204 movi r2,4104 +8112c258: a5000204 addi r20,r20,8 +8112c25c: 10c7c83a sub r3,r2,r3 +8112c260: a545883a add r2,r20,r21 +8112c264: 1083ffcc andi r2,r2,4095 +8112c268: 18abc83a sub r21,r3,r2 +8112c26c: a80b883a mov r5,r21 +8112c270: 9009883a mov r4,r18 +8112c274: 112d5a00 call 8112d5a0 <_sbrk_r> +8112c278: 00ffffc4 movi r3,-1 +8112c27c: 10c0e126 beq r2,r3,8112c604 <_malloc_r+0x788> +8112c280: 1505c83a sub r2,r2,r20 +8112c284: 1545883a add r2,r2,r21 +8112c288: 10800054 ori r2,r2,1 +8112c28c: e0c00017 ldw r3,0(fp) +8112c290: 9d000215 stw r20,8(r19) +8112c294: a0800115 stw r2,4(r20) +8112c298: a8c7883a add r3,r21,r3 +8112c29c: e0c00015 stw r3,0(fp) +8112c2a0: 84c00e26 beq r16,r19,8112c2dc <_malloc_r+0x460> +8112c2a4: 018003c4 movi r6,15 +8112c2a8: 3580a72e bgeu r6,r22,8112c548 <_malloc_r+0x6cc> +8112c2ac: 81400117 ldw r5,4(r16) +8112c2b0: 013ffe04 movi r4,-8 +8112c2b4: b0bffd04 addi r2,r22,-12 +8112c2b8: 1104703a and r2,r2,r4 +8112c2bc: 2900004c andi r4,r5,1 +8112c2c0: 2088b03a or r4,r4,r2 +8112c2c4: 81000115 stw r4,4(r16) +8112c2c8: 01400144 movi r5,5 +8112c2cc: 8089883a add r4,r16,r2 +8112c2d0: 21400115 stw r5,4(r4) +8112c2d4: 21400215 stw r5,8(r4) +8112c2d8: 3080cd36 bltu r6,r2,8112c610 <_malloc_r+0x794> +8112c2dc: 00a04574 movhi r2,33045 +8112c2e0: 10877c04 addi r2,r2,7664 +8112c2e4: 11000017 ldw r4,0(r2) +8112c2e8: 20c0012e bgeu r4,r3,8112c2f0 <_malloc_r+0x474> +8112c2ec: 10c00015 stw r3,0(r2) +8112c2f0: 00a04574 movhi r2,33045 +8112c2f4: 10877b04 addi r2,r2,7660 +8112c2f8: 11000017 ldw r4,0(r2) +8112c2fc: 9c000217 ldw r16,8(r19) +8112c300: 20c0012e bgeu r4,r3,8112c308 <_malloc_r+0x48c> +8112c304: 10c00015 stw r3,0(r2) +8112c308: 80c00117 ldw r3,4(r16) +8112c30c: 00bfff04 movi r2,-4 +8112c310: 1886703a and r3,r3,r2 +8112c314: 1c45c83a sub r2,r3,r17 +8112c318: 1c400236 bltu r3,r17,8112c324 <_malloc_r+0x4a8> +8112c31c: 00c003c4 movi r3,15 +8112c320: 18800416 blt r3,r2,8112c334 <_malloc_r+0x4b8> +8112c324: 9009883a mov r4,r18 +8112c328: 113fce40 call 8113fce4 <__malloc_unlock> +8112c32c: 0005883a mov r2,zero +8112c330: 003f7b06 br 8112c120 <__reset+0xfb10c120> +8112c334: 88c00054 ori r3,r17,1 +8112c338: 80c00115 stw r3,4(r16) +8112c33c: 8463883a add r17,r16,r17 +8112c340: 10800054 ori r2,r2,1 +8112c344: 9c400215 stw r17,8(r19) +8112c348: 88800115 stw r2,4(r17) +8112c34c: 9009883a mov r4,r18 +8112c350: 113fce40 call 8113fce4 <__malloc_unlock> +8112c354: 80800204 addi r2,r16,8 +8112c358: 003f7106 br 8112c120 <__reset+0xfb10c120> +8112c35c: 00c00504 movi r3,20 +8112c360: 18804a2e bgeu r3,r2,8112c48c <_malloc_r+0x610> +8112c364: 00c01504 movi r3,84 +8112c368: 18806e36 bltu r3,r2,8112c524 <_malloc_r+0x6a8> +8112c36c: 8804d33a srli r2,r17,12 +8112c370: 12001bc4 addi r8,r2,111 +8112c374: 11c01b84 addi r7,r2,110 +8112c378: 4209883a add r4,r8,r8 +8112c37c: 003edd06 br 8112bef4 <__reset+0xfb10bef4> +8112c380: 3804d27a srli r2,r7,9 +8112c384: 00c00104 movi r3,4 +8112c388: 1880442e bgeu r3,r2,8112c49c <_malloc_r+0x620> +8112c38c: 00c00504 movi r3,20 +8112c390: 18808136 bltu r3,r2,8112c598 <_malloc_r+0x71c> +8112c394: 11401704 addi r5,r2,92 +8112c398: 10c016c4 addi r3,r2,91 +8112c39c: 294b883a add r5,r5,r5 +8112c3a0: 294b883a add r5,r5,r5 +8112c3a4: 294b883a add r5,r5,r5 +8112c3a8: 994b883a add r5,r19,r5 +8112c3ac: 28800017 ldw r2,0(r5) +8112c3b0: 01a04574 movhi r6,33045 +8112c3b4: 297ffe04 addi r5,r5,-8 +8112c3b8: 31810b04 addi r6,r6,1068 +8112c3bc: 28806526 beq r5,r2,8112c554 <_malloc_r+0x6d8> +8112c3c0: 01bfff04 movi r6,-4 +8112c3c4: 10c00117 ldw r3,4(r2) +8112c3c8: 1986703a and r3,r3,r6 +8112c3cc: 38c0022e bgeu r7,r3,8112c3d8 <_malloc_r+0x55c> +8112c3d0: 10800217 ldw r2,8(r2) +8112c3d4: 28bffb1e bne r5,r2,8112c3c4 <__reset+0xfb10c3c4> +8112c3d8: 11400317 ldw r5,12(r2) +8112c3dc: 98c00117 ldw r3,4(r19) +8112c3e0: 81400315 stw r5,12(r16) +8112c3e4: 80800215 stw r2,8(r16) +8112c3e8: 2c000215 stw r16,8(r5) +8112c3ec: 14000315 stw r16,12(r2) +8112c3f0: 003ef806 br 8112bfd4 <__reset+0xfb10bfd4> +8112c3f4: 88c00054 ori r3,r17,1 +8112c3f8: 80c00115 stw r3,4(r16) +8112c3fc: 8463883a add r17,r16,r17 +8112c400: 34400515 stw r17,20(r6) +8112c404: 34400415 stw r17,16(r6) +8112c408: 10c00054 ori r3,r2,1 +8112c40c: 8a000315 stw r8,12(r17) +8112c410: 8a000215 stw r8,8(r17) +8112c414: 88c00115 stw r3,4(r17) +8112c418: 88a3883a add r17,r17,r2 +8112c41c: 88800015 stw r2,0(r17) +8112c420: 9009883a mov r4,r18 +8112c424: 113fce40 call 8113fce4 <__malloc_unlock> +8112c428: 80800204 addi r2,r16,8 +8112c42c: 003f3c06 br 8112c120 <__reset+0xfb10c120> +8112c430: 30c00117 ldw r3,4(r6) +8112c434: 003ee706 br 8112bfd4 <__reset+0xfb10bfd4> +8112c438: 5ac00044 addi r11,r11,1 +8112c43c: 588000cc andi r2,r11,3 +8112c440: 31800204 addi r6,r6,8 +8112c444: 103efd1e bne r2,zero,8112c03c <__reset+0xfb10c03c> +8112c448: 00002406 br 8112c4dc <_malloc_r+0x660> +8112c44c: 14000317 ldw r16,12(r2) +8112c450: 143f251e bne r2,r16,8112c0e8 <__reset+0xfb10c0e8> +8112c454: 21000084 addi r4,r4,2 +8112c458: 003ebc06 br 8112bf4c <__reset+0xfb10bf4c> +8112c45c: 8085883a add r2,r16,r2 +8112c460: 10c00117 ldw r3,4(r2) +8112c464: 81000317 ldw r4,12(r16) +8112c468: 81400217 ldw r5,8(r16) +8112c46c: 18c00054 ori r3,r3,1 +8112c470: 10c00115 stw r3,4(r2) +8112c474: 29000315 stw r4,12(r5) +8112c478: 21400215 stw r5,8(r4) +8112c47c: 9009883a mov r4,r18 +8112c480: 113fce40 call 8113fce4 <__malloc_unlock> +8112c484: 80800204 addi r2,r16,8 +8112c488: 003f2506 br 8112c120 <__reset+0xfb10c120> +8112c48c: 12001704 addi r8,r2,92 +8112c490: 11c016c4 addi r7,r2,91 +8112c494: 4209883a add r4,r8,r8 +8112c498: 003e9606 br 8112bef4 <__reset+0xfb10bef4> +8112c49c: 3804d1ba srli r2,r7,6 +8112c4a0: 11400e44 addi r5,r2,57 +8112c4a4: 10c00e04 addi r3,r2,56 +8112c4a8: 294b883a add r5,r5,r5 +8112c4ac: 003fbc06 br 8112c3a0 <__reset+0xfb10c3a0> +8112c4b0: 84ff5926 beq r16,r19,8112c218 <__reset+0xfb10c218> +8112c4b4: 00a04574 movhi r2,33045 +8112c4b8: 10810b04 addi r2,r2,1068 +8112c4bc: 14000217 ldw r16,8(r2) +8112c4c0: 00bfff04 movi r2,-4 +8112c4c4: 80c00117 ldw r3,4(r16) +8112c4c8: 1886703a and r3,r3,r2 +8112c4cc: 003f9106 br 8112c314 <__reset+0xfb10c314> +8112c4d0: 60800217 ldw r2,8(r12) +8112c4d4: 213fffc4 addi r4,r4,-1 +8112c4d8: 1300651e bne r2,r12,8112c670 <_malloc_r+0x7f4> +8112c4dc: 208000cc andi r2,r4,3 +8112c4e0: 633ffe04 addi r12,r12,-8 +8112c4e4: 103ffa1e bne r2,zero,8112c4d0 <__reset+0xfb10c4d0> +8112c4e8: 98800117 ldw r2,4(r19) +8112c4ec: 0146303a nor r3,zero,r5 +8112c4f0: 1884703a and r2,r3,r2 +8112c4f4: 98800115 stw r2,4(r19) +8112c4f8: 294b883a add r5,r5,r5 +8112c4fc: 117f2836 bltu r2,r5,8112c1a0 <__reset+0xfb10c1a0> +8112c500: 283f2726 beq r5,zero,8112c1a0 <__reset+0xfb10c1a0> +8112c504: 2886703a and r3,r5,r2 +8112c508: 5809883a mov r4,r11 +8112c50c: 183ec31e bne r3,zero,8112c01c <__reset+0xfb10c01c> +8112c510: 294b883a add r5,r5,r5 +8112c514: 2886703a and r3,r5,r2 +8112c518: 21000104 addi r4,r4,4 +8112c51c: 183ffc26 beq r3,zero,8112c510 <__reset+0xfb10c510> +8112c520: 003ebe06 br 8112c01c <__reset+0xfb10c01c> +8112c524: 00c05504 movi r3,340 +8112c528: 18801236 bltu r3,r2,8112c574 <_malloc_r+0x6f8> +8112c52c: 8804d3fa srli r2,r17,15 +8112c530: 12001e04 addi r8,r2,120 +8112c534: 11c01dc4 addi r7,r2,119 +8112c538: 4209883a add r4,r8,r8 +8112c53c: 003e6d06 br 8112bef4 <__reset+0xfb10bef4> +8112c540: 00c40004 movi r3,4096 +8112c544: 003f4606 br 8112c260 <__reset+0xfb10c260> +8112c548: 00800044 movi r2,1 +8112c54c: a0800115 stw r2,4(r20) +8112c550: 003f7406 br 8112c324 <__reset+0xfb10c324> +8112c554: 1805d0ba srai r2,r3,2 +8112c558: 01c00044 movi r7,1 +8112c55c: 30c00117 ldw r3,4(r6) +8112c560: 388e983a sll r7,r7,r2 +8112c564: 2805883a mov r2,r5 +8112c568: 38c6b03a or r3,r7,r3 +8112c56c: 30c00115 stw r3,4(r6) +8112c570: 003f9b06 br 8112c3e0 <__reset+0xfb10c3e0> +8112c574: 00c15504 movi r3,1364 +8112c578: 18801a36 bltu r3,r2,8112c5e4 <_malloc_r+0x768> +8112c57c: 8804d4ba srli r2,r17,18 +8112c580: 12001f44 addi r8,r2,125 +8112c584: 11c01f04 addi r7,r2,124 +8112c588: 4209883a add r4,r8,r8 +8112c58c: 003e5906 br 8112bef4 <__reset+0xfb10bef4> +8112c590: ad400404 addi r21,r21,16 +8112c594: 003f1706 br 8112c1f4 <__reset+0xfb10c1f4> +8112c598: 00c01504 movi r3,84 +8112c59c: 18802336 bltu r3,r2,8112c62c <_malloc_r+0x7b0> +8112c5a0: 3804d33a srli r2,r7,12 +8112c5a4: 11401bc4 addi r5,r2,111 +8112c5a8: 10c01b84 addi r3,r2,110 +8112c5ac: 294b883a add r5,r5,r5 +8112c5b0: 003f7b06 br 8112c3a0 <__reset+0xfb10c3a0> +8112c5b4: 9c000217 ldw r16,8(r19) +8112c5b8: 00bfff04 movi r2,-4 +8112c5bc: 80c00117 ldw r3,4(r16) +8112c5c0: 1886703a and r3,r3,r2 +8112c5c4: 003f5306 br 8112c314 <__reset+0xfb10c314> +8112c5c8: 3083ffcc andi r2,r6,4095 +8112c5cc: 103f181e bne r2,zero,8112c230 <__reset+0xfb10c230> +8112c5d0: 99000217 ldw r4,8(r19) +8112c5d4: b545883a add r2,r22,r21 +8112c5d8: 10800054 ori r2,r2,1 +8112c5dc: 20800115 stw r2,4(r4) +8112c5e0: 003f3e06 br 8112c2dc <__reset+0xfb10c2dc> +8112c5e4: 01003f84 movi r4,254 +8112c5e8: 02001fc4 movi r8,127 +8112c5ec: 01c01f84 movi r7,126 +8112c5f0: 003e4006 br 8112bef4 <__reset+0xfb10bef4> +8112c5f4: 00a04574 movhi r2,33045 +8112c5f8: 1086f404 addi r2,r2,7120 +8112c5fc: 15000015 stw r20,0(r2) +8112c600: 003f1106 br 8112c248 <__reset+0xfb10c248> +8112c604: 00800044 movi r2,1 +8112c608: 002b883a mov r21,zero +8112c60c: 003f1f06 br 8112c28c <__reset+0xfb10c28c> +8112c610: 81400204 addi r5,r16,8 +8112c614: 9009883a mov r4,r18 +8112c618: 113626c0 call 8113626c <_free_r> +8112c61c: 00a04574 movhi r2,33045 +8112c620: 10889e04 addi r2,r2,8824 +8112c624: 10c00017 ldw r3,0(r2) +8112c628: 003f2c06 br 8112c2dc <__reset+0xfb10c2dc> +8112c62c: 00c05504 movi r3,340 +8112c630: 18800536 bltu r3,r2,8112c648 <_malloc_r+0x7cc> +8112c634: 3804d3fa srli r2,r7,15 +8112c638: 11401e04 addi r5,r2,120 +8112c63c: 10c01dc4 addi r3,r2,119 +8112c640: 294b883a add r5,r5,r5 +8112c644: 003f5606 br 8112c3a0 <__reset+0xfb10c3a0> +8112c648: 00c15504 movi r3,1364 +8112c64c: 18800536 bltu r3,r2,8112c664 <_malloc_r+0x7e8> +8112c650: 3804d4ba srli r2,r7,18 +8112c654: 11401f44 addi r5,r2,125 +8112c658: 10c01f04 addi r3,r2,124 +8112c65c: 294b883a add r5,r5,r5 +8112c660: 003f4f06 br 8112c3a0 <__reset+0xfb10c3a0> +8112c664: 01403f84 movi r5,254 +8112c668: 00c01f84 movi r3,126 +8112c66c: 003f4c06 br 8112c3a0 <__reset+0xfb10c3a0> +8112c670: 98800117 ldw r2,4(r19) +8112c674: 003fa006 br 8112c4f8 <__reset+0xfb10c4f8> +8112c678: 8808d0fa srli r4,r17,3 +8112c67c: 20800044 addi r2,r4,1 +8112c680: 1085883a add r2,r2,r2 +8112c684: 003e9006 br 8112c0c8 <__reset+0xfb10c0c8> + +8112c688 : +8112c688: defffd04 addi sp,sp,-12 +8112c68c: dfc00215 stw ra,8(sp) +8112c690: dc400115 stw r17,4(sp) +8112c694: dc000015 stw r16,0(sp) +8112c698: 00c003c4 movi r3,15 +8112c69c: 2005883a mov r2,r4 +8112c6a0: 1980452e bgeu r3,r6,8112c7b8 +8112c6a4: 2906b03a or r3,r5,r4 +8112c6a8: 18c000cc andi r3,r3,3 +8112c6ac: 1800441e bne r3,zero,8112c7c0 +8112c6b0: 347ffc04 addi r17,r6,-16 +8112c6b4: 8822d13a srli r17,r17,4 +8112c6b8: 28c00104 addi r3,r5,4 +8112c6bc: 23400104 addi r13,r4,4 +8112c6c0: 8820913a slli r16,r17,4 +8112c6c4: 2b000204 addi r12,r5,8 +8112c6c8: 22c00204 addi r11,r4,8 +8112c6cc: 84000504 addi r16,r16,20 +8112c6d0: 2a800304 addi r10,r5,12 +8112c6d4: 22400304 addi r9,r4,12 +8112c6d8: 2c21883a add r16,r5,r16 +8112c6dc: 2811883a mov r8,r5 +8112c6e0: 200f883a mov r7,r4 +8112c6e4: 41000017 ldw r4,0(r8) +8112c6e8: 1fc00017 ldw ra,0(r3) +8112c6ec: 63c00017 ldw r15,0(r12) +8112c6f0: 39000015 stw r4,0(r7) +8112c6f4: 53800017 ldw r14,0(r10) +8112c6f8: 6fc00015 stw ra,0(r13) +8112c6fc: 5bc00015 stw r15,0(r11) +8112c700: 4b800015 stw r14,0(r9) +8112c704: 18c00404 addi r3,r3,16 +8112c708: 39c00404 addi r7,r7,16 +8112c70c: 42000404 addi r8,r8,16 +8112c710: 6b400404 addi r13,r13,16 +8112c714: 63000404 addi r12,r12,16 +8112c718: 5ac00404 addi r11,r11,16 +8112c71c: 52800404 addi r10,r10,16 +8112c720: 4a400404 addi r9,r9,16 +8112c724: 1c3fef1e bne r3,r16,8112c6e4 <__reset+0xfb10c6e4> +8112c728: 89c00044 addi r7,r17,1 +8112c72c: 380e913a slli r7,r7,4 +8112c730: 310003cc andi r4,r6,15 +8112c734: 02c000c4 movi r11,3 +8112c738: 11c7883a add r3,r2,r7 +8112c73c: 29cb883a add r5,r5,r7 +8112c740: 5900212e bgeu r11,r4,8112c7c8 +8112c744: 1813883a mov r9,r3 +8112c748: 2811883a mov r8,r5 +8112c74c: 200f883a mov r7,r4 +8112c750: 42800017 ldw r10,0(r8) +8112c754: 4a400104 addi r9,r9,4 +8112c758: 39ffff04 addi r7,r7,-4 +8112c75c: 4abfff15 stw r10,-4(r9) +8112c760: 42000104 addi r8,r8,4 +8112c764: 59fffa36 bltu r11,r7,8112c750 <__reset+0xfb10c750> +8112c768: 213fff04 addi r4,r4,-4 +8112c76c: 2008d0ba srli r4,r4,2 +8112c770: 318000cc andi r6,r6,3 +8112c774: 21000044 addi r4,r4,1 +8112c778: 2109883a add r4,r4,r4 +8112c77c: 2109883a add r4,r4,r4 +8112c780: 1907883a add r3,r3,r4 +8112c784: 290b883a add r5,r5,r4 +8112c788: 30000626 beq r6,zero,8112c7a4 +8112c78c: 198d883a add r6,r3,r6 +8112c790: 29c00003 ldbu r7,0(r5) +8112c794: 18c00044 addi r3,r3,1 +8112c798: 29400044 addi r5,r5,1 +8112c79c: 19ffffc5 stb r7,-1(r3) +8112c7a0: 19bffb1e bne r3,r6,8112c790 <__reset+0xfb10c790> +8112c7a4: dfc00217 ldw ra,8(sp) +8112c7a8: dc400117 ldw r17,4(sp) +8112c7ac: dc000017 ldw r16,0(sp) +8112c7b0: dec00304 addi sp,sp,12 +8112c7b4: f800283a ret +8112c7b8: 2007883a mov r3,r4 +8112c7bc: 003ff206 br 8112c788 <__reset+0xfb10c788> +8112c7c0: 2007883a mov r3,r4 +8112c7c4: 003ff106 br 8112c78c <__reset+0xfb10c78c> +8112c7c8: 200d883a mov r6,r4 +8112c7cc: 003fee06 br 8112c788 <__reset+0xfb10c788> + +8112c7d0 : +8112c7d0: 20c000cc andi r3,r4,3 +8112c7d4: 2005883a mov r2,r4 +8112c7d8: 18004426 beq r3,zero,8112c8ec +8112c7dc: 31ffffc4 addi r7,r6,-1 +8112c7e0: 30004026 beq r6,zero,8112c8e4 +8112c7e4: 2813883a mov r9,r5 +8112c7e8: 200d883a mov r6,r4 +8112c7ec: 2007883a mov r3,r4 +8112c7f0: 00000406 br 8112c804 +8112c7f4: 3a3fffc4 addi r8,r7,-1 +8112c7f8: 31800044 addi r6,r6,1 +8112c7fc: 38003926 beq r7,zero,8112c8e4 +8112c800: 400f883a mov r7,r8 +8112c804: 18c00044 addi r3,r3,1 +8112c808: 32400005 stb r9,0(r6) +8112c80c: 1a0000cc andi r8,r3,3 +8112c810: 403ff81e bne r8,zero,8112c7f4 <__reset+0xfb10c7f4> +8112c814: 010000c4 movi r4,3 +8112c818: 21c02d2e bgeu r4,r7,8112c8d0 +8112c81c: 29003fcc andi r4,r5,255 +8112c820: 200c923a slli r6,r4,8 +8112c824: 3108b03a or r4,r6,r4 +8112c828: 200c943a slli r6,r4,16 +8112c82c: 218cb03a or r6,r4,r6 +8112c830: 010003c4 movi r4,15 +8112c834: 21c0182e bgeu r4,r7,8112c898 +8112c838: 3b3ffc04 addi r12,r7,-16 +8112c83c: 6018d13a srli r12,r12,4 +8112c840: 1a000104 addi r8,r3,4 +8112c844: 1ac00204 addi r11,r3,8 +8112c848: 6008913a slli r4,r12,4 +8112c84c: 1a800304 addi r10,r3,12 +8112c850: 1813883a mov r9,r3 +8112c854: 21000504 addi r4,r4,20 +8112c858: 1909883a add r4,r3,r4 +8112c85c: 49800015 stw r6,0(r9) +8112c860: 41800015 stw r6,0(r8) +8112c864: 59800015 stw r6,0(r11) +8112c868: 51800015 stw r6,0(r10) +8112c86c: 42000404 addi r8,r8,16 +8112c870: 4a400404 addi r9,r9,16 +8112c874: 5ac00404 addi r11,r11,16 +8112c878: 52800404 addi r10,r10,16 +8112c87c: 413ff71e bne r8,r4,8112c85c <__reset+0xfb10c85c> +8112c880: 63000044 addi r12,r12,1 +8112c884: 6018913a slli r12,r12,4 +8112c888: 39c003cc andi r7,r7,15 +8112c88c: 010000c4 movi r4,3 +8112c890: 1b07883a add r3,r3,r12 +8112c894: 21c00e2e bgeu r4,r7,8112c8d0 +8112c898: 1813883a mov r9,r3 +8112c89c: 3811883a mov r8,r7 +8112c8a0: 010000c4 movi r4,3 +8112c8a4: 49800015 stw r6,0(r9) +8112c8a8: 423fff04 addi r8,r8,-4 +8112c8ac: 4a400104 addi r9,r9,4 +8112c8b0: 223ffc36 bltu r4,r8,8112c8a4 <__reset+0xfb10c8a4> +8112c8b4: 393fff04 addi r4,r7,-4 +8112c8b8: 2008d0ba srli r4,r4,2 +8112c8bc: 39c000cc andi r7,r7,3 +8112c8c0: 21000044 addi r4,r4,1 +8112c8c4: 2109883a add r4,r4,r4 +8112c8c8: 2109883a add r4,r4,r4 +8112c8cc: 1907883a add r3,r3,r4 +8112c8d0: 38000526 beq r7,zero,8112c8e8 +8112c8d4: 19cf883a add r7,r3,r7 +8112c8d8: 19400005 stb r5,0(r3) +8112c8dc: 18c00044 addi r3,r3,1 +8112c8e0: 38fffd1e bne r7,r3,8112c8d8 <__reset+0xfb10c8d8> +8112c8e4: f800283a ret +8112c8e8: f800283a ret +8112c8ec: 2007883a mov r3,r4 +8112c8f0: 300f883a mov r7,r6 +8112c8f4: 003fc706 br 8112c814 <__reset+0xfb10c814> + +8112c8f8 <_open_r>: +8112c8f8: defffd04 addi sp,sp,-12 +8112c8fc: 2805883a mov r2,r5 +8112c900: dc000015 stw r16,0(sp) +8112c904: 04204574 movhi r16,33045 +8112c908: dc400115 stw r17,4(sp) +8112c90c: 300b883a mov r5,r6 +8112c910: 84077a04 addi r16,r16,7656 +8112c914: 2023883a mov r17,r4 +8112c918: 380d883a mov r6,r7 +8112c91c: 1009883a mov r4,r2 +8112c920: dfc00215 stw ra,8(sp) +8112c924: 80000015 stw zero,0(r16) +8112c928: 113f62c0 call 8113f62c +8112c92c: 00ffffc4 movi r3,-1 +8112c930: 10c00526 beq r2,r3,8112c948 <_open_r+0x50> +8112c934: dfc00217 ldw ra,8(sp) +8112c938: dc400117 ldw r17,4(sp) +8112c93c: dc000017 ldw r16,0(sp) +8112c940: dec00304 addi sp,sp,12 +8112c944: f800283a ret +8112c948: 80c00017 ldw r3,0(r16) +8112c94c: 183ff926 beq r3,zero,8112c934 <__reset+0xfb10c934> +8112c950: 88c00015 stw r3,0(r17) +8112c954: 003ff706 br 8112c934 <__reset+0xfb10c934> + +8112c958 <_putc_r>: +8112c958: defffc04 addi sp,sp,-16 +8112c95c: dc000215 stw r16,8(sp) +8112c960: dfc00315 stw ra,12(sp) +8112c964: 2021883a mov r16,r4 +8112c968: 20000226 beq r4,zero,8112c974 <_putc_r+0x1c> +8112c96c: 20800e17 ldw r2,56(r4) +8112c970: 10001b26 beq r2,zero,8112c9e0 <_putc_r+0x88> +8112c974: 30800217 ldw r2,8(r6) +8112c978: 10bfffc4 addi r2,r2,-1 +8112c97c: 30800215 stw r2,8(r6) +8112c980: 10000a16 blt r2,zero,8112c9ac <_putc_r+0x54> +8112c984: 30800017 ldw r2,0(r6) +8112c988: 11400005 stb r5,0(r2) +8112c98c: 30800017 ldw r2,0(r6) +8112c990: 10c00044 addi r3,r2,1 +8112c994: 30c00015 stw r3,0(r6) +8112c998: 10800003 ldbu r2,0(r2) +8112c99c: dfc00317 ldw ra,12(sp) +8112c9a0: dc000217 ldw r16,8(sp) +8112c9a4: dec00404 addi sp,sp,16 +8112c9a8: f800283a ret +8112c9ac: 30c00617 ldw r3,24(r6) +8112c9b0: 10c00616 blt r2,r3,8112c9cc <_putc_r+0x74> +8112c9b4: 30800017 ldw r2,0(r6) +8112c9b8: 00c00284 movi r3,10 +8112c9bc: 11400005 stb r5,0(r2) +8112c9c0: 30800017 ldw r2,0(r6) +8112c9c4: 11400003 ldbu r5,0(r2) +8112c9c8: 28fff11e bne r5,r3,8112c990 <__reset+0xfb10c990> +8112c9cc: 8009883a mov r4,r16 +8112c9d0: dfc00317 ldw ra,12(sp) +8112c9d4: dc000217 ldw r16,8(sp) +8112c9d8: dec00404 addi sp,sp,16 +8112c9dc: 1133c0c1 jmpi 81133c0c <__swbuf_r> +8112c9e0: d9400015 stw r5,0(sp) +8112c9e4: d9800115 stw r6,4(sp) +8112c9e8: 1135dec0 call 81135dec <__sinit> +8112c9ec: d9800117 ldw r6,4(sp) +8112c9f0: d9400017 ldw r5,0(sp) +8112c9f4: 003fdf06 br 8112c974 <__reset+0xfb10c974> + +8112c9f8 : +8112c9f8: 00a04574 movhi r2,33045 +8112c9fc: defffc04 addi sp,sp,-16 +8112ca00: 1086f304 addi r2,r2,7116 +8112ca04: dc000115 stw r16,4(sp) +8112ca08: 14000017 ldw r16,0(r2) +8112ca0c: dc400215 stw r17,8(sp) +8112ca10: dfc00315 stw ra,12(sp) +8112ca14: 2023883a mov r17,r4 +8112ca18: 80000226 beq r16,zero,8112ca24 +8112ca1c: 80800e17 ldw r2,56(r16) +8112ca20: 10001a26 beq r2,zero,8112ca8c +8112ca24: 28800217 ldw r2,8(r5) +8112ca28: 10bfffc4 addi r2,r2,-1 +8112ca2c: 28800215 stw r2,8(r5) +8112ca30: 10000b16 blt r2,zero,8112ca60 8112ca34: 28800017 ldw r2,0(r5) -8112ca38: 10c00003 ldbu r3,0(r2) -8112ca3c: 193ff01e bne r3,r4,8112ca00 <__reset+0xfb10ca00> -8112ca40: 280d883a mov r6,r5 -8112ca44: 180b883a mov r5,r3 -8112ca48: 00000706 br 8112ca68 -8112ca4c: 8009883a mov r4,r16 -8112ca50: d9400015 stw r5,0(sp) -8112ca54: 1135dac0 call 81135dac <__sinit> -8112ca58: d9400017 ldw r5,0(sp) -8112ca5c: 003fe106 br 8112c9e4 <__reset+0xfb10c9e4> -8112ca60: 280d883a mov r6,r5 -8112ca64: 880b883a mov r5,r17 -8112ca68: 8009883a mov r4,r16 -8112ca6c: dfc00317 ldw ra,12(sp) -8112ca70: dc400217 ldw r17,8(sp) -8112ca74: dc000117 ldw r16,4(sp) -8112ca78: dec00404 addi sp,sp,16 -8112ca7c: 1133bcc1 jmpi 81133bcc <__swbuf_r> - -8112ca80 <_puts_r>: -8112ca80: defff604 addi sp,sp,-40 -8112ca84: dc000715 stw r16,28(sp) -8112ca88: 2021883a mov r16,r4 -8112ca8c: 2809883a mov r4,r5 -8112ca90: dc400815 stw r17,32(sp) -8112ca94: dfc00915 stw ra,36(sp) -8112ca98: 2823883a mov r17,r5 -8112ca9c: 112d86c0 call 8112d86c -8112caa0: 10c00044 addi r3,r2,1 -8112caa4: d8800115 stw r2,4(sp) -8112caa8: 00a04574 movhi r2,33045 -8112caac: 10a64504 addi r2,r2,-26348 -8112cab0: d8800215 stw r2,8(sp) -8112cab4: 00800044 movi r2,1 -8112cab8: d8800315 stw r2,12(sp) -8112cabc: 00800084 movi r2,2 -8112cac0: dc400015 stw r17,0(sp) -8112cac4: d8c00615 stw r3,24(sp) -8112cac8: dec00415 stw sp,16(sp) -8112cacc: d8800515 stw r2,20(sp) -8112cad0: 80000226 beq r16,zero,8112cadc <_puts_r+0x5c> -8112cad4: 80800e17 ldw r2,56(r16) -8112cad8: 10001426 beq r2,zero,8112cb2c <_puts_r+0xac> -8112cadc: 81400217 ldw r5,8(r16) -8112cae0: 2880030b ldhu r2,12(r5) -8112cae4: 10c8000c andi r3,r2,8192 -8112cae8: 1800061e bne r3,zero,8112cb04 <_puts_r+0x84> -8112caec: 29001917 ldw r4,100(r5) -8112caf0: 00f7ffc4 movi r3,-8193 -8112caf4: 10880014 ori r2,r2,8192 -8112caf8: 20c6703a and r3,r4,r3 -8112cafc: 2880030d sth r2,12(r5) -8112cb00: 28c01915 stw r3,100(r5) -8112cb04: d9800404 addi r6,sp,16 -8112cb08: 8009883a mov r4,r16 -8112cb0c: 113653c0 call 8113653c <__sfvwrite_r> -8112cb10: 1000091e bne r2,zero,8112cb38 <_puts_r+0xb8> -8112cb14: 00800284 movi r2,10 -8112cb18: dfc00917 ldw ra,36(sp) -8112cb1c: dc400817 ldw r17,32(sp) -8112cb20: dc000717 ldw r16,28(sp) -8112cb24: dec00a04 addi sp,sp,40 -8112cb28: f800283a ret -8112cb2c: 8009883a mov r4,r16 -8112cb30: 1135dac0 call 81135dac <__sinit> -8112cb34: 003fe906 br 8112cadc <__reset+0xfb10cadc> -8112cb38: 00bfffc4 movi r2,-1 -8112cb3c: 003ff606 br 8112cb18 <__reset+0xfb10cb18> - -8112cb40 : -8112cb40: 00a04574 movhi r2,33045 -8112cb44: 1086e204 addi r2,r2,7048 -8112cb48: 200b883a mov r5,r4 -8112cb4c: 11000017 ldw r4,0(r2) -8112cb50: 112ca801 jmpi 8112ca80 <_puts_r> - -8112cb54 : -8112cb54: 3006d0ba srli r3,r6,2 -8112cb58: deffef04 addi sp,sp,-68 -8112cb5c: dc400815 stw r17,32(sp) -8112cb60: d8c00115 stw r3,4(sp) -8112cb64: 30c001a4 muli r3,r6,6 -8112cb68: 2023883a mov r17,r4 -8112cb6c: dd000b15 stw r20,44(sp) -8112cb70: d8c00515 stw r3,20(sp) -8112cb74: 30c000cc andi r3,r6,3 -8112cb78: d8c00415 stw r3,16(sp) -8112cb7c: 30c00118 cmpnei r3,r6,4 -8112cb80: dc800915 stw r18,36(sp) -8112cb84: dfc01015 stw ra,64(sp) -8112cb88: df000f15 stw fp,60(sp) -8112cb8c: ddc00e15 stw r23,56(sp) -8112cb90: dd800d15 stw r22,52(sp) -8112cb94: dd400c15 stw r21,48(sp) -8112cb98: dcc00a15 stw r19,40(sp) -8112cb9c: dc000715 stw r16,28(sp) -8112cba0: d9400015 stw r5,0(sp) -8112cba4: d8c00615 stw r3,24(sp) -8112cba8: 888000cc andi r2,r17,3 -8112cbac: 3029883a mov r20,r6 -8112cbb0: 3825883a mov r18,r7 -8112cbb4: 1000341e bne r2,zero,8112cc88 -8112cbb8: d8c00417 ldw r3,16(sp) -8112cbbc: 1800321e bne r3,zero,8112cc88 -8112cbc0: d8c00017 ldw r3,0(sp) -8112cbc4: 01000184 movi r4,6 -8112cbc8: dcc00617 ldw r19,24(sp) -8112cbcc: 20c0322e bgeu r4,r3,8112cc98 -8112cbd0: d8c00017 ldw r3,0(sp) -8112cbd4: 010001c4 movi r4,7 -8112cbd8: 1804d07a srli r2,r3,1 -8112cbdc: 1505383a mul r2,r2,r20 -8112cbe0: 88b9883a add fp,r17,r2 -8112cbe4: 1900d11e bne r3,r4,8112cf2c -8112cbe8: d8c00517 ldw r3,20(sp) -8112cbec: 88e1883a add r16,r17,r3 -8112cbf0: 9800e41e bne r19,zero,8112cf84 -8112cbf4: e1000017 ldw r4,0(fp) -8112cbf8: 88800017 ldw r2,0(r17) -8112cbfc: 89000015 stw r4,0(r17) -8112cc00: e0800015 stw r2,0(fp) -8112cc04: 8d07883a add r3,r17,r20 -8112cc08: d8c00315 stw r3,12(sp) -8112cc0c: 182b883a mov r21,r3 -8112cc10: 8039883a mov fp,r16 -8112cc14: d8c00215 stw r3,8(sp) -8112cc18: 002f883a mov r23,zero -8112cc1c: 052dc83a sub r22,zero,r20 -8112cc20: 8540652e bgeu r16,r21,8112cdb8 -8112cc24: d8c00017 ldw r3,0(sp) -8112cc28: b8019026 beq r23,zero,8112d26c -8112cc2c: 1d2d383a mul r22,r3,r20 -8112cc30: d8c00217 ldw r3,8(sp) -8112cc34: 8dad883a add r22,r17,r22 -8112cc38: a8c9c83a sub r4,r21,r3 -8112cc3c: 1c47c83a sub r3,r3,r17 -8112cc40: 20c0010e bge r4,r3,8112cc48 -8112cc44: 2007883a mov r3,r4 -8112cc48: 1800dc1e bne r3,zero,8112cfbc -8112cc4c: b705c83a sub r2,r22,fp -8112cc50: e421c83a sub r16,fp,r16 -8112cc54: 1505c83a sub r2,r2,r20 -8112cc58: 8080012e bgeu r16,r2,8112cc60 -8112cc5c: 8005883a mov r2,r16 -8112cc60: 1000481e bne r2,zero,8112cd84 -8112cc64: a1003f36 bltu r20,r4,8112cd64 -8112cc68: a400322e bgeu r20,r16,8112cd34 -8112cc6c: a00b883a mov r5,r20 -8112cc70: 8009883a mov r4,r16 -8112cc74: 112b34c0 call 8112b34c <__udivsi3> -8112cc78: b423c83a sub r17,r22,r16 -8112cc7c: d8800015 stw r2,0(sp) -8112cc80: 888000cc andi r2,r17,3 -8112cc84: 103fcc26 beq r2,zero,8112cbb8 <__reset+0xfb10cbb8> -8112cc88: d8c00017 ldw r3,0(sp) -8112cc8c: 01000184 movi r4,6 -8112cc90: 04c00084 movi r19,2 -8112cc94: 20ffce36 bltu r4,r3,8112cbd0 <__reset+0xfb10cbd0> -8112cc98: 1d2f383a mul r23,r3,r20 -8112cc9c: 8d2b883a add r21,r17,r20 -8112cca0: 8def883a add r23,r17,r23 -8112cca4: ddc00015 stw r23,0(sp) -8112cca8: adc0222e bgeu r21,r23,8112cd34 -8112ccac: 0521c83a sub r16,zero,r20 -8112ccb0: 05800044 movi r22,1 -8112ccb4: 8d401c2e bgeu r17,r21,8112cd28 -8112ccb8: a839883a mov fp,r21 -8112ccbc: 00000606 br 8112ccd8 -8112ccc0: b8c00017 ldw r3,0(r23) -8112ccc4: e0800017 ldw r2,0(fp) -8112ccc8: e0c00015 stw r3,0(fp) -8112cccc: b8800015 stw r2,0(r23) -8112ccd0: b839883a mov fp,r23 -8112ccd4: 8dc0142e bgeu r17,r23,8112cd28 -8112ccd8: e42f883a add r23,fp,r16 -8112ccdc: e00b883a mov r5,fp -8112cce0: b809883a mov r4,r23 -8112cce4: 903ee83a callr r18 -8112cce8: 00800f0e bge zero,r2,8112cd28 -8112ccec: 983ff426 beq r19,zero,8112ccc0 <__reset+0xfb10ccc0> -8112ccf0: 9d819326 beq r19,r22,8112d340 -8112ccf4: a009883a mov r4,r20 -8112ccf8: bd07883a add r3,r23,r20 -8112ccfc: b805883a mov r2,r23 -8112cd00: 11c00003 ldbu r7,0(r2) -8112cd04: 19400003 ldbu r5,0(r3) -8112cd08: 213fffc4 addi r4,r4,-1 -8112cd0c: 19c00005 stb r7,0(r3) -8112cd10: 11400005 stb r5,0(r2) -8112cd14: 18c00044 addi r3,r3,1 -8112cd18: 10800044 addi r2,r2,1 -8112cd1c: 013ff816 blt zero,r4,8112cd00 <__reset+0xfb10cd00> -8112cd20: b839883a mov fp,r23 -8112cd24: 8dffec36 bltu r17,r23,8112ccd8 <__reset+0xfb10ccd8> -8112cd28: d8c00017 ldw r3,0(sp) -8112cd2c: ad2b883a add r21,r21,r20 -8112cd30: a8ffe036 bltu r21,r3,8112ccb4 <__reset+0xfb10ccb4> -8112cd34: dfc01017 ldw ra,64(sp) -8112cd38: df000f17 ldw fp,60(sp) -8112cd3c: ddc00e17 ldw r23,56(sp) -8112cd40: dd800d17 ldw r22,52(sp) -8112cd44: dd400c17 ldw r21,48(sp) -8112cd48: dd000b17 ldw r20,44(sp) -8112cd4c: dcc00a17 ldw r19,40(sp) -8112cd50: dc800917 ldw r18,36(sp) -8112cd54: dc400817 ldw r17,32(sp) -8112cd58: dc000717 ldw r16,28(sp) -8112cd5c: dec01104 addi sp,sp,68 -8112cd60: f800283a ret -8112cd64: a00b883a mov r5,r20 -8112cd68: 112b34c0 call 8112b34c <__udivsi3> -8112cd6c: 900f883a mov r7,r18 -8112cd70: a00d883a mov r6,r20 -8112cd74: 100b883a mov r5,r2 -8112cd78: 8809883a mov r4,r17 -8112cd7c: 112cb540 call 8112cb54 -8112cd80: 003fb906 br 8112cc68 <__reset+0xfb10cc68> -8112cd84: 01400084 movi r5,2 -8112cd88: b087c83a sub r3,r22,r2 -8112cd8c: 9940f01e bne r19,r5,8112d150 -8112cd90: 19800003 ldbu r6,0(r3) -8112cd94: a9400003 ldbu r5,0(r21) -8112cd98: 10bfffc4 addi r2,r2,-1 -8112cd9c: a9800005 stb r6,0(r21) -8112cda0: 19400005 stb r5,0(r3) -8112cda4: ad400044 addi r21,r21,1 -8112cda8: 18c00044 addi r3,r3,1 -8112cdac: 00bff816 blt zero,r2,8112cd90 <__reset+0xfb10cd90> -8112cdb0: a13fad2e bgeu r20,r4,8112cc68 <__reset+0xfb10cc68> -8112cdb4: 003feb06 br 8112cd64 <__reset+0xfb10cd64> -8112cdb8: 880b883a mov r5,r17 -8112cdbc: a809883a mov r4,r21 -8112cdc0: 903ee83a callr r18 -8112cdc4: 00800916 blt zero,r2,8112cdec -8112cdc8: 00004006 br 8112cecc -8112cdcc: e0c00017 ldw r3,0(fp) -8112cdd0: 80800017 ldw r2,0(r16) -8112cdd4: 80c00015 stw r3,0(r16) -8112cdd8: e0800015 stw r2,0(fp) -8112cddc: e5b9883a add fp,fp,r22 -8112cde0: 05c00044 movi r23,1 -8112cde4: 85a1883a add r16,r16,r22 -8112cde8: 857f8e36 bltu r16,r21,8112cc24 <__reset+0xfb10cc24> -8112cdec: 880b883a mov r5,r17 -8112cdf0: 8009883a mov r4,r16 -8112cdf4: 903ee83a callr r18 -8112cdf8: 10001016 blt r2,zero,8112ce3c -8112cdfc: 103ff91e bne r2,zero,8112cde4 <__reset+0xfb10cde4> -8112ce00: 983ff226 beq r19,zero,8112cdcc <__reset+0xfb10cdcc> -8112ce04: 01000044 movi r4,1 -8112ce08: 99001626 beq r19,r4,8112ce64 -8112ce0c: a009883a mov r4,r20 -8112ce10: 8007883a mov r3,r16 -8112ce14: e005883a mov r2,fp -8112ce18: 11800003 ldbu r6,0(r2) -8112ce1c: 19400003 ldbu r5,0(r3) -8112ce20: 213fffc4 addi r4,r4,-1 -8112ce24: 19800005 stb r6,0(r3) -8112ce28: 11400005 stb r5,0(r2) -8112ce2c: 18c00044 addi r3,r3,1 -8112ce30: 10800044 addi r2,r2,1 -8112ce34: 013ff816 blt zero,r4,8112ce18 <__reset+0xfb10ce18> -8112ce38: 003fe806 br 8112cddc <__reset+0xfb10cddc> -8112ce3c: 857f7936 bltu r16,r21,8112cc24 <__reset+0xfb10cc24> -8112ce40: 9800141e bne r19,zero,8112ce94 -8112ce44: 80c00017 ldw r3,0(r16) -8112ce48: a8800017 ldw r2,0(r21) -8112ce4c: a8c00015 stw r3,0(r21) -8112ce50: 80800015 stw r2,0(r16) -8112ce54: 8521c83a sub r16,r16,r20 -8112ce58: 05c00044 movi r23,1 -8112ce5c: ad2b883a add r21,r21,r20 -8112ce60: 003f6f06 br 8112cc20 <__reset+0xfb10cc20> -8112ce64: d9000117 ldw r4,4(sp) -8112ce68: 8007883a mov r3,r16 -8112ce6c: e005883a mov r2,fp -8112ce70: 11800017 ldw r6,0(r2) -8112ce74: 19400017 ldw r5,0(r3) -8112ce78: 213fffc4 addi r4,r4,-1 -8112ce7c: 19800015 stw r6,0(r3) -8112ce80: 11400015 stw r5,0(r2) -8112ce84: 18c00104 addi r3,r3,4 -8112ce88: 10800104 addi r2,r2,4 -8112ce8c: 013ff816 blt zero,r4,8112ce70 <__reset+0xfb10ce70> -8112ce90: 003fd206 br 8112cddc <__reset+0xfb10cddc> -8112ce94: 01400044 movi r5,1 -8112ce98: 99401826 beq r19,r5,8112cefc -8112ce9c: a009883a mov r4,r20 -8112cea0: 8007883a mov r3,r16 -8112cea4: a805883a mov r2,r21 -8112cea8: 19800003 ldbu r6,0(r3) -8112ceac: 11400003 ldbu r5,0(r2) -8112ceb0: 213fffc4 addi r4,r4,-1 -8112ceb4: 11800005 stb r6,0(r2) -8112ceb8: 19400005 stb r5,0(r3) -8112cebc: 10800044 addi r2,r2,1 -8112cec0: 18c00044 addi r3,r3,1 -8112cec4: 013ff816 blt zero,r4,8112cea8 <__reset+0xfb10cea8> -8112cec8: 003fe206 br 8112ce54 <__reset+0xfb10ce54> -8112cecc: 103fe31e bne r2,zero,8112ce5c <__reset+0xfb10ce5c> -8112ced0: 9800801e bne r19,zero,8112d0d4 -8112ced4: d9000217 ldw r4,8(sp) -8112ced8: a8c00017 ldw r3,0(r21) -8112cedc: 20800017 ldw r2,0(r4) -8112cee0: 20c00015 stw r3,0(r4) -8112cee4: a8800015 stw r2,0(r21) -8112cee8: d8c00217 ldw r3,8(sp) -8112ceec: 05c00044 movi r23,1 -8112cef0: 1d07883a add r3,r3,r20 -8112cef4: d8c00215 stw r3,8(sp) -8112cef8: 003fd806 br 8112ce5c <__reset+0xfb10ce5c> -8112cefc: d9000117 ldw r4,4(sp) -8112cf00: 8007883a mov r3,r16 -8112cf04: a805883a mov r2,r21 -8112cf08: 19800017 ldw r6,0(r3) -8112cf0c: 11400017 ldw r5,0(r2) -8112cf10: 213fffc4 addi r4,r4,-1 -8112cf14: 11800015 stw r6,0(r2) -8112cf18: 19400015 stw r5,0(r3) -8112cf1c: 10800104 addi r2,r2,4 -8112cf20: 18c00104 addi r3,r3,4 -8112cf24: 013ff816 blt zero,r4,8112cf08 <__reset+0xfb10cf08> -8112cf28: 003fca06 br 8112ce54 <__reset+0xfb10ce54> -8112cf2c: d8c00017 ldw r3,0(sp) -8112cf30: 01000a04 movi r4,40 -8112cf34: 1c3fffc4 addi r16,r3,-1 -8112cf38: 8521383a mul r16,r16,r20 -8112cf3c: 8c21883a add r16,r17,r16 -8112cf40: 20c03236 bltu r4,r3,8112d00c -8112cf44: 802b883a mov r21,r16 -8112cf48: 882d883a mov r22,r17 -8112cf4c: e00b883a mov r5,fp -8112cf50: b009883a mov r4,r22 -8112cf54: 903ee83a callr r18 -8112cf58: a80b883a mov r5,r21 -8112cf5c: e009883a mov r4,fp -8112cf60: 10005416 blt r2,zero,8112d0b4 -8112cf64: 903ee83a callr r18 -8112cf68: 00bf2116 blt zero,r2,8112cbf0 <__reset+0xfb10cbf0> -8112cf6c: a80b883a mov r5,r21 -8112cf70: b009883a mov r4,r22 -8112cf74: 903ee83a callr r18 -8112cf78: 10005416 blt r2,zero,8112d0cc -8112cf7c: a839883a mov fp,r21 -8112cf80: 983f1c26 beq r19,zero,8112cbf4 <__reset+0xfb10cbf4> -8112cf84: 00800044 movi r2,1 -8112cf88: 98807c26 beq r19,r2,8112d17c -8112cf8c: a00b883a mov r5,r20 -8112cf90: e005883a mov r2,fp -8112cf94: 8809883a mov r4,r17 -8112cf98: 11800003 ldbu r6,0(r2) -8112cf9c: 20c00003 ldbu r3,0(r4) -8112cfa0: 297fffc4 addi r5,r5,-1 -8112cfa4: 21800005 stb r6,0(r4) -8112cfa8: 10c00005 stb r3,0(r2) -8112cfac: 21000044 addi r4,r4,1 -8112cfb0: 10800044 addi r2,r2,1 -8112cfb4: 017ff816 blt zero,r5,8112cf98 <__reset+0xfb10cf98> -8112cfb8: 003f1206 br 8112cc04 <__reset+0xfb10cc04> -8112cfbc: 01400084 movi r5,2 -8112cfc0: a8c5c83a sub r2,r21,r3 -8112cfc4: 9940511e bne r19,r5,8112d10c -8112cfc8: 880b883a mov r5,r17 -8112cfcc: 11c00003 ldbu r7,0(r2) -8112cfd0: 29800003 ldbu r6,0(r5) -8112cfd4: 18ffffc4 addi r3,r3,-1 -8112cfd8: 29c00005 stb r7,0(r5) -8112cfdc: 11800005 stb r6,0(r2) -8112cfe0: 29400044 addi r5,r5,1 -8112cfe4: 10800044 addi r2,r2,1 -8112cfe8: 00fff816 blt zero,r3,8112cfcc <__reset+0xfb10cfcc> -8112cfec: b705c83a sub r2,r22,fp -8112cff0: e421c83a sub r16,fp,r16 -8112cff4: 1505c83a sub r2,r2,r20 -8112cff8: 8080012e bgeu r16,r2,8112d000 -8112cffc: 8005883a mov r2,r16 -8112d000: 103f1826 beq r2,zero,8112cc64 <__reset+0xfb10cc64> -8112d004: b087c83a sub r3,r22,r2 -8112d008: 003f6106 br 8112cd90 <__reset+0xfb10cd90> -8112d00c: 182ad0fa srli r21,r3,3 -8112d010: 8809883a mov r4,r17 -8112d014: ad2b383a mul r21,r21,r20 -8112d018: 8d6d883a add r22,r17,r21 -8112d01c: b00b883a mov r5,r22 -8112d020: ad47883a add r3,r21,r21 -8112d024: d8c00315 stw r3,12(sp) -8112d028: b56f883a add r23,r22,r21 -8112d02c: 903ee83a callr r18 -8112d030: b80b883a mov r5,r23 -8112d034: b009883a mov r4,r22 -8112d038: 10007816 blt r2,zero,8112d21c -8112d03c: 903ee83a callr r18 -8112d040: 0080840e bge zero,r2,8112d254 -8112d044: e547c83a sub r3,fp,r21 -8112d048: e00b883a mov r5,fp -8112d04c: 1809883a mov r4,r3 -8112d050: d8c00215 stw r3,8(sp) -8112d054: e56f883a add r23,fp,r21 -8112d058: 903ee83a callr r18 -8112d05c: b80b883a mov r5,r23 -8112d060: e009883a mov r4,fp -8112d064: 10006516 blt r2,zero,8112d1fc -8112d068: 903ee83a callr r18 -8112d06c: 0080730e bge zero,r2,8112d23c -8112d070: d8c00317 ldw r3,12(sp) -8112d074: 80efc83a sub r23,r16,r3 -8112d078: bd6b883a add r21,r23,r21 -8112d07c: a80b883a mov r5,r21 -8112d080: b809883a mov r4,r23 -8112d084: 903ee83a callr r18 -8112d088: 800b883a mov r5,r16 -8112d08c: a809883a mov r4,r21 -8112d090: 10005216 blt r2,zero,8112d1dc -8112d094: 903ee83a callr r18 -8112d098: 00bfac16 blt zero,r2,8112cf4c <__reset+0xfb10cf4c> -8112d09c: 800b883a mov r5,r16 -8112d0a0: b809883a mov r4,r23 -8112d0a4: 903ee83a callr r18 -8112d0a8: 10005216 blt r2,zero,8112d1f4 -8112d0ac: 802b883a mov r21,r16 -8112d0b0: 003fa606 br 8112cf4c <__reset+0xfb10cf4c> -8112d0b4: 903ee83a callr r18 -8112d0b8: 103ecd16 blt r2,zero,8112cbf0 <__reset+0xfb10cbf0> +8112ca38: 14400005 stb r17,0(r2) +8112ca3c: 28800017 ldw r2,0(r5) +8112ca40: 10c00044 addi r3,r2,1 +8112ca44: 28c00015 stw r3,0(r5) +8112ca48: 10800003 ldbu r2,0(r2) +8112ca4c: dfc00317 ldw ra,12(sp) +8112ca50: dc400217 ldw r17,8(sp) +8112ca54: dc000117 ldw r16,4(sp) +8112ca58: dec00404 addi sp,sp,16 +8112ca5c: f800283a ret +8112ca60: 28c00617 ldw r3,24(r5) +8112ca64: 10c00e16 blt r2,r3,8112caa0 +8112ca68: 28800017 ldw r2,0(r5) +8112ca6c: 01000284 movi r4,10 +8112ca70: 14400005 stb r17,0(r2) +8112ca74: 28800017 ldw r2,0(r5) +8112ca78: 10c00003 ldbu r3,0(r2) +8112ca7c: 193ff01e bne r3,r4,8112ca40 <__reset+0xfb10ca40> +8112ca80: 280d883a mov r6,r5 +8112ca84: 180b883a mov r5,r3 +8112ca88: 00000706 br 8112caa8 +8112ca8c: 8009883a mov r4,r16 +8112ca90: d9400015 stw r5,0(sp) +8112ca94: 1135dec0 call 81135dec <__sinit> +8112ca98: d9400017 ldw r5,0(sp) +8112ca9c: 003fe106 br 8112ca24 <__reset+0xfb10ca24> +8112caa0: 280d883a mov r6,r5 +8112caa4: 880b883a mov r5,r17 +8112caa8: 8009883a mov r4,r16 +8112caac: dfc00317 ldw ra,12(sp) +8112cab0: dc400217 ldw r17,8(sp) +8112cab4: dc000117 ldw r16,4(sp) +8112cab8: dec00404 addi sp,sp,16 +8112cabc: 1133c0c1 jmpi 81133c0c <__swbuf_r> + +8112cac0 <_puts_r>: +8112cac0: defff604 addi sp,sp,-40 +8112cac4: dc000715 stw r16,28(sp) +8112cac8: 2021883a mov r16,r4 +8112cacc: 2809883a mov r4,r5 +8112cad0: dc400815 stw r17,32(sp) +8112cad4: dfc00915 stw ra,36(sp) +8112cad8: 2823883a mov r17,r5 +8112cadc: 112d8ac0 call 8112d8ac +8112cae0: 10c00044 addi r3,r2,1 +8112cae4: d8800115 stw r2,4(sp) +8112cae8: 00a04574 movhi r2,33045 +8112caec: 10a65504 addi r2,r2,-26284 +8112caf0: d8800215 stw r2,8(sp) +8112caf4: 00800044 movi r2,1 +8112caf8: d8800315 stw r2,12(sp) +8112cafc: 00800084 movi r2,2 +8112cb00: dc400015 stw r17,0(sp) +8112cb04: d8c00615 stw r3,24(sp) +8112cb08: dec00415 stw sp,16(sp) +8112cb0c: d8800515 stw r2,20(sp) +8112cb10: 80000226 beq r16,zero,8112cb1c <_puts_r+0x5c> +8112cb14: 80800e17 ldw r2,56(r16) +8112cb18: 10001426 beq r2,zero,8112cb6c <_puts_r+0xac> +8112cb1c: 81400217 ldw r5,8(r16) +8112cb20: 2880030b ldhu r2,12(r5) +8112cb24: 10c8000c andi r3,r2,8192 +8112cb28: 1800061e bne r3,zero,8112cb44 <_puts_r+0x84> +8112cb2c: 29001917 ldw r4,100(r5) +8112cb30: 00f7ffc4 movi r3,-8193 +8112cb34: 10880014 ori r2,r2,8192 +8112cb38: 20c6703a and r3,r4,r3 +8112cb3c: 2880030d sth r2,12(r5) +8112cb40: 28c01915 stw r3,100(r5) +8112cb44: d9800404 addi r6,sp,16 +8112cb48: 8009883a mov r4,r16 +8112cb4c: 113657c0 call 8113657c <__sfvwrite_r> +8112cb50: 1000091e bne r2,zero,8112cb78 <_puts_r+0xb8> +8112cb54: 00800284 movi r2,10 +8112cb58: dfc00917 ldw ra,36(sp) +8112cb5c: dc400817 ldw r17,32(sp) +8112cb60: dc000717 ldw r16,28(sp) +8112cb64: dec00a04 addi sp,sp,40 +8112cb68: f800283a ret +8112cb6c: 8009883a mov r4,r16 +8112cb70: 1135dec0 call 81135dec <__sinit> +8112cb74: 003fe906 br 8112cb1c <__reset+0xfb10cb1c> +8112cb78: 00bfffc4 movi r2,-1 +8112cb7c: 003ff606 br 8112cb58 <__reset+0xfb10cb58> + +8112cb80 : +8112cb80: 00a04574 movhi r2,33045 +8112cb84: 1086f304 addi r2,r2,7116 +8112cb88: 200b883a mov r5,r4 +8112cb8c: 11000017 ldw r4,0(r2) +8112cb90: 112cac01 jmpi 8112cac0 <_puts_r> + +8112cb94 : +8112cb94: 3006d0ba srli r3,r6,2 +8112cb98: deffef04 addi sp,sp,-68 +8112cb9c: dc400815 stw r17,32(sp) +8112cba0: d8c00115 stw r3,4(sp) +8112cba4: 30c001a4 muli r3,r6,6 +8112cba8: 2023883a mov r17,r4 +8112cbac: dd000b15 stw r20,44(sp) +8112cbb0: d8c00515 stw r3,20(sp) +8112cbb4: 30c000cc andi r3,r6,3 +8112cbb8: d8c00415 stw r3,16(sp) +8112cbbc: 30c00118 cmpnei r3,r6,4 +8112cbc0: dc800915 stw r18,36(sp) +8112cbc4: dfc01015 stw ra,64(sp) +8112cbc8: df000f15 stw fp,60(sp) +8112cbcc: ddc00e15 stw r23,56(sp) +8112cbd0: dd800d15 stw r22,52(sp) +8112cbd4: dd400c15 stw r21,48(sp) +8112cbd8: dcc00a15 stw r19,40(sp) +8112cbdc: dc000715 stw r16,28(sp) +8112cbe0: d9400015 stw r5,0(sp) +8112cbe4: d8c00615 stw r3,24(sp) +8112cbe8: 888000cc andi r2,r17,3 +8112cbec: 3029883a mov r20,r6 +8112cbf0: 3825883a mov r18,r7 +8112cbf4: 1000341e bne r2,zero,8112ccc8 +8112cbf8: d8c00417 ldw r3,16(sp) +8112cbfc: 1800321e bne r3,zero,8112ccc8 +8112cc00: d8c00017 ldw r3,0(sp) +8112cc04: 01000184 movi r4,6 +8112cc08: dcc00617 ldw r19,24(sp) +8112cc0c: 20c0322e bgeu r4,r3,8112ccd8 +8112cc10: d8c00017 ldw r3,0(sp) +8112cc14: 010001c4 movi r4,7 +8112cc18: 1804d07a srli r2,r3,1 +8112cc1c: 1505383a mul r2,r2,r20 +8112cc20: 88b9883a add fp,r17,r2 +8112cc24: 1900d11e bne r3,r4,8112cf6c +8112cc28: d8c00517 ldw r3,20(sp) +8112cc2c: 88e1883a add r16,r17,r3 +8112cc30: 9800e41e bne r19,zero,8112cfc4 +8112cc34: e1000017 ldw r4,0(fp) +8112cc38: 88800017 ldw r2,0(r17) +8112cc3c: 89000015 stw r4,0(r17) +8112cc40: e0800015 stw r2,0(fp) +8112cc44: 8d07883a add r3,r17,r20 +8112cc48: d8c00315 stw r3,12(sp) +8112cc4c: 182b883a mov r21,r3 +8112cc50: 8039883a mov fp,r16 +8112cc54: d8c00215 stw r3,8(sp) +8112cc58: 002f883a mov r23,zero +8112cc5c: 052dc83a sub r22,zero,r20 +8112cc60: 8540652e bgeu r16,r21,8112cdf8 +8112cc64: d8c00017 ldw r3,0(sp) +8112cc68: b8019026 beq r23,zero,8112d2ac +8112cc6c: 1d2d383a mul r22,r3,r20 +8112cc70: d8c00217 ldw r3,8(sp) +8112cc74: 8dad883a add r22,r17,r22 +8112cc78: a8c9c83a sub r4,r21,r3 +8112cc7c: 1c47c83a sub r3,r3,r17 +8112cc80: 20c0010e bge r4,r3,8112cc88 +8112cc84: 2007883a mov r3,r4 +8112cc88: 1800dc1e bne r3,zero,8112cffc +8112cc8c: b705c83a sub r2,r22,fp +8112cc90: e421c83a sub r16,fp,r16 +8112cc94: 1505c83a sub r2,r2,r20 +8112cc98: 8080012e bgeu r16,r2,8112cca0 +8112cc9c: 8005883a mov r2,r16 +8112cca0: 1000481e bne r2,zero,8112cdc4 +8112cca4: a1003f36 bltu r20,r4,8112cda4 +8112cca8: a400322e bgeu r20,r16,8112cd74 +8112ccac: a00b883a mov r5,r20 +8112ccb0: 8009883a mov r4,r16 +8112ccb4: 112b38c0 call 8112b38c <__udivsi3> +8112ccb8: b423c83a sub r17,r22,r16 +8112ccbc: d8800015 stw r2,0(sp) +8112ccc0: 888000cc andi r2,r17,3 +8112ccc4: 103fcc26 beq r2,zero,8112cbf8 <__reset+0xfb10cbf8> +8112ccc8: d8c00017 ldw r3,0(sp) +8112cccc: 01000184 movi r4,6 +8112ccd0: 04c00084 movi r19,2 +8112ccd4: 20ffce36 bltu r4,r3,8112cc10 <__reset+0xfb10cc10> +8112ccd8: 1d2f383a mul r23,r3,r20 +8112ccdc: 8d2b883a add r21,r17,r20 +8112cce0: 8def883a add r23,r17,r23 +8112cce4: ddc00015 stw r23,0(sp) +8112cce8: adc0222e bgeu r21,r23,8112cd74 +8112ccec: 0521c83a sub r16,zero,r20 +8112ccf0: 05800044 movi r22,1 +8112ccf4: 8d401c2e bgeu r17,r21,8112cd68 +8112ccf8: a839883a mov fp,r21 +8112ccfc: 00000606 br 8112cd18 +8112cd00: b8c00017 ldw r3,0(r23) +8112cd04: e0800017 ldw r2,0(fp) +8112cd08: e0c00015 stw r3,0(fp) +8112cd0c: b8800015 stw r2,0(r23) +8112cd10: b839883a mov fp,r23 +8112cd14: 8dc0142e bgeu r17,r23,8112cd68 +8112cd18: e42f883a add r23,fp,r16 +8112cd1c: e00b883a mov r5,fp +8112cd20: b809883a mov r4,r23 +8112cd24: 903ee83a callr r18 +8112cd28: 00800f0e bge zero,r2,8112cd68 +8112cd2c: 983ff426 beq r19,zero,8112cd00 <__reset+0xfb10cd00> +8112cd30: 9d819326 beq r19,r22,8112d380 +8112cd34: a009883a mov r4,r20 +8112cd38: bd07883a add r3,r23,r20 +8112cd3c: b805883a mov r2,r23 +8112cd40: 11c00003 ldbu r7,0(r2) +8112cd44: 19400003 ldbu r5,0(r3) +8112cd48: 213fffc4 addi r4,r4,-1 +8112cd4c: 19c00005 stb r7,0(r3) +8112cd50: 11400005 stb r5,0(r2) +8112cd54: 18c00044 addi r3,r3,1 +8112cd58: 10800044 addi r2,r2,1 +8112cd5c: 013ff816 blt zero,r4,8112cd40 <__reset+0xfb10cd40> +8112cd60: b839883a mov fp,r23 +8112cd64: 8dffec36 bltu r17,r23,8112cd18 <__reset+0xfb10cd18> +8112cd68: d8c00017 ldw r3,0(sp) +8112cd6c: ad2b883a add r21,r21,r20 +8112cd70: a8ffe036 bltu r21,r3,8112ccf4 <__reset+0xfb10ccf4> +8112cd74: dfc01017 ldw ra,64(sp) +8112cd78: df000f17 ldw fp,60(sp) +8112cd7c: ddc00e17 ldw r23,56(sp) +8112cd80: dd800d17 ldw r22,52(sp) +8112cd84: dd400c17 ldw r21,48(sp) +8112cd88: dd000b17 ldw r20,44(sp) +8112cd8c: dcc00a17 ldw r19,40(sp) +8112cd90: dc800917 ldw r18,36(sp) +8112cd94: dc400817 ldw r17,32(sp) +8112cd98: dc000717 ldw r16,28(sp) +8112cd9c: dec01104 addi sp,sp,68 +8112cda0: f800283a ret +8112cda4: a00b883a mov r5,r20 +8112cda8: 112b38c0 call 8112b38c <__udivsi3> +8112cdac: 900f883a mov r7,r18 +8112cdb0: a00d883a mov r6,r20 +8112cdb4: 100b883a mov r5,r2 +8112cdb8: 8809883a mov r4,r17 +8112cdbc: 112cb940 call 8112cb94 +8112cdc0: 003fb906 br 8112cca8 <__reset+0xfb10cca8> +8112cdc4: 01400084 movi r5,2 +8112cdc8: b087c83a sub r3,r22,r2 +8112cdcc: 9940f01e bne r19,r5,8112d190 +8112cdd0: 19800003 ldbu r6,0(r3) +8112cdd4: a9400003 ldbu r5,0(r21) +8112cdd8: 10bfffc4 addi r2,r2,-1 +8112cddc: a9800005 stb r6,0(r21) +8112cde0: 19400005 stb r5,0(r3) +8112cde4: ad400044 addi r21,r21,1 +8112cde8: 18c00044 addi r3,r3,1 +8112cdec: 00bff816 blt zero,r2,8112cdd0 <__reset+0xfb10cdd0> +8112cdf0: a13fad2e bgeu r20,r4,8112cca8 <__reset+0xfb10cca8> +8112cdf4: 003feb06 br 8112cda4 <__reset+0xfb10cda4> +8112cdf8: 880b883a mov r5,r17 +8112cdfc: a809883a mov r4,r21 +8112ce00: 903ee83a callr r18 +8112ce04: 00800916 blt zero,r2,8112ce2c +8112ce08: 00004006 br 8112cf0c +8112ce0c: e0c00017 ldw r3,0(fp) +8112ce10: 80800017 ldw r2,0(r16) +8112ce14: 80c00015 stw r3,0(r16) +8112ce18: e0800015 stw r2,0(fp) +8112ce1c: e5b9883a add fp,fp,r22 +8112ce20: 05c00044 movi r23,1 +8112ce24: 85a1883a add r16,r16,r22 +8112ce28: 857f8e36 bltu r16,r21,8112cc64 <__reset+0xfb10cc64> +8112ce2c: 880b883a mov r5,r17 +8112ce30: 8009883a mov r4,r16 +8112ce34: 903ee83a callr r18 +8112ce38: 10001016 blt r2,zero,8112ce7c +8112ce3c: 103ff91e bne r2,zero,8112ce24 <__reset+0xfb10ce24> +8112ce40: 983ff226 beq r19,zero,8112ce0c <__reset+0xfb10ce0c> +8112ce44: 01000044 movi r4,1 +8112ce48: 99001626 beq r19,r4,8112cea4 +8112ce4c: a009883a mov r4,r20 +8112ce50: 8007883a mov r3,r16 +8112ce54: e005883a mov r2,fp +8112ce58: 11800003 ldbu r6,0(r2) +8112ce5c: 19400003 ldbu r5,0(r3) +8112ce60: 213fffc4 addi r4,r4,-1 +8112ce64: 19800005 stb r6,0(r3) +8112ce68: 11400005 stb r5,0(r2) +8112ce6c: 18c00044 addi r3,r3,1 +8112ce70: 10800044 addi r2,r2,1 +8112ce74: 013ff816 blt zero,r4,8112ce58 <__reset+0xfb10ce58> +8112ce78: 003fe806 br 8112ce1c <__reset+0xfb10ce1c> +8112ce7c: 857f7936 bltu r16,r21,8112cc64 <__reset+0xfb10cc64> +8112ce80: 9800141e bne r19,zero,8112ced4 +8112ce84: 80c00017 ldw r3,0(r16) +8112ce88: a8800017 ldw r2,0(r21) +8112ce8c: a8c00015 stw r3,0(r21) +8112ce90: 80800015 stw r2,0(r16) +8112ce94: 8521c83a sub r16,r16,r20 +8112ce98: 05c00044 movi r23,1 +8112ce9c: ad2b883a add r21,r21,r20 +8112cea0: 003f6f06 br 8112cc60 <__reset+0xfb10cc60> +8112cea4: d9000117 ldw r4,4(sp) +8112cea8: 8007883a mov r3,r16 +8112ceac: e005883a mov r2,fp +8112ceb0: 11800017 ldw r6,0(r2) +8112ceb4: 19400017 ldw r5,0(r3) +8112ceb8: 213fffc4 addi r4,r4,-1 +8112cebc: 19800015 stw r6,0(r3) +8112cec0: 11400015 stw r5,0(r2) +8112cec4: 18c00104 addi r3,r3,4 +8112cec8: 10800104 addi r2,r2,4 +8112cecc: 013ff816 blt zero,r4,8112ceb0 <__reset+0xfb10ceb0> +8112ced0: 003fd206 br 8112ce1c <__reset+0xfb10ce1c> +8112ced4: 01400044 movi r5,1 +8112ced8: 99401826 beq r19,r5,8112cf3c +8112cedc: a009883a mov r4,r20 +8112cee0: 8007883a mov r3,r16 +8112cee4: a805883a mov r2,r21 +8112cee8: 19800003 ldbu r6,0(r3) +8112ceec: 11400003 ldbu r5,0(r2) +8112cef0: 213fffc4 addi r4,r4,-1 +8112cef4: 11800005 stb r6,0(r2) +8112cef8: 19400005 stb r5,0(r3) +8112cefc: 10800044 addi r2,r2,1 +8112cf00: 18c00044 addi r3,r3,1 +8112cf04: 013ff816 blt zero,r4,8112cee8 <__reset+0xfb10cee8> +8112cf08: 003fe206 br 8112ce94 <__reset+0xfb10ce94> +8112cf0c: 103fe31e bne r2,zero,8112ce9c <__reset+0xfb10ce9c> +8112cf10: 9800801e bne r19,zero,8112d114 +8112cf14: d9000217 ldw r4,8(sp) +8112cf18: a8c00017 ldw r3,0(r21) +8112cf1c: 20800017 ldw r2,0(r4) +8112cf20: 20c00015 stw r3,0(r4) +8112cf24: a8800015 stw r2,0(r21) +8112cf28: d8c00217 ldw r3,8(sp) +8112cf2c: 05c00044 movi r23,1 +8112cf30: 1d07883a add r3,r3,r20 +8112cf34: d8c00215 stw r3,8(sp) +8112cf38: 003fd806 br 8112ce9c <__reset+0xfb10ce9c> +8112cf3c: d9000117 ldw r4,4(sp) +8112cf40: 8007883a mov r3,r16 +8112cf44: a805883a mov r2,r21 +8112cf48: 19800017 ldw r6,0(r3) +8112cf4c: 11400017 ldw r5,0(r2) +8112cf50: 213fffc4 addi r4,r4,-1 +8112cf54: 11800015 stw r6,0(r2) +8112cf58: 19400015 stw r5,0(r3) +8112cf5c: 10800104 addi r2,r2,4 +8112cf60: 18c00104 addi r3,r3,4 +8112cf64: 013ff816 blt zero,r4,8112cf48 <__reset+0xfb10cf48> +8112cf68: 003fca06 br 8112ce94 <__reset+0xfb10ce94> +8112cf6c: d8c00017 ldw r3,0(sp) +8112cf70: 01000a04 movi r4,40 +8112cf74: 1c3fffc4 addi r16,r3,-1 +8112cf78: 8521383a mul r16,r16,r20 +8112cf7c: 8c21883a add r16,r17,r16 +8112cf80: 20c03236 bltu r4,r3,8112d04c +8112cf84: 802b883a mov r21,r16 +8112cf88: 882d883a mov r22,r17 +8112cf8c: e00b883a mov r5,fp +8112cf90: b009883a mov r4,r22 +8112cf94: 903ee83a callr r18 +8112cf98: a80b883a mov r5,r21 +8112cf9c: e009883a mov r4,fp +8112cfa0: 10005416 blt r2,zero,8112d0f4 +8112cfa4: 903ee83a callr r18 +8112cfa8: 00bf2116 blt zero,r2,8112cc30 <__reset+0xfb10cc30> +8112cfac: a80b883a mov r5,r21 +8112cfb0: b009883a mov r4,r22 +8112cfb4: 903ee83a callr r18 +8112cfb8: 10005416 blt r2,zero,8112d10c +8112cfbc: a839883a mov fp,r21 +8112cfc0: 983f1c26 beq r19,zero,8112cc34 <__reset+0xfb10cc34> +8112cfc4: 00800044 movi r2,1 +8112cfc8: 98807c26 beq r19,r2,8112d1bc +8112cfcc: a00b883a mov r5,r20 +8112cfd0: e005883a mov r2,fp +8112cfd4: 8809883a mov r4,r17 +8112cfd8: 11800003 ldbu r6,0(r2) +8112cfdc: 20c00003 ldbu r3,0(r4) +8112cfe0: 297fffc4 addi r5,r5,-1 +8112cfe4: 21800005 stb r6,0(r4) +8112cfe8: 10c00005 stb r3,0(r2) +8112cfec: 21000044 addi r4,r4,1 +8112cff0: 10800044 addi r2,r2,1 +8112cff4: 017ff816 blt zero,r5,8112cfd8 <__reset+0xfb10cfd8> +8112cff8: 003f1206 br 8112cc44 <__reset+0xfb10cc44> +8112cffc: 01400084 movi r5,2 +8112d000: a8c5c83a sub r2,r21,r3 +8112d004: 9940511e bne r19,r5,8112d14c +8112d008: 880b883a mov r5,r17 +8112d00c: 11c00003 ldbu r7,0(r2) +8112d010: 29800003 ldbu r6,0(r5) +8112d014: 18ffffc4 addi r3,r3,-1 +8112d018: 29c00005 stb r7,0(r5) +8112d01c: 11800005 stb r6,0(r2) +8112d020: 29400044 addi r5,r5,1 +8112d024: 10800044 addi r2,r2,1 +8112d028: 00fff816 blt zero,r3,8112d00c <__reset+0xfb10d00c> +8112d02c: b705c83a sub r2,r22,fp +8112d030: e421c83a sub r16,fp,r16 +8112d034: 1505c83a sub r2,r2,r20 +8112d038: 8080012e bgeu r16,r2,8112d040 +8112d03c: 8005883a mov r2,r16 +8112d040: 103f1826 beq r2,zero,8112cca4 <__reset+0xfb10cca4> +8112d044: b087c83a sub r3,r22,r2 +8112d048: 003f6106 br 8112cdd0 <__reset+0xfb10cdd0> +8112d04c: 182ad0fa srli r21,r3,3 +8112d050: 8809883a mov r4,r17 +8112d054: ad2b383a mul r21,r21,r20 +8112d058: 8d6d883a add r22,r17,r21 +8112d05c: b00b883a mov r5,r22 +8112d060: ad47883a add r3,r21,r21 +8112d064: d8c00315 stw r3,12(sp) +8112d068: b56f883a add r23,r22,r21 +8112d06c: 903ee83a callr r18 +8112d070: b80b883a mov r5,r23 +8112d074: b009883a mov r4,r22 +8112d078: 10007816 blt r2,zero,8112d25c +8112d07c: 903ee83a callr r18 +8112d080: 0080840e bge zero,r2,8112d294 +8112d084: e547c83a sub r3,fp,r21 +8112d088: e00b883a mov r5,fp +8112d08c: 1809883a mov r4,r3 +8112d090: d8c00215 stw r3,8(sp) +8112d094: e56f883a add r23,fp,r21 +8112d098: 903ee83a callr r18 +8112d09c: b80b883a mov r5,r23 +8112d0a0: e009883a mov r4,fp +8112d0a4: 10006516 blt r2,zero,8112d23c +8112d0a8: 903ee83a callr r18 +8112d0ac: 0080730e bge zero,r2,8112d27c +8112d0b0: d8c00317 ldw r3,12(sp) +8112d0b4: 80efc83a sub r23,r16,r3 +8112d0b8: bd6b883a add r21,r23,r21 8112d0bc: a80b883a mov r5,r21 -8112d0c0: b009883a mov r4,r22 +8112d0c0: b809883a mov r4,r23 8112d0c4: 903ee83a callr r18 -8112d0c8: 103fac16 blt r2,zero,8112cf7c <__reset+0xfb10cf7c> -8112d0cc: b039883a mov fp,r22 -8112d0d0: 003ec706 br 8112cbf0 <__reset+0xfb10cbf0> -8112d0d4: 01400044 movi r5,1 -8112d0d8: 99403426 beq r19,r5,8112d1ac -8112d0dc: d8c00217 ldw r3,8(sp) -8112d0e0: a009883a mov r4,r20 -8112d0e4: a805883a mov r2,r21 -8112d0e8: 11800003 ldbu r6,0(r2) -8112d0ec: 19400003 ldbu r5,0(r3) -8112d0f0: 213fffc4 addi r4,r4,-1 -8112d0f4: 19800005 stb r6,0(r3) -8112d0f8: 11400005 stb r5,0(r2) -8112d0fc: 18c00044 addi r3,r3,1 -8112d100: 10800044 addi r2,r2,1 -8112d104: 013ff816 blt zero,r4,8112d0e8 <__reset+0xfb10d0e8> -8112d108: 003f7706 br 8112cee8 <__reset+0xfb10cee8> -8112d10c: 1946d83a srl r3,r3,r5 -8112d110: 880b883a mov r5,r17 -8112d114: 11c00017 ldw r7,0(r2) -8112d118: 29800017 ldw r6,0(r5) -8112d11c: 18ffffc4 addi r3,r3,-1 -8112d120: 29c00015 stw r7,0(r5) -8112d124: 11800015 stw r6,0(r2) -8112d128: 29400104 addi r5,r5,4 -8112d12c: 10800104 addi r2,r2,4 -8112d130: 00fff816 blt zero,r3,8112d114 <__reset+0xfb10d114> -8112d134: b705c83a sub r2,r22,fp -8112d138: e421c83a sub r16,fp,r16 -8112d13c: 1505c83a sub r2,r2,r20 -8112d140: 8080012e bgeu r16,r2,8112d148 -8112d144: 8005883a mov r2,r16 -8112d148: 103ec626 beq r2,zero,8112cc64 <__reset+0xfb10cc64> -8112d14c: b087c83a sub r3,r22,r2 -8112d150: 1004d0ba srli r2,r2,2 -8112d154: 19800017 ldw r6,0(r3) -8112d158: a9400017 ldw r5,0(r21) -8112d15c: 10bfffc4 addi r2,r2,-1 -8112d160: a9800015 stw r6,0(r21) -8112d164: 19400015 stw r5,0(r3) -8112d168: ad400104 addi r21,r21,4 -8112d16c: 18c00104 addi r3,r3,4 -8112d170: 00bff816 blt zero,r2,8112d154 <__reset+0xfb10d154> -8112d174: a13ebc2e bgeu r20,r4,8112cc68 <__reset+0xfb10cc68> -8112d178: 003efa06 br 8112cd64 <__reset+0xfb10cd64> -8112d17c: d9400117 ldw r5,4(sp) -8112d180: e005883a mov r2,fp -8112d184: 8809883a mov r4,r17 -8112d188: 11800017 ldw r6,0(r2) -8112d18c: 20c00017 ldw r3,0(r4) -8112d190: 297fffc4 addi r5,r5,-1 -8112d194: 21800015 stw r6,0(r4) -8112d198: 10c00015 stw r3,0(r2) -8112d19c: 21000104 addi r4,r4,4 -8112d1a0: 10800104 addi r2,r2,4 -8112d1a4: 017ff816 blt zero,r5,8112d188 <__reset+0xfb10d188> -8112d1a8: 003e9606 br 8112cc04 <__reset+0xfb10cc04> -8112d1ac: d8c00217 ldw r3,8(sp) -8112d1b0: d9000117 ldw r4,4(sp) -8112d1b4: a805883a mov r2,r21 -8112d1b8: 11800017 ldw r6,0(r2) -8112d1bc: 19400017 ldw r5,0(r3) -8112d1c0: 213fffc4 addi r4,r4,-1 -8112d1c4: 19800015 stw r6,0(r3) -8112d1c8: 11400015 stw r5,0(r2) -8112d1cc: 18c00104 addi r3,r3,4 -8112d1d0: 10800104 addi r2,r2,4 -8112d1d4: 013ff816 blt zero,r4,8112d1b8 <__reset+0xfb10d1b8> -8112d1d8: 003f4306 br 8112cee8 <__reset+0xfb10cee8> -8112d1dc: 903ee83a callr r18 -8112d1e0: 103f5a16 blt r2,zero,8112cf4c <__reset+0xfb10cf4c> -8112d1e4: 800b883a mov r5,r16 -8112d1e8: b809883a mov r4,r23 -8112d1ec: 903ee83a callr r18 -8112d1f0: 103fae16 blt r2,zero,8112d0ac <__reset+0xfb10d0ac> -8112d1f4: b82b883a mov r21,r23 -8112d1f8: 003f5406 br 8112cf4c <__reset+0xfb10cf4c> -8112d1fc: 903ee83a callr r18 -8112d200: 103f9b16 blt r2,zero,8112d070 <__reset+0xfb10d070> -8112d204: d9000217 ldw r4,8(sp) -8112d208: b80b883a mov r5,r23 -8112d20c: 903ee83a callr r18 -8112d210: 10000e16 blt r2,zero,8112d24c -8112d214: df000217 ldw fp,8(sp) -8112d218: 003f9506 br 8112d070 <__reset+0xfb10d070> +8112d0c8: 800b883a mov r5,r16 +8112d0cc: a809883a mov r4,r21 +8112d0d0: 10005216 blt r2,zero,8112d21c +8112d0d4: 903ee83a callr r18 +8112d0d8: 00bfac16 blt zero,r2,8112cf8c <__reset+0xfb10cf8c> +8112d0dc: 800b883a mov r5,r16 +8112d0e0: b809883a mov r4,r23 +8112d0e4: 903ee83a callr r18 +8112d0e8: 10005216 blt r2,zero,8112d234 +8112d0ec: 802b883a mov r21,r16 +8112d0f0: 003fa606 br 8112cf8c <__reset+0xfb10cf8c> +8112d0f4: 903ee83a callr r18 +8112d0f8: 103ecd16 blt r2,zero,8112cc30 <__reset+0xfb10cc30> +8112d0fc: a80b883a mov r5,r21 +8112d100: b009883a mov r4,r22 +8112d104: 903ee83a callr r18 +8112d108: 103fac16 blt r2,zero,8112cfbc <__reset+0xfb10cfbc> +8112d10c: b039883a mov fp,r22 +8112d110: 003ec706 br 8112cc30 <__reset+0xfb10cc30> +8112d114: 01400044 movi r5,1 +8112d118: 99403426 beq r19,r5,8112d1ec +8112d11c: d8c00217 ldw r3,8(sp) +8112d120: a009883a mov r4,r20 +8112d124: a805883a mov r2,r21 +8112d128: 11800003 ldbu r6,0(r2) +8112d12c: 19400003 ldbu r5,0(r3) +8112d130: 213fffc4 addi r4,r4,-1 +8112d134: 19800005 stb r6,0(r3) +8112d138: 11400005 stb r5,0(r2) +8112d13c: 18c00044 addi r3,r3,1 +8112d140: 10800044 addi r2,r2,1 +8112d144: 013ff816 blt zero,r4,8112d128 <__reset+0xfb10d128> +8112d148: 003f7706 br 8112cf28 <__reset+0xfb10cf28> +8112d14c: 1946d83a srl r3,r3,r5 +8112d150: 880b883a mov r5,r17 +8112d154: 11c00017 ldw r7,0(r2) +8112d158: 29800017 ldw r6,0(r5) +8112d15c: 18ffffc4 addi r3,r3,-1 +8112d160: 29c00015 stw r7,0(r5) +8112d164: 11800015 stw r6,0(r2) +8112d168: 29400104 addi r5,r5,4 +8112d16c: 10800104 addi r2,r2,4 +8112d170: 00fff816 blt zero,r3,8112d154 <__reset+0xfb10d154> +8112d174: b705c83a sub r2,r22,fp +8112d178: e421c83a sub r16,fp,r16 +8112d17c: 1505c83a sub r2,r2,r20 +8112d180: 8080012e bgeu r16,r2,8112d188 +8112d184: 8005883a mov r2,r16 +8112d188: 103ec626 beq r2,zero,8112cca4 <__reset+0xfb10cca4> +8112d18c: b087c83a sub r3,r22,r2 +8112d190: 1004d0ba srli r2,r2,2 +8112d194: 19800017 ldw r6,0(r3) +8112d198: a9400017 ldw r5,0(r21) +8112d19c: 10bfffc4 addi r2,r2,-1 +8112d1a0: a9800015 stw r6,0(r21) +8112d1a4: 19400015 stw r5,0(r3) +8112d1a8: ad400104 addi r21,r21,4 +8112d1ac: 18c00104 addi r3,r3,4 +8112d1b0: 00bff816 blt zero,r2,8112d194 <__reset+0xfb10d194> +8112d1b4: a13ebc2e bgeu r20,r4,8112cca8 <__reset+0xfb10cca8> +8112d1b8: 003efa06 br 8112cda4 <__reset+0xfb10cda4> +8112d1bc: d9400117 ldw r5,4(sp) +8112d1c0: e005883a mov r2,fp +8112d1c4: 8809883a mov r4,r17 +8112d1c8: 11800017 ldw r6,0(r2) +8112d1cc: 20c00017 ldw r3,0(r4) +8112d1d0: 297fffc4 addi r5,r5,-1 +8112d1d4: 21800015 stw r6,0(r4) +8112d1d8: 10c00015 stw r3,0(r2) +8112d1dc: 21000104 addi r4,r4,4 +8112d1e0: 10800104 addi r2,r2,4 +8112d1e4: 017ff816 blt zero,r5,8112d1c8 <__reset+0xfb10d1c8> +8112d1e8: 003e9606 br 8112cc44 <__reset+0xfb10cc44> +8112d1ec: d8c00217 ldw r3,8(sp) +8112d1f0: d9000117 ldw r4,4(sp) +8112d1f4: a805883a mov r2,r21 +8112d1f8: 11800017 ldw r6,0(r2) +8112d1fc: 19400017 ldw r5,0(r3) +8112d200: 213fffc4 addi r4,r4,-1 +8112d204: 19800015 stw r6,0(r3) +8112d208: 11400015 stw r5,0(r2) +8112d20c: 18c00104 addi r3,r3,4 +8112d210: 10800104 addi r2,r2,4 +8112d214: 013ff816 blt zero,r4,8112d1f8 <__reset+0xfb10d1f8> +8112d218: 003f4306 br 8112cf28 <__reset+0xfb10cf28> 8112d21c: 903ee83a callr r18 -8112d220: 103f8816 blt r2,zero,8112d044 <__reset+0xfb10d044> -8112d224: b80b883a mov r5,r23 -8112d228: 8809883a mov r4,r17 +8112d220: 103f5a16 blt r2,zero,8112cf8c <__reset+0xfb10cf8c> +8112d224: 800b883a mov r5,r16 +8112d228: b809883a mov r4,r23 8112d22c: 903ee83a callr r18 -8112d230: 10000c16 blt r2,zero,8112d264 -8112d234: 882d883a mov r22,r17 -8112d238: 003f8206 br 8112d044 <__reset+0xfb10d044> -8112d23c: d9000217 ldw r4,8(sp) -8112d240: b80b883a mov r5,r23 -8112d244: 903ee83a callr r18 -8112d248: 103ff216 blt r2,zero,8112d214 <__reset+0xfb10d214> -8112d24c: b839883a mov fp,r23 -8112d250: 003f8706 br 8112d070 <__reset+0xfb10d070> -8112d254: b80b883a mov r5,r23 -8112d258: 8809883a mov r4,r17 +8112d230: 103fae16 blt r2,zero,8112d0ec <__reset+0xfb10d0ec> +8112d234: b82b883a mov r21,r23 +8112d238: 003f5406 br 8112cf8c <__reset+0xfb10cf8c> +8112d23c: 903ee83a callr r18 +8112d240: 103f9b16 blt r2,zero,8112d0b0 <__reset+0xfb10d0b0> +8112d244: d9000217 ldw r4,8(sp) +8112d248: b80b883a mov r5,r23 +8112d24c: 903ee83a callr r18 +8112d250: 10000e16 blt r2,zero,8112d28c +8112d254: df000217 ldw fp,8(sp) +8112d258: 003f9506 br 8112d0b0 <__reset+0xfb10d0b0> 8112d25c: 903ee83a callr r18 -8112d260: 103ff416 blt r2,zero,8112d234 <__reset+0xfb10d234> -8112d264: b82d883a mov r22,r23 -8112d268: 003f7606 br 8112d044 <__reset+0xfb10d044> -8112d26c: 1d21383a mul r16,r3,r20 -8112d270: d8c00317 ldw r3,12(sp) -8112d274: 8c21883a add r16,r17,r16 -8112d278: 1c3eae2e bgeu r3,r16,8112cd34 <__reset+0xfb10cd34> -8112d27c: ddc00317 ldw r23,12(sp) -8112d280: 052bc83a sub r21,zero,r20 -8112d284: 05800044 movi r22,1 -8112d288: dc000015 stw r16,0(sp) -8112d28c: 8dc01c2e bgeu r17,r23,8112d300 -8112d290: b839883a mov fp,r23 -8112d294: 00000606 br 8112d2b0 -8112d298: 80c00017 ldw r3,0(r16) -8112d29c: e0800017 ldw r2,0(fp) -8112d2a0: e0c00015 stw r3,0(fp) -8112d2a4: 80800015 stw r2,0(r16) -8112d2a8: 8039883a mov fp,r16 -8112d2ac: 8c00142e bgeu r17,r16,8112d300 -8112d2b0: e561883a add r16,fp,r21 -8112d2b4: e00b883a mov r5,fp -8112d2b8: 8009883a mov r4,r16 -8112d2bc: 903ee83a callr r18 -8112d2c0: 00800f0e bge zero,r2,8112d300 -8112d2c4: 983ff426 beq r19,zero,8112d298 <__reset+0xfb10d298> -8112d2c8: 9d801126 beq r19,r22,8112d310 -8112d2cc: a009883a mov r4,r20 -8112d2d0: 8507883a add r3,r16,r20 -8112d2d4: 8005883a mov r2,r16 -8112d2d8: 11c00003 ldbu r7,0(r2) -8112d2dc: 19400003 ldbu r5,0(r3) -8112d2e0: 213fffc4 addi r4,r4,-1 -8112d2e4: 19c00005 stb r7,0(r3) -8112d2e8: 11400005 stb r5,0(r2) -8112d2ec: 18c00044 addi r3,r3,1 -8112d2f0: 10800044 addi r2,r2,1 -8112d2f4: 013ff816 blt zero,r4,8112d2d8 <__reset+0xfb10d2d8> -8112d2f8: 8039883a mov fp,r16 -8112d2fc: 8c3fec36 bltu r17,r16,8112d2b0 <__reset+0xfb10d2b0> -8112d300: d8c00017 ldw r3,0(sp) -8112d304: bd2f883a add r23,r23,r20 -8112d308: b8ffe036 bltu r23,r3,8112d28c <__reset+0xfb10d28c> -8112d30c: 003e8906 br 8112cd34 <__reset+0xfb10cd34> -8112d310: d9000117 ldw r4,4(sp) -8112d314: 8507883a add r3,r16,r20 -8112d318: 8005883a mov r2,r16 -8112d31c: 11c00017 ldw r7,0(r2) -8112d320: 19400017 ldw r5,0(r3) -8112d324: 213fffc4 addi r4,r4,-1 -8112d328: 19c00015 stw r7,0(r3) -8112d32c: 11400015 stw r5,0(r2) -8112d330: 18c00104 addi r3,r3,4 -8112d334: 10800104 addi r2,r2,4 -8112d338: 013ff816 blt zero,r4,8112d31c <__reset+0xfb10d31c> -8112d33c: 003fda06 br 8112d2a8 <__reset+0xfb10d2a8> -8112d340: d9000117 ldw r4,4(sp) -8112d344: bd07883a add r3,r23,r20 -8112d348: b805883a mov r2,r23 -8112d34c: 11c00017 ldw r7,0(r2) -8112d350: 19400017 ldw r5,0(r3) -8112d354: 213fffc4 addi r4,r4,-1 -8112d358: 19c00015 stw r7,0(r3) -8112d35c: 11400015 stw r5,0(r2) -8112d360: 18c00104 addi r3,r3,4 -8112d364: 10800104 addi r2,r2,4 -8112d368: 013ff816 blt zero,r4,8112d34c <__reset+0xfb10d34c> -8112d36c: 003e5806 br 8112ccd0 <__reset+0xfb10ccd0> - -8112d370 : -8112d370: 2080030b ldhu r2,12(r4) -8112d374: 00c00244 movi r3,9 -8112d378: 1080024c andi r2,r2,9 -8112d37c: 10c00226 beq r2,r3,8112d388 -8112d380: 0005883a mov r2,zero -8112d384: f800283a ret -8112d388: 1135a2c1 jmpi 81135a2c - -8112d38c <__srefill_r>: -8112d38c: defffc04 addi sp,sp,-16 -8112d390: dc400115 stw r17,4(sp) -8112d394: dc000015 stw r16,0(sp) -8112d398: dfc00315 stw ra,12(sp) -8112d39c: dc800215 stw r18,8(sp) -8112d3a0: 2023883a mov r17,r4 -8112d3a4: 2821883a mov r16,r5 -8112d3a8: 20000226 beq r4,zero,8112d3b4 <__srefill_r+0x28> -8112d3ac: 20800e17 ldw r2,56(r4) -8112d3b0: 10003c26 beq r2,zero,8112d4a4 <__srefill_r+0x118> -8112d3b4: 80c0030b ldhu r3,12(r16) -8112d3b8: 1908000c andi r4,r3,8192 -8112d3bc: 1805883a mov r2,r3 -8112d3c0: 2000071e bne r4,zero,8112d3e0 <__srefill_r+0x54> -8112d3c4: 81001917 ldw r4,100(r16) -8112d3c8: 18880014 ori r2,r3,8192 -8112d3cc: 00f7ffc4 movi r3,-8193 -8112d3d0: 20c8703a and r4,r4,r3 -8112d3d4: 8080030d sth r2,12(r16) -8112d3d8: 1007883a mov r3,r2 -8112d3dc: 81001915 stw r4,100(r16) -8112d3e0: 80000115 stw zero,4(r16) -8112d3e4: 1100080c andi r4,r2,32 -8112d3e8: 2000571e bne r4,zero,8112d548 <__srefill_r+0x1bc> -8112d3ec: 1100010c andi r4,r2,4 -8112d3f0: 20001f26 beq r4,zero,8112d470 <__srefill_r+0xe4> -8112d3f4: 81400c17 ldw r5,48(r16) -8112d3f8: 28000826 beq r5,zero,8112d41c <__srefill_r+0x90> -8112d3fc: 80801004 addi r2,r16,64 -8112d400: 28800226 beq r5,r2,8112d40c <__srefill_r+0x80> -8112d404: 8809883a mov r4,r17 -8112d408: 113622c0 call 8113622c <_free_r> -8112d40c: 80800f17 ldw r2,60(r16) -8112d410: 80000c15 stw zero,48(r16) -8112d414: 80800115 stw r2,4(r16) -8112d418: 1000391e bne r2,zero,8112d500 <__srefill_r+0x174> -8112d41c: 80800417 ldw r2,16(r16) -8112d420: 10004b26 beq r2,zero,8112d550 <__srefill_r+0x1c4> -8112d424: 8480030b ldhu r18,12(r16) -8112d428: 908000cc andi r2,r18,3 -8112d42c: 10001f1e bne r2,zero,8112d4ac <__srefill_r+0x120> -8112d430: 81800417 ldw r6,16(r16) -8112d434: 80800817 ldw r2,32(r16) -8112d438: 81c00517 ldw r7,20(r16) -8112d43c: 81400717 ldw r5,28(r16) -8112d440: 81800015 stw r6,0(r16) +8112d260: 103f8816 blt r2,zero,8112d084 <__reset+0xfb10d084> +8112d264: b80b883a mov r5,r23 +8112d268: 8809883a mov r4,r17 +8112d26c: 903ee83a callr r18 +8112d270: 10000c16 blt r2,zero,8112d2a4 +8112d274: 882d883a mov r22,r17 +8112d278: 003f8206 br 8112d084 <__reset+0xfb10d084> +8112d27c: d9000217 ldw r4,8(sp) +8112d280: b80b883a mov r5,r23 +8112d284: 903ee83a callr r18 +8112d288: 103ff216 blt r2,zero,8112d254 <__reset+0xfb10d254> +8112d28c: b839883a mov fp,r23 +8112d290: 003f8706 br 8112d0b0 <__reset+0xfb10d0b0> +8112d294: b80b883a mov r5,r23 +8112d298: 8809883a mov r4,r17 +8112d29c: 903ee83a callr r18 +8112d2a0: 103ff416 blt r2,zero,8112d274 <__reset+0xfb10d274> +8112d2a4: b82d883a mov r22,r23 +8112d2a8: 003f7606 br 8112d084 <__reset+0xfb10d084> +8112d2ac: 1d21383a mul r16,r3,r20 +8112d2b0: d8c00317 ldw r3,12(sp) +8112d2b4: 8c21883a add r16,r17,r16 +8112d2b8: 1c3eae2e bgeu r3,r16,8112cd74 <__reset+0xfb10cd74> +8112d2bc: ddc00317 ldw r23,12(sp) +8112d2c0: 052bc83a sub r21,zero,r20 +8112d2c4: 05800044 movi r22,1 +8112d2c8: dc000015 stw r16,0(sp) +8112d2cc: 8dc01c2e bgeu r17,r23,8112d340 +8112d2d0: b839883a mov fp,r23 +8112d2d4: 00000606 br 8112d2f0 +8112d2d8: 80c00017 ldw r3,0(r16) +8112d2dc: e0800017 ldw r2,0(fp) +8112d2e0: e0c00015 stw r3,0(fp) +8112d2e4: 80800015 stw r2,0(r16) +8112d2e8: 8039883a mov fp,r16 +8112d2ec: 8c00142e bgeu r17,r16,8112d340 +8112d2f0: e561883a add r16,fp,r21 +8112d2f4: e00b883a mov r5,fp +8112d2f8: 8009883a mov r4,r16 +8112d2fc: 903ee83a callr r18 +8112d300: 00800f0e bge zero,r2,8112d340 +8112d304: 983ff426 beq r19,zero,8112d2d8 <__reset+0xfb10d2d8> +8112d308: 9d801126 beq r19,r22,8112d350 +8112d30c: a009883a mov r4,r20 +8112d310: 8507883a add r3,r16,r20 +8112d314: 8005883a mov r2,r16 +8112d318: 11c00003 ldbu r7,0(r2) +8112d31c: 19400003 ldbu r5,0(r3) +8112d320: 213fffc4 addi r4,r4,-1 +8112d324: 19c00005 stb r7,0(r3) +8112d328: 11400005 stb r5,0(r2) +8112d32c: 18c00044 addi r3,r3,1 +8112d330: 10800044 addi r2,r2,1 +8112d334: 013ff816 blt zero,r4,8112d318 <__reset+0xfb10d318> +8112d338: 8039883a mov fp,r16 +8112d33c: 8c3fec36 bltu r17,r16,8112d2f0 <__reset+0xfb10d2f0> +8112d340: d8c00017 ldw r3,0(sp) +8112d344: bd2f883a add r23,r23,r20 +8112d348: b8ffe036 bltu r23,r3,8112d2cc <__reset+0xfb10d2cc> +8112d34c: 003e8906 br 8112cd74 <__reset+0xfb10cd74> +8112d350: d9000117 ldw r4,4(sp) +8112d354: 8507883a add r3,r16,r20 +8112d358: 8005883a mov r2,r16 +8112d35c: 11c00017 ldw r7,0(r2) +8112d360: 19400017 ldw r5,0(r3) +8112d364: 213fffc4 addi r4,r4,-1 +8112d368: 19c00015 stw r7,0(r3) +8112d36c: 11400015 stw r5,0(r2) +8112d370: 18c00104 addi r3,r3,4 +8112d374: 10800104 addi r2,r2,4 +8112d378: 013ff816 blt zero,r4,8112d35c <__reset+0xfb10d35c> +8112d37c: 003fda06 br 8112d2e8 <__reset+0xfb10d2e8> +8112d380: d9000117 ldw r4,4(sp) +8112d384: bd07883a add r3,r23,r20 +8112d388: b805883a mov r2,r23 +8112d38c: 11c00017 ldw r7,0(r2) +8112d390: 19400017 ldw r5,0(r3) +8112d394: 213fffc4 addi r4,r4,-1 +8112d398: 19c00015 stw r7,0(r3) +8112d39c: 11400015 stw r5,0(r2) +8112d3a0: 18c00104 addi r3,r3,4 +8112d3a4: 10800104 addi r2,r2,4 +8112d3a8: 013ff816 blt zero,r4,8112d38c <__reset+0xfb10d38c> +8112d3ac: 003e5806 br 8112cd10 <__reset+0xfb10cd10> + +8112d3b0 : +8112d3b0: 2080030b ldhu r2,12(r4) +8112d3b4: 00c00244 movi r3,9 +8112d3b8: 1080024c andi r2,r2,9 +8112d3bc: 10c00226 beq r2,r3,8112d3c8 +8112d3c0: 0005883a mov r2,zero +8112d3c4: f800283a ret +8112d3c8: 1135a6c1 jmpi 81135a6c + +8112d3cc <__srefill_r>: +8112d3cc: defffc04 addi sp,sp,-16 +8112d3d0: dc400115 stw r17,4(sp) +8112d3d4: dc000015 stw r16,0(sp) +8112d3d8: dfc00315 stw ra,12(sp) +8112d3dc: dc800215 stw r18,8(sp) +8112d3e0: 2023883a mov r17,r4 +8112d3e4: 2821883a mov r16,r5 +8112d3e8: 20000226 beq r4,zero,8112d3f4 <__srefill_r+0x28> +8112d3ec: 20800e17 ldw r2,56(r4) +8112d3f0: 10003c26 beq r2,zero,8112d4e4 <__srefill_r+0x118> +8112d3f4: 80c0030b ldhu r3,12(r16) +8112d3f8: 1908000c andi r4,r3,8192 +8112d3fc: 1805883a mov r2,r3 +8112d400: 2000071e bne r4,zero,8112d420 <__srefill_r+0x54> +8112d404: 81001917 ldw r4,100(r16) +8112d408: 18880014 ori r2,r3,8192 +8112d40c: 00f7ffc4 movi r3,-8193 +8112d410: 20c8703a and r4,r4,r3 +8112d414: 8080030d sth r2,12(r16) +8112d418: 1007883a mov r3,r2 +8112d41c: 81001915 stw r4,100(r16) +8112d420: 80000115 stw zero,4(r16) +8112d424: 1100080c andi r4,r2,32 +8112d428: 2000571e bne r4,zero,8112d588 <__srefill_r+0x1bc> +8112d42c: 1100010c andi r4,r2,4 +8112d430: 20001f26 beq r4,zero,8112d4b0 <__srefill_r+0xe4> +8112d434: 81400c17 ldw r5,48(r16) +8112d438: 28000826 beq r5,zero,8112d45c <__srefill_r+0x90> +8112d43c: 80801004 addi r2,r16,64 +8112d440: 28800226 beq r5,r2,8112d44c <__srefill_r+0x80> 8112d444: 8809883a mov r4,r17 -8112d448: 103ee83a callr r2 -8112d44c: 80800115 stw r2,4(r16) -8112d450: 00800e0e bge zero,r2,8112d48c <__srefill_r+0x100> -8112d454: 0005883a mov r2,zero -8112d458: dfc00317 ldw ra,12(sp) -8112d45c: dc800217 ldw r18,8(sp) -8112d460: dc400117 ldw r17,4(sp) -8112d464: dc000017 ldw r16,0(sp) -8112d468: dec00404 addi sp,sp,16 -8112d46c: f800283a ret -8112d470: 1100040c andi r4,r2,16 -8112d474: 20003026 beq r4,zero,8112d538 <__srefill_r+0x1ac> -8112d478: 1080020c andi r2,r2,8 -8112d47c: 1000241e bne r2,zero,8112d510 <__srefill_r+0x184> -8112d480: 18c00114 ori r3,r3,4 -8112d484: 80c0030d sth r3,12(r16) -8112d488: 003fe406 br 8112d41c <__reset+0xfb10d41c> -8112d48c: 80c0030b ldhu r3,12(r16) -8112d490: 1000161e bne r2,zero,8112d4ec <__srefill_r+0x160> -8112d494: 18c00814 ori r3,r3,32 -8112d498: 00bfffc4 movi r2,-1 -8112d49c: 80c0030d sth r3,12(r16) -8112d4a0: 003fed06 br 8112d458 <__reset+0xfb10d458> -8112d4a4: 1135dac0 call 81135dac <__sinit> -8112d4a8: 003fc206 br 8112d3b4 <__reset+0xfb10d3b4> -8112d4ac: 00a04574 movhi r2,33045 -8112d4b0: 1086e104 addi r2,r2,7044 -8112d4b4: 11000017 ldw r4,0(r2) -8112d4b8: 016044f4 movhi r5,33043 -8112d4bc: 00800044 movi r2,1 -8112d4c0: 2974dc04 addi r5,r5,-11408 -8112d4c4: 8080030d sth r2,12(r16) -8112d4c8: 11369f80 call 811369f8 <_fwalk> -8112d4cc: 00800244 movi r2,9 -8112d4d0: 8480030d sth r18,12(r16) -8112d4d4: 9480024c andi r18,r18,9 -8112d4d8: 90bfd51e bne r18,r2,8112d430 <__reset+0xfb10d430> -8112d4dc: 800b883a mov r5,r16 -8112d4e0: 8809883a mov r4,r17 -8112d4e4: 11357b40 call 811357b4 <__sflush_r> -8112d4e8: 003fd106 br 8112d430 <__reset+0xfb10d430> -8112d4ec: 18c01014 ori r3,r3,64 -8112d4f0: 80000115 stw zero,4(r16) -8112d4f4: 00bfffc4 movi r2,-1 -8112d4f8: 80c0030d sth r3,12(r16) -8112d4fc: 003fd606 br 8112d458 <__reset+0xfb10d458> -8112d500: 80c00e17 ldw r3,56(r16) -8112d504: 0005883a mov r2,zero -8112d508: 80c00015 stw r3,0(r16) -8112d50c: 003fd206 br 8112d458 <__reset+0xfb10d458> -8112d510: 800b883a mov r5,r16 -8112d514: 8809883a mov r4,r17 -8112d518: 11359d00 call 811359d0 <_fflush_r> -8112d51c: 10000a1e bne r2,zero,8112d548 <__srefill_r+0x1bc> -8112d520: 8080030b ldhu r2,12(r16) -8112d524: 00fffdc4 movi r3,-9 -8112d528: 80000215 stw zero,8(r16) -8112d52c: 1886703a and r3,r3,r2 -8112d530: 80000615 stw zero,24(r16) -8112d534: 003fd206 br 8112d480 <__reset+0xfb10d480> -8112d538: 00800244 movi r2,9 -8112d53c: 88800015 stw r2,0(r17) -8112d540: 18c01014 ori r3,r3,64 -8112d544: 80c0030d sth r3,12(r16) -8112d548: 00bfffc4 movi r2,-1 -8112d54c: 003fc206 br 8112d458 <__reset+0xfb10d458> +8112d448: 113626c0 call 8113626c <_free_r> +8112d44c: 80800f17 ldw r2,60(r16) +8112d450: 80000c15 stw zero,48(r16) +8112d454: 80800115 stw r2,4(r16) +8112d458: 1000391e bne r2,zero,8112d540 <__srefill_r+0x174> +8112d45c: 80800417 ldw r2,16(r16) +8112d460: 10004b26 beq r2,zero,8112d590 <__srefill_r+0x1c4> +8112d464: 8480030b ldhu r18,12(r16) +8112d468: 908000cc andi r2,r18,3 +8112d46c: 10001f1e bne r2,zero,8112d4ec <__srefill_r+0x120> +8112d470: 81800417 ldw r6,16(r16) +8112d474: 80800817 ldw r2,32(r16) +8112d478: 81c00517 ldw r7,20(r16) +8112d47c: 81400717 ldw r5,28(r16) +8112d480: 81800015 stw r6,0(r16) +8112d484: 8809883a mov r4,r17 +8112d488: 103ee83a callr r2 +8112d48c: 80800115 stw r2,4(r16) +8112d490: 00800e0e bge zero,r2,8112d4cc <__srefill_r+0x100> +8112d494: 0005883a mov r2,zero +8112d498: dfc00317 ldw ra,12(sp) +8112d49c: dc800217 ldw r18,8(sp) +8112d4a0: dc400117 ldw r17,4(sp) +8112d4a4: dc000017 ldw r16,0(sp) +8112d4a8: dec00404 addi sp,sp,16 +8112d4ac: f800283a ret +8112d4b0: 1100040c andi r4,r2,16 +8112d4b4: 20003026 beq r4,zero,8112d578 <__srefill_r+0x1ac> +8112d4b8: 1080020c andi r2,r2,8 +8112d4bc: 1000241e bne r2,zero,8112d550 <__srefill_r+0x184> +8112d4c0: 18c00114 ori r3,r3,4 +8112d4c4: 80c0030d sth r3,12(r16) +8112d4c8: 003fe406 br 8112d45c <__reset+0xfb10d45c> +8112d4cc: 80c0030b ldhu r3,12(r16) +8112d4d0: 1000161e bne r2,zero,8112d52c <__srefill_r+0x160> +8112d4d4: 18c00814 ori r3,r3,32 +8112d4d8: 00bfffc4 movi r2,-1 +8112d4dc: 80c0030d sth r3,12(r16) +8112d4e0: 003fed06 br 8112d498 <__reset+0xfb10d498> +8112d4e4: 1135dec0 call 81135dec <__sinit> +8112d4e8: 003fc206 br 8112d3f4 <__reset+0xfb10d3f4> +8112d4ec: 00a04574 movhi r2,33045 +8112d4f0: 1086f204 addi r2,r2,7112 +8112d4f4: 11000017 ldw r4,0(r2) +8112d4f8: 016044f4 movhi r5,33043 +8112d4fc: 00800044 movi r2,1 +8112d500: 2974ec04 addi r5,r5,-11344 +8112d504: 8080030d sth r2,12(r16) +8112d508: 1136a380 call 81136a38 <_fwalk> +8112d50c: 00800244 movi r2,9 +8112d510: 8480030d sth r18,12(r16) +8112d514: 9480024c andi r18,r18,9 +8112d518: 90bfd51e bne r18,r2,8112d470 <__reset+0xfb10d470> +8112d51c: 800b883a mov r5,r16 +8112d520: 8809883a mov r4,r17 +8112d524: 11357f40 call 811357f4 <__sflush_r> +8112d528: 003fd106 br 8112d470 <__reset+0xfb10d470> +8112d52c: 18c01014 ori r3,r3,64 +8112d530: 80000115 stw zero,4(r16) +8112d534: 00bfffc4 movi r2,-1 +8112d538: 80c0030d sth r3,12(r16) +8112d53c: 003fd606 br 8112d498 <__reset+0xfb10d498> +8112d540: 80c00e17 ldw r3,56(r16) +8112d544: 0005883a mov r2,zero +8112d548: 80c00015 stw r3,0(r16) +8112d54c: 003fd206 br 8112d498 <__reset+0xfb10d498> 8112d550: 800b883a mov r5,r16 8112d554: 8809883a mov r4,r17 -8112d558: 112bc800 call 8112bc80 <__smakebuf_r> -8112d55c: 003fb106 br 8112d424 <__reset+0xfb10d424> - -8112d560 <_sbrk_r>: -8112d560: defffd04 addi sp,sp,-12 -8112d564: dc000015 stw r16,0(sp) -8112d568: 04204574 movhi r16,33045 -8112d56c: dc400115 stw r17,4(sp) -8112d570: 84076904 addi r16,r16,7588 -8112d574: 2023883a mov r17,r4 -8112d578: 2809883a mov r4,r5 -8112d57c: dfc00215 stw ra,8(sp) -8112d580: 80000015 stw zero,0(r16) -8112d584: 113f8500 call 8113f850 -8112d588: 00ffffc4 movi r3,-1 -8112d58c: 10c00526 beq r2,r3,8112d5a4 <_sbrk_r+0x44> -8112d590: dfc00217 ldw ra,8(sp) -8112d594: dc400117 ldw r17,4(sp) -8112d598: dc000017 ldw r16,0(sp) -8112d59c: dec00304 addi sp,sp,12 -8112d5a0: f800283a ret -8112d5a4: 80c00017 ldw r3,0(r16) -8112d5a8: 183ff926 beq r3,zero,8112d590 <__reset+0xfb10d590> -8112d5ac: 88c00015 stw r3,0(r17) -8112d5b0: 003ff706 br 8112d590 <__reset+0xfb10d590> - -8112d5b4 : -8112d5b4: defffc04 addi sp,sp,-16 -8112d5b8: dfc00015 stw ra,0(sp) -8112d5bc: d9400115 stw r5,4(sp) -8112d5c0: d9800215 stw r6,8(sp) -8112d5c4: d9c00315 stw r7,12(sp) -8112d5c8: 00a04574 movhi r2,33045 -8112d5cc: 1086e204 addi r2,r2,7048 -8112d5d0: 200d883a mov r6,r4 -8112d5d4: 11000017 ldw r4,0(r2) -8112d5d8: d9c00104 addi r7,sp,4 -8112d5dc: 21400117 ldw r5,4(r4) -8112d5e0: 1133b800 call 81133b80 <_vfscanf_r> -8112d5e4: dfc00017 ldw ra,0(sp) -8112d5e8: dec00404 addi sp,sp,16 -8112d5ec: f800283a ret - -8112d5f0 <_scanf_r>: -8112d5f0: defffd04 addi sp,sp,-12 -8112d5f4: 2805883a mov r2,r5 +8112d558: 1135a100 call 81135a10 <_fflush_r> +8112d55c: 10000a1e bne r2,zero,8112d588 <__srefill_r+0x1bc> +8112d560: 8080030b ldhu r2,12(r16) +8112d564: 00fffdc4 movi r3,-9 +8112d568: 80000215 stw zero,8(r16) +8112d56c: 1886703a and r3,r3,r2 +8112d570: 80000615 stw zero,24(r16) +8112d574: 003fd206 br 8112d4c0 <__reset+0xfb10d4c0> +8112d578: 00800244 movi r2,9 +8112d57c: 88800015 stw r2,0(r17) +8112d580: 18c01014 ori r3,r3,64 +8112d584: 80c0030d sth r3,12(r16) +8112d588: 00bfffc4 movi r2,-1 +8112d58c: 003fc206 br 8112d498 <__reset+0xfb10d498> +8112d590: 800b883a mov r5,r16 +8112d594: 8809883a mov r4,r17 +8112d598: 112bcc00 call 8112bcc0 <__smakebuf_r> +8112d59c: 003fb106 br 8112d464 <__reset+0xfb10d464> + +8112d5a0 <_sbrk_r>: +8112d5a0: defffd04 addi sp,sp,-12 +8112d5a4: dc000015 stw r16,0(sp) +8112d5a8: 04204574 movhi r16,33045 +8112d5ac: dc400115 stw r17,4(sp) +8112d5b0: 84077a04 addi r16,r16,7656 +8112d5b4: 2023883a mov r17,r4 +8112d5b8: 2809883a mov r4,r5 +8112d5bc: dfc00215 stw ra,8(sp) +8112d5c0: 80000015 stw zero,0(r16) +8112d5c4: 113f8900 call 8113f890 +8112d5c8: 00ffffc4 movi r3,-1 +8112d5cc: 10c00526 beq r2,r3,8112d5e4 <_sbrk_r+0x44> +8112d5d0: dfc00217 ldw ra,8(sp) +8112d5d4: dc400117 ldw r17,4(sp) +8112d5d8: dc000017 ldw r16,0(sp) +8112d5dc: dec00304 addi sp,sp,12 +8112d5e0: f800283a ret +8112d5e4: 80c00017 ldw r3,0(r16) +8112d5e8: 183ff926 beq r3,zero,8112d5d0 <__reset+0xfb10d5d0> +8112d5ec: 88c00015 stw r3,0(r17) +8112d5f0: 003ff706 br 8112d5d0 <__reset+0xfb10d5d0> + +8112d5f4 : +8112d5f4: defffc04 addi sp,sp,-16 8112d5f8: dfc00015 stw ra,0(sp) -8112d5fc: d9800115 stw r6,4(sp) -8112d600: d9c00215 stw r7,8(sp) -8112d604: 21400117 ldw r5,4(r4) -8112d608: d9c00104 addi r7,sp,4 -8112d60c: 100d883a mov r6,r2 -8112d610: 1133b800 call 81133b80 <_vfscanf_r> -8112d614: dfc00017 ldw ra,0(sp) -8112d618: dec00304 addi sp,sp,12 -8112d61c: f800283a ret - -8112d620 <_sprintf_r>: -8112d620: deffe404 addi sp,sp,-112 -8112d624: 2807883a mov r3,r5 -8112d628: dfc01a15 stw ra,104(sp) -8112d62c: d9c01b15 stw r7,108(sp) -8112d630: 00a00034 movhi r2,32768 -8112d634: 10bfffc4 addi r2,r2,-1 -8112d638: 02008204 movi r8,520 -8112d63c: d8800215 stw r2,8(sp) -8112d640: d8800515 stw r2,20(sp) -8112d644: d9c01b04 addi r7,sp,108 -8112d648: d80b883a mov r5,sp -8112d64c: 00bfffc4 movi r2,-1 -8112d650: d8c00015 stw r3,0(sp) -8112d654: d8c00415 stw r3,16(sp) -8112d658: da00030d sth r8,12(sp) -8112d65c: d880038d sth r2,14(sp) -8112d660: 112de980 call 8112de98 <___svfprintf_internal_r> -8112d664: d8c00017 ldw r3,0(sp) -8112d668: 18000005 stb zero,0(r3) -8112d66c: dfc01a17 ldw ra,104(sp) -8112d670: dec01c04 addi sp,sp,112 -8112d674: f800283a ret - -8112d678 : -8112d678: deffe304 addi sp,sp,-116 -8112d67c: 2007883a mov r3,r4 -8112d680: dfc01a15 stw ra,104(sp) -8112d684: d9801b15 stw r6,108(sp) -8112d688: d9c01c15 stw r7,112(sp) -8112d68c: 01204574 movhi r4,33045 -8112d690: 2106e204 addi r4,r4,7048 -8112d694: 21000017 ldw r4,0(r4) -8112d698: 00a00034 movhi r2,32768 -8112d69c: 10bfffc4 addi r2,r2,-1 -8112d6a0: 280d883a mov r6,r5 -8112d6a4: 02008204 movi r8,520 -8112d6a8: d8800215 stw r2,8(sp) -8112d6ac: d8800515 stw r2,20(sp) -8112d6b0: d9c01b04 addi r7,sp,108 -8112d6b4: d80b883a mov r5,sp -8112d6b8: 00bfffc4 movi r2,-1 -8112d6bc: d8c00015 stw r3,0(sp) -8112d6c0: d8c00415 stw r3,16(sp) -8112d6c4: da00030d sth r8,12(sp) -8112d6c8: d880038d sth r2,14(sp) -8112d6cc: 112de980 call 8112de98 <___svfprintf_internal_r> -8112d6d0: d8c00017 ldw r3,0(sp) -8112d6d4: 18000005 stb zero,0(r3) -8112d6d8: dfc01a17 ldw ra,104(sp) -8112d6dc: dec01d04 addi sp,sp,116 -8112d6e0: f800283a ret - -8112d6e4 <__sread>: -8112d6e4: defffe04 addi sp,sp,-8 -8112d6e8: dc000015 stw r16,0(sp) -8112d6ec: 2821883a mov r16,r5 -8112d6f0: 2940038f ldh r5,14(r5) -8112d6f4: dfc00115 stw ra,4(sp) -8112d6f8: 11381a00 call 811381a0 <_read_r> -8112d6fc: 10000716 blt r2,zero,8112d71c <__sread+0x38> -8112d700: 80c01417 ldw r3,80(r16) -8112d704: 1887883a add r3,r3,r2 -8112d708: 80c01415 stw r3,80(r16) -8112d70c: dfc00117 ldw ra,4(sp) -8112d710: dc000017 ldw r16,0(sp) -8112d714: dec00204 addi sp,sp,8 -8112d718: f800283a ret -8112d71c: 80c0030b ldhu r3,12(r16) -8112d720: 18fbffcc andi r3,r3,61439 -8112d724: 80c0030d sth r3,12(r16) -8112d728: dfc00117 ldw ra,4(sp) -8112d72c: dc000017 ldw r16,0(sp) -8112d730: dec00204 addi sp,sp,8 -8112d734: f800283a ret - -8112d738 <__seofread>: -8112d738: 0005883a mov r2,zero -8112d73c: f800283a ret - -8112d740 <__swrite>: -8112d740: 2880030b ldhu r2,12(r5) -8112d744: defffb04 addi sp,sp,-20 -8112d748: dcc00315 stw r19,12(sp) -8112d74c: dc800215 stw r18,8(sp) -8112d750: dc400115 stw r17,4(sp) -8112d754: dc000015 stw r16,0(sp) -8112d758: dfc00415 stw ra,16(sp) -8112d75c: 10c0400c andi r3,r2,256 -8112d760: 2821883a mov r16,r5 -8112d764: 2023883a mov r17,r4 -8112d768: 3025883a mov r18,r6 -8112d76c: 3827883a mov r19,r7 -8112d770: 18000526 beq r3,zero,8112d788 <__swrite+0x48> -8112d774: 2940038f ldh r5,14(r5) -8112d778: 01c00084 movi r7,2 -8112d77c: 000d883a mov r6,zero -8112d780: 1136ce00 call 81136ce0 <_lseek_r> -8112d784: 8080030b ldhu r2,12(r16) -8112d788: 8140038f ldh r5,14(r16) -8112d78c: 10bbffcc andi r2,r2,61439 -8112d790: 980f883a mov r7,r19 -8112d794: 900d883a mov r6,r18 -8112d798: 8809883a mov r4,r17 -8112d79c: 8080030d sth r2,12(r16) -8112d7a0: dfc00417 ldw ra,16(sp) -8112d7a4: dcc00317 ldw r19,12(sp) -8112d7a8: dc800217 ldw r18,8(sp) -8112d7ac: dc400117 ldw r17,4(sp) -8112d7b0: dc000017 ldw r16,0(sp) -8112d7b4: dec00504 addi sp,sp,20 -8112d7b8: 1133d241 jmpi 81133d24 <_write_r> - -8112d7bc <__sseek>: -8112d7bc: defffe04 addi sp,sp,-8 -8112d7c0: dc000015 stw r16,0(sp) -8112d7c4: 2821883a mov r16,r5 -8112d7c8: 2940038f ldh r5,14(r5) -8112d7cc: dfc00115 stw ra,4(sp) -8112d7d0: 1136ce00 call 81136ce0 <_lseek_r> -8112d7d4: 00ffffc4 movi r3,-1 -8112d7d8: 10c00826 beq r2,r3,8112d7fc <__sseek+0x40> -8112d7dc: 80c0030b ldhu r3,12(r16) -8112d7e0: 80801415 stw r2,80(r16) -8112d7e4: 18c40014 ori r3,r3,4096 -8112d7e8: 80c0030d sth r3,12(r16) -8112d7ec: dfc00117 ldw ra,4(sp) +8112d5fc: d9400115 stw r5,4(sp) +8112d600: d9800215 stw r6,8(sp) +8112d604: d9c00315 stw r7,12(sp) +8112d608: 00a04574 movhi r2,33045 +8112d60c: 1086f304 addi r2,r2,7116 +8112d610: 200d883a mov r6,r4 +8112d614: 11000017 ldw r4,0(r2) +8112d618: d9c00104 addi r7,sp,4 +8112d61c: 21400117 ldw r5,4(r4) +8112d620: 1133bc00 call 81133bc0 <_vfscanf_r> +8112d624: dfc00017 ldw ra,0(sp) +8112d628: dec00404 addi sp,sp,16 +8112d62c: f800283a ret + +8112d630 <_scanf_r>: +8112d630: defffd04 addi sp,sp,-12 +8112d634: 2805883a mov r2,r5 +8112d638: dfc00015 stw ra,0(sp) +8112d63c: d9800115 stw r6,4(sp) +8112d640: d9c00215 stw r7,8(sp) +8112d644: 21400117 ldw r5,4(r4) +8112d648: d9c00104 addi r7,sp,4 +8112d64c: 100d883a mov r6,r2 +8112d650: 1133bc00 call 81133bc0 <_vfscanf_r> +8112d654: dfc00017 ldw ra,0(sp) +8112d658: dec00304 addi sp,sp,12 +8112d65c: f800283a ret + +8112d660 <_sprintf_r>: +8112d660: deffe404 addi sp,sp,-112 +8112d664: 2807883a mov r3,r5 +8112d668: dfc01a15 stw ra,104(sp) +8112d66c: d9c01b15 stw r7,108(sp) +8112d670: 00a00034 movhi r2,32768 +8112d674: 10bfffc4 addi r2,r2,-1 +8112d678: 02008204 movi r8,520 +8112d67c: d8800215 stw r2,8(sp) +8112d680: d8800515 stw r2,20(sp) +8112d684: d9c01b04 addi r7,sp,108 +8112d688: d80b883a mov r5,sp +8112d68c: 00bfffc4 movi r2,-1 +8112d690: d8c00015 stw r3,0(sp) +8112d694: d8c00415 stw r3,16(sp) +8112d698: da00030d sth r8,12(sp) +8112d69c: d880038d sth r2,14(sp) +8112d6a0: 112ded80 call 8112ded8 <___svfprintf_internal_r> +8112d6a4: d8c00017 ldw r3,0(sp) +8112d6a8: 18000005 stb zero,0(r3) +8112d6ac: dfc01a17 ldw ra,104(sp) +8112d6b0: dec01c04 addi sp,sp,112 +8112d6b4: f800283a ret + +8112d6b8 : +8112d6b8: deffe304 addi sp,sp,-116 +8112d6bc: 2007883a mov r3,r4 +8112d6c0: dfc01a15 stw ra,104(sp) +8112d6c4: d9801b15 stw r6,108(sp) +8112d6c8: d9c01c15 stw r7,112(sp) +8112d6cc: 01204574 movhi r4,33045 +8112d6d0: 2106f304 addi r4,r4,7116 +8112d6d4: 21000017 ldw r4,0(r4) +8112d6d8: 00a00034 movhi r2,32768 +8112d6dc: 10bfffc4 addi r2,r2,-1 +8112d6e0: 280d883a mov r6,r5 +8112d6e4: 02008204 movi r8,520 +8112d6e8: d8800215 stw r2,8(sp) +8112d6ec: d8800515 stw r2,20(sp) +8112d6f0: d9c01b04 addi r7,sp,108 +8112d6f4: d80b883a mov r5,sp +8112d6f8: 00bfffc4 movi r2,-1 +8112d6fc: d8c00015 stw r3,0(sp) +8112d700: d8c00415 stw r3,16(sp) +8112d704: da00030d sth r8,12(sp) +8112d708: d880038d sth r2,14(sp) +8112d70c: 112ded80 call 8112ded8 <___svfprintf_internal_r> +8112d710: d8c00017 ldw r3,0(sp) +8112d714: 18000005 stb zero,0(r3) +8112d718: dfc01a17 ldw ra,104(sp) +8112d71c: dec01d04 addi sp,sp,116 +8112d720: f800283a ret + +8112d724 <__sread>: +8112d724: defffe04 addi sp,sp,-8 +8112d728: dc000015 stw r16,0(sp) +8112d72c: 2821883a mov r16,r5 +8112d730: 2940038f ldh r5,14(r5) +8112d734: dfc00115 stw ra,4(sp) +8112d738: 11381e00 call 811381e0 <_read_r> +8112d73c: 10000716 blt r2,zero,8112d75c <__sread+0x38> +8112d740: 80c01417 ldw r3,80(r16) +8112d744: 1887883a add r3,r3,r2 +8112d748: 80c01415 stw r3,80(r16) +8112d74c: dfc00117 ldw ra,4(sp) +8112d750: dc000017 ldw r16,0(sp) +8112d754: dec00204 addi sp,sp,8 +8112d758: f800283a ret +8112d75c: 80c0030b ldhu r3,12(r16) +8112d760: 18fbffcc andi r3,r3,61439 +8112d764: 80c0030d sth r3,12(r16) +8112d768: dfc00117 ldw ra,4(sp) +8112d76c: dc000017 ldw r16,0(sp) +8112d770: dec00204 addi sp,sp,8 +8112d774: f800283a ret + +8112d778 <__seofread>: +8112d778: 0005883a mov r2,zero +8112d77c: f800283a ret + +8112d780 <__swrite>: +8112d780: 2880030b ldhu r2,12(r5) +8112d784: defffb04 addi sp,sp,-20 +8112d788: dcc00315 stw r19,12(sp) +8112d78c: dc800215 stw r18,8(sp) +8112d790: dc400115 stw r17,4(sp) +8112d794: dc000015 stw r16,0(sp) +8112d798: dfc00415 stw ra,16(sp) +8112d79c: 10c0400c andi r3,r2,256 +8112d7a0: 2821883a mov r16,r5 +8112d7a4: 2023883a mov r17,r4 +8112d7a8: 3025883a mov r18,r6 +8112d7ac: 3827883a mov r19,r7 +8112d7b0: 18000526 beq r3,zero,8112d7c8 <__swrite+0x48> +8112d7b4: 2940038f ldh r5,14(r5) +8112d7b8: 01c00084 movi r7,2 +8112d7bc: 000d883a mov r6,zero +8112d7c0: 1136d200 call 81136d20 <_lseek_r> +8112d7c4: 8080030b ldhu r2,12(r16) +8112d7c8: 8140038f ldh r5,14(r16) +8112d7cc: 10bbffcc andi r2,r2,61439 +8112d7d0: 980f883a mov r7,r19 +8112d7d4: 900d883a mov r6,r18 +8112d7d8: 8809883a mov r4,r17 +8112d7dc: 8080030d sth r2,12(r16) +8112d7e0: dfc00417 ldw ra,16(sp) +8112d7e4: dcc00317 ldw r19,12(sp) +8112d7e8: dc800217 ldw r18,8(sp) +8112d7ec: dc400117 ldw r17,4(sp) 8112d7f0: dc000017 ldw r16,0(sp) -8112d7f4: dec00204 addi sp,sp,8 -8112d7f8: f800283a ret -8112d7fc: 80c0030b ldhu r3,12(r16) -8112d800: 18fbffcc andi r3,r3,61439 -8112d804: 80c0030d sth r3,12(r16) -8112d808: dfc00117 ldw ra,4(sp) -8112d80c: dc000017 ldw r16,0(sp) -8112d810: dec00204 addi sp,sp,8 -8112d814: f800283a ret - -8112d818 <__sclose>: -8112d818: 2940038f ldh r5,14(r5) -8112d81c: 1133ed81 jmpi 81133ed8 <_close_r> - -8112d820 : -8112d820: 21c00007 ldb r7,0(r4) -8112d824: 38000f26 beq r7,zero,8112d864 -8112d828: 2a000007 ldb r8,0(r5) -8112d82c: 2005883a mov r2,r4 -8112d830: 40000726 beq r8,zero,8112d850 -8112d834: 3a000926 beq r7,r8,8112d85c -8112d838: 2807883a mov r3,r5 -8112d83c: 00000106 br 8112d844 -8112d840: 31c00626 beq r6,r7,8112d85c -8112d844: 18c00044 addi r3,r3,1 -8112d848: 19800007 ldb r6,0(r3) -8112d84c: 303ffc1e bne r6,zero,8112d840 <__reset+0xfb10d840> -8112d850: 10800044 addi r2,r2,1 -8112d854: 11c00007 ldb r7,0(r2) -8112d858: 383ff51e bne r7,zero,8112d830 <__reset+0xfb10d830> -8112d85c: 1105c83a sub r2,r2,r4 -8112d860: f800283a ret -8112d864: 0005883a mov r2,zero -8112d868: f800283a ret - -8112d86c : -8112d86c: 208000cc andi r2,r4,3 -8112d870: 10002026 beq r2,zero,8112d8f4 -8112d874: 20800007 ldb r2,0(r4) -8112d878: 10002026 beq r2,zero,8112d8fc -8112d87c: 2005883a mov r2,r4 -8112d880: 00000206 br 8112d88c -8112d884: 10c00007 ldb r3,0(r2) -8112d888: 18001826 beq r3,zero,8112d8ec -8112d88c: 10800044 addi r2,r2,1 -8112d890: 10c000cc andi r3,r2,3 -8112d894: 183ffb1e bne r3,zero,8112d884 <__reset+0xfb10d884> -8112d898: 10c00017 ldw r3,0(r2) -8112d89c: 01ffbff4 movhi r7,65279 -8112d8a0: 39ffbfc4 addi r7,r7,-257 -8112d8a4: 00ca303a nor r5,zero,r3 -8112d8a8: 01a02074 movhi r6,32897 -8112d8ac: 19c7883a add r3,r3,r7 -8112d8b0: 31a02004 addi r6,r6,-32640 -8112d8b4: 1946703a and r3,r3,r5 -8112d8b8: 1986703a and r3,r3,r6 -8112d8bc: 1800091e bne r3,zero,8112d8e4 -8112d8c0: 10800104 addi r2,r2,4 -8112d8c4: 10c00017 ldw r3,0(r2) -8112d8c8: 19cb883a add r5,r3,r7 -8112d8cc: 00c6303a nor r3,zero,r3 -8112d8d0: 28c6703a and r3,r5,r3 -8112d8d4: 1986703a and r3,r3,r6 -8112d8d8: 183ff926 beq r3,zero,8112d8c0 <__reset+0xfb10d8c0> -8112d8dc: 00000106 br 8112d8e4 -8112d8e0: 10800044 addi r2,r2,1 -8112d8e4: 10c00007 ldb r3,0(r2) -8112d8e8: 183ffd1e bne r3,zero,8112d8e0 <__reset+0xfb10d8e0> -8112d8ec: 1105c83a sub r2,r2,r4 -8112d8f0: f800283a ret -8112d8f4: 2005883a mov r2,r4 -8112d8f8: 003fe706 br 8112d898 <__reset+0xfb10d898> -8112d8fc: 0005883a mov r2,zero -8112d900: f800283a ret - -8112d904 : -8112d904: 28000e26 beq r5,zero,8112d940 -8112d908: 20800007 ldb r2,0(r4) -8112d90c: 10000c26 beq r2,zero,8112d940 -8112d910: 20c00044 addi r3,r4,1 -8112d914: 214b883a add r5,r4,r5 -8112d918: 28c00526 beq r5,r3,8112d930 -8112d91c: 19800007 ldb r6,0(r3) -8112d920: 19c00044 addi r7,r3,1 -8112d924: 30000426 beq r6,zero,8112d938 -8112d928: 3807883a mov r3,r7 -8112d92c: 28fffb1e bne r5,r3,8112d91c <__reset+0xfb10d91c> -8112d930: 2905c83a sub r2,r5,r4 -8112d934: f800283a ret -8112d938: 1905c83a sub r2,r3,r4 -8112d93c: f800283a ret -8112d940: 0005883a mov r2,zero -8112d944: f800283a ret - -8112d948 <_strtol_r>: -8112d948: 00a04574 movhi r2,33045 -8112d94c: defff404 addi sp,sp,-48 -8112d950: 1086e004 addi r2,r2,7040 -8112d954: dd400715 stw r21,28(sp) -8112d958: 15400017 ldw r21,0(r2) -8112d95c: dd800815 stw r22,32(sp) -8112d960: dd000615 stw r20,24(sp) -8112d964: dcc00515 stw r19,20(sp) -8112d968: d9000015 stw r4,0(sp) -8112d96c: dfc00b15 stw ra,44(sp) -8112d970: df000a15 stw fp,40(sp) -8112d974: ddc00915 stw r23,36(sp) -8112d978: dc800415 stw r18,16(sp) -8112d97c: dc400315 stw r17,12(sp) -8112d980: dc000215 stw r16,8(sp) -8112d984: 2829883a mov r20,r5 -8112d988: 3027883a mov r19,r6 -8112d98c: 382d883a mov r22,r7 -8112d990: 2809883a mov r4,r5 -8112d994: 24000003 ldbu r16,0(r4) -8112d998: 24400044 addi r17,r4,1 -8112d99c: 2007883a mov r3,r4 -8112d9a0: ac05883a add r2,r21,r16 -8112d9a4: 10800043 ldbu r2,1(r2) -8112d9a8: 8809883a mov r4,r17 -8112d9ac: 1080020c andi r2,r2,8 -8112d9b0: 103ff81e bne r2,zero,8112d994 <__reset+0xfb10d994> -8112d9b4: 00800b44 movi r2,45 -8112d9b8: 80805826 beq r16,r2,8112db1c <_strtol_r+0x1d4> -8112d9bc: 00800ac4 movi r2,43 -8112d9c0: 80805a26 beq r16,r2,8112db2c <_strtol_r+0x1e4> -8112d9c4: 0039883a mov fp,zero -8112d9c8: b0004426 beq r22,zero,8112dadc <_strtol_r+0x194> -8112d9cc: 00800404 movi r2,16 -8112d9d0: b0806026 beq r22,r2,8112db54 <_strtol_r+0x20c> -8112d9d4: b02f883a mov r23,r22 -8112d9d8: 00a00034 movhi r2,32768 -8112d9dc: e025003a cmpeq r18,fp,zero -8112d9e0: 14a5c83a sub r18,r2,r18 -8112d9e4: b80b883a mov r5,r23 -8112d9e8: 9009883a mov r4,r18 -8112d9ec: 112b3b00 call 8112b3b0 <__umodsi3> -8112d9f0: b80b883a mov r5,r23 -8112d9f4: 9009883a mov r4,r18 -8112d9f8: d8800115 stw r2,4(sp) -8112d9fc: 112b34c0 call 8112b34c <__udivsi3> -8112da00: ac07883a add r3,r21,r16 -8112da04: 18c00043 ldbu r3,1(r3) -8112da08: 880b883a mov r5,r17 -8112da0c: 000d883a mov r6,zero -8112da10: 1a00010c andi r8,r3,4 -8112da14: 0009883a mov r4,zero -8112da18: 02800044 movi r10,1 -8112da1c: 027fffc4 movi r9,-1 -8112da20: d9c00117 ldw r7,4(sp) -8112da24: 40000e26 beq r8,zero,8112da60 <_strtol_r+0x118> -8112da28: 843ff404 addi r16,r16,-48 -8112da2c: 8580120e bge r16,r22,8112da78 <_strtol_r+0x130> -8112da30: 32400526 beq r6,r9,8112da48 <_strtol_r+0x100> -8112da34: 11002536 bltu r2,r4,8112dacc <_strtol_r+0x184> -8112da38: 20802326 beq r4,r2,8112dac8 <_strtol_r+0x180> -8112da3c: 25c9383a mul r4,r4,r23 -8112da40: 01800044 movi r6,1 -8112da44: 8109883a add r4,r16,r4 -8112da48: 2c000003 ldbu r16,0(r5) -8112da4c: 29400044 addi r5,r5,1 -8112da50: ac07883a add r3,r21,r16 -8112da54: 18c00043 ldbu r3,1(r3) -8112da58: 1a00010c andi r8,r3,4 -8112da5c: 403ff21e bne r8,zero,8112da28 <__reset+0xfb10da28> -8112da60: 18c000cc andi r3,r3,3 -8112da64: 18000426 beq r3,zero,8112da78 <_strtol_r+0x130> -8112da68: 1a801a26 beq r3,r10,8112dad4 <_strtol_r+0x18c> -8112da6c: 00c015c4 movi r3,87 -8112da70: 80e1c83a sub r16,r16,r3 -8112da74: 85bfee16 blt r16,r22,8112da30 <__reset+0xfb10da30> -8112da78: 00bfffc4 movi r2,-1 -8112da7c: 30801e26 beq r6,r2,8112daf8 <_strtol_r+0x1b0> -8112da80: e0001b1e bne fp,zero,8112daf0 <_strtol_r+0x1a8> -8112da84: 2005883a mov r2,r4 -8112da88: 98000326 beq r19,zero,8112da98 <_strtol_r+0x150> -8112da8c: 3000211e bne r6,zero,8112db14 <_strtol_r+0x1cc> -8112da90: a00b883a mov r5,r20 -8112da94: 99400015 stw r5,0(r19) -8112da98: dfc00b17 ldw ra,44(sp) -8112da9c: df000a17 ldw fp,40(sp) -8112daa0: ddc00917 ldw r23,36(sp) -8112daa4: dd800817 ldw r22,32(sp) -8112daa8: dd400717 ldw r21,28(sp) -8112daac: dd000617 ldw r20,24(sp) -8112dab0: dcc00517 ldw r19,20(sp) -8112dab4: dc800417 ldw r18,16(sp) -8112dab8: dc400317 ldw r17,12(sp) -8112dabc: dc000217 ldw r16,8(sp) -8112dac0: dec00c04 addi sp,sp,48 -8112dac4: f800283a ret -8112dac8: 3c3fdc0e bge r7,r16,8112da3c <__reset+0xfb10da3c> -8112dacc: 01bfffc4 movi r6,-1 -8112dad0: 003fdd06 br 8112da48 <__reset+0xfb10da48> -8112dad4: 00c00dc4 movi r3,55 -8112dad8: 003fe506 br 8112da70 <__reset+0xfb10da70> -8112dadc: 00800c04 movi r2,48 -8112dae0: 80801626 beq r16,r2,8112db3c <_strtol_r+0x1f4> -8112dae4: 05800284 movi r22,10 -8112dae8: b02f883a mov r23,r22 -8112daec: 003fba06 br 8112d9d8 <__reset+0xfb10d9d8> -8112daf0: 0109c83a sub r4,zero,r4 -8112daf4: 003fe306 br 8112da84 <__reset+0xfb10da84> -8112daf8: d9000017 ldw r4,0(sp) -8112dafc: 00c00884 movi r3,34 -8112db00: e005003a cmpeq r2,fp,zero -8112db04: 20c00015 stw r3,0(r4) -8112db08: 00e00034 movhi r3,32768 -8112db0c: 1885c83a sub r2,r3,r2 -8112db10: 983fe126 beq r19,zero,8112da98 <__reset+0xfb10da98> -8112db14: 297fffc4 addi r5,r5,-1 -8112db18: 003fde06 br 8112da94 <__reset+0xfb10da94> -8112db1c: 1c400084 addi r17,r3,2 -8112db20: 1c000043 ldbu r16,1(r3) -8112db24: 07000044 movi fp,1 -8112db28: 003fa706 br 8112d9c8 <__reset+0xfb10d9c8> -8112db2c: 1c400084 addi r17,r3,2 -8112db30: 1c000043 ldbu r16,1(r3) -8112db34: 0039883a mov fp,zero -8112db38: 003fa306 br 8112d9c8 <__reset+0xfb10d9c8> -8112db3c: 88800003 ldbu r2,0(r17) -8112db40: 00c01604 movi r3,88 -8112db44: 108037cc andi r2,r2,223 -8112db48: 10c00826 beq r2,r3,8112db6c <_strtol_r+0x224> -8112db4c: 05800204 movi r22,8 -8112db50: 003fa006 br 8112d9d4 <__reset+0xfb10d9d4> -8112db54: 00800c04 movi r2,48 -8112db58: 80bf9e1e bne r16,r2,8112d9d4 <__reset+0xfb10d9d4> -8112db5c: 88800003 ldbu r2,0(r17) -8112db60: 00c01604 movi r3,88 -8112db64: 108037cc andi r2,r2,223 -8112db68: 10ff9a1e bne r2,r3,8112d9d4 <__reset+0xfb10d9d4> -8112db6c: 05c00404 movi r23,16 -8112db70: 8c000043 ldbu r16,1(r17) -8112db74: b82d883a mov r22,r23 -8112db78: 8c400084 addi r17,r17,2 -8112db7c: 003f9606 br 8112d9d8 <__reset+0xfb10d9d8> - -8112db80 : -8112db80: 00a04574 movhi r2,33045 -8112db84: 1086e204 addi r2,r2,7048 -8112db88: 300f883a mov r7,r6 -8112db8c: 280d883a mov r6,r5 -8112db90: 200b883a mov r5,r4 -8112db94: 11000017 ldw r4,0(r2) -8112db98: 112d9481 jmpi 8112d948 <_strtol_r> - -8112db9c : -8112db9c: 00a04574 movhi r2,33045 -8112dba0: 1086e204 addi r2,r2,7048 -8112dba4: 300f883a mov r7,r6 -8112dba8: 280d883a mov r6,r5 -8112dbac: 200b883a mov r5,r4 -8112dbb0: 11000017 ldw r4,0(r2) -8112dbb4: 112dbb81 jmpi 8112dbb8 <_strtoll_r> - -8112dbb8 <_strtoll_r>: -8112dbb8: 00a04574 movhi r2,33045 -8112dbbc: defff304 addi sp,sp,-52 -8112dbc0: 1086e004 addi r2,r2,7040 -8112dbc4: dc800515 stw r18,20(sp) -8112dbc8: 14800017 ldw r18,0(r2) -8112dbcc: dd800915 stw r22,36(sp) -8112dbd0: dd400815 stw r21,32(sp) -8112dbd4: dcc00615 stw r19,24(sp) -8112dbd8: d9000015 stw r4,0(sp) -8112dbdc: dfc00c15 stw ra,48(sp) -8112dbe0: df000b15 stw fp,44(sp) -8112dbe4: ddc00a15 stw r23,40(sp) -8112dbe8: dd000715 stw r20,28(sp) -8112dbec: dc400415 stw r17,16(sp) -8112dbf0: dc000315 stw r16,12(sp) -8112dbf4: 282d883a mov r22,r5 -8112dbf8: 302b883a mov r21,r6 -8112dbfc: 3827883a mov r19,r7 -8112dc00: 2809883a mov r4,r5 -8112dc04: 24000003 ldbu r16,0(r4) -8112dc08: 24400044 addi r17,r4,1 -8112dc0c: 2007883a mov r3,r4 -8112dc10: 9405883a add r2,r18,r16 -8112dc14: 10800043 ldbu r2,1(r2) -8112dc18: 8809883a mov r4,r17 -8112dc1c: 1080020c andi r2,r2,8 -8112dc20: 103ff81e bne r2,zero,8112dc04 <__reset+0xfb10dc04> -8112dc24: 00800b44 movi r2,45 -8112dc28: 80807826 beq r16,r2,8112de0c <_strtoll_r+0x254> -8112dc2c: 00800ac4 movi r2,43 -8112dc30: 80807a26 beq r16,r2,8112de1c <_strtoll_r+0x264> -8112dc34: 0039883a mov fp,zero -8112dc38: 98004e26 beq r19,zero,8112dd74 <_strtoll_r+0x1bc> -8112dc3c: 00800404 movi r2,16 -8112dc40: 98808226 beq r19,r2,8112de4c <_strtoll_r+0x294> -8112dc44: 982fd7fa srai r23,r19,31 -8112dc48: 9829883a mov r20,r19 -8112dc4c: e0004f26 beq fp,zero,8112dd8c <_strtoll_r+0x1d4> -8112dc50: 0017883a mov r11,zero -8112dc54: 02a00034 movhi r10,32768 -8112dc58: 5809883a mov r4,r11 -8112dc5c: 500b883a mov r5,r10 -8112dc60: a00d883a mov r6,r20 -8112dc64: b80f883a mov r7,r23 -8112dc68: da800215 stw r10,8(sp) -8112dc6c: dac00115 stw r11,4(sp) -8112dc70: 113eaa00 call 8113eaa0 <__umoddi3> -8112dc74: dac00117 ldw r11,4(sp) -8112dc78: da800217 ldw r10,8(sp) -8112dc7c: a00d883a mov r6,r20 -8112dc80: 5809883a mov r4,r11 -8112dc84: 500b883a mov r5,r10 -8112dc88: b80f883a mov r7,r23 -8112dc8c: d8800115 stw r2,4(sp) -8112dc90: 113e5280 call 8113e528 <__udivdi3> -8112dc94: 9409883a add r4,r18,r16 -8112dc98: 21000043 ldbu r4,1(r4) -8112dc9c: 1019883a mov r12,r2 -8112dca0: 880b883a mov r5,r17 -8112dca4: 2240010c andi r9,r4,4 -8112dca8: 0015883a mov r10,zero -8112dcac: 000d883a mov r6,zero -8112dcb0: 000f883a mov r7,zero -8112dcb4: 03400044 movi r13,1 -8112dcb8: 02ffffc4 movi r11,-1 -8112dcbc: da000117 ldw r8,4(sp) -8112dcc0: 48000d26 beq r9,zero,8112dcf8 <_strtoll_r+0x140> -8112dcc4: 843ff404 addi r16,r16,-48 -8112dcc8: 84c0110e bge r16,r19,8112dd10 <_strtoll_r+0x158> -8112dccc: 52c00426 beq r10,r11,8112dce0 <_strtoll_r+0x128> -8112dcd0: 19c00236 bltu r3,r7,8112dcdc <_strtoll_r+0x124> -8112dcd4: 38c0311e bne r7,r3,8112dd9c <_strtoll_r+0x1e4> -8112dcd8: 6180302e bgeu r12,r6,8112dd9c <_strtoll_r+0x1e4> -8112dcdc: 02bfffc4 movi r10,-1 -8112dce0: 2c000003 ldbu r16,0(r5) -8112dce4: 29400044 addi r5,r5,1 -8112dce8: 9409883a add r4,r18,r16 -8112dcec: 21000043 ldbu r4,1(r4) -8112dcf0: 2240010c andi r9,r4,4 -8112dcf4: 483ff31e bne r9,zero,8112dcc4 <__reset+0xfb10dcc4> -8112dcf8: 210000cc andi r4,r4,3 -8112dcfc: 20000426 beq r4,zero,8112dd10 <_strtoll_r+0x158> -8112dd00: 23403426 beq r4,r13,8112ddd4 <_strtoll_r+0x21c> -8112dd04: 008015c4 movi r2,87 -8112dd08: 80a1c83a sub r16,r16,r2 -8112dd0c: 84ffef16 blt r16,r19,8112dccc <__reset+0xfb10dccc> -8112dd10: 00bfffc4 movi r2,-1 -8112dd14: 50803426 beq r10,r2,8112dde8 <_strtoll_r+0x230> -8112dd18: e0000426 beq fp,zero,8112dd2c <_strtoll_r+0x174> -8112dd1c: 018dc83a sub r6,zero,r6 -8112dd20: 3004c03a cmpne r2,r6,zero -8112dd24: 01e1c83a sub r16,zero,r7 -8112dd28: 808fc83a sub r7,r16,r2 -8112dd2c: 3005883a mov r2,r6 -8112dd30: 3807883a mov r3,r7 -8112dd34: a8000326 beq r21,zero,8112dd44 <_strtoll_r+0x18c> -8112dd38: 5000321e bne r10,zero,8112de04 <_strtoll_r+0x24c> -8112dd3c: b00b883a mov r5,r22 -8112dd40: a9400015 stw r5,0(r21) -8112dd44: dfc00c17 ldw ra,48(sp) -8112dd48: df000b17 ldw fp,44(sp) -8112dd4c: ddc00a17 ldw r23,40(sp) -8112dd50: dd800917 ldw r22,36(sp) -8112dd54: dd400817 ldw r21,32(sp) -8112dd58: dd000717 ldw r20,28(sp) -8112dd5c: dcc00617 ldw r19,24(sp) -8112dd60: dc800517 ldw r18,20(sp) -8112dd64: dc400417 ldw r17,16(sp) -8112dd68: dc000317 ldw r16,12(sp) -8112dd6c: dec00d04 addi sp,sp,52 -8112dd70: f800283a ret -8112dd74: 00800c04 movi r2,48 -8112dd78: 80802c26 beq r16,r2,8112de2c <_strtoll_r+0x274> -8112dd7c: 05000284 movi r20,10 -8112dd80: 002f883a mov r23,zero -8112dd84: a027883a mov r19,r20 -8112dd88: e03fb11e bne fp,zero,8112dc50 <__reset+0xfb10dc50> -8112dd8c: 02a00034 movhi r10,32768 -8112dd90: 52bfffc4 addi r10,r10,-1 -8112dd94: 02ffffc4 movi r11,-1 -8112dd98: 003faf06 br 8112dc58 <__reset+0xfb10dc58> -8112dd9c: 33000f26 beq r6,r12,8112dddc <_strtoll_r+0x224> -8112dda0: b985383a mul r2,r23,r6 -8112dda4: 3d0f383a mul r7,r7,r20 -8112dda8: 3508383a mulxuu r4,r6,r20 -8112ddac: 350d383a mul r6,r6,r20 -8112ddb0: 8013d7fa srai r9,r16,31 -8112ddb4: 388f883a add r7,r7,r2 -8112ddb8: 818d883a add r6,r16,r6 -8112ddbc: 390f883a add r7,r7,r4 -8112ddc0: 3421803a cmpltu r16,r6,r16 -8112ddc4: 49cf883a add r7,r9,r7 -8112ddc8: 81cf883a add r7,r16,r7 -8112ddcc: 02800044 movi r10,1 -8112ddd0: 003fc306 br 8112dce0 <__reset+0xfb10dce0> -8112ddd4: 00800dc4 movi r2,55 -8112ddd8: 003fcb06 br 8112dd08 <__reset+0xfb10dd08> -8112dddc: 38fff01e bne r7,r3,8112dda0 <__reset+0xfb10dda0> -8112dde0: 443fbe16 blt r8,r16,8112dcdc <__reset+0xfb10dcdc> -8112dde4: 003fee06 br 8112dda0 <__reset+0xfb10dda0> -8112dde8: e0002426 beq fp,zero,8112de7c <_strtoll_r+0x2c4> -8112ddec: 0005883a mov r2,zero -8112ddf0: 00e00034 movhi r3,32768 -8112ddf4: d9800017 ldw r6,0(sp) -8112ddf8: 01000884 movi r4,34 -8112ddfc: 31000015 stw r4,0(r6) -8112de00: a83fd026 beq r21,zero,8112dd44 <__reset+0xfb10dd44> -8112de04: 297fffc4 addi r5,r5,-1 -8112de08: 003fcd06 br 8112dd40 <__reset+0xfb10dd40> -8112de0c: 1c400084 addi r17,r3,2 -8112de10: 1c000043 ldbu r16,1(r3) -8112de14: 07000044 movi fp,1 -8112de18: 003f8706 br 8112dc38 <__reset+0xfb10dc38> -8112de1c: 1c400084 addi r17,r3,2 -8112de20: 1c000043 ldbu r16,1(r3) -8112de24: 0039883a mov fp,zero -8112de28: 003f8306 br 8112dc38 <__reset+0xfb10dc38> -8112de2c: 88800003 ldbu r2,0(r17) -8112de30: 00c01604 movi r3,88 -8112de34: 108037cc andi r2,r2,223 -8112de38: 10c00a26 beq r2,r3,8112de64 <_strtoll_r+0x2ac> -8112de3c: 05000204 movi r20,8 -8112de40: 002f883a mov r23,zero -8112de44: a027883a mov r19,r20 -8112de48: 003f8006 br 8112dc4c <__reset+0xfb10dc4c> -8112de4c: 00800c04 movi r2,48 -8112de50: 80800e1e bne r16,r2,8112de8c <_strtoll_r+0x2d4> -8112de54: 88800003 ldbu r2,0(r17) -8112de58: 00c01604 movi r3,88 -8112de5c: 108037cc andi r2,r2,223 -8112de60: 10c00a1e bne r2,r3,8112de8c <_strtoll_r+0x2d4> -8112de64: 05000404 movi r20,16 -8112de68: 8c000043 ldbu r16,1(r17) -8112de6c: 002f883a mov r23,zero -8112de70: 8c400084 addi r17,r17,2 -8112de74: a027883a mov r19,r20 -8112de78: 003f7406 br 8112dc4c <__reset+0xfb10dc4c> -8112de7c: 00e00034 movhi r3,32768 -8112de80: 18ffffc4 addi r3,r3,-1 -8112de84: 5005883a mov r2,r10 -8112de88: 003fda06 br 8112ddf4 <__reset+0xfb10ddf4> -8112de8c: 9829883a mov r20,r19 -8112de90: 002f883a mov r23,zero -8112de94: 003f6d06 br 8112dc4c <__reset+0xfb10dc4c> - -8112de98 <___svfprintf_internal_r>: -8112de98: deffb704 addi sp,sp,-292 -8112de9c: dfc04815 stw ra,288(sp) -8112dea0: ddc04615 stw r23,280(sp) -8112dea4: d9402c15 stw r5,176(sp) -8112dea8: d9003915 stw r4,228(sp) -8112deac: 302f883a mov r23,r6 -8112deb0: d9c02d15 stw r7,180(sp) -8112deb4: df004715 stw fp,284(sp) -8112deb8: dd804515 stw r22,276(sp) -8112debc: dd404415 stw r21,272(sp) -8112dec0: dd004315 stw r20,268(sp) -8112dec4: dcc04215 stw r19,264(sp) -8112dec8: dc804115 stw r18,260(sp) -8112decc: dc404015 stw r17,256(sp) -8112ded0: dc003f15 stw r16,252(sp) -8112ded4: 1136cb00 call 81136cb0 <_localeconv_r> -8112ded8: 10800017 ldw r2,0(r2) -8112dedc: 1009883a mov r4,r2 -8112dee0: d8803415 stw r2,208(sp) -8112dee4: 112d86c0 call 8112d86c -8112dee8: d8c02c17 ldw r3,176(sp) -8112deec: d8803815 stw r2,224(sp) -8112def0: 1880030b ldhu r2,12(r3) -8112def4: 1080200c andi r2,r2,128 -8112def8: 10000226 beq r2,zero,8112df04 <___svfprintf_internal_r+0x6c> -8112defc: 18800417 ldw r2,16(r3) -8112df00: 10067f26 beq r2,zero,8112f900 <___svfprintf_internal_r+0x1a68> -8112df04: dcc03917 ldw r19,228(sp) -8112df08: d8c00404 addi r3,sp,16 -8112df0c: 05604574 movhi r21,33045 -8112df10: d9001e04 addi r4,sp,120 -8112df14: ad7ebc84 addi r21,r21,-1294 -8112df18: d8c01e15 stw r3,120(sp) -8112df1c: d8002015 stw zero,128(sp) -8112df20: d8001f15 stw zero,124(sp) -8112df24: d8003315 stw zero,204(sp) -8112df28: d8003615 stw zero,216(sp) -8112df2c: d8003715 stw zero,220(sp) -8112df30: 1811883a mov r8,r3 -8112df34: d8003a15 stw zero,232(sp) -8112df38: d8003b15 stw zero,236(sp) -8112df3c: d8002f15 stw zero,188(sp) -8112df40: d9002815 stw r4,160(sp) -8112df44: b8800007 ldb r2,0(r23) -8112df48: 10026726 beq r2,zero,8112e8e8 <___svfprintf_internal_r+0xa50> -8112df4c: 00c00944 movi r3,37 -8112df50: b821883a mov r16,r23 -8112df54: 10c0021e bne r2,r3,8112df60 <___svfprintf_internal_r+0xc8> -8112df58: 00001406 br 8112dfac <___svfprintf_internal_r+0x114> -8112df5c: 10c00326 beq r2,r3,8112df6c <___svfprintf_internal_r+0xd4> -8112df60: 84000044 addi r16,r16,1 -8112df64: 80800007 ldb r2,0(r16) -8112df68: 103ffc1e bne r2,zero,8112df5c <__reset+0xfb10df5c> -8112df6c: 85e3c83a sub r17,r16,r23 -8112df70: 88000e26 beq r17,zero,8112dfac <___svfprintf_internal_r+0x114> -8112df74: d8c02017 ldw r3,128(sp) -8112df78: d8801f17 ldw r2,124(sp) -8112df7c: 45c00015 stw r23,0(r8) -8112df80: 1c47883a add r3,r3,r17 -8112df84: 10800044 addi r2,r2,1 -8112df88: d8c02015 stw r3,128(sp) -8112df8c: 44400115 stw r17,4(r8) -8112df90: d8801f15 stw r2,124(sp) -8112df94: 00c001c4 movi r3,7 -8112df98: 18809716 blt r3,r2,8112e1f8 <___svfprintf_internal_r+0x360> -8112df9c: 42000204 addi r8,r8,8 -8112dfa0: d9402f17 ldw r5,188(sp) -8112dfa4: 2c4b883a add r5,r5,r17 -8112dfa8: d9402f15 stw r5,188(sp) -8112dfac: 80800007 ldb r2,0(r16) -8112dfb0: 10009826 beq r2,zero,8112e214 <___svfprintf_internal_r+0x37c> -8112dfb4: 84400047 ldb r17,1(r16) -8112dfb8: 00bfffc4 movi r2,-1 -8112dfbc: 85c00044 addi r23,r16,1 -8112dfc0: d8002785 stb zero,158(sp) -8112dfc4: 0007883a mov r3,zero -8112dfc8: 000f883a mov r7,zero -8112dfcc: d8802915 stw r2,164(sp) -8112dfd0: d8003115 stw zero,196(sp) -8112dfd4: 0025883a mov r18,zero -8112dfd8: 01401604 movi r5,88 -8112dfdc: 01800244 movi r6,9 -8112dfe0: 02800a84 movi r10,42 -8112dfe4: 02401b04 movi r9,108 -8112dfe8: bdc00044 addi r23,r23,1 -8112dfec: 88bff804 addi r2,r17,-32 -8112dff0: 2882f036 bltu r5,r2,8112ebb4 <___svfprintf_internal_r+0xd1c> -8112dff4: 100490ba slli r2,r2,2 -8112dff8: 012044f4 movhi r4,33043 -8112dffc: 21380304 addi r4,r4,-8180 -8112e000: 1105883a add r2,r2,r4 -8112e004: 10800017 ldw r2,0(r2) -8112e008: 1000683a jmp r2 -8112e00c: 8112eb1c xori r4,r16,19372 -8112e010: 8112ebb4 orhi r4,r16,19374 -8112e014: 8112ebb4 orhi r4,r16,19374 -8112e018: 8112eb10 cmplti r4,r16,19372 -8112e01c: 8112ebb4 orhi r4,r16,19374 -8112e020: 8112ebb4 orhi r4,r16,19374 -8112e024: 8112ebb4 orhi r4,r16,19374 -8112e028: 8112ebb4 orhi r4,r16,19374 -8112e02c: 8112ebb4 orhi r4,r16,19374 -8112e030: 8112ebb4 orhi r4,r16,19374 -8112e034: 8112e270 cmpltui r4,r16,19337 -8112e038: 8112ea4c andi r4,r16,19369 -8112e03c: 8112ebb4 orhi r4,r16,19374 -8112e040: 8112e180 call 88112e18 <__reset+0x20f2e18> -8112e044: 8112e298 cmpnei r4,r16,19338 -8112e048: 8112ebb4 orhi r4,r16,19374 -8112e04c: 8112e30c andi r4,r16,19340 -8112e050: 8112e2d8 cmpnei r4,r16,19339 -8112e054: 8112e2d8 cmpnei r4,r16,19339 -8112e058: 8112e2d8 cmpnei r4,r16,19339 -8112e05c: 8112e2d8 cmpnei r4,r16,19339 -8112e060: 8112e2d8 cmpnei r4,r16,19339 -8112e064: 8112e2d8 cmpnei r4,r16,19339 -8112e068: 8112e2d8 cmpnei r4,r16,19339 -8112e06c: 8112e2d8 cmpnei r4,r16,19339 -8112e070: 8112e2d8 cmpnei r4,r16,19339 -8112e074: 8112ebb4 orhi r4,r16,19374 -8112e078: 8112ebb4 orhi r4,r16,19374 -8112e07c: 8112ebb4 orhi r4,r16,19374 -8112e080: 8112ebb4 orhi r4,r16,19374 -8112e084: 8112ebb4 orhi r4,r16,19374 -8112e088: 8112ebb4 orhi r4,r16,19374 -8112e08c: 8112ebb4 orhi r4,r16,19374 -8112e090: 8112ebb4 orhi r4,r16,19374 -8112e094: 8112ebb4 orhi r4,r16,19374 -8112e098: 8112ebb4 orhi r4,r16,19374 -8112e09c: 8112e3c4 addi r4,r16,19343 +8112d7f4: dec00504 addi sp,sp,20 +8112d7f8: 1133d641 jmpi 81133d64 <_write_r> + +8112d7fc <__sseek>: +8112d7fc: defffe04 addi sp,sp,-8 +8112d800: dc000015 stw r16,0(sp) +8112d804: 2821883a mov r16,r5 +8112d808: 2940038f ldh r5,14(r5) +8112d80c: dfc00115 stw ra,4(sp) +8112d810: 1136d200 call 81136d20 <_lseek_r> +8112d814: 00ffffc4 movi r3,-1 +8112d818: 10c00826 beq r2,r3,8112d83c <__sseek+0x40> +8112d81c: 80c0030b ldhu r3,12(r16) +8112d820: 80801415 stw r2,80(r16) +8112d824: 18c40014 ori r3,r3,4096 +8112d828: 80c0030d sth r3,12(r16) +8112d82c: dfc00117 ldw ra,4(sp) +8112d830: dc000017 ldw r16,0(sp) +8112d834: dec00204 addi sp,sp,8 +8112d838: f800283a ret +8112d83c: 80c0030b ldhu r3,12(r16) +8112d840: 18fbffcc andi r3,r3,61439 +8112d844: 80c0030d sth r3,12(r16) +8112d848: dfc00117 ldw ra,4(sp) +8112d84c: dc000017 ldw r16,0(sp) +8112d850: dec00204 addi sp,sp,8 +8112d854: f800283a ret + +8112d858 <__sclose>: +8112d858: 2940038f ldh r5,14(r5) +8112d85c: 1133f181 jmpi 81133f18 <_close_r> + +8112d860 : +8112d860: 21c00007 ldb r7,0(r4) +8112d864: 38000f26 beq r7,zero,8112d8a4 +8112d868: 2a000007 ldb r8,0(r5) +8112d86c: 2005883a mov r2,r4 +8112d870: 40000726 beq r8,zero,8112d890 +8112d874: 3a000926 beq r7,r8,8112d89c +8112d878: 2807883a mov r3,r5 +8112d87c: 00000106 br 8112d884 +8112d880: 31c00626 beq r6,r7,8112d89c +8112d884: 18c00044 addi r3,r3,1 +8112d888: 19800007 ldb r6,0(r3) +8112d88c: 303ffc1e bne r6,zero,8112d880 <__reset+0xfb10d880> +8112d890: 10800044 addi r2,r2,1 +8112d894: 11c00007 ldb r7,0(r2) +8112d898: 383ff51e bne r7,zero,8112d870 <__reset+0xfb10d870> +8112d89c: 1105c83a sub r2,r2,r4 +8112d8a0: f800283a ret +8112d8a4: 0005883a mov r2,zero +8112d8a8: f800283a ret + +8112d8ac : +8112d8ac: 208000cc andi r2,r4,3 +8112d8b0: 10002026 beq r2,zero,8112d934 +8112d8b4: 20800007 ldb r2,0(r4) +8112d8b8: 10002026 beq r2,zero,8112d93c +8112d8bc: 2005883a mov r2,r4 +8112d8c0: 00000206 br 8112d8cc +8112d8c4: 10c00007 ldb r3,0(r2) +8112d8c8: 18001826 beq r3,zero,8112d92c +8112d8cc: 10800044 addi r2,r2,1 +8112d8d0: 10c000cc andi r3,r2,3 +8112d8d4: 183ffb1e bne r3,zero,8112d8c4 <__reset+0xfb10d8c4> +8112d8d8: 10c00017 ldw r3,0(r2) +8112d8dc: 01ffbff4 movhi r7,65279 +8112d8e0: 39ffbfc4 addi r7,r7,-257 +8112d8e4: 00ca303a nor r5,zero,r3 +8112d8e8: 01a02074 movhi r6,32897 +8112d8ec: 19c7883a add r3,r3,r7 +8112d8f0: 31a02004 addi r6,r6,-32640 +8112d8f4: 1946703a and r3,r3,r5 +8112d8f8: 1986703a and r3,r3,r6 +8112d8fc: 1800091e bne r3,zero,8112d924 +8112d900: 10800104 addi r2,r2,4 +8112d904: 10c00017 ldw r3,0(r2) +8112d908: 19cb883a add r5,r3,r7 +8112d90c: 00c6303a nor r3,zero,r3 +8112d910: 28c6703a and r3,r5,r3 +8112d914: 1986703a and r3,r3,r6 +8112d918: 183ff926 beq r3,zero,8112d900 <__reset+0xfb10d900> +8112d91c: 00000106 br 8112d924 +8112d920: 10800044 addi r2,r2,1 +8112d924: 10c00007 ldb r3,0(r2) +8112d928: 183ffd1e bne r3,zero,8112d920 <__reset+0xfb10d920> +8112d92c: 1105c83a sub r2,r2,r4 +8112d930: f800283a ret +8112d934: 2005883a mov r2,r4 +8112d938: 003fe706 br 8112d8d8 <__reset+0xfb10d8d8> +8112d93c: 0005883a mov r2,zero +8112d940: f800283a ret + +8112d944 : +8112d944: 28000e26 beq r5,zero,8112d980 +8112d948: 20800007 ldb r2,0(r4) +8112d94c: 10000c26 beq r2,zero,8112d980 +8112d950: 20c00044 addi r3,r4,1 +8112d954: 214b883a add r5,r4,r5 +8112d958: 28c00526 beq r5,r3,8112d970 +8112d95c: 19800007 ldb r6,0(r3) +8112d960: 19c00044 addi r7,r3,1 +8112d964: 30000426 beq r6,zero,8112d978 +8112d968: 3807883a mov r3,r7 +8112d96c: 28fffb1e bne r5,r3,8112d95c <__reset+0xfb10d95c> +8112d970: 2905c83a sub r2,r5,r4 +8112d974: f800283a ret +8112d978: 1905c83a sub r2,r3,r4 +8112d97c: f800283a ret +8112d980: 0005883a mov r2,zero +8112d984: f800283a ret + +8112d988 <_strtol_r>: +8112d988: 00a04574 movhi r2,33045 +8112d98c: defff404 addi sp,sp,-48 +8112d990: 1086f104 addi r2,r2,7108 +8112d994: dd400715 stw r21,28(sp) +8112d998: 15400017 ldw r21,0(r2) +8112d99c: dd800815 stw r22,32(sp) +8112d9a0: dd000615 stw r20,24(sp) +8112d9a4: dcc00515 stw r19,20(sp) +8112d9a8: d9000015 stw r4,0(sp) +8112d9ac: dfc00b15 stw ra,44(sp) +8112d9b0: df000a15 stw fp,40(sp) +8112d9b4: ddc00915 stw r23,36(sp) +8112d9b8: dc800415 stw r18,16(sp) +8112d9bc: dc400315 stw r17,12(sp) +8112d9c0: dc000215 stw r16,8(sp) +8112d9c4: 2829883a mov r20,r5 +8112d9c8: 3027883a mov r19,r6 +8112d9cc: 382d883a mov r22,r7 +8112d9d0: 2809883a mov r4,r5 +8112d9d4: 24000003 ldbu r16,0(r4) +8112d9d8: 24400044 addi r17,r4,1 +8112d9dc: 2007883a mov r3,r4 +8112d9e0: ac05883a add r2,r21,r16 +8112d9e4: 10800043 ldbu r2,1(r2) +8112d9e8: 8809883a mov r4,r17 +8112d9ec: 1080020c andi r2,r2,8 +8112d9f0: 103ff81e bne r2,zero,8112d9d4 <__reset+0xfb10d9d4> +8112d9f4: 00800b44 movi r2,45 +8112d9f8: 80805826 beq r16,r2,8112db5c <_strtol_r+0x1d4> +8112d9fc: 00800ac4 movi r2,43 +8112da00: 80805a26 beq r16,r2,8112db6c <_strtol_r+0x1e4> +8112da04: 0039883a mov fp,zero +8112da08: b0004426 beq r22,zero,8112db1c <_strtol_r+0x194> +8112da0c: 00800404 movi r2,16 +8112da10: b0806026 beq r22,r2,8112db94 <_strtol_r+0x20c> +8112da14: b02f883a mov r23,r22 +8112da18: 00a00034 movhi r2,32768 +8112da1c: e025003a cmpeq r18,fp,zero +8112da20: 14a5c83a sub r18,r2,r18 +8112da24: b80b883a mov r5,r23 +8112da28: 9009883a mov r4,r18 +8112da2c: 112b3f00 call 8112b3f0 <__umodsi3> +8112da30: b80b883a mov r5,r23 +8112da34: 9009883a mov r4,r18 +8112da38: d8800115 stw r2,4(sp) +8112da3c: 112b38c0 call 8112b38c <__udivsi3> +8112da40: ac07883a add r3,r21,r16 +8112da44: 18c00043 ldbu r3,1(r3) +8112da48: 880b883a mov r5,r17 +8112da4c: 000d883a mov r6,zero +8112da50: 1a00010c andi r8,r3,4 +8112da54: 0009883a mov r4,zero +8112da58: 02800044 movi r10,1 +8112da5c: 027fffc4 movi r9,-1 +8112da60: d9c00117 ldw r7,4(sp) +8112da64: 40000e26 beq r8,zero,8112daa0 <_strtol_r+0x118> +8112da68: 843ff404 addi r16,r16,-48 +8112da6c: 8580120e bge r16,r22,8112dab8 <_strtol_r+0x130> +8112da70: 32400526 beq r6,r9,8112da88 <_strtol_r+0x100> +8112da74: 11002536 bltu r2,r4,8112db0c <_strtol_r+0x184> +8112da78: 20802326 beq r4,r2,8112db08 <_strtol_r+0x180> +8112da7c: 25c9383a mul r4,r4,r23 +8112da80: 01800044 movi r6,1 +8112da84: 8109883a add r4,r16,r4 +8112da88: 2c000003 ldbu r16,0(r5) +8112da8c: 29400044 addi r5,r5,1 +8112da90: ac07883a add r3,r21,r16 +8112da94: 18c00043 ldbu r3,1(r3) +8112da98: 1a00010c andi r8,r3,4 +8112da9c: 403ff21e bne r8,zero,8112da68 <__reset+0xfb10da68> +8112daa0: 18c000cc andi r3,r3,3 +8112daa4: 18000426 beq r3,zero,8112dab8 <_strtol_r+0x130> +8112daa8: 1a801a26 beq r3,r10,8112db14 <_strtol_r+0x18c> +8112daac: 00c015c4 movi r3,87 +8112dab0: 80e1c83a sub r16,r16,r3 +8112dab4: 85bfee16 blt r16,r22,8112da70 <__reset+0xfb10da70> +8112dab8: 00bfffc4 movi r2,-1 +8112dabc: 30801e26 beq r6,r2,8112db38 <_strtol_r+0x1b0> +8112dac0: e0001b1e bne fp,zero,8112db30 <_strtol_r+0x1a8> +8112dac4: 2005883a mov r2,r4 +8112dac8: 98000326 beq r19,zero,8112dad8 <_strtol_r+0x150> +8112dacc: 3000211e bne r6,zero,8112db54 <_strtol_r+0x1cc> +8112dad0: a00b883a mov r5,r20 +8112dad4: 99400015 stw r5,0(r19) +8112dad8: dfc00b17 ldw ra,44(sp) +8112dadc: df000a17 ldw fp,40(sp) +8112dae0: ddc00917 ldw r23,36(sp) +8112dae4: dd800817 ldw r22,32(sp) +8112dae8: dd400717 ldw r21,28(sp) +8112daec: dd000617 ldw r20,24(sp) +8112daf0: dcc00517 ldw r19,20(sp) +8112daf4: dc800417 ldw r18,16(sp) +8112daf8: dc400317 ldw r17,12(sp) +8112dafc: dc000217 ldw r16,8(sp) +8112db00: dec00c04 addi sp,sp,48 +8112db04: f800283a ret +8112db08: 3c3fdc0e bge r7,r16,8112da7c <__reset+0xfb10da7c> +8112db0c: 01bfffc4 movi r6,-1 +8112db10: 003fdd06 br 8112da88 <__reset+0xfb10da88> +8112db14: 00c00dc4 movi r3,55 +8112db18: 003fe506 br 8112dab0 <__reset+0xfb10dab0> +8112db1c: 00800c04 movi r2,48 +8112db20: 80801626 beq r16,r2,8112db7c <_strtol_r+0x1f4> +8112db24: 05800284 movi r22,10 +8112db28: b02f883a mov r23,r22 +8112db2c: 003fba06 br 8112da18 <__reset+0xfb10da18> +8112db30: 0109c83a sub r4,zero,r4 +8112db34: 003fe306 br 8112dac4 <__reset+0xfb10dac4> +8112db38: d9000017 ldw r4,0(sp) +8112db3c: 00c00884 movi r3,34 +8112db40: e005003a cmpeq r2,fp,zero +8112db44: 20c00015 stw r3,0(r4) +8112db48: 00e00034 movhi r3,32768 +8112db4c: 1885c83a sub r2,r3,r2 +8112db50: 983fe126 beq r19,zero,8112dad8 <__reset+0xfb10dad8> +8112db54: 297fffc4 addi r5,r5,-1 +8112db58: 003fde06 br 8112dad4 <__reset+0xfb10dad4> +8112db5c: 1c400084 addi r17,r3,2 +8112db60: 1c000043 ldbu r16,1(r3) +8112db64: 07000044 movi fp,1 +8112db68: 003fa706 br 8112da08 <__reset+0xfb10da08> +8112db6c: 1c400084 addi r17,r3,2 +8112db70: 1c000043 ldbu r16,1(r3) +8112db74: 0039883a mov fp,zero +8112db78: 003fa306 br 8112da08 <__reset+0xfb10da08> +8112db7c: 88800003 ldbu r2,0(r17) +8112db80: 00c01604 movi r3,88 +8112db84: 108037cc andi r2,r2,223 +8112db88: 10c00826 beq r2,r3,8112dbac <_strtol_r+0x224> +8112db8c: 05800204 movi r22,8 +8112db90: 003fa006 br 8112da14 <__reset+0xfb10da14> +8112db94: 00800c04 movi r2,48 +8112db98: 80bf9e1e bne r16,r2,8112da14 <__reset+0xfb10da14> +8112db9c: 88800003 ldbu r2,0(r17) +8112dba0: 00c01604 movi r3,88 +8112dba4: 108037cc andi r2,r2,223 +8112dba8: 10ff9a1e bne r2,r3,8112da14 <__reset+0xfb10da14> +8112dbac: 05c00404 movi r23,16 +8112dbb0: 8c000043 ldbu r16,1(r17) +8112dbb4: b82d883a mov r22,r23 +8112dbb8: 8c400084 addi r17,r17,2 +8112dbbc: 003f9606 br 8112da18 <__reset+0xfb10da18> + +8112dbc0 : +8112dbc0: 00a04574 movhi r2,33045 +8112dbc4: 1086f304 addi r2,r2,7116 +8112dbc8: 300f883a mov r7,r6 +8112dbcc: 280d883a mov r6,r5 +8112dbd0: 200b883a mov r5,r4 +8112dbd4: 11000017 ldw r4,0(r2) +8112dbd8: 112d9881 jmpi 8112d988 <_strtol_r> + +8112dbdc : +8112dbdc: 00a04574 movhi r2,33045 +8112dbe0: 1086f304 addi r2,r2,7116 +8112dbe4: 300f883a mov r7,r6 +8112dbe8: 280d883a mov r6,r5 +8112dbec: 200b883a mov r5,r4 +8112dbf0: 11000017 ldw r4,0(r2) +8112dbf4: 112dbf81 jmpi 8112dbf8 <_strtoll_r> + +8112dbf8 <_strtoll_r>: +8112dbf8: 00a04574 movhi r2,33045 +8112dbfc: defff304 addi sp,sp,-52 +8112dc00: 1086f104 addi r2,r2,7108 +8112dc04: dc800515 stw r18,20(sp) +8112dc08: 14800017 ldw r18,0(r2) +8112dc0c: dd800915 stw r22,36(sp) +8112dc10: dd400815 stw r21,32(sp) +8112dc14: dcc00615 stw r19,24(sp) +8112dc18: d9000015 stw r4,0(sp) +8112dc1c: dfc00c15 stw ra,48(sp) +8112dc20: df000b15 stw fp,44(sp) +8112dc24: ddc00a15 stw r23,40(sp) +8112dc28: dd000715 stw r20,28(sp) +8112dc2c: dc400415 stw r17,16(sp) +8112dc30: dc000315 stw r16,12(sp) +8112dc34: 282d883a mov r22,r5 +8112dc38: 302b883a mov r21,r6 +8112dc3c: 3827883a mov r19,r7 +8112dc40: 2809883a mov r4,r5 +8112dc44: 24000003 ldbu r16,0(r4) +8112dc48: 24400044 addi r17,r4,1 +8112dc4c: 2007883a mov r3,r4 +8112dc50: 9405883a add r2,r18,r16 +8112dc54: 10800043 ldbu r2,1(r2) +8112dc58: 8809883a mov r4,r17 +8112dc5c: 1080020c andi r2,r2,8 +8112dc60: 103ff81e bne r2,zero,8112dc44 <__reset+0xfb10dc44> +8112dc64: 00800b44 movi r2,45 +8112dc68: 80807826 beq r16,r2,8112de4c <_strtoll_r+0x254> +8112dc6c: 00800ac4 movi r2,43 +8112dc70: 80807a26 beq r16,r2,8112de5c <_strtoll_r+0x264> +8112dc74: 0039883a mov fp,zero +8112dc78: 98004e26 beq r19,zero,8112ddb4 <_strtoll_r+0x1bc> +8112dc7c: 00800404 movi r2,16 +8112dc80: 98808226 beq r19,r2,8112de8c <_strtoll_r+0x294> +8112dc84: 982fd7fa srai r23,r19,31 +8112dc88: 9829883a mov r20,r19 +8112dc8c: e0004f26 beq fp,zero,8112ddcc <_strtoll_r+0x1d4> +8112dc90: 0017883a mov r11,zero +8112dc94: 02a00034 movhi r10,32768 +8112dc98: 5809883a mov r4,r11 +8112dc9c: 500b883a mov r5,r10 +8112dca0: a00d883a mov r6,r20 +8112dca4: b80f883a mov r7,r23 +8112dca8: da800215 stw r10,8(sp) +8112dcac: dac00115 stw r11,4(sp) +8112dcb0: 113eae00 call 8113eae0 <__umoddi3> +8112dcb4: dac00117 ldw r11,4(sp) +8112dcb8: da800217 ldw r10,8(sp) +8112dcbc: a00d883a mov r6,r20 +8112dcc0: 5809883a mov r4,r11 +8112dcc4: 500b883a mov r5,r10 +8112dcc8: b80f883a mov r7,r23 +8112dccc: d8800115 stw r2,4(sp) +8112dcd0: 113e5680 call 8113e568 <__udivdi3> +8112dcd4: 9409883a add r4,r18,r16 +8112dcd8: 21000043 ldbu r4,1(r4) +8112dcdc: 1019883a mov r12,r2 +8112dce0: 880b883a mov r5,r17 +8112dce4: 2240010c andi r9,r4,4 +8112dce8: 0015883a mov r10,zero +8112dcec: 000d883a mov r6,zero +8112dcf0: 000f883a mov r7,zero +8112dcf4: 03400044 movi r13,1 +8112dcf8: 02ffffc4 movi r11,-1 +8112dcfc: da000117 ldw r8,4(sp) +8112dd00: 48000d26 beq r9,zero,8112dd38 <_strtoll_r+0x140> +8112dd04: 843ff404 addi r16,r16,-48 +8112dd08: 84c0110e bge r16,r19,8112dd50 <_strtoll_r+0x158> +8112dd0c: 52c00426 beq r10,r11,8112dd20 <_strtoll_r+0x128> +8112dd10: 19c00236 bltu r3,r7,8112dd1c <_strtoll_r+0x124> +8112dd14: 38c0311e bne r7,r3,8112dddc <_strtoll_r+0x1e4> +8112dd18: 6180302e bgeu r12,r6,8112dddc <_strtoll_r+0x1e4> +8112dd1c: 02bfffc4 movi r10,-1 +8112dd20: 2c000003 ldbu r16,0(r5) +8112dd24: 29400044 addi r5,r5,1 +8112dd28: 9409883a add r4,r18,r16 +8112dd2c: 21000043 ldbu r4,1(r4) +8112dd30: 2240010c andi r9,r4,4 +8112dd34: 483ff31e bne r9,zero,8112dd04 <__reset+0xfb10dd04> +8112dd38: 210000cc andi r4,r4,3 +8112dd3c: 20000426 beq r4,zero,8112dd50 <_strtoll_r+0x158> +8112dd40: 23403426 beq r4,r13,8112de14 <_strtoll_r+0x21c> +8112dd44: 008015c4 movi r2,87 +8112dd48: 80a1c83a sub r16,r16,r2 +8112dd4c: 84ffef16 blt r16,r19,8112dd0c <__reset+0xfb10dd0c> +8112dd50: 00bfffc4 movi r2,-1 +8112dd54: 50803426 beq r10,r2,8112de28 <_strtoll_r+0x230> +8112dd58: e0000426 beq fp,zero,8112dd6c <_strtoll_r+0x174> +8112dd5c: 018dc83a sub r6,zero,r6 +8112dd60: 3004c03a cmpne r2,r6,zero +8112dd64: 01e1c83a sub r16,zero,r7 +8112dd68: 808fc83a sub r7,r16,r2 +8112dd6c: 3005883a mov r2,r6 +8112dd70: 3807883a mov r3,r7 +8112dd74: a8000326 beq r21,zero,8112dd84 <_strtoll_r+0x18c> +8112dd78: 5000321e bne r10,zero,8112de44 <_strtoll_r+0x24c> +8112dd7c: b00b883a mov r5,r22 +8112dd80: a9400015 stw r5,0(r21) +8112dd84: dfc00c17 ldw ra,48(sp) +8112dd88: df000b17 ldw fp,44(sp) +8112dd8c: ddc00a17 ldw r23,40(sp) +8112dd90: dd800917 ldw r22,36(sp) +8112dd94: dd400817 ldw r21,32(sp) +8112dd98: dd000717 ldw r20,28(sp) +8112dd9c: dcc00617 ldw r19,24(sp) +8112dda0: dc800517 ldw r18,20(sp) +8112dda4: dc400417 ldw r17,16(sp) +8112dda8: dc000317 ldw r16,12(sp) +8112ddac: dec00d04 addi sp,sp,52 +8112ddb0: f800283a ret +8112ddb4: 00800c04 movi r2,48 +8112ddb8: 80802c26 beq r16,r2,8112de6c <_strtoll_r+0x274> +8112ddbc: 05000284 movi r20,10 +8112ddc0: 002f883a mov r23,zero +8112ddc4: a027883a mov r19,r20 +8112ddc8: e03fb11e bne fp,zero,8112dc90 <__reset+0xfb10dc90> +8112ddcc: 02a00034 movhi r10,32768 +8112ddd0: 52bfffc4 addi r10,r10,-1 +8112ddd4: 02ffffc4 movi r11,-1 +8112ddd8: 003faf06 br 8112dc98 <__reset+0xfb10dc98> +8112dddc: 33000f26 beq r6,r12,8112de1c <_strtoll_r+0x224> +8112dde0: b985383a mul r2,r23,r6 +8112dde4: 3d0f383a mul r7,r7,r20 +8112dde8: 3508383a mulxuu r4,r6,r20 +8112ddec: 350d383a mul r6,r6,r20 +8112ddf0: 8013d7fa srai r9,r16,31 +8112ddf4: 388f883a add r7,r7,r2 +8112ddf8: 818d883a add r6,r16,r6 +8112ddfc: 390f883a add r7,r7,r4 +8112de00: 3421803a cmpltu r16,r6,r16 +8112de04: 49cf883a add r7,r9,r7 +8112de08: 81cf883a add r7,r16,r7 +8112de0c: 02800044 movi r10,1 +8112de10: 003fc306 br 8112dd20 <__reset+0xfb10dd20> +8112de14: 00800dc4 movi r2,55 +8112de18: 003fcb06 br 8112dd48 <__reset+0xfb10dd48> +8112de1c: 38fff01e bne r7,r3,8112dde0 <__reset+0xfb10dde0> +8112de20: 443fbe16 blt r8,r16,8112dd1c <__reset+0xfb10dd1c> +8112de24: 003fee06 br 8112dde0 <__reset+0xfb10dde0> +8112de28: e0002426 beq fp,zero,8112debc <_strtoll_r+0x2c4> +8112de2c: 0005883a mov r2,zero +8112de30: 00e00034 movhi r3,32768 +8112de34: d9800017 ldw r6,0(sp) +8112de38: 01000884 movi r4,34 +8112de3c: 31000015 stw r4,0(r6) +8112de40: a83fd026 beq r21,zero,8112dd84 <__reset+0xfb10dd84> +8112de44: 297fffc4 addi r5,r5,-1 +8112de48: 003fcd06 br 8112dd80 <__reset+0xfb10dd80> +8112de4c: 1c400084 addi r17,r3,2 +8112de50: 1c000043 ldbu r16,1(r3) +8112de54: 07000044 movi fp,1 +8112de58: 003f8706 br 8112dc78 <__reset+0xfb10dc78> +8112de5c: 1c400084 addi r17,r3,2 +8112de60: 1c000043 ldbu r16,1(r3) +8112de64: 0039883a mov fp,zero +8112de68: 003f8306 br 8112dc78 <__reset+0xfb10dc78> +8112de6c: 88800003 ldbu r2,0(r17) +8112de70: 00c01604 movi r3,88 +8112de74: 108037cc andi r2,r2,223 +8112de78: 10c00a26 beq r2,r3,8112dea4 <_strtoll_r+0x2ac> +8112de7c: 05000204 movi r20,8 +8112de80: 002f883a mov r23,zero +8112de84: a027883a mov r19,r20 +8112de88: 003f8006 br 8112dc8c <__reset+0xfb10dc8c> +8112de8c: 00800c04 movi r2,48 +8112de90: 80800e1e bne r16,r2,8112decc <_strtoll_r+0x2d4> +8112de94: 88800003 ldbu r2,0(r17) +8112de98: 00c01604 movi r3,88 +8112de9c: 108037cc andi r2,r2,223 +8112dea0: 10c00a1e bne r2,r3,8112decc <_strtoll_r+0x2d4> +8112dea4: 05000404 movi r20,16 +8112dea8: 8c000043 ldbu r16,1(r17) +8112deac: 002f883a mov r23,zero +8112deb0: 8c400084 addi r17,r17,2 +8112deb4: a027883a mov r19,r20 +8112deb8: 003f7406 br 8112dc8c <__reset+0xfb10dc8c> +8112debc: 00e00034 movhi r3,32768 +8112dec0: 18ffffc4 addi r3,r3,-1 +8112dec4: 5005883a mov r2,r10 +8112dec8: 003fda06 br 8112de34 <__reset+0xfb10de34> +8112decc: 9829883a mov r20,r19 +8112ded0: 002f883a mov r23,zero +8112ded4: 003f6d06 br 8112dc8c <__reset+0xfb10dc8c> + +8112ded8 <___svfprintf_internal_r>: +8112ded8: deffb704 addi sp,sp,-292 +8112dedc: dfc04815 stw ra,288(sp) +8112dee0: ddc04615 stw r23,280(sp) +8112dee4: d9402c15 stw r5,176(sp) +8112dee8: d9003915 stw r4,228(sp) +8112deec: 302f883a mov r23,r6 +8112def0: d9c02d15 stw r7,180(sp) +8112def4: df004715 stw fp,284(sp) +8112def8: dd804515 stw r22,276(sp) +8112defc: dd404415 stw r21,272(sp) +8112df00: dd004315 stw r20,268(sp) +8112df04: dcc04215 stw r19,264(sp) +8112df08: dc804115 stw r18,260(sp) +8112df0c: dc404015 stw r17,256(sp) +8112df10: dc003f15 stw r16,252(sp) +8112df14: 1136cf00 call 81136cf0 <_localeconv_r> +8112df18: 10800017 ldw r2,0(r2) +8112df1c: 1009883a mov r4,r2 +8112df20: d8803415 stw r2,208(sp) +8112df24: 112d8ac0 call 8112d8ac +8112df28: d8c02c17 ldw r3,176(sp) +8112df2c: d8803815 stw r2,224(sp) +8112df30: 1880030b ldhu r2,12(r3) +8112df34: 1080200c andi r2,r2,128 +8112df38: 10000226 beq r2,zero,8112df44 <___svfprintf_internal_r+0x6c> +8112df3c: 18800417 ldw r2,16(r3) +8112df40: 10067f26 beq r2,zero,8112f940 <___svfprintf_internal_r+0x1a68> +8112df44: dcc03917 ldw r19,228(sp) +8112df48: d8c00404 addi r3,sp,16 +8112df4c: 05604574 movhi r21,33045 +8112df50: d9001e04 addi r4,sp,120 +8112df54: ad7ecd84 addi r21,r21,-1226 +8112df58: d8c01e15 stw r3,120(sp) +8112df5c: d8002015 stw zero,128(sp) +8112df60: d8001f15 stw zero,124(sp) +8112df64: d8003315 stw zero,204(sp) +8112df68: d8003615 stw zero,216(sp) +8112df6c: d8003715 stw zero,220(sp) +8112df70: 1811883a mov r8,r3 +8112df74: d8003a15 stw zero,232(sp) +8112df78: d8003b15 stw zero,236(sp) +8112df7c: d8002f15 stw zero,188(sp) +8112df80: d9002815 stw r4,160(sp) +8112df84: b8800007 ldb r2,0(r23) +8112df88: 10026726 beq r2,zero,8112e928 <___svfprintf_internal_r+0xa50> +8112df8c: 00c00944 movi r3,37 +8112df90: b821883a mov r16,r23 +8112df94: 10c0021e bne r2,r3,8112dfa0 <___svfprintf_internal_r+0xc8> +8112df98: 00001406 br 8112dfec <___svfprintf_internal_r+0x114> +8112df9c: 10c00326 beq r2,r3,8112dfac <___svfprintf_internal_r+0xd4> +8112dfa0: 84000044 addi r16,r16,1 +8112dfa4: 80800007 ldb r2,0(r16) +8112dfa8: 103ffc1e bne r2,zero,8112df9c <__reset+0xfb10df9c> +8112dfac: 85e3c83a sub r17,r16,r23 +8112dfb0: 88000e26 beq r17,zero,8112dfec <___svfprintf_internal_r+0x114> +8112dfb4: d8c02017 ldw r3,128(sp) +8112dfb8: d8801f17 ldw r2,124(sp) +8112dfbc: 45c00015 stw r23,0(r8) +8112dfc0: 1c47883a add r3,r3,r17 +8112dfc4: 10800044 addi r2,r2,1 +8112dfc8: d8c02015 stw r3,128(sp) +8112dfcc: 44400115 stw r17,4(r8) +8112dfd0: d8801f15 stw r2,124(sp) +8112dfd4: 00c001c4 movi r3,7 +8112dfd8: 18809716 blt r3,r2,8112e238 <___svfprintf_internal_r+0x360> +8112dfdc: 42000204 addi r8,r8,8 +8112dfe0: d9402f17 ldw r5,188(sp) +8112dfe4: 2c4b883a add r5,r5,r17 +8112dfe8: d9402f15 stw r5,188(sp) +8112dfec: 80800007 ldb r2,0(r16) +8112dff0: 10009826 beq r2,zero,8112e254 <___svfprintf_internal_r+0x37c> +8112dff4: 84400047 ldb r17,1(r16) +8112dff8: 00bfffc4 movi r2,-1 +8112dffc: 85c00044 addi r23,r16,1 +8112e000: d8002785 stb zero,158(sp) +8112e004: 0007883a mov r3,zero +8112e008: 000f883a mov r7,zero +8112e00c: d8802915 stw r2,164(sp) +8112e010: d8003115 stw zero,196(sp) +8112e014: 0025883a mov r18,zero +8112e018: 01401604 movi r5,88 +8112e01c: 01800244 movi r6,9 +8112e020: 02800a84 movi r10,42 +8112e024: 02401b04 movi r9,108 +8112e028: bdc00044 addi r23,r23,1 +8112e02c: 88bff804 addi r2,r17,-32 +8112e030: 2882f036 bltu r5,r2,8112ebf4 <___svfprintf_internal_r+0xd1c> +8112e034: 100490ba slli r2,r2,2 +8112e038: 012044f4 movhi r4,33043 +8112e03c: 21381304 addi r4,r4,-8116 +8112e040: 1105883a add r2,r2,r4 +8112e044: 10800017 ldw r2,0(r2) +8112e048: 1000683a jmp r2 +8112e04c: 8112eb5c xori r4,r16,19373 +8112e050: 8112ebf4 orhi r4,r16,19375 +8112e054: 8112ebf4 orhi r4,r16,19375 +8112e058: 8112eb50 cmplti r4,r16,19373 +8112e05c: 8112ebf4 orhi r4,r16,19375 +8112e060: 8112ebf4 orhi r4,r16,19375 +8112e064: 8112ebf4 orhi r4,r16,19375 +8112e068: 8112ebf4 orhi r4,r16,19375 +8112e06c: 8112ebf4 orhi r4,r16,19375 +8112e070: 8112ebf4 orhi r4,r16,19375 +8112e074: 8112e2b0 cmpltui r4,r16,19338 +8112e078: 8112ea8c andi r4,r16,19370 +8112e07c: 8112ebf4 orhi r4,r16,19375 +8112e080: 8112e1c0 call 88112e1c <__reset+0x20f2e1c> +8112e084: 8112e2d8 cmpnei r4,r16,19339 +8112e088: 8112ebf4 orhi r4,r16,19375 +8112e08c: 8112e34c andi r4,r16,19341 +8112e090: 8112e318 cmpnei r4,r16,19340 +8112e094: 8112e318 cmpnei r4,r16,19340 +8112e098: 8112e318 cmpnei r4,r16,19340 +8112e09c: 8112e318 cmpnei r4,r16,19340 8112e0a0: 8112e318 cmpnei r4,r16,19340 -8112e0a4: 8112ebb4 orhi r4,r16,19374 +8112e0a4: 8112e318 cmpnei r4,r16,19340 8112e0a8: 8112e318 cmpnei r4,r16,19340 -8112e0ac: 8112ebb4 orhi r4,r16,19374 -8112e0b0: 8112ebb4 orhi r4,r16,19374 -8112e0b4: 8112ebb4 orhi r4,r16,19374 -8112e0b8: 8112ebb4 orhi r4,r16,19374 -8112e0bc: 8112e3b8 rdprs r4,r16,19342 -8112e0c0: 8112ebb4 orhi r4,r16,19374 -8112e0c4: 8112ebb4 orhi r4,r16,19374 -8112e0c8: 8112e480 call 88112e48 <__reset+0x20f2e48> -8112e0cc: 8112ebb4 orhi r4,r16,19374 -8112e0d0: 8112ebb4 orhi r4,r16,19374 -8112e0d4: 8112ebb4 orhi r4,r16,19374 -8112e0d8: 8112ebb4 orhi r4,r16,19374 -8112e0dc: 8112ebb4 orhi r4,r16,19374 -8112e0e0: 8112e8f0 cmpltui r4,r16,19363 -8112e0e4: 8112ebb4 orhi r4,r16,19374 -8112e0e8: 8112ebb4 orhi r4,r16,19374 -8112e0ec: 8112e950 cmplti r4,r16,19365 -8112e0f0: 8112ebb4 orhi r4,r16,19374 -8112e0f4: 8112ebb4 orhi r4,r16,19374 -8112e0f8: 8112ebb4 orhi r4,r16,19374 -8112e0fc: 8112ebb4 orhi r4,r16,19374 -8112e100: 8112ebb4 orhi r4,r16,19374 -8112e104: 8112ebb4 orhi r4,r16,19374 -8112e108: 8112ebb4 orhi r4,r16,19374 -8112e10c: 8112ebb4 orhi r4,r16,19374 -8112e110: 8112ebb4 orhi r4,r16,19374 -8112e114: 8112ebb4 orhi r4,r16,19374 -8112e118: 8112ea00 call 88112ea0 <__reset+0x20f2ea0> -8112e11c: 8112eb3c xorhi r4,r16,19372 -8112e120: 8112e318 cmpnei r4,r16,19340 -8112e124: 8112e318 cmpnei r4,r16,19340 -8112e128: 8112e318 cmpnei r4,r16,19340 -8112e12c: 8112eb90 cmplti r4,r16,19374 -8112e130: 8112eb3c xorhi r4,r16,19372 -8112e134: 8112ebb4 orhi r4,r16,19374 -8112e138: 8112ebb4 orhi r4,r16,19374 -8112e13c: 8112eb4c andi r4,r16,19373 -8112e140: 8112ebb4 orhi r4,r16,19374 -8112e144: 8112eb5c xori r4,r16,19373 -8112e148: 8112ea3c xorhi r4,r16,19368 -8112e14c: 8112e18c andi r4,r16,19334 -8112e150: 8112ea5c xori r4,r16,19369 -8112e154: 8112ebb4 orhi r4,r16,19374 -8112e158: 8112ea68 cmpgeui r4,r16,19369 -8112e15c: 8112ebb4 orhi r4,r16,19374 -8112e160: 8112eac4 addi r4,r16,19371 -8112e164: 8112ebb4 orhi r4,r16,19374 -8112e168: 8112ebb4 orhi r4,r16,19374 -8112e16c: 8112ead4 ori r4,r16,19371 -8112e170: d9003117 ldw r4,196(sp) -8112e174: d8802d15 stw r2,180(sp) -8112e178: 0109c83a sub r4,zero,r4 -8112e17c: d9003115 stw r4,196(sp) -8112e180: 94800114 ori r18,r18,4 -8112e184: bc400007 ldb r17,0(r23) -8112e188: 003f9706 br 8112dfe8 <__reset+0xfb10dfe8> -8112e18c: 00800c04 movi r2,48 -8112e190: d9002d17 ldw r4,180(sp) -8112e194: d9402917 ldw r5,164(sp) -8112e198: d8802705 stb r2,156(sp) -8112e19c: 00801e04 movi r2,120 -8112e1a0: d8802745 stb r2,157(sp) -8112e1a4: d8002785 stb zero,158(sp) -8112e1a8: 20c00104 addi r3,r4,4 -8112e1ac: 25000017 ldw r20,0(r4) -8112e1b0: 002d883a mov r22,zero -8112e1b4: 90800094 ori r2,r18,2 -8112e1b8: 28028616 blt r5,zero,8112ebd4 <___svfprintf_internal_r+0xd3c> -8112e1bc: 00bfdfc4 movi r2,-129 -8112e1c0: 90a4703a and r18,r18,r2 -8112e1c4: d8c02d15 stw r3,180(sp) -8112e1c8: 94800094 ori r18,r18,2 -8112e1cc: a002731e bne r20,zero,8112eb9c <___svfprintf_internal_r+0xd04> -8112e1d0: 00a04574 movhi r2,33045 -8112e1d4: 10beb504 addi r2,r2,-1324 -8112e1d8: d8803a15 stw r2,232(sp) -8112e1dc: 04401e04 movi r17,120 -8112e1e0: d8c02917 ldw r3,164(sp) -8112e1e4: 0039883a mov fp,zero -8112e1e8: 1801d526 beq r3,zero,8112e940 <___svfprintf_internal_r+0xaa8> -8112e1ec: 0029883a mov r20,zero +8112e0ac: 8112e318 cmpnei r4,r16,19340 +8112e0b0: 8112e318 cmpnei r4,r16,19340 +8112e0b4: 8112ebf4 orhi r4,r16,19375 +8112e0b8: 8112ebf4 orhi r4,r16,19375 +8112e0bc: 8112ebf4 orhi r4,r16,19375 +8112e0c0: 8112ebf4 orhi r4,r16,19375 +8112e0c4: 8112ebf4 orhi r4,r16,19375 +8112e0c8: 8112ebf4 orhi r4,r16,19375 +8112e0cc: 8112ebf4 orhi r4,r16,19375 +8112e0d0: 8112ebf4 orhi r4,r16,19375 +8112e0d4: 8112ebf4 orhi r4,r16,19375 +8112e0d8: 8112ebf4 orhi r4,r16,19375 +8112e0dc: 8112e404 addi r4,r16,19344 +8112e0e0: 8112e358 cmpnei r4,r16,19341 +8112e0e4: 8112ebf4 orhi r4,r16,19375 +8112e0e8: 8112e358 cmpnei r4,r16,19341 +8112e0ec: 8112ebf4 orhi r4,r16,19375 +8112e0f0: 8112ebf4 orhi r4,r16,19375 +8112e0f4: 8112ebf4 orhi r4,r16,19375 +8112e0f8: 8112ebf4 orhi r4,r16,19375 +8112e0fc: 8112e3f8 rdprs r4,r16,19343 +8112e100: 8112ebf4 orhi r4,r16,19375 +8112e104: 8112ebf4 orhi r4,r16,19375 +8112e108: 8112e4c0 call 88112e4c <__reset+0x20f2e4c> +8112e10c: 8112ebf4 orhi r4,r16,19375 +8112e110: 8112ebf4 orhi r4,r16,19375 +8112e114: 8112ebf4 orhi r4,r16,19375 +8112e118: 8112ebf4 orhi r4,r16,19375 +8112e11c: 8112ebf4 orhi r4,r16,19375 +8112e120: 8112e930 cmpltui r4,r16,19364 +8112e124: 8112ebf4 orhi r4,r16,19375 +8112e128: 8112ebf4 orhi r4,r16,19375 +8112e12c: 8112e990 cmplti r4,r16,19366 +8112e130: 8112ebf4 orhi r4,r16,19375 +8112e134: 8112ebf4 orhi r4,r16,19375 +8112e138: 8112ebf4 orhi r4,r16,19375 +8112e13c: 8112ebf4 orhi r4,r16,19375 +8112e140: 8112ebf4 orhi r4,r16,19375 +8112e144: 8112ebf4 orhi r4,r16,19375 +8112e148: 8112ebf4 orhi r4,r16,19375 +8112e14c: 8112ebf4 orhi r4,r16,19375 +8112e150: 8112ebf4 orhi r4,r16,19375 +8112e154: 8112ebf4 orhi r4,r16,19375 +8112e158: 8112ea40 call 88112ea4 <__reset+0x20f2ea4> +8112e15c: 8112eb7c xorhi r4,r16,19373 +8112e160: 8112e358 cmpnei r4,r16,19341 +8112e164: 8112e358 cmpnei r4,r16,19341 +8112e168: 8112e358 cmpnei r4,r16,19341 +8112e16c: 8112ebd0 cmplti r4,r16,19375 +8112e170: 8112eb7c xorhi r4,r16,19373 +8112e174: 8112ebf4 orhi r4,r16,19375 +8112e178: 8112ebf4 orhi r4,r16,19375 +8112e17c: 8112eb8c andi r4,r16,19374 +8112e180: 8112ebf4 orhi r4,r16,19375 +8112e184: 8112eb9c xori r4,r16,19374 +8112e188: 8112ea7c xorhi r4,r16,19369 +8112e18c: 8112e1cc andi r4,r16,19335 +8112e190: 8112ea9c xori r4,r16,19370 +8112e194: 8112ebf4 orhi r4,r16,19375 +8112e198: 8112eaa8 cmpgeui r4,r16,19370 +8112e19c: 8112ebf4 orhi r4,r16,19375 +8112e1a0: 8112eb04 addi r4,r16,19372 +8112e1a4: 8112ebf4 orhi r4,r16,19375 +8112e1a8: 8112ebf4 orhi r4,r16,19375 +8112e1ac: 8112eb14 ori r4,r16,19372 +8112e1b0: d9003117 ldw r4,196(sp) +8112e1b4: d8802d15 stw r2,180(sp) +8112e1b8: 0109c83a sub r4,zero,r4 +8112e1bc: d9003115 stw r4,196(sp) +8112e1c0: 94800114 ori r18,r18,4 +8112e1c4: bc400007 ldb r17,0(r23) +8112e1c8: 003f9706 br 8112e028 <__reset+0xfb10e028> +8112e1cc: 00800c04 movi r2,48 +8112e1d0: d9002d17 ldw r4,180(sp) +8112e1d4: d9402917 ldw r5,164(sp) +8112e1d8: d8802705 stb r2,156(sp) +8112e1dc: 00801e04 movi r2,120 +8112e1e0: d8802745 stb r2,157(sp) +8112e1e4: d8002785 stb zero,158(sp) +8112e1e8: 20c00104 addi r3,r4,4 +8112e1ec: 25000017 ldw r20,0(r4) 8112e1f0: 002d883a mov r22,zero -8112e1f4: 0001f106 br 8112e9bc <___svfprintf_internal_r+0xb24> -8112e1f8: d9402c17 ldw r5,176(sp) -8112e1fc: d9801e04 addi r6,sp,120 -8112e200: 9809883a mov r4,r19 -8112e204: 113a6400 call 8113a640 <__ssprint_r> -8112e208: 1000081e bne r2,zero,8112e22c <___svfprintf_internal_r+0x394> -8112e20c: da000404 addi r8,sp,16 -8112e210: 003f6306 br 8112dfa0 <__reset+0xfb10dfa0> -8112e214: d8802017 ldw r2,128(sp) -8112e218: 10000426 beq r2,zero,8112e22c <___svfprintf_internal_r+0x394> -8112e21c: d9402c17 ldw r5,176(sp) -8112e220: d9003917 ldw r4,228(sp) -8112e224: d9801e04 addi r6,sp,120 -8112e228: 113a6400 call 8113a640 <__ssprint_r> -8112e22c: d8802c17 ldw r2,176(sp) -8112e230: 10c0030b ldhu r3,12(r2) -8112e234: d8802f17 ldw r2,188(sp) -8112e238: 18c0100c andi r3,r3,64 -8112e23c: 1805f51e bne r3,zero,8112fa14 <___svfprintf_internal_r+0x1b7c> -8112e240: dfc04817 ldw ra,288(sp) -8112e244: df004717 ldw fp,284(sp) -8112e248: ddc04617 ldw r23,280(sp) -8112e24c: dd804517 ldw r22,276(sp) -8112e250: dd404417 ldw r21,272(sp) -8112e254: dd004317 ldw r20,268(sp) -8112e258: dcc04217 ldw r19,264(sp) -8112e25c: dc804117 ldw r18,260(sp) -8112e260: dc404017 ldw r17,256(sp) -8112e264: dc003f17 ldw r16,252(sp) -8112e268: dec04904 addi sp,sp,292 -8112e26c: f800283a ret -8112e270: d8802d17 ldw r2,180(sp) -8112e274: d9002d17 ldw r4,180(sp) -8112e278: 10800017 ldw r2,0(r2) -8112e27c: d8803115 stw r2,196(sp) -8112e280: 20800104 addi r2,r4,4 -8112e284: d9003117 ldw r4,196(sp) -8112e288: 203fb916 blt r4,zero,8112e170 <__reset+0xfb10e170> -8112e28c: d8802d15 stw r2,180(sp) -8112e290: bc400007 ldb r17,0(r23) -8112e294: 003f5406 br 8112dfe8 <__reset+0xfb10dfe8> -8112e298: bc400007 ldb r17,0(r23) -8112e29c: bac00044 addi r11,r23,1 -8112e2a0: 8a873926 beq r17,r10,8112ff88 <___svfprintf_internal_r+0x20f0> -8112e2a4: 88bff404 addi r2,r17,-48 -8112e2a8: 0009883a mov r4,zero -8112e2ac: 30868836 bltu r6,r2,8112fcd0 <___svfprintf_internal_r+0x1e38> -8112e2b0: 5c400007 ldb r17,0(r11) -8112e2b4: 210002a4 muli r4,r4,10 -8112e2b8: 5dc00044 addi r23,r11,1 -8112e2bc: b817883a mov r11,r23 -8112e2c0: 2089883a add r4,r4,r2 -8112e2c4: 88bff404 addi r2,r17,-48 -8112e2c8: 30bff92e bgeu r6,r2,8112e2b0 <__reset+0xfb10e2b0> -8112e2cc: 2005d716 blt r4,zero,8112fa2c <___svfprintf_internal_r+0x1b94> -8112e2d0: d9002915 stw r4,164(sp) -8112e2d4: 003f4506 br 8112dfec <__reset+0xfb10dfec> -8112e2d8: b809883a mov r4,r23 -8112e2dc: d8003115 stw zero,196(sp) -8112e2e0: 88bff404 addi r2,r17,-48 -8112e2e4: 0017883a mov r11,zero -8112e2e8: 24400007 ldb r17,0(r4) -8112e2ec: 5ac002a4 muli r11,r11,10 -8112e2f0: bdc00044 addi r23,r23,1 -8112e2f4: b809883a mov r4,r23 -8112e2f8: 12d7883a add r11,r2,r11 -8112e2fc: 88bff404 addi r2,r17,-48 -8112e300: 30bff92e bgeu r6,r2,8112e2e8 <__reset+0xfb10e2e8> -8112e304: dac03115 stw r11,196(sp) -8112e308: 003f3806 br 8112dfec <__reset+0xfb10dfec> -8112e30c: 94802014 ori r18,r18,128 -8112e310: bc400007 ldb r17,0(r23) -8112e314: 003f3406 br 8112dfe8 <__reset+0xfb10dfe8> -8112e318: 18c03fcc andi r3,r3,255 -8112e31c: 1807471e bne r3,zero,8113003c <___svfprintf_internal_r+0x21a4> -8112e320: 9080020c andi r2,r18,8 -8112e324: 10047d26 beq r2,zero,8112f51c <___svfprintf_internal_r+0x1684> -8112e328: d8c02d17 ldw r3,180(sp) -8112e32c: d9002d17 ldw r4,180(sp) -8112e330: d9402d17 ldw r5,180(sp) -8112e334: 18c00017 ldw r3,0(r3) -8112e338: 21000117 ldw r4,4(r4) -8112e33c: 29400204 addi r5,r5,8 -8112e340: d8c03615 stw r3,216(sp) -8112e344: d9003715 stw r4,220(sp) -8112e348: d9402d15 stw r5,180(sp) -8112e34c: d9003617 ldw r4,216(sp) -8112e350: d9403717 ldw r5,220(sp) -8112e354: da003e15 stw r8,248(sp) -8112e358: 04000044 movi r16,1 -8112e35c: 11387640 call 81138764 <__fpclassifyd> -8112e360: da003e17 ldw r8,248(sp) -8112e364: 14044b1e bne r2,r16,8112f494 <___svfprintf_internal_r+0x15fc> -8112e368: d9003617 ldw r4,216(sp) -8112e36c: d9403717 ldw r5,220(sp) -8112e370: 000d883a mov r6,zero -8112e374: 000f883a mov r7,zero -8112e378: 113f1280 call 8113f128 <__ledf2> -8112e37c: da003e17 ldw r8,248(sp) -8112e380: 1005f316 blt r2,zero,8112fb50 <___svfprintf_internal_r+0x1cb8> -8112e384: df002783 ldbu fp,158(sp) -8112e388: 008011c4 movi r2,71 -8112e38c: 1445590e bge r2,r17,8112f8f4 <___svfprintf_internal_r+0x1a5c> -8112e390: 04204574 movhi r16,33045 -8112e394: 843ead04 addi r16,r16,-1356 -8112e398: 00c000c4 movi r3,3 -8112e39c: 00bfdfc4 movi r2,-129 -8112e3a0: d8c02a15 stw r3,168(sp) -8112e3a4: 90a4703a and r18,r18,r2 -8112e3a8: d8c02e15 stw r3,184(sp) -8112e3ac: d8002915 stw zero,164(sp) -8112e3b0: d8003215 stw zero,200(sp) -8112e3b4: 00006606 br 8112e550 <___svfprintf_internal_r+0x6b8> -8112e3b8: 94800214 ori r18,r18,8 -8112e3bc: bc400007 ldb r17,0(r23) -8112e3c0: 003f0906 br 8112dfe8 <__reset+0xfb10dfe8> -8112e3c4: 18c03fcc andi r3,r3,255 -8112e3c8: 1807181e bne r3,zero,8113002c <___svfprintf_internal_r+0x2194> -8112e3cc: 94800414 ori r18,r18,16 -8112e3d0: 9080080c andi r2,r18,32 -8112e3d4: 10039626 beq r2,zero,8112f230 <___svfprintf_internal_r+0x1398> -8112e3d8: d9402d17 ldw r5,180(sp) -8112e3dc: 28800117 ldw r2,4(r5) -8112e3e0: 2d000017 ldw r20,0(r5) -8112e3e4: 29400204 addi r5,r5,8 -8112e3e8: d9402d15 stw r5,180(sp) -8112e3ec: 102d883a mov r22,r2 -8112e3f0: 10039816 blt r2,zero,8112f254 <___svfprintf_internal_r+0x13bc> -8112e3f4: d9402917 ldw r5,164(sp) -8112e3f8: df002783 ldbu fp,158(sp) -8112e3fc: 2803ab16 blt r5,zero,8112f2ac <___svfprintf_internal_r+0x1414> -8112e400: 00ffdfc4 movi r3,-129 -8112e404: a584b03a or r2,r20,r22 -8112e408: 90e4703a and r18,r18,r3 -8112e40c: 10014a26 beq r2,zero,8112e938 <___svfprintf_internal_r+0xaa0> -8112e410: b0034b26 beq r22,zero,8112f140 <___svfprintf_internal_r+0x12a8> -8112e414: dc402a15 stw r17,168(sp) -8112e418: dc001e04 addi r16,sp,120 -8112e41c: b023883a mov r17,r22 -8112e420: 402d883a mov r22,r8 -8112e424: a009883a mov r4,r20 -8112e428: 880b883a mov r5,r17 -8112e42c: 01800284 movi r6,10 -8112e430: 000f883a mov r7,zero -8112e434: 113eaa00 call 8113eaa0 <__umoddi3> -8112e438: 10800c04 addi r2,r2,48 -8112e43c: 843fffc4 addi r16,r16,-1 -8112e440: a009883a mov r4,r20 -8112e444: 880b883a mov r5,r17 -8112e448: 80800005 stb r2,0(r16) -8112e44c: 01800284 movi r6,10 -8112e450: 000f883a mov r7,zero -8112e454: 113e5280 call 8113e528 <__udivdi3> -8112e458: 1029883a mov r20,r2 -8112e45c: 10c4b03a or r2,r2,r3 -8112e460: 1823883a mov r17,r3 -8112e464: 103fef1e bne r2,zero,8112e424 <__reset+0xfb10e424> -8112e468: d8c02817 ldw r3,160(sp) -8112e46c: dc402a17 ldw r17,168(sp) -8112e470: b011883a mov r8,r22 -8112e474: 1c07c83a sub r3,r3,r16 -8112e478: d8c02e15 stw r3,184(sp) -8112e47c: 00002e06 br 8112e538 <___svfprintf_internal_r+0x6a0> -8112e480: 18c03fcc andi r3,r3,255 -8112e484: 1806e71e bne r3,zero,81130024 <___svfprintf_internal_r+0x218c> -8112e488: 94800414 ori r18,r18,16 -8112e48c: 9080080c andi r2,r18,32 -8112e490: 1002d426 beq r2,zero,8112efe4 <___svfprintf_internal_r+0x114c> -8112e494: d9402d17 ldw r5,180(sp) -8112e498: d8c02917 ldw r3,164(sp) -8112e49c: d8002785 stb zero,158(sp) -8112e4a0: 28800204 addi r2,r5,8 -8112e4a4: 2d000017 ldw r20,0(r5) -8112e4a8: 2d800117 ldw r22,4(r5) -8112e4ac: 18041516 blt r3,zero,8112f504 <___svfprintf_internal_r+0x166c> -8112e4b0: 013fdfc4 movi r4,-129 -8112e4b4: a586b03a or r3,r20,r22 -8112e4b8: d8802d15 stw r2,180(sp) -8112e4bc: 9124703a and r18,r18,r4 -8112e4c0: 1802d51e bne r3,zero,8112f018 <___svfprintf_internal_r+0x1180> -8112e4c4: d9402917 ldw r5,164(sp) -8112e4c8: 0039883a mov fp,zero -8112e4cc: 2806be26 beq r5,zero,8112ffc8 <___svfprintf_internal_r+0x2130> -8112e4d0: 0029883a mov r20,zero -8112e4d4: 002d883a mov r22,zero -8112e4d8: dc001e04 addi r16,sp,120 -8112e4dc: a006d0fa srli r3,r20,3 -8112e4e0: b008977a slli r4,r22,29 -8112e4e4: b02cd0fa srli r22,r22,3 -8112e4e8: a50001cc andi r20,r20,7 -8112e4ec: a0800c04 addi r2,r20,48 -8112e4f0: 843fffc4 addi r16,r16,-1 -8112e4f4: 20e8b03a or r20,r4,r3 -8112e4f8: 80800005 stb r2,0(r16) -8112e4fc: a586b03a or r3,r20,r22 -8112e500: 183ff61e bne r3,zero,8112e4dc <__reset+0xfb10e4dc> -8112e504: 90c0004c andi r3,r18,1 -8112e508: 18013926 beq r3,zero,8112e9f0 <___svfprintf_internal_r+0xb58> -8112e50c: 10803fcc andi r2,r2,255 -8112e510: 1080201c xori r2,r2,128 -8112e514: 10bfe004 addi r2,r2,-128 -8112e518: 00c00c04 movi r3,48 -8112e51c: 10c13426 beq r2,r3,8112e9f0 <___svfprintf_internal_r+0xb58> -8112e520: 80ffffc5 stb r3,-1(r16) -8112e524: d8c02817 ldw r3,160(sp) -8112e528: 80bfffc4 addi r2,r16,-1 -8112e52c: 1021883a mov r16,r2 -8112e530: 1887c83a sub r3,r3,r2 -8112e534: d8c02e15 stw r3,184(sp) -8112e538: d8802e17 ldw r2,184(sp) -8112e53c: d9002917 ldw r4,164(sp) -8112e540: 1100010e bge r2,r4,8112e548 <___svfprintf_internal_r+0x6b0> -8112e544: 2005883a mov r2,r4 -8112e548: d8802a15 stw r2,168(sp) -8112e54c: d8003215 stw zero,200(sp) -8112e550: e7003fcc andi fp,fp,255 -8112e554: e700201c xori fp,fp,128 -8112e558: e73fe004 addi fp,fp,-128 -8112e55c: e0000326 beq fp,zero,8112e56c <___svfprintf_internal_r+0x6d4> -8112e560: d8c02a17 ldw r3,168(sp) -8112e564: 18c00044 addi r3,r3,1 -8112e568: d8c02a15 stw r3,168(sp) -8112e56c: 90c0008c andi r3,r18,2 -8112e570: d8c02b15 stw r3,172(sp) -8112e574: 18000326 beq r3,zero,8112e584 <___svfprintf_internal_r+0x6ec> -8112e578: d8c02a17 ldw r3,168(sp) -8112e57c: 18c00084 addi r3,r3,2 -8112e580: d8c02a15 stw r3,168(sp) -8112e584: 90c0210c andi r3,r18,132 -8112e588: d8c03015 stw r3,192(sp) -8112e58c: 1801a11e bne r3,zero,8112ec14 <___svfprintf_internal_r+0xd7c> -8112e590: d9003117 ldw r4,196(sp) -8112e594: d8c02a17 ldw r3,168(sp) -8112e598: 20e9c83a sub r20,r4,r3 -8112e59c: 05019d0e bge zero,r20,8112ec14 <___svfprintf_internal_r+0xd7c> -8112e5a0: 02400404 movi r9,16 -8112e5a4: d8c02017 ldw r3,128(sp) -8112e5a8: d8801f17 ldw r2,124(sp) -8112e5ac: 4d051b0e bge r9,r20,8112fa1c <___svfprintf_internal_r+0x1b84> -8112e5b0: 01604574 movhi r5,33045 -8112e5b4: 297ec084 addi r5,r5,-1278 -8112e5b8: dc403c15 stw r17,240(sp) -8112e5bc: d9403515 stw r5,212(sp) -8112e5c0: a023883a mov r17,r20 -8112e5c4: 482d883a mov r22,r9 -8112e5c8: 9029883a mov r20,r18 -8112e5cc: 070001c4 movi fp,7 -8112e5d0: 8025883a mov r18,r16 -8112e5d4: dc002c17 ldw r16,176(sp) -8112e5d8: 00000306 br 8112e5e8 <___svfprintf_internal_r+0x750> -8112e5dc: 8c7ffc04 addi r17,r17,-16 -8112e5e0: 42000204 addi r8,r8,8 -8112e5e4: b440130e bge r22,r17,8112e634 <___svfprintf_internal_r+0x79c> -8112e5e8: 01204574 movhi r4,33045 -8112e5ec: 18c00404 addi r3,r3,16 -8112e5f0: 10800044 addi r2,r2,1 -8112e5f4: 213ec084 addi r4,r4,-1278 -8112e5f8: 41000015 stw r4,0(r8) -8112e5fc: 45800115 stw r22,4(r8) -8112e600: d8c02015 stw r3,128(sp) -8112e604: d8801f15 stw r2,124(sp) -8112e608: e0bff40e bge fp,r2,8112e5dc <__reset+0xfb10e5dc> -8112e60c: d9801e04 addi r6,sp,120 -8112e610: 800b883a mov r5,r16 -8112e614: 9809883a mov r4,r19 -8112e618: 113a6400 call 8113a640 <__ssprint_r> -8112e61c: 103f031e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112e620: 8c7ffc04 addi r17,r17,-16 -8112e624: d8c02017 ldw r3,128(sp) -8112e628: d8801f17 ldw r2,124(sp) -8112e62c: da000404 addi r8,sp,16 -8112e630: b47fed16 blt r22,r17,8112e5e8 <__reset+0xfb10e5e8> -8112e634: 9021883a mov r16,r18 -8112e638: a025883a mov r18,r20 -8112e63c: 8829883a mov r20,r17 -8112e640: dc403c17 ldw r17,240(sp) -8112e644: d9403517 ldw r5,212(sp) -8112e648: a0c7883a add r3,r20,r3 -8112e64c: 10800044 addi r2,r2,1 -8112e650: 41400015 stw r5,0(r8) -8112e654: 45000115 stw r20,4(r8) -8112e658: d8c02015 stw r3,128(sp) -8112e65c: d8801f15 stw r2,124(sp) -8112e660: 010001c4 movi r4,7 -8112e664: 20829f16 blt r4,r2,8112f0e4 <___svfprintf_internal_r+0x124c> -8112e668: df002787 ldb fp,158(sp) -8112e66c: 42000204 addi r8,r8,8 -8112e670: e0000c26 beq fp,zero,8112e6a4 <___svfprintf_internal_r+0x80c> -8112e674: d8801f17 ldw r2,124(sp) -8112e678: d9002784 addi r4,sp,158 -8112e67c: 18c00044 addi r3,r3,1 -8112e680: 10800044 addi r2,r2,1 -8112e684: 41000015 stw r4,0(r8) -8112e688: 01000044 movi r4,1 -8112e68c: 41000115 stw r4,4(r8) -8112e690: d8c02015 stw r3,128(sp) -8112e694: d8801f15 stw r2,124(sp) -8112e698: 010001c4 movi r4,7 -8112e69c: 20823816 blt r4,r2,8112ef80 <___svfprintf_internal_r+0x10e8> -8112e6a0: 42000204 addi r8,r8,8 -8112e6a4: d8802b17 ldw r2,172(sp) -8112e6a8: 10000c26 beq r2,zero,8112e6dc <___svfprintf_internal_r+0x844> -8112e6ac: d8801f17 ldw r2,124(sp) -8112e6b0: d9002704 addi r4,sp,156 -8112e6b4: 18c00084 addi r3,r3,2 -8112e6b8: 10800044 addi r2,r2,1 -8112e6bc: 41000015 stw r4,0(r8) -8112e6c0: 01000084 movi r4,2 -8112e6c4: 41000115 stw r4,4(r8) -8112e6c8: d8c02015 stw r3,128(sp) -8112e6cc: d8801f15 stw r2,124(sp) -8112e6d0: 010001c4 movi r4,7 -8112e6d4: 20823216 blt r4,r2,8112efa0 <___svfprintf_internal_r+0x1108> -8112e6d8: 42000204 addi r8,r8,8 -8112e6dc: d9003017 ldw r4,192(sp) -8112e6e0: 00802004 movi r2,128 -8112e6e4: 20819726 beq r4,r2,8112ed44 <___svfprintf_internal_r+0xeac> -8112e6e8: d9402917 ldw r5,164(sp) -8112e6ec: d8802e17 ldw r2,184(sp) -8112e6f0: 28adc83a sub r22,r5,r2 -8112e6f4: 05802f0e bge zero,r22,8112e7b4 <___svfprintf_internal_r+0x91c> -8112e6f8: 07000404 movi fp,16 -8112e6fc: d8801f17 ldw r2,124(sp) -8112e700: e583c00e bge fp,r22,8112f604 <___svfprintf_internal_r+0x176c> -8112e704: 01604574 movhi r5,33045 -8112e708: 297ebc84 addi r5,r5,-1294 -8112e70c: dc402915 stw r17,164(sp) -8112e710: d9402b15 stw r5,172(sp) -8112e714: b023883a mov r17,r22 -8112e718: 050001c4 movi r20,7 -8112e71c: 902d883a mov r22,r18 -8112e720: 8025883a mov r18,r16 -8112e724: dc002c17 ldw r16,176(sp) -8112e728: 00000306 br 8112e738 <___svfprintf_internal_r+0x8a0> -8112e72c: 8c7ffc04 addi r17,r17,-16 -8112e730: 42000204 addi r8,r8,8 -8112e734: e440110e bge fp,r17,8112e77c <___svfprintf_internal_r+0x8e4> -8112e738: 18c00404 addi r3,r3,16 -8112e73c: 10800044 addi r2,r2,1 -8112e740: 45400015 stw r21,0(r8) -8112e744: 47000115 stw fp,4(r8) -8112e748: d8c02015 stw r3,128(sp) -8112e74c: d8801f15 stw r2,124(sp) -8112e750: a0bff60e bge r20,r2,8112e72c <__reset+0xfb10e72c> -8112e754: d9801e04 addi r6,sp,120 -8112e758: 800b883a mov r5,r16 -8112e75c: 9809883a mov r4,r19 -8112e760: 113a6400 call 8113a640 <__ssprint_r> -8112e764: 103eb11e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112e768: 8c7ffc04 addi r17,r17,-16 -8112e76c: d8c02017 ldw r3,128(sp) -8112e770: d8801f17 ldw r2,124(sp) -8112e774: da000404 addi r8,sp,16 -8112e778: e47fef16 blt fp,r17,8112e738 <__reset+0xfb10e738> -8112e77c: 9021883a mov r16,r18 -8112e780: b025883a mov r18,r22 -8112e784: 882d883a mov r22,r17 -8112e788: dc402917 ldw r17,164(sp) -8112e78c: d9002b17 ldw r4,172(sp) -8112e790: 1d87883a add r3,r3,r22 -8112e794: 10800044 addi r2,r2,1 -8112e798: 41000015 stw r4,0(r8) -8112e79c: 45800115 stw r22,4(r8) -8112e7a0: d8c02015 stw r3,128(sp) -8112e7a4: d8801f15 stw r2,124(sp) -8112e7a8: 010001c4 movi r4,7 -8112e7ac: 2081ec16 blt r4,r2,8112ef60 <___svfprintf_internal_r+0x10c8> -8112e7b0: 42000204 addi r8,r8,8 -8112e7b4: 9080400c andi r2,r18,256 -8112e7b8: 1001181e bne r2,zero,8112ec1c <___svfprintf_internal_r+0xd84> -8112e7bc: d9402e17 ldw r5,184(sp) -8112e7c0: d8801f17 ldw r2,124(sp) -8112e7c4: 44000015 stw r16,0(r8) -8112e7c8: 1947883a add r3,r3,r5 -8112e7cc: 10800044 addi r2,r2,1 -8112e7d0: 41400115 stw r5,4(r8) -8112e7d4: d8c02015 stw r3,128(sp) -8112e7d8: d8801f15 stw r2,124(sp) -8112e7dc: 010001c4 movi r4,7 -8112e7e0: 2081d116 blt r4,r2,8112ef28 <___svfprintf_internal_r+0x1090> -8112e7e4: 42000204 addi r8,r8,8 -8112e7e8: 9480010c andi r18,r18,4 -8112e7ec: 90003226 beq r18,zero,8112e8b8 <___svfprintf_internal_r+0xa20> -8112e7f0: d9403117 ldw r5,196(sp) -8112e7f4: d8802a17 ldw r2,168(sp) -8112e7f8: 28a1c83a sub r16,r5,r2 -8112e7fc: 04002e0e bge zero,r16,8112e8b8 <___svfprintf_internal_r+0xa20> -8112e800: 04400404 movi r17,16 -8112e804: d8801f17 ldw r2,124(sp) -8112e808: 8c04b90e bge r17,r16,8112faf0 <___svfprintf_internal_r+0x1c58> -8112e80c: 01604574 movhi r5,33045 -8112e810: 297ec084 addi r5,r5,-1278 -8112e814: d9403515 stw r5,212(sp) -8112e818: 048001c4 movi r18,7 -8112e81c: dd002c17 ldw r20,176(sp) -8112e820: 00000306 br 8112e830 <___svfprintf_internal_r+0x998> -8112e824: 843ffc04 addi r16,r16,-16 -8112e828: 42000204 addi r8,r8,8 -8112e82c: 8c00130e bge r17,r16,8112e87c <___svfprintf_internal_r+0x9e4> -8112e830: 01204574 movhi r4,33045 -8112e834: 18c00404 addi r3,r3,16 -8112e838: 10800044 addi r2,r2,1 -8112e83c: 213ec084 addi r4,r4,-1278 -8112e840: 41000015 stw r4,0(r8) -8112e844: 44400115 stw r17,4(r8) -8112e848: d8c02015 stw r3,128(sp) -8112e84c: d8801f15 stw r2,124(sp) -8112e850: 90bff40e bge r18,r2,8112e824 <__reset+0xfb10e824> -8112e854: d9801e04 addi r6,sp,120 -8112e858: a00b883a mov r5,r20 -8112e85c: 9809883a mov r4,r19 -8112e860: 113a6400 call 8113a640 <__ssprint_r> -8112e864: 103e711e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112e868: 843ffc04 addi r16,r16,-16 -8112e86c: d8c02017 ldw r3,128(sp) -8112e870: d8801f17 ldw r2,124(sp) -8112e874: da000404 addi r8,sp,16 -8112e878: 8c3fed16 blt r17,r16,8112e830 <__reset+0xfb10e830> -8112e87c: d9403517 ldw r5,212(sp) -8112e880: 1c07883a add r3,r3,r16 -8112e884: 10800044 addi r2,r2,1 -8112e888: 41400015 stw r5,0(r8) -8112e88c: 44000115 stw r16,4(r8) -8112e890: d8c02015 stw r3,128(sp) -8112e894: d8801f15 stw r2,124(sp) -8112e898: 010001c4 movi r4,7 -8112e89c: 2080060e bge r4,r2,8112e8b8 <___svfprintf_internal_r+0xa20> -8112e8a0: d9402c17 ldw r5,176(sp) -8112e8a4: d9801e04 addi r6,sp,120 -8112e8a8: 9809883a mov r4,r19 -8112e8ac: 113a6400 call 8113a640 <__ssprint_r> -8112e8b0: 103e5e1e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112e8b4: d8c02017 ldw r3,128(sp) -8112e8b8: d8803117 ldw r2,196(sp) -8112e8bc: d9002a17 ldw r4,168(sp) -8112e8c0: 1100010e bge r2,r4,8112e8c8 <___svfprintf_internal_r+0xa30> -8112e8c4: 2005883a mov r2,r4 -8112e8c8: d9402f17 ldw r5,188(sp) -8112e8cc: 288b883a add r5,r5,r2 -8112e8d0: d9402f15 stw r5,188(sp) -8112e8d4: 18019c1e bne r3,zero,8112ef48 <___svfprintf_internal_r+0x10b0> -8112e8d8: b8800007 ldb r2,0(r23) -8112e8dc: d8001f15 stw zero,124(sp) -8112e8e0: da000404 addi r8,sp,16 -8112e8e4: 103d991e bne r2,zero,8112df4c <__reset+0xfb10df4c> -8112e8e8: b821883a mov r16,r23 -8112e8ec: 003daf06 br 8112dfac <__reset+0xfb10dfac> -8112e8f0: 18c03fcc andi r3,r3,255 -8112e8f4: 1805c71e bne r3,zero,81130014 <___svfprintf_internal_r+0x217c> -8112e8f8: 94800414 ori r18,r18,16 -8112e8fc: 9080080c andi r2,r18,32 -8112e900: 10020126 beq r2,zero,8112f108 <___svfprintf_internal_r+0x1270> -8112e904: d8802d17 ldw r2,180(sp) -8112e908: d9002917 ldw r4,164(sp) -8112e90c: d8002785 stb zero,158(sp) -8112e910: 10c00204 addi r3,r2,8 -8112e914: 15000017 ldw r20,0(r2) -8112e918: 15800117 ldw r22,4(r2) -8112e91c: 20038e16 blt r4,zero,8112f758 <___svfprintf_internal_r+0x18c0> -8112e920: 013fdfc4 movi r4,-129 -8112e924: a584b03a or r2,r20,r22 -8112e928: d8c02d15 stw r3,180(sp) -8112e92c: 9124703a and r18,r18,r4 -8112e930: 0039883a mov fp,zero -8112e934: 103eb61e bne r2,zero,8112e410 <__reset+0xfb10e410> -8112e938: d8802917 ldw r2,164(sp) -8112e93c: 1002c81e bne r2,zero,8112f460 <___svfprintf_internal_r+0x15c8> -8112e940: d8002915 stw zero,164(sp) -8112e944: d8002e15 stw zero,184(sp) -8112e948: dc001e04 addi r16,sp,120 -8112e94c: 003efa06 br 8112e538 <__reset+0xfb10e538> -8112e950: 18c03fcc andi r3,r3,255 -8112e954: 1805ad1e bne r3,zero,8113000c <___svfprintf_internal_r+0x2174> -8112e958: 01604574 movhi r5,33045 -8112e95c: 297eb004 addi r5,r5,-1344 -8112e960: d9403a15 stw r5,232(sp) -8112e964: 9080080c andi r2,r18,32 -8112e968: 10006126 beq r2,zero,8112eaf0 <___svfprintf_internal_r+0xc58> -8112e96c: d8802d17 ldw r2,180(sp) -8112e970: 15000017 ldw r20,0(r2) -8112e974: 15800117 ldw r22,4(r2) -8112e978: 10800204 addi r2,r2,8 -8112e97c: d8802d15 stw r2,180(sp) -8112e980: 9080004c andi r2,r18,1 -8112e984: 10018e26 beq r2,zero,8112efc0 <___svfprintf_internal_r+0x1128> -8112e988: a584b03a or r2,r20,r22 -8112e98c: 10030926 beq r2,zero,8112f5b4 <___svfprintf_internal_r+0x171c> -8112e990: d8c02917 ldw r3,164(sp) -8112e994: 00800c04 movi r2,48 -8112e998: d8802705 stb r2,156(sp) -8112e99c: dc402745 stb r17,157(sp) -8112e9a0: d8002785 stb zero,158(sp) -8112e9a4: 90800094 ori r2,r18,2 -8112e9a8: 18048716 blt r3,zero,8112fbc8 <___svfprintf_internal_r+0x1d30> -8112e9ac: 00bfdfc4 movi r2,-129 -8112e9b0: 90a4703a and r18,r18,r2 -8112e9b4: 94800094 ori r18,r18,2 -8112e9b8: 0039883a mov fp,zero -8112e9bc: d9003a17 ldw r4,232(sp) -8112e9c0: dc001e04 addi r16,sp,120 -8112e9c4: a08003cc andi r2,r20,15 -8112e9c8: b006973a slli r3,r22,28 -8112e9cc: 2085883a add r2,r4,r2 -8112e9d0: a028d13a srli r20,r20,4 -8112e9d4: 10800003 ldbu r2,0(r2) -8112e9d8: b02cd13a srli r22,r22,4 -8112e9dc: 843fffc4 addi r16,r16,-1 -8112e9e0: 1d28b03a or r20,r3,r20 -8112e9e4: 80800005 stb r2,0(r16) -8112e9e8: a584b03a or r2,r20,r22 -8112e9ec: 103ff51e bne r2,zero,8112e9c4 <__reset+0xfb10e9c4> -8112e9f0: d8c02817 ldw r3,160(sp) -8112e9f4: 1c07c83a sub r3,r3,r16 -8112e9f8: d8c02e15 stw r3,184(sp) -8112e9fc: 003ece06 br 8112e538 <__reset+0xfb10e538> -8112ea00: d8c02d17 ldw r3,180(sp) -8112ea04: d9002d17 ldw r4,180(sp) -8112ea08: d8002785 stb zero,158(sp) -8112ea0c: 18800017 ldw r2,0(r3) -8112ea10: 21000104 addi r4,r4,4 -8112ea14: 00c00044 movi r3,1 -8112ea18: d8c02a15 stw r3,168(sp) -8112ea1c: d8801405 stb r2,80(sp) -8112ea20: d9002d15 stw r4,180(sp) -8112ea24: d8c02e15 stw r3,184(sp) -8112ea28: d8002915 stw zero,164(sp) -8112ea2c: d8003215 stw zero,200(sp) -8112ea30: dc001404 addi r16,sp,80 -8112ea34: 0039883a mov fp,zero -8112ea38: 003ecc06 br 8112e56c <__reset+0xfb10e56c> -8112ea3c: 18c03fcc andi r3,r3,255 -8112ea40: 183e9226 beq r3,zero,8112e48c <__reset+0xfb10e48c> -8112ea44: d9c02785 stb r7,158(sp) -8112ea48: 003e9006 br 8112e48c <__reset+0xfb10e48c> -8112ea4c: 00c00044 movi r3,1 -8112ea50: 01c00ac4 movi r7,43 -8112ea54: bc400007 ldb r17,0(r23) -8112ea58: 003d6306 br 8112dfe8 <__reset+0xfb10dfe8> -8112ea5c: 94800814 ori r18,r18,32 -8112ea60: bc400007 ldb r17,0(r23) -8112ea64: 003d6006 br 8112dfe8 <__reset+0xfb10dfe8> -8112ea68: d8c02d17 ldw r3,180(sp) -8112ea6c: d8002785 stb zero,158(sp) -8112ea70: 1c000017 ldw r16,0(r3) -8112ea74: 1d000104 addi r20,r3,4 -8112ea78: 80040f26 beq r16,zero,8112fab8 <___svfprintf_internal_r+0x1c20> -8112ea7c: d9002917 ldw r4,164(sp) -8112ea80: 2003dc16 blt r4,zero,8112f9f4 <___svfprintf_internal_r+0x1b5c> -8112ea84: 200d883a mov r6,r4 -8112ea88: 000b883a mov r5,zero -8112ea8c: 8009883a mov r4,r16 -8112ea90: da003e15 stw r8,248(sp) -8112ea94: 1136f640 call 81136f64 -8112ea98: da003e17 ldw r8,248(sp) -8112ea9c: 10045826 beq r2,zero,8112fc00 <___svfprintf_internal_r+0x1d68> -8112eaa0: 1405c83a sub r2,r2,r16 -8112eaa4: d8802e15 stw r2,184(sp) -8112eaa8: 1003d816 blt r2,zero,8112fa0c <___svfprintf_internal_r+0x1b74> -8112eaac: df002783 ldbu fp,158(sp) -8112eab0: d8802a15 stw r2,168(sp) -8112eab4: dd002d15 stw r20,180(sp) -8112eab8: d8002915 stw zero,164(sp) -8112eabc: d8003215 stw zero,200(sp) -8112eac0: 003ea306 br 8112e550 <__reset+0xfb10e550> -8112eac4: 18c03fcc andi r3,r3,255 -8112eac8: 183f8c26 beq r3,zero,8112e8fc <__reset+0xfb10e8fc> -8112eacc: d9c02785 stb r7,158(sp) -8112ead0: 003f8a06 br 8112e8fc <__reset+0xfb10e8fc> -8112ead4: 18c03fcc andi r3,r3,255 -8112ead8: 1805631e bne r3,zero,81130068 <___svfprintf_internal_r+0x21d0> -8112eadc: 01604574 movhi r5,33045 -8112eae0: 297eb504 addi r5,r5,-1324 -8112eae4: d9403a15 stw r5,232(sp) -8112eae8: 9080080c andi r2,r18,32 -8112eaec: 103f9f1e bne r2,zero,8112e96c <__reset+0xfb10e96c> -8112eaf0: 9080040c andi r2,r18,16 -8112eaf4: 10029c26 beq r2,zero,8112f568 <___svfprintf_internal_r+0x16d0> -8112eaf8: d8c02d17 ldw r3,180(sp) -8112eafc: 002d883a mov r22,zero -8112eb00: 1d000017 ldw r20,0(r3) -8112eb04: 18c00104 addi r3,r3,4 -8112eb08: d8c02d15 stw r3,180(sp) -8112eb0c: 003f9c06 br 8112e980 <__reset+0xfb10e980> -8112eb10: 94800054 ori r18,r18,1 -8112eb14: bc400007 ldb r17,0(r23) -8112eb18: 003d3306 br 8112dfe8 <__reset+0xfb10dfe8> -8112eb1c: 38803fcc andi r2,r7,255 -8112eb20: 1080201c xori r2,r2,128 -8112eb24: 10bfe004 addi r2,r2,-128 -8112eb28: 1002971e bne r2,zero,8112f588 <___svfprintf_internal_r+0x16f0> -8112eb2c: 00c00044 movi r3,1 -8112eb30: 01c00804 movi r7,32 -8112eb34: bc400007 ldb r17,0(r23) -8112eb38: 003d2b06 br 8112dfe8 <__reset+0xfb10dfe8> -8112eb3c: 18c03fcc andi r3,r3,255 -8112eb40: 183e2326 beq r3,zero,8112e3d0 <__reset+0xfb10e3d0> -8112eb44: d9c02785 stb r7,158(sp) -8112eb48: 003e2106 br 8112e3d0 <__reset+0xfb10e3d0> -8112eb4c: bc400007 ldb r17,0(r23) -8112eb50: 8a430426 beq r17,r9,8112f764 <___svfprintf_internal_r+0x18cc> -8112eb54: 94800414 ori r18,r18,16 -8112eb58: 003d2306 br 8112dfe8 <__reset+0xfb10dfe8> -8112eb5c: 18c03fcc andi r3,r3,255 -8112eb60: 18053f1e bne r3,zero,81130060 <___svfprintf_internal_r+0x21c8> -8112eb64: 9080080c andi r2,r18,32 -8112eb68: 10028926 beq r2,zero,8112f590 <___svfprintf_internal_r+0x16f8> -8112eb6c: d9402d17 ldw r5,180(sp) -8112eb70: d9002f17 ldw r4,188(sp) -8112eb74: 28800017 ldw r2,0(r5) -8112eb78: 2007d7fa srai r3,r4,31 -8112eb7c: 29400104 addi r5,r5,4 -8112eb80: d9402d15 stw r5,180(sp) -8112eb84: 11000015 stw r4,0(r2) -8112eb88: 10c00115 stw r3,4(r2) -8112eb8c: 003ced06 br 8112df44 <__reset+0xfb10df44> -8112eb90: 94801014 ori r18,r18,64 -8112eb94: bc400007 ldb r17,0(r23) -8112eb98: 003d1306 br 8112dfe8 <__reset+0xfb10dfe8> -8112eb9c: 01204574 movhi r4,33045 -8112eba0: 213eb504 addi r4,r4,-1324 -8112eba4: 0039883a mov fp,zero -8112eba8: d9003a15 stw r4,232(sp) -8112ebac: 04401e04 movi r17,120 -8112ebb0: 003f8206 br 8112e9bc <__reset+0xfb10e9bc> -8112ebb4: 18c03fcc andi r3,r3,255 -8112ebb8: 1805221e bne r3,zero,81130044 <___svfprintf_internal_r+0x21ac> -8112ebbc: 883d9526 beq r17,zero,8112e214 <__reset+0xfb10e214> -8112ebc0: 00c00044 movi r3,1 -8112ebc4: d8c02a15 stw r3,168(sp) -8112ebc8: dc401405 stb r17,80(sp) -8112ebcc: d8002785 stb zero,158(sp) -8112ebd0: 003f9406 br 8112ea24 <__reset+0xfb10ea24> -8112ebd4: 01204574 movhi r4,33045 -8112ebd8: 213eb504 addi r4,r4,-1324 -8112ebdc: d9003a15 stw r4,232(sp) -8112ebe0: d8c02d15 stw r3,180(sp) -8112ebe4: 1025883a mov r18,r2 -8112ebe8: 04401e04 movi r17,120 -8112ebec: a584b03a or r2,r20,r22 -8112ebf0: 1000fa1e bne r2,zero,8112efdc <___svfprintf_internal_r+0x1144> -8112ebf4: 0039883a mov fp,zero -8112ebf8: 00800084 movi r2,2 -8112ebfc: 10803fcc andi r2,r2,255 +8112e1f4: 90800094 ori r2,r18,2 +8112e1f8: 28028616 blt r5,zero,8112ec14 <___svfprintf_internal_r+0xd3c> +8112e1fc: 00bfdfc4 movi r2,-129 +8112e200: 90a4703a and r18,r18,r2 +8112e204: d8c02d15 stw r3,180(sp) +8112e208: 94800094 ori r18,r18,2 +8112e20c: a002731e bne r20,zero,8112ebdc <___svfprintf_internal_r+0xd04> +8112e210: 00a04574 movhi r2,33045 +8112e214: 10bec604 addi r2,r2,-1256 +8112e218: d8803a15 stw r2,232(sp) +8112e21c: 04401e04 movi r17,120 +8112e220: d8c02917 ldw r3,164(sp) +8112e224: 0039883a mov fp,zero +8112e228: 1801d526 beq r3,zero,8112e980 <___svfprintf_internal_r+0xaa8> +8112e22c: 0029883a mov r20,zero +8112e230: 002d883a mov r22,zero +8112e234: 0001f106 br 8112e9fc <___svfprintf_internal_r+0xb24> +8112e238: d9402c17 ldw r5,176(sp) +8112e23c: d9801e04 addi r6,sp,120 +8112e240: 9809883a mov r4,r19 +8112e244: 113a6800 call 8113a680 <__ssprint_r> +8112e248: 1000081e bne r2,zero,8112e26c <___svfprintf_internal_r+0x394> +8112e24c: da000404 addi r8,sp,16 +8112e250: 003f6306 br 8112dfe0 <__reset+0xfb10dfe0> +8112e254: d8802017 ldw r2,128(sp) +8112e258: 10000426 beq r2,zero,8112e26c <___svfprintf_internal_r+0x394> +8112e25c: d9402c17 ldw r5,176(sp) +8112e260: d9003917 ldw r4,228(sp) +8112e264: d9801e04 addi r6,sp,120 +8112e268: 113a6800 call 8113a680 <__ssprint_r> +8112e26c: d8802c17 ldw r2,176(sp) +8112e270: 10c0030b ldhu r3,12(r2) +8112e274: d8802f17 ldw r2,188(sp) +8112e278: 18c0100c andi r3,r3,64 +8112e27c: 1805f51e bne r3,zero,8112fa54 <___svfprintf_internal_r+0x1b7c> +8112e280: dfc04817 ldw ra,288(sp) +8112e284: df004717 ldw fp,284(sp) +8112e288: ddc04617 ldw r23,280(sp) +8112e28c: dd804517 ldw r22,276(sp) +8112e290: dd404417 ldw r21,272(sp) +8112e294: dd004317 ldw r20,268(sp) +8112e298: dcc04217 ldw r19,264(sp) +8112e29c: dc804117 ldw r18,260(sp) +8112e2a0: dc404017 ldw r17,256(sp) +8112e2a4: dc003f17 ldw r16,252(sp) +8112e2a8: dec04904 addi sp,sp,292 +8112e2ac: f800283a ret +8112e2b0: d8802d17 ldw r2,180(sp) +8112e2b4: d9002d17 ldw r4,180(sp) +8112e2b8: 10800017 ldw r2,0(r2) +8112e2bc: d8803115 stw r2,196(sp) +8112e2c0: 20800104 addi r2,r4,4 +8112e2c4: d9003117 ldw r4,196(sp) +8112e2c8: 203fb916 blt r4,zero,8112e1b0 <__reset+0xfb10e1b0> +8112e2cc: d8802d15 stw r2,180(sp) +8112e2d0: bc400007 ldb r17,0(r23) +8112e2d4: 003f5406 br 8112e028 <__reset+0xfb10e028> +8112e2d8: bc400007 ldb r17,0(r23) +8112e2dc: bac00044 addi r11,r23,1 +8112e2e0: 8a873926 beq r17,r10,8112ffc8 <___svfprintf_internal_r+0x20f0> +8112e2e4: 88bff404 addi r2,r17,-48 +8112e2e8: 0009883a mov r4,zero +8112e2ec: 30868836 bltu r6,r2,8112fd10 <___svfprintf_internal_r+0x1e38> +8112e2f0: 5c400007 ldb r17,0(r11) +8112e2f4: 210002a4 muli r4,r4,10 +8112e2f8: 5dc00044 addi r23,r11,1 +8112e2fc: b817883a mov r11,r23 +8112e300: 2089883a add r4,r4,r2 +8112e304: 88bff404 addi r2,r17,-48 +8112e308: 30bff92e bgeu r6,r2,8112e2f0 <__reset+0xfb10e2f0> +8112e30c: 2005d716 blt r4,zero,8112fa6c <___svfprintf_internal_r+0x1b94> +8112e310: d9002915 stw r4,164(sp) +8112e314: 003f4506 br 8112e02c <__reset+0xfb10e02c> +8112e318: b809883a mov r4,r23 +8112e31c: d8003115 stw zero,196(sp) +8112e320: 88bff404 addi r2,r17,-48 +8112e324: 0017883a mov r11,zero +8112e328: 24400007 ldb r17,0(r4) +8112e32c: 5ac002a4 muli r11,r11,10 +8112e330: bdc00044 addi r23,r23,1 +8112e334: b809883a mov r4,r23 +8112e338: 12d7883a add r11,r2,r11 +8112e33c: 88bff404 addi r2,r17,-48 +8112e340: 30bff92e bgeu r6,r2,8112e328 <__reset+0xfb10e328> +8112e344: dac03115 stw r11,196(sp) +8112e348: 003f3806 br 8112e02c <__reset+0xfb10e02c> +8112e34c: 94802014 ori r18,r18,128 +8112e350: bc400007 ldb r17,0(r23) +8112e354: 003f3406 br 8112e028 <__reset+0xfb10e028> +8112e358: 18c03fcc andi r3,r3,255 +8112e35c: 1807471e bne r3,zero,8113007c <___svfprintf_internal_r+0x21a4> +8112e360: 9080020c andi r2,r18,8 +8112e364: 10047d26 beq r2,zero,8112f55c <___svfprintf_internal_r+0x1684> +8112e368: d8c02d17 ldw r3,180(sp) +8112e36c: d9002d17 ldw r4,180(sp) +8112e370: d9402d17 ldw r5,180(sp) +8112e374: 18c00017 ldw r3,0(r3) +8112e378: 21000117 ldw r4,4(r4) +8112e37c: 29400204 addi r5,r5,8 +8112e380: d8c03615 stw r3,216(sp) +8112e384: d9003715 stw r4,220(sp) +8112e388: d9402d15 stw r5,180(sp) +8112e38c: d9003617 ldw r4,216(sp) +8112e390: d9403717 ldw r5,220(sp) +8112e394: da003e15 stw r8,248(sp) +8112e398: 04000044 movi r16,1 +8112e39c: 11387a40 call 811387a4 <__fpclassifyd> +8112e3a0: da003e17 ldw r8,248(sp) +8112e3a4: 14044b1e bne r2,r16,8112f4d4 <___svfprintf_internal_r+0x15fc> +8112e3a8: d9003617 ldw r4,216(sp) +8112e3ac: d9403717 ldw r5,220(sp) +8112e3b0: 000d883a mov r6,zero +8112e3b4: 000f883a mov r7,zero +8112e3b8: 113f1680 call 8113f168 <__ledf2> +8112e3bc: da003e17 ldw r8,248(sp) +8112e3c0: 1005f316 blt r2,zero,8112fb90 <___svfprintf_internal_r+0x1cb8> +8112e3c4: df002783 ldbu fp,158(sp) +8112e3c8: 008011c4 movi r2,71 +8112e3cc: 1445590e bge r2,r17,8112f934 <___svfprintf_internal_r+0x1a5c> +8112e3d0: 04204574 movhi r16,33045 +8112e3d4: 843ebe04 addi r16,r16,-1288 +8112e3d8: 00c000c4 movi r3,3 +8112e3dc: 00bfdfc4 movi r2,-129 +8112e3e0: d8c02a15 stw r3,168(sp) +8112e3e4: 90a4703a and r18,r18,r2 +8112e3e8: d8c02e15 stw r3,184(sp) +8112e3ec: d8002915 stw zero,164(sp) +8112e3f0: d8003215 stw zero,200(sp) +8112e3f4: 00006606 br 8112e590 <___svfprintf_internal_r+0x6b8> +8112e3f8: 94800214 ori r18,r18,8 +8112e3fc: bc400007 ldb r17,0(r23) +8112e400: 003f0906 br 8112e028 <__reset+0xfb10e028> +8112e404: 18c03fcc andi r3,r3,255 +8112e408: 1807181e bne r3,zero,8113006c <___svfprintf_internal_r+0x2194> +8112e40c: 94800414 ori r18,r18,16 +8112e410: 9080080c andi r2,r18,32 +8112e414: 10039626 beq r2,zero,8112f270 <___svfprintf_internal_r+0x1398> +8112e418: d9402d17 ldw r5,180(sp) +8112e41c: 28800117 ldw r2,4(r5) +8112e420: 2d000017 ldw r20,0(r5) +8112e424: 29400204 addi r5,r5,8 +8112e428: d9402d15 stw r5,180(sp) +8112e42c: 102d883a mov r22,r2 +8112e430: 10039816 blt r2,zero,8112f294 <___svfprintf_internal_r+0x13bc> +8112e434: d9402917 ldw r5,164(sp) +8112e438: df002783 ldbu fp,158(sp) +8112e43c: 2803ab16 blt r5,zero,8112f2ec <___svfprintf_internal_r+0x1414> +8112e440: 00ffdfc4 movi r3,-129 +8112e444: a584b03a or r2,r20,r22 +8112e448: 90e4703a and r18,r18,r3 +8112e44c: 10014a26 beq r2,zero,8112e978 <___svfprintf_internal_r+0xaa0> +8112e450: b0034b26 beq r22,zero,8112f180 <___svfprintf_internal_r+0x12a8> +8112e454: dc402a15 stw r17,168(sp) +8112e458: dc001e04 addi r16,sp,120 +8112e45c: b023883a mov r17,r22 +8112e460: 402d883a mov r22,r8 +8112e464: a009883a mov r4,r20 +8112e468: 880b883a mov r5,r17 +8112e46c: 01800284 movi r6,10 +8112e470: 000f883a mov r7,zero +8112e474: 113eae00 call 8113eae0 <__umoddi3> +8112e478: 10800c04 addi r2,r2,48 +8112e47c: 843fffc4 addi r16,r16,-1 +8112e480: a009883a mov r4,r20 +8112e484: 880b883a mov r5,r17 +8112e488: 80800005 stb r2,0(r16) +8112e48c: 01800284 movi r6,10 +8112e490: 000f883a mov r7,zero +8112e494: 113e5680 call 8113e568 <__udivdi3> +8112e498: 1029883a mov r20,r2 +8112e49c: 10c4b03a or r2,r2,r3 +8112e4a0: 1823883a mov r17,r3 +8112e4a4: 103fef1e bne r2,zero,8112e464 <__reset+0xfb10e464> +8112e4a8: d8c02817 ldw r3,160(sp) +8112e4ac: dc402a17 ldw r17,168(sp) +8112e4b0: b011883a mov r8,r22 +8112e4b4: 1c07c83a sub r3,r3,r16 +8112e4b8: d8c02e15 stw r3,184(sp) +8112e4bc: 00002e06 br 8112e578 <___svfprintf_internal_r+0x6a0> +8112e4c0: 18c03fcc andi r3,r3,255 +8112e4c4: 1806e71e bne r3,zero,81130064 <___svfprintf_internal_r+0x218c> +8112e4c8: 94800414 ori r18,r18,16 +8112e4cc: 9080080c andi r2,r18,32 +8112e4d0: 1002d426 beq r2,zero,8112f024 <___svfprintf_internal_r+0x114c> +8112e4d4: d9402d17 ldw r5,180(sp) +8112e4d8: d8c02917 ldw r3,164(sp) +8112e4dc: d8002785 stb zero,158(sp) +8112e4e0: 28800204 addi r2,r5,8 +8112e4e4: 2d000017 ldw r20,0(r5) +8112e4e8: 2d800117 ldw r22,4(r5) +8112e4ec: 18041516 blt r3,zero,8112f544 <___svfprintf_internal_r+0x166c> +8112e4f0: 013fdfc4 movi r4,-129 +8112e4f4: a586b03a or r3,r20,r22 +8112e4f8: d8802d15 stw r2,180(sp) +8112e4fc: 9124703a and r18,r18,r4 +8112e500: 1802d51e bne r3,zero,8112f058 <___svfprintf_internal_r+0x1180> +8112e504: d9402917 ldw r5,164(sp) +8112e508: 0039883a mov fp,zero +8112e50c: 2806be26 beq r5,zero,81130008 <___svfprintf_internal_r+0x2130> +8112e510: 0029883a mov r20,zero +8112e514: 002d883a mov r22,zero +8112e518: dc001e04 addi r16,sp,120 +8112e51c: a006d0fa srli r3,r20,3 +8112e520: b008977a slli r4,r22,29 +8112e524: b02cd0fa srli r22,r22,3 +8112e528: a50001cc andi r20,r20,7 +8112e52c: a0800c04 addi r2,r20,48 +8112e530: 843fffc4 addi r16,r16,-1 +8112e534: 20e8b03a or r20,r4,r3 +8112e538: 80800005 stb r2,0(r16) +8112e53c: a586b03a or r3,r20,r22 +8112e540: 183ff61e bne r3,zero,8112e51c <__reset+0xfb10e51c> +8112e544: 90c0004c andi r3,r18,1 +8112e548: 18013926 beq r3,zero,8112ea30 <___svfprintf_internal_r+0xb58> +8112e54c: 10803fcc andi r2,r2,255 +8112e550: 1080201c xori r2,r2,128 +8112e554: 10bfe004 addi r2,r2,-128 +8112e558: 00c00c04 movi r3,48 +8112e55c: 10c13426 beq r2,r3,8112ea30 <___svfprintf_internal_r+0xb58> +8112e560: 80ffffc5 stb r3,-1(r16) +8112e564: d8c02817 ldw r3,160(sp) +8112e568: 80bfffc4 addi r2,r16,-1 +8112e56c: 1021883a mov r16,r2 +8112e570: 1887c83a sub r3,r3,r2 +8112e574: d8c02e15 stw r3,184(sp) +8112e578: d8802e17 ldw r2,184(sp) +8112e57c: d9002917 ldw r4,164(sp) +8112e580: 1100010e bge r2,r4,8112e588 <___svfprintf_internal_r+0x6b0> +8112e584: 2005883a mov r2,r4 +8112e588: d8802a15 stw r2,168(sp) +8112e58c: d8003215 stw zero,200(sp) +8112e590: e7003fcc andi fp,fp,255 +8112e594: e700201c xori fp,fp,128 +8112e598: e73fe004 addi fp,fp,-128 +8112e59c: e0000326 beq fp,zero,8112e5ac <___svfprintf_internal_r+0x6d4> +8112e5a0: d8c02a17 ldw r3,168(sp) +8112e5a4: 18c00044 addi r3,r3,1 +8112e5a8: d8c02a15 stw r3,168(sp) +8112e5ac: 90c0008c andi r3,r18,2 +8112e5b0: d8c02b15 stw r3,172(sp) +8112e5b4: 18000326 beq r3,zero,8112e5c4 <___svfprintf_internal_r+0x6ec> +8112e5b8: d8c02a17 ldw r3,168(sp) +8112e5bc: 18c00084 addi r3,r3,2 +8112e5c0: d8c02a15 stw r3,168(sp) +8112e5c4: 90c0210c andi r3,r18,132 +8112e5c8: d8c03015 stw r3,192(sp) +8112e5cc: 1801a11e bne r3,zero,8112ec54 <___svfprintf_internal_r+0xd7c> +8112e5d0: d9003117 ldw r4,196(sp) +8112e5d4: d8c02a17 ldw r3,168(sp) +8112e5d8: 20e9c83a sub r20,r4,r3 +8112e5dc: 05019d0e bge zero,r20,8112ec54 <___svfprintf_internal_r+0xd7c> +8112e5e0: 02400404 movi r9,16 +8112e5e4: d8c02017 ldw r3,128(sp) +8112e5e8: d8801f17 ldw r2,124(sp) +8112e5ec: 4d051b0e bge r9,r20,8112fa5c <___svfprintf_internal_r+0x1b84> +8112e5f0: 01604574 movhi r5,33045 +8112e5f4: 297ed184 addi r5,r5,-1210 +8112e5f8: dc403c15 stw r17,240(sp) +8112e5fc: d9403515 stw r5,212(sp) +8112e600: a023883a mov r17,r20 +8112e604: 482d883a mov r22,r9 +8112e608: 9029883a mov r20,r18 +8112e60c: 070001c4 movi fp,7 +8112e610: 8025883a mov r18,r16 +8112e614: dc002c17 ldw r16,176(sp) +8112e618: 00000306 br 8112e628 <___svfprintf_internal_r+0x750> +8112e61c: 8c7ffc04 addi r17,r17,-16 +8112e620: 42000204 addi r8,r8,8 +8112e624: b440130e bge r22,r17,8112e674 <___svfprintf_internal_r+0x79c> +8112e628: 01204574 movhi r4,33045 +8112e62c: 18c00404 addi r3,r3,16 +8112e630: 10800044 addi r2,r2,1 +8112e634: 213ed184 addi r4,r4,-1210 +8112e638: 41000015 stw r4,0(r8) +8112e63c: 45800115 stw r22,4(r8) +8112e640: d8c02015 stw r3,128(sp) +8112e644: d8801f15 stw r2,124(sp) +8112e648: e0bff40e bge fp,r2,8112e61c <__reset+0xfb10e61c> +8112e64c: d9801e04 addi r6,sp,120 +8112e650: 800b883a mov r5,r16 +8112e654: 9809883a mov r4,r19 +8112e658: 113a6800 call 8113a680 <__ssprint_r> +8112e65c: 103f031e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112e660: 8c7ffc04 addi r17,r17,-16 +8112e664: d8c02017 ldw r3,128(sp) +8112e668: d8801f17 ldw r2,124(sp) +8112e66c: da000404 addi r8,sp,16 +8112e670: b47fed16 blt r22,r17,8112e628 <__reset+0xfb10e628> +8112e674: 9021883a mov r16,r18 +8112e678: a025883a mov r18,r20 +8112e67c: 8829883a mov r20,r17 +8112e680: dc403c17 ldw r17,240(sp) +8112e684: d9403517 ldw r5,212(sp) +8112e688: a0c7883a add r3,r20,r3 +8112e68c: 10800044 addi r2,r2,1 +8112e690: 41400015 stw r5,0(r8) +8112e694: 45000115 stw r20,4(r8) +8112e698: d8c02015 stw r3,128(sp) +8112e69c: d8801f15 stw r2,124(sp) +8112e6a0: 010001c4 movi r4,7 +8112e6a4: 20829f16 blt r4,r2,8112f124 <___svfprintf_internal_r+0x124c> +8112e6a8: df002787 ldb fp,158(sp) +8112e6ac: 42000204 addi r8,r8,8 +8112e6b0: e0000c26 beq fp,zero,8112e6e4 <___svfprintf_internal_r+0x80c> +8112e6b4: d8801f17 ldw r2,124(sp) +8112e6b8: d9002784 addi r4,sp,158 +8112e6bc: 18c00044 addi r3,r3,1 +8112e6c0: 10800044 addi r2,r2,1 +8112e6c4: 41000015 stw r4,0(r8) +8112e6c8: 01000044 movi r4,1 +8112e6cc: 41000115 stw r4,4(r8) +8112e6d0: d8c02015 stw r3,128(sp) +8112e6d4: d8801f15 stw r2,124(sp) +8112e6d8: 010001c4 movi r4,7 +8112e6dc: 20823816 blt r4,r2,8112efc0 <___svfprintf_internal_r+0x10e8> +8112e6e0: 42000204 addi r8,r8,8 +8112e6e4: d8802b17 ldw r2,172(sp) +8112e6e8: 10000c26 beq r2,zero,8112e71c <___svfprintf_internal_r+0x844> +8112e6ec: d8801f17 ldw r2,124(sp) +8112e6f0: d9002704 addi r4,sp,156 +8112e6f4: 18c00084 addi r3,r3,2 +8112e6f8: 10800044 addi r2,r2,1 +8112e6fc: 41000015 stw r4,0(r8) +8112e700: 01000084 movi r4,2 +8112e704: 41000115 stw r4,4(r8) +8112e708: d8c02015 stw r3,128(sp) +8112e70c: d8801f15 stw r2,124(sp) +8112e710: 010001c4 movi r4,7 +8112e714: 20823216 blt r4,r2,8112efe0 <___svfprintf_internal_r+0x1108> +8112e718: 42000204 addi r8,r8,8 +8112e71c: d9003017 ldw r4,192(sp) +8112e720: 00802004 movi r2,128 +8112e724: 20819726 beq r4,r2,8112ed84 <___svfprintf_internal_r+0xeac> +8112e728: d9402917 ldw r5,164(sp) +8112e72c: d8802e17 ldw r2,184(sp) +8112e730: 28adc83a sub r22,r5,r2 +8112e734: 05802f0e bge zero,r22,8112e7f4 <___svfprintf_internal_r+0x91c> +8112e738: 07000404 movi fp,16 +8112e73c: d8801f17 ldw r2,124(sp) +8112e740: e583c00e bge fp,r22,8112f644 <___svfprintf_internal_r+0x176c> +8112e744: 01604574 movhi r5,33045 +8112e748: 297ecd84 addi r5,r5,-1226 +8112e74c: dc402915 stw r17,164(sp) +8112e750: d9402b15 stw r5,172(sp) +8112e754: b023883a mov r17,r22 +8112e758: 050001c4 movi r20,7 +8112e75c: 902d883a mov r22,r18 +8112e760: 8025883a mov r18,r16 +8112e764: dc002c17 ldw r16,176(sp) +8112e768: 00000306 br 8112e778 <___svfprintf_internal_r+0x8a0> +8112e76c: 8c7ffc04 addi r17,r17,-16 +8112e770: 42000204 addi r8,r8,8 +8112e774: e440110e bge fp,r17,8112e7bc <___svfprintf_internal_r+0x8e4> +8112e778: 18c00404 addi r3,r3,16 +8112e77c: 10800044 addi r2,r2,1 +8112e780: 45400015 stw r21,0(r8) +8112e784: 47000115 stw fp,4(r8) +8112e788: d8c02015 stw r3,128(sp) +8112e78c: d8801f15 stw r2,124(sp) +8112e790: a0bff60e bge r20,r2,8112e76c <__reset+0xfb10e76c> +8112e794: d9801e04 addi r6,sp,120 +8112e798: 800b883a mov r5,r16 +8112e79c: 9809883a mov r4,r19 +8112e7a0: 113a6800 call 8113a680 <__ssprint_r> +8112e7a4: 103eb11e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112e7a8: 8c7ffc04 addi r17,r17,-16 +8112e7ac: d8c02017 ldw r3,128(sp) +8112e7b0: d8801f17 ldw r2,124(sp) +8112e7b4: da000404 addi r8,sp,16 +8112e7b8: e47fef16 blt fp,r17,8112e778 <__reset+0xfb10e778> +8112e7bc: 9021883a mov r16,r18 +8112e7c0: b025883a mov r18,r22 +8112e7c4: 882d883a mov r22,r17 +8112e7c8: dc402917 ldw r17,164(sp) +8112e7cc: d9002b17 ldw r4,172(sp) +8112e7d0: 1d87883a add r3,r3,r22 +8112e7d4: 10800044 addi r2,r2,1 +8112e7d8: 41000015 stw r4,0(r8) +8112e7dc: 45800115 stw r22,4(r8) +8112e7e0: d8c02015 stw r3,128(sp) +8112e7e4: d8801f15 stw r2,124(sp) +8112e7e8: 010001c4 movi r4,7 +8112e7ec: 2081ec16 blt r4,r2,8112efa0 <___svfprintf_internal_r+0x10c8> +8112e7f0: 42000204 addi r8,r8,8 +8112e7f4: 9080400c andi r2,r18,256 +8112e7f8: 1001181e bne r2,zero,8112ec5c <___svfprintf_internal_r+0xd84> +8112e7fc: d9402e17 ldw r5,184(sp) +8112e800: d8801f17 ldw r2,124(sp) +8112e804: 44000015 stw r16,0(r8) +8112e808: 1947883a add r3,r3,r5 +8112e80c: 10800044 addi r2,r2,1 +8112e810: 41400115 stw r5,4(r8) +8112e814: d8c02015 stw r3,128(sp) +8112e818: d8801f15 stw r2,124(sp) +8112e81c: 010001c4 movi r4,7 +8112e820: 2081d116 blt r4,r2,8112ef68 <___svfprintf_internal_r+0x1090> +8112e824: 42000204 addi r8,r8,8 +8112e828: 9480010c andi r18,r18,4 +8112e82c: 90003226 beq r18,zero,8112e8f8 <___svfprintf_internal_r+0xa20> +8112e830: d9403117 ldw r5,196(sp) +8112e834: d8802a17 ldw r2,168(sp) +8112e838: 28a1c83a sub r16,r5,r2 +8112e83c: 04002e0e bge zero,r16,8112e8f8 <___svfprintf_internal_r+0xa20> +8112e840: 04400404 movi r17,16 +8112e844: d8801f17 ldw r2,124(sp) +8112e848: 8c04b90e bge r17,r16,8112fb30 <___svfprintf_internal_r+0x1c58> +8112e84c: 01604574 movhi r5,33045 +8112e850: 297ed184 addi r5,r5,-1210 +8112e854: d9403515 stw r5,212(sp) +8112e858: 048001c4 movi r18,7 +8112e85c: dd002c17 ldw r20,176(sp) +8112e860: 00000306 br 8112e870 <___svfprintf_internal_r+0x998> +8112e864: 843ffc04 addi r16,r16,-16 +8112e868: 42000204 addi r8,r8,8 +8112e86c: 8c00130e bge r17,r16,8112e8bc <___svfprintf_internal_r+0x9e4> +8112e870: 01204574 movhi r4,33045 +8112e874: 18c00404 addi r3,r3,16 +8112e878: 10800044 addi r2,r2,1 +8112e87c: 213ed184 addi r4,r4,-1210 +8112e880: 41000015 stw r4,0(r8) +8112e884: 44400115 stw r17,4(r8) +8112e888: d8c02015 stw r3,128(sp) +8112e88c: d8801f15 stw r2,124(sp) +8112e890: 90bff40e bge r18,r2,8112e864 <__reset+0xfb10e864> +8112e894: d9801e04 addi r6,sp,120 +8112e898: a00b883a mov r5,r20 +8112e89c: 9809883a mov r4,r19 +8112e8a0: 113a6800 call 8113a680 <__ssprint_r> +8112e8a4: 103e711e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112e8a8: 843ffc04 addi r16,r16,-16 +8112e8ac: d8c02017 ldw r3,128(sp) +8112e8b0: d8801f17 ldw r2,124(sp) +8112e8b4: da000404 addi r8,sp,16 +8112e8b8: 8c3fed16 blt r17,r16,8112e870 <__reset+0xfb10e870> +8112e8bc: d9403517 ldw r5,212(sp) +8112e8c0: 1c07883a add r3,r3,r16 +8112e8c4: 10800044 addi r2,r2,1 +8112e8c8: 41400015 stw r5,0(r8) +8112e8cc: 44000115 stw r16,4(r8) +8112e8d0: d8c02015 stw r3,128(sp) +8112e8d4: d8801f15 stw r2,124(sp) +8112e8d8: 010001c4 movi r4,7 +8112e8dc: 2080060e bge r4,r2,8112e8f8 <___svfprintf_internal_r+0xa20> +8112e8e0: d9402c17 ldw r5,176(sp) +8112e8e4: d9801e04 addi r6,sp,120 +8112e8e8: 9809883a mov r4,r19 +8112e8ec: 113a6800 call 8113a680 <__ssprint_r> +8112e8f0: 103e5e1e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112e8f4: d8c02017 ldw r3,128(sp) +8112e8f8: d8803117 ldw r2,196(sp) +8112e8fc: d9002a17 ldw r4,168(sp) +8112e900: 1100010e bge r2,r4,8112e908 <___svfprintf_internal_r+0xa30> +8112e904: 2005883a mov r2,r4 +8112e908: d9402f17 ldw r5,188(sp) +8112e90c: 288b883a add r5,r5,r2 +8112e910: d9402f15 stw r5,188(sp) +8112e914: 18019c1e bne r3,zero,8112ef88 <___svfprintf_internal_r+0x10b0> +8112e918: b8800007 ldb r2,0(r23) +8112e91c: d8001f15 stw zero,124(sp) +8112e920: da000404 addi r8,sp,16 +8112e924: 103d991e bne r2,zero,8112df8c <__reset+0xfb10df8c> +8112e928: b821883a mov r16,r23 +8112e92c: 003daf06 br 8112dfec <__reset+0xfb10dfec> +8112e930: 18c03fcc andi r3,r3,255 +8112e934: 1805c71e bne r3,zero,81130054 <___svfprintf_internal_r+0x217c> +8112e938: 94800414 ori r18,r18,16 +8112e93c: 9080080c andi r2,r18,32 +8112e940: 10020126 beq r2,zero,8112f148 <___svfprintf_internal_r+0x1270> +8112e944: d8802d17 ldw r2,180(sp) +8112e948: d9002917 ldw r4,164(sp) +8112e94c: d8002785 stb zero,158(sp) +8112e950: 10c00204 addi r3,r2,8 +8112e954: 15000017 ldw r20,0(r2) +8112e958: 15800117 ldw r22,4(r2) +8112e95c: 20038e16 blt r4,zero,8112f798 <___svfprintf_internal_r+0x18c0> +8112e960: 013fdfc4 movi r4,-129 +8112e964: a584b03a or r2,r20,r22 +8112e968: d8c02d15 stw r3,180(sp) +8112e96c: 9124703a and r18,r18,r4 +8112e970: 0039883a mov fp,zero +8112e974: 103eb61e bne r2,zero,8112e450 <__reset+0xfb10e450> +8112e978: d8802917 ldw r2,164(sp) +8112e97c: 1002c81e bne r2,zero,8112f4a0 <___svfprintf_internal_r+0x15c8> +8112e980: d8002915 stw zero,164(sp) +8112e984: d8002e15 stw zero,184(sp) +8112e988: dc001e04 addi r16,sp,120 +8112e98c: 003efa06 br 8112e578 <__reset+0xfb10e578> +8112e990: 18c03fcc andi r3,r3,255 +8112e994: 1805ad1e bne r3,zero,8113004c <___svfprintf_internal_r+0x2174> +8112e998: 01604574 movhi r5,33045 +8112e99c: 297ec104 addi r5,r5,-1276 +8112e9a0: d9403a15 stw r5,232(sp) +8112e9a4: 9080080c andi r2,r18,32 +8112e9a8: 10006126 beq r2,zero,8112eb30 <___svfprintf_internal_r+0xc58> +8112e9ac: d8802d17 ldw r2,180(sp) +8112e9b0: 15000017 ldw r20,0(r2) +8112e9b4: 15800117 ldw r22,4(r2) +8112e9b8: 10800204 addi r2,r2,8 +8112e9bc: d8802d15 stw r2,180(sp) +8112e9c0: 9080004c andi r2,r18,1 +8112e9c4: 10018e26 beq r2,zero,8112f000 <___svfprintf_internal_r+0x1128> +8112e9c8: a584b03a or r2,r20,r22 +8112e9cc: 10030926 beq r2,zero,8112f5f4 <___svfprintf_internal_r+0x171c> +8112e9d0: d8c02917 ldw r3,164(sp) +8112e9d4: 00800c04 movi r2,48 +8112e9d8: d8802705 stb r2,156(sp) +8112e9dc: dc402745 stb r17,157(sp) +8112e9e0: d8002785 stb zero,158(sp) +8112e9e4: 90800094 ori r2,r18,2 +8112e9e8: 18048716 blt r3,zero,8112fc08 <___svfprintf_internal_r+0x1d30> +8112e9ec: 00bfdfc4 movi r2,-129 +8112e9f0: 90a4703a and r18,r18,r2 +8112e9f4: 94800094 ori r18,r18,2 +8112e9f8: 0039883a mov fp,zero +8112e9fc: d9003a17 ldw r4,232(sp) +8112ea00: dc001e04 addi r16,sp,120 +8112ea04: a08003cc andi r2,r20,15 +8112ea08: b006973a slli r3,r22,28 +8112ea0c: 2085883a add r2,r4,r2 +8112ea10: a028d13a srli r20,r20,4 +8112ea14: 10800003 ldbu r2,0(r2) +8112ea18: b02cd13a srli r22,r22,4 +8112ea1c: 843fffc4 addi r16,r16,-1 +8112ea20: 1d28b03a or r20,r3,r20 +8112ea24: 80800005 stb r2,0(r16) +8112ea28: a584b03a or r2,r20,r22 +8112ea2c: 103ff51e bne r2,zero,8112ea04 <__reset+0xfb10ea04> +8112ea30: d8c02817 ldw r3,160(sp) +8112ea34: 1c07c83a sub r3,r3,r16 +8112ea38: d8c02e15 stw r3,184(sp) +8112ea3c: 003ece06 br 8112e578 <__reset+0xfb10e578> +8112ea40: d8c02d17 ldw r3,180(sp) +8112ea44: d9002d17 ldw r4,180(sp) +8112ea48: d8002785 stb zero,158(sp) +8112ea4c: 18800017 ldw r2,0(r3) +8112ea50: 21000104 addi r4,r4,4 +8112ea54: 00c00044 movi r3,1 +8112ea58: d8c02a15 stw r3,168(sp) +8112ea5c: d8801405 stb r2,80(sp) +8112ea60: d9002d15 stw r4,180(sp) +8112ea64: d8c02e15 stw r3,184(sp) +8112ea68: d8002915 stw zero,164(sp) +8112ea6c: d8003215 stw zero,200(sp) +8112ea70: dc001404 addi r16,sp,80 +8112ea74: 0039883a mov fp,zero +8112ea78: 003ecc06 br 8112e5ac <__reset+0xfb10e5ac> +8112ea7c: 18c03fcc andi r3,r3,255 +8112ea80: 183e9226 beq r3,zero,8112e4cc <__reset+0xfb10e4cc> +8112ea84: d9c02785 stb r7,158(sp) +8112ea88: 003e9006 br 8112e4cc <__reset+0xfb10e4cc> +8112ea8c: 00c00044 movi r3,1 +8112ea90: 01c00ac4 movi r7,43 +8112ea94: bc400007 ldb r17,0(r23) +8112ea98: 003d6306 br 8112e028 <__reset+0xfb10e028> +8112ea9c: 94800814 ori r18,r18,32 +8112eaa0: bc400007 ldb r17,0(r23) +8112eaa4: 003d6006 br 8112e028 <__reset+0xfb10e028> +8112eaa8: d8c02d17 ldw r3,180(sp) +8112eaac: d8002785 stb zero,158(sp) +8112eab0: 1c000017 ldw r16,0(r3) +8112eab4: 1d000104 addi r20,r3,4 +8112eab8: 80040f26 beq r16,zero,8112faf8 <___svfprintf_internal_r+0x1c20> +8112eabc: d9002917 ldw r4,164(sp) +8112eac0: 2003dc16 blt r4,zero,8112fa34 <___svfprintf_internal_r+0x1b5c> +8112eac4: 200d883a mov r6,r4 +8112eac8: 000b883a mov r5,zero +8112eacc: 8009883a mov r4,r16 +8112ead0: da003e15 stw r8,248(sp) +8112ead4: 1136fa40 call 81136fa4 +8112ead8: da003e17 ldw r8,248(sp) +8112eadc: 10045826 beq r2,zero,8112fc40 <___svfprintf_internal_r+0x1d68> +8112eae0: 1405c83a sub r2,r2,r16 +8112eae4: d8802e15 stw r2,184(sp) +8112eae8: 1003d816 blt r2,zero,8112fa4c <___svfprintf_internal_r+0x1b74> +8112eaec: df002783 ldbu fp,158(sp) +8112eaf0: d8802a15 stw r2,168(sp) +8112eaf4: dd002d15 stw r20,180(sp) +8112eaf8: d8002915 stw zero,164(sp) +8112eafc: d8003215 stw zero,200(sp) +8112eb00: 003ea306 br 8112e590 <__reset+0xfb10e590> +8112eb04: 18c03fcc andi r3,r3,255 +8112eb08: 183f8c26 beq r3,zero,8112e93c <__reset+0xfb10e93c> +8112eb0c: d9c02785 stb r7,158(sp) +8112eb10: 003f8a06 br 8112e93c <__reset+0xfb10e93c> +8112eb14: 18c03fcc andi r3,r3,255 +8112eb18: 1805631e bne r3,zero,811300a8 <___svfprintf_internal_r+0x21d0> +8112eb1c: 01604574 movhi r5,33045 +8112eb20: 297ec604 addi r5,r5,-1256 +8112eb24: d9403a15 stw r5,232(sp) +8112eb28: 9080080c andi r2,r18,32 +8112eb2c: 103f9f1e bne r2,zero,8112e9ac <__reset+0xfb10e9ac> +8112eb30: 9080040c andi r2,r18,16 +8112eb34: 10029c26 beq r2,zero,8112f5a8 <___svfprintf_internal_r+0x16d0> +8112eb38: d8c02d17 ldw r3,180(sp) +8112eb3c: 002d883a mov r22,zero +8112eb40: 1d000017 ldw r20,0(r3) +8112eb44: 18c00104 addi r3,r3,4 +8112eb48: d8c02d15 stw r3,180(sp) +8112eb4c: 003f9c06 br 8112e9c0 <__reset+0xfb10e9c0> +8112eb50: 94800054 ori r18,r18,1 +8112eb54: bc400007 ldb r17,0(r23) +8112eb58: 003d3306 br 8112e028 <__reset+0xfb10e028> +8112eb5c: 38803fcc andi r2,r7,255 +8112eb60: 1080201c xori r2,r2,128 +8112eb64: 10bfe004 addi r2,r2,-128 +8112eb68: 1002971e bne r2,zero,8112f5c8 <___svfprintf_internal_r+0x16f0> +8112eb6c: 00c00044 movi r3,1 +8112eb70: 01c00804 movi r7,32 +8112eb74: bc400007 ldb r17,0(r23) +8112eb78: 003d2b06 br 8112e028 <__reset+0xfb10e028> +8112eb7c: 18c03fcc andi r3,r3,255 +8112eb80: 183e2326 beq r3,zero,8112e410 <__reset+0xfb10e410> +8112eb84: d9c02785 stb r7,158(sp) +8112eb88: 003e2106 br 8112e410 <__reset+0xfb10e410> +8112eb8c: bc400007 ldb r17,0(r23) +8112eb90: 8a430426 beq r17,r9,8112f7a4 <___svfprintf_internal_r+0x18cc> +8112eb94: 94800414 ori r18,r18,16 +8112eb98: 003d2306 br 8112e028 <__reset+0xfb10e028> +8112eb9c: 18c03fcc andi r3,r3,255 +8112eba0: 18053f1e bne r3,zero,811300a0 <___svfprintf_internal_r+0x21c8> +8112eba4: 9080080c andi r2,r18,32 +8112eba8: 10028926 beq r2,zero,8112f5d0 <___svfprintf_internal_r+0x16f8> +8112ebac: d9402d17 ldw r5,180(sp) +8112ebb0: d9002f17 ldw r4,188(sp) +8112ebb4: 28800017 ldw r2,0(r5) +8112ebb8: 2007d7fa srai r3,r4,31 +8112ebbc: 29400104 addi r5,r5,4 +8112ebc0: d9402d15 stw r5,180(sp) +8112ebc4: 11000015 stw r4,0(r2) +8112ebc8: 10c00115 stw r3,4(r2) +8112ebcc: 003ced06 br 8112df84 <__reset+0xfb10df84> +8112ebd0: 94801014 ori r18,r18,64 +8112ebd4: bc400007 ldb r17,0(r23) +8112ebd8: 003d1306 br 8112e028 <__reset+0xfb10e028> +8112ebdc: 01204574 movhi r4,33045 +8112ebe0: 213ec604 addi r4,r4,-1256 +8112ebe4: 0039883a mov fp,zero +8112ebe8: d9003a15 stw r4,232(sp) +8112ebec: 04401e04 movi r17,120 +8112ebf0: 003f8206 br 8112e9fc <__reset+0xfb10e9fc> +8112ebf4: 18c03fcc andi r3,r3,255 +8112ebf8: 1805221e bne r3,zero,81130084 <___svfprintf_internal_r+0x21ac> +8112ebfc: 883d9526 beq r17,zero,8112e254 <__reset+0xfb10e254> 8112ec00: 00c00044 movi r3,1 -8112ec04: 10c21626 beq r2,r3,8112f460 <___svfprintf_internal_r+0x15c8> -8112ec08: 00c00084 movi r3,2 -8112ec0c: 10fe301e bne r2,r3,8112e4d0 <__reset+0xfb10e4d0> -8112ec10: 003d7606 br 8112e1ec <__reset+0xfb10e1ec> -8112ec14: d8c02017 ldw r3,128(sp) -8112ec18: 003e9506 br 8112e670 <__reset+0xfb10e670> -8112ec1c: 00801944 movi r2,101 -8112ec20: 14407c0e bge r2,r17,8112ee14 <___svfprintf_internal_r+0xf7c> -8112ec24: d9003617 ldw r4,216(sp) -8112ec28: d9403717 ldw r5,220(sp) -8112ec2c: 000d883a mov r6,zero -8112ec30: 000f883a mov r7,zero -8112ec34: d8c03d15 stw r3,244(sp) -8112ec38: da003e15 stw r8,248(sp) -8112ec3c: 113f0a00 call 8113f0a0 <__eqdf2> -8112ec40: d8c03d17 ldw r3,244(sp) -8112ec44: da003e17 ldw r8,248(sp) -8112ec48: 1000f51e bne r2,zero,8112f020 <___svfprintf_internal_r+0x1188> -8112ec4c: d8801f17 ldw r2,124(sp) -8112ec50: 01204574 movhi r4,33045 -8112ec54: 213ebc04 addi r4,r4,-1296 -8112ec58: 18c00044 addi r3,r3,1 -8112ec5c: 10800044 addi r2,r2,1 -8112ec60: 41000015 stw r4,0(r8) -8112ec64: 01000044 movi r4,1 -8112ec68: 41000115 stw r4,4(r8) -8112ec6c: d8c02015 stw r3,128(sp) -8112ec70: d8801f15 stw r2,124(sp) -8112ec74: 010001c4 movi r4,7 -8112ec78: 20826616 blt r4,r2,8112f614 <___svfprintf_internal_r+0x177c> -8112ec7c: 42000204 addi r8,r8,8 -8112ec80: d8802617 ldw r2,152(sp) -8112ec84: d9403317 ldw r5,204(sp) -8112ec88: 11400216 blt r2,r5,8112ec94 <___svfprintf_internal_r+0xdfc> -8112ec8c: 9080004c andi r2,r18,1 -8112ec90: 103ed526 beq r2,zero,8112e7e8 <__reset+0xfb10e7e8> -8112ec94: d8803817 ldw r2,224(sp) -8112ec98: d9003417 ldw r4,208(sp) -8112ec9c: d9403817 ldw r5,224(sp) -8112eca0: 1887883a add r3,r3,r2 -8112eca4: d8801f17 ldw r2,124(sp) -8112eca8: 41000015 stw r4,0(r8) -8112ecac: 41400115 stw r5,4(r8) -8112ecb0: 10800044 addi r2,r2,1 -8112ecb4: d8c02015 stw r3,128(sp) -8112ecb8: d8801f15 stw r2,124(sp) -8112ecbc: 010001c4 movi r4,7 -8112ecc0: 2082af16 blt r4,r2,8112f780 <___svfprintf_internal_r+0x18e8> -8112ecc4: 42000204 addi r8,r8,8 -8112ecc8: d8803317 ldw r2,204(sp) -8112eccc: 143fffc4 addi r16,r2,-1 -8112ecd0: 043ec50e bge zero,r16,8112e7e8 <__reset+0xfb10e7e8> -8112ecd4: 04400404 movi r17,16 -8112ecd8: d8801f17 ldw r2,124(sp) -8112ecdc: 8c00860e bge r17,r16,8112eef8 <___svfprintf_internal_r+0x1060> -8112ece0: 01604574 movhi r5,33045 -8112ece4: 297ebc84 addi r5,r5,-1294 -8112ece8: d9402b15 stw r5,172(sp) -8112ecec: 058001c4 movi r22,7 -8112ecf0: dd002c17 ldw r20,176(sp) -8112ecf4: 00000306 br 8112ed04 <___svfprintf_internal_r+0xe6c> -8112ecf8: 42000204 addi r8,r8,8 -8112ecfc: 843ffc04 addi r16,r16,-16 -8112ed00: 8c00800e bge r17,r16,8112ef04 <___svfprintf_internal_r+0x106c> -8112ed04: 18c00404 addi r3,r3,16 -8112ed08: 10800044 addi r2,r2,1 -8112ed0c: 45400015 stw r21,0(r8) -8112ed10: 44400115 stw r17,4(r8) -8112ed14: d8c02015 stw r3,128(sp) -8112ed18: d8801f15 stw r2,124(sp) -8112ed1c: b0bff60e bge r22,r2,8112ecf8 <__reset+0xfb10ecf8> -8112ed20: d9801e04 addi r6,sp,120 -8112ed24: a00b883a mov r5,r20 -8112ed28: 9809883a mov r4,r19 -8112ed2c: 113a6400 call 8113a640 <__ssprint_r> -8112ed30: 103d3e1e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112ed34: d8c02017 ldw r3,128(sp) -8112ed38: d8801f17 ldw r2,124(sp) -8112ed3c: da000404 addi r8,sp,16 -8112ed40: 003fee06 br 8112ecfc <__reset+0xfb10ecfc> -8112ed44: d9403117 ldw r5,196(sp) -8112ed48: d8802a17 ldw r2,168(sp) -8112ed4c: 28adc83a sub r22,r5,r2 -8112ed50: 05be650e bge zero,r22,8112e6e8 <__reset+0xfb10e6e8> -8112ed54: 07000404 movi fp,16 -8112ed58: d8801f17 ldw r2,124(sp) -8112ed5c: e583a20e bge fp,r22,8112fbe8 <___svfprintf_internal_r+0x1d50> -8112ed60: 01604574 movhi r5,33045 -8112ed64: 297ebc84 addi r5,r5,-1294 -8112ed68: dc403015 stw r17,192(sp) -8112ed6c: d9402b15 stw r5,172(sp) -8112ed70: b023883a mov r17,r22 -8112ed74: 050001c4 movi r20,7 -8112ed78: 902d883a mov r22,r18 -8112ed7c: 8025883a mov r18,r16 -8112ed80: dc002c17 ldw r16,176(sp) -8112ed84: 00000306 br 8112ed94 <___svfprintf_internal_r+0xefc> -8112ed88: 8c7ffc04 addi r17,r17,-16 -8112ed8c: 42000204 addi r8,r8,8 -8112ed90: e440110e bge fp,r17,8112edd8 <___svfprintf_internal_r+0xf40> -8112ed94: 18c00404 addi r3,r3,16 -8112ed98: 10800044 addi r2,r2,1 -8112ed9c: 45400015 stw r21,0(r8) -8112eda0: 47000115 stw fp,4(r8) -8112eda4: d8c02015 stw r3,128(sp) -8112eda8: d8801f15 stw r2,124(sp) -8112edac: a0bff60e bge r20,r2,8112ed88 <__reset+0xfb10ed88> -8112edb0: d9801e04 addi r6,sp,120 -8112edb4: 800b883a mov r5,r16 -8112edb8: 9809883a mov r4,r19 -8112edbc: 113a6400 call 8113a640 <__ssprint_r> -8112edc0: 103d1a1e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112edc4: 8c7ffc04 addi r17,r17,-16 -8112edc8: d8c02017 ldw r3,128(sp) -8112edcc: d8801f17 ldw r2,124(sp) -8112edd0: da000404 addi r8,sp,16 -8112edd4: e47fef16 blt fp,r17,8112ed94 <__reset+0xfb10ed94> -8112edd8: 9021883a mov r16,r18 -8112eddc: b025883a mov r18,r22 -8112ede0: 882d883a mov r22,r17 -8112ede4: dc403017 ldw r17,192(sp) -8112ede8: d9002b17 ldw r4,172(sp) -8112edec: 1d87883a add r3,r3,r22 -8112edf0: 10800044 addi r2,r2,1 -8112edf4: 41000015 stw r4,0(r8) -8112edf8: 45800115 stw r22,4(r8) -8112edfc: d8c02015 stw r3,128(sp) -8112ee00: d8801f15 stw r2,124(sp) -8112ee04: 010001c4 movi r4,7 -8112ee08: 20819a16 blt r4,r2,8112f474 <___svfprintf_internal_r+0x15dc> -8112ee0c: 42000204 addi r8,r8,8 -8112ee10: 003e3506 br 8112e6e8 <__reset+0xfb10e6e8> -8112ee14: d9403317 ldw r5,204(sp) -8112ee18: 00800044 movi r2,1 -8112ee1c: 18c00044 addi r3,r3,1 -8112ee20: 1141710e bge r2,r5,8112f3e8 <___svfprintf_internal_r+0x1550> -8112ee24: dc401f17 ldw r17,124(sp) -8112ee28: 00800044 movi r2,1 -8112ee2c: 40800115 stw r2,4(r8) -8112ee30: 8c400044 addi r17,r17,1 -8112ee34: 44000015 stw r16,0(r8) -8112ee38: d8c02015 stw r3,128(sp) -8112ee3c: dc401f15 stw r17,124(sp) -8112ee40: 008001c4 movi r2,7 -8112ee44: 14417416 blt r2,r17,8112f418 <___svfprintf_internal_r+0x1580> -8112ee48: 42000204 addi r8,r8,8 -8112ee4c: d8803817 ldw r2,224(sp) -8112ee50: d9003417 ldw r4,208(sp) -8112ee54: 8c400044 addi r17,r17,1 -8112ee58: 10c7883a add r3,r2,r3 -8112ee5c: 40800115 stw r2,4(r8) -8112ee60: 41000015 stw r4,0(r8) -8112ee64: d8c02015 stw r3,128(sp) -8112ee68: dc401f15 stw r17,124(sp) -8112ee6c: 008001c4 movi r2,7 -8112ee70: 14417216 blt r2,r17,8112f43c <___svfprintf_internal_r+0x15a4> -8112ee74: 45800204 addi r22,r8,8 -8112ee78: d9003617 ldw r4,216(sp) -8112ee7c: d9403717 ldw r5,220(sp) -8112ee80: 000d883a mov r6,zero -8112ee84: 000f883a mov r7,zero -8112ee88: d8c03d15 stw r3,244(sp) -8112ee8c: 113f0a00 call 8113f0a0 <__eqdf2> -8112ee90: d8c03d17 ldw r3,244(sp) -8112ee94: 1000b326 beq r2,zero,8112f164 <___svfprintf_internal_r+0x12cc> -8112ee98: d9403317 ldw r5,204(sp) -8112ee9c: 84000044 addi r16,r16,1 -8112eea0: 8c400044 addi r17,r17,1 -8112eea4: 28bfffc4 addi r2,r5,-1 -8112eea8: 1887883a add r3,r3,r2 -8112eeac: b0800115 stw r2,4(r22) -8112eeb0: b4000015 stw r16,0(r22) -8112eeb4: d8c02015 stw r3,128(sp) -8112eeb8: dc401f15 stw r17,124(sp) -8112eebc: 008001c4 movi r2,7 -8112eec0: 1440d216 blt r2,r17,8112f20c <___svfprintf_internal_r+0x1374> -8112eec4: b5800204 addi r22,r22,8 -8112eec8: d9003b17 ldw r4,236(sp) -8112eecc: df0022c4 addi fp,sp,139 -8112eed0: 8c400044 addi r17,r17,1 -8112eed4: 20c7883a add r3,r4,r3 -8112eed8: b7000015 stw fp,0(r22) -8112eedc: b1000115 stw r4,4(r22) -8112eee0: d8c02015 stw r3,128(sp) -8112eee4: dc401f15 stw r17,124(sp) -8112eee8: 008001c4 movi r2,7 -8112eeec: 14400e16 blt r2,r17,8112ef28 <___svfprintf_internal_r+0x1090> -8112eef0: b2000204 addi r8,r22,8 -8112eef4: 003e3c06 br 8112e7e8 <__reset+0xfb10e7e8> -8112eef8: 01204574 movhi r4,33045 -8112eefc: 213ebc84 addi r4,r4,-1294 -8112ef00: d9002b15 stw r4,172(sp) -8112ef04: d9002b17 ldw r4,172(sp) -8112ef08: 1c07883a add r3,r3,r16 -8112ef0c: 44000115 stw r16,4(r8) -8112ef10: 41000015 stw r4,0(r8) -8112ef14: 10800044 addi r2,r2,1 -8112ef18: d8c02015 stw r3,128(sp) -8112ef1c: d8801f15 stw r2,124(sp) -8112ef20: 010001c4 movi r4,7 -8112ef24: 20be2f0e bge r4,r2,8112e7e4 <__reset+0xfb10e7e4> -8112ef28: d9402c17 ldw r5,176(sp) -8112ef2c: d9801e04 addi r6,sp,120 -8112ef30: 9809883a mov r4,r19 -8112ef34: 113a6400 call 8113a640 <__ssprint_r> -8112ef38: 103cbc1e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112ef3c: d8c02017 ldw r3,128(sp) -8112ef40: da000404 addi r8,sp,16 -8112ef44: 003e2806 br 8112e7e8 <__reset+0xfb10e7e8> -8112ef48: d9402c17 ldw r5,176(sp) -8112ef4c: d9801e04 addi r6,sp,120 -8112ef50: 9809883a mov r4,r19 -8112ef54: 113a6400 call 8113a640 <__ssprint_r> -8112ef58: 103e5f26 beq r2,zero,8112e8d8 <__reset+0xfb10e8d8> -8112ef5c: 003cb306 br 8112e22c <__reset+0xfb10e22c> -8112ef60: d9402c17 ldw r5,176(sp) -8112ef64: d9801e04 addi r6,sp,120 -8112ef68: 9809883a mov r4,r19 -8112ef6c: 113a6400 call 8113a640 <__ssprint_r> -8112ef70: 103cae1e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112ef74: d8c02017 ldw r3,128(sp) -8112ef78: da000404 addi r8,sp,16 -8112ef7c: 003e0d06 br 8112e7b4 <__reset+0xfb10e7b4> -8112ef80: d9402c17 ldw r5,176(sp) -8112ef84: d9801e04 addi r6,sp,120 -8112ef88: 9809883a mov r4,r19 -8112ef8c: 113a6400 call 8113a640 <__ssprint_r> -8112ef90: 103ca61e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112ef94: d8c02017 ldw r3,128(sp) -8112ef98: da000404 addi r8,sp,16 -8112ef9c: 003dc106 br 8112e6a4 <__reset+0xfb10e6a4> +8112ec04: d8c02a15 stw r3,168(sp) +8112ec08: dc401405 stb r17,80(sp) +8112ec0c: d8002785 stb zero,158(sp) +8112ec10: 003f9406 br 8112ea64 <__reset+0xfb10ea64> +8112ec14: 01204574 movhi r4,33045 +8112ec18: 213ec604 addi r4,r4,-1256 +8112ec1c: d9003a15 stw r4,232(sp) +8112ec20: d8c02d15 stw r3,180(sp) +8112ec24: 1025883a mov r18,r2 +8112ec28: 04401e04 movi r17,120 +8112ec2c: a584b03a or r2,r20,r22 +8112ec30: 1000fa1e bne r2,zero,8112f01c <___svfprintf_internal_r+0x1144> +8112ec34: 0039883a mov fp,zero +8112ec38: 00800084 movi r2,2 +8112ec3c: 10803fcc andi r2,r2,255 +8112ec40: 00c00044 movi r3,1 +8112ec44: 10c21626 beq r2,r3,8112f4a0 <___svfprintf_internal_r+0x15c8> +8112ec48: 00c00084 movi r3,2 +8112ec4c: 10fe301e bne r2,r3,8112e510 <__reset+0xfb10e510> +8112ec50: 003d7606 br 8112e22c <__reset+0xfb10e22c> +8112ec54: d8c02017 ldw r3,128(sp) +8112ec58: 003e9506 br 8112e6b0 <__reset+0xfb10e6b0> +8112ec5c: 00801944 movi r2,101 +8112ec60: 14407c0e bge r2,r17,8112ee54 <___svfprintf_internal_r+0xf7c> +8112ec64: d9003617 ldw r4,216(sp) +8112ec68: d9403717 ldw r5,220(sp) +8112ec6c: 000d883a mov r6,zero +8112ec70: 000f883a mov r7,zero +8112ec74: d8c03d15 stw r3,244(sp) +8112ec78: da003e15 stw r8,248(sp) +8112ec7c: 113f0e00 call 8113f0e0 <__eqdf2> +8112ec80: d8c03d17 ldw r3,244(sp) +8112ec84: da003e17 ldw r8,248(sp) +8112ec88: 1000f51e bne r2,zero,8112f060 <___svfprintf_internal_r+0x1188> +8112ec8c: d8801f17 ldw r2,124(sp) +8112ec90: 01204574 movhi r4,33045 +8112ec94: 213ecd04 addi r4,r4,-1228 +8112ec98: 18c00044 addi r3,r3,1 +8112ec9c: 10800044 addi r2,r2,1 +8112eca0: 41000015 stw r4,0(r8) +8112eca4: 01000044 movi r4,1 +8112eca8: 41000115 stw r4,4(r8) +8112ecac: d8c02015 stw r3,128(sp) +8112ecb0: d8801f15 stw r2,124(sp) +8112ecb4: 010001c4 movi r4,7 +8112ecb8: 20826616 blt r4,r2,8112f654 <___svfprintf_internal_r+0x177c> +8112ecbc: 42000204 addi r8,r8,8 +8112ecc0: d8802617 ldw r2,152(sp) +8112ecc4: d9403317 ldw r5,204(sp) +8112ecc8: 11400216 blt r2,r5,8112ecd4 <___svfprintf_internal_r+0xdfc> +8112eccc: 9080004c andi r2,r18,1 +8112ecd0: 103ed526 beq r2,zero,8112e828 <__reset+0xfb10e828> +8112ecd4: d8803817 ldw r2,224(sp) +8112ecd8: d9003417 ldw r4,208(sp) +8112ecdc: d9403817 ldw r5,224(sp) +8112ece0: 1887883a add r3,r3,r2 +8112ece4: d8801f17 ldw r2,124(sp) +8112ece8: 41000015 stw r4,0(r8) +8112ecec: 41400115 stw r5,4(r8) +8112ecf0: 10800044 addi r2,r2,1 +8112ecf4: d8c02015 stw r3,128(sp) +8112ecf8: d8801f15 stw r2,124(sp) +8112ecfc: 010001c4 movi r4,7 +8112ed00: 2082af16 blt r4,r2,8112f7c0 <___svfprintf_internal_r+0x18e8> +8112ed04: 42000204 addi r8,r8,8 +8112ed08: d8803317 ldw r2,204(sp) +8112ed0c: 143fffc4 addi r16,r2,-1 +8112ed10: 043ec50e bge zero,r16,8112e828 <__reset+0xfb10e828> +8112ed14: 04400404 movi r17,16 +8112ed18: d8801f17 ldw r2,124(sp) +8112ed1c: 8c00860e bge r17,r16,8112ef38 <___svfprintf_internal_r+0x1060> +8112ed20: 01604574 movhi r5,33045 +8112ed24: 297ecd84 addi r5,r5,-1226 +8112ed28: d9402b15 stw r5,172(sp) +8112ed2c: 058001c4 movi r22,7 +8112ed30: dd002c17 ldw r20,176(sp) +8112ed34: 00000306 br 8112ed44 <___svfprintf_internal_r+0xe6c> +8112ed38: 42000204 addi r8,r8,8 +8112ed3c: 843ffc04 addi r16,r16,-16 +8112ed40: 8c00800e bge r17,r16,8112ef44 <___svfprintf_internal_r+0x106c> +8112ed44: 18c00404 addi r3,r3,16 +8112ed48: 10800044 addi r2,r2,1 +8112ed4c: 45400015 stw r21,0(r8) +8112ed50: 44400115 stw r17,4(r8) +8112ed54: d8c02015 stw r3,128(sp) +8112ed58: d8801f15 stw r2,124(sp) +8112ed5c: b0bff60e bge r22,r2,8112ed38 <__reset+0xfb10ed38> +8112ed60: d9801e04 addi r6,sp,120 +8112ed64: a00b883a mov r5,r20 +8112ed68: 9809883a mov r4,r19 +8112ed6c: 113a6800 call 8113a680 <__ssprint_r> +8112ed70: 103d3e1e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112ed74: d8c02017 ldw r3,128(sp) +8112ed78: d8801f17 ldw r2,124(sp) +8112ed7c: da000404 addi r8,sp,16 +8112ed80: 003fee06 br 8112ed3c <__reset+0xfb10ed3c> +8112ed84: d9403117 ldw r5,196(sp) +8112ed88: d8802a17 ldw r2,168(sp) +8112ed8c: 28adc83a sub r22,r5,r2 +8112ed90: 05be650e bge zero,r22,8112e728 <__reset+0xfb10e728> +8112ed94: 07000404 movi fp,16 +8112ed98: d8801f17 ldw r2,124(sp) +8112ed9c: e583a20e bge fp,r22,8112fc28 <___svfprintf_internal_r+0x1d50> +8112eda0: 01604574 movhi r5,33045 +8112eda4: 297ecd84 addi r5,r5,-1226 +8112eda8: dc403015 stw r17,192(sp) +8112edac: d9402b15 stw r5,172(sp) +8112edb0: b023883a mov r17,r22 +8112edb4: 050001c4 movi r20,7 +8112edb8: 902d883a mov r22,r18 +8112edbc: 8025883a mov r18,r16 +8112edc0: dc002c17 ldw r16,176(sp) +8112edc4: 00000306 br 8112edd4 <___svfprintf_internal_r+0xefc> +8112edc8: 8c7ffc04 addi r17,r17,-16 +8112edcc: 42000204 addi r8,r8,8 +8112edd0: e440110e bge fp,r17,8112ee18 <___svfprintf_internal_r+0xf40> +8112edd4: 18c00404 addi r3,r3,16 +8112edd8: 10800044 addi r2,r2,1 +8112eddc: 45400015 stw r21,0(r8) +8112ede0: 47000115 stw fp,4(r8) +8112ede4: d8c02015 stw r3,128(sp) +8112ede8: d8801f15 stw r2,124(sp) +8112edec: a0bff60e bge r20,r2,8112edc8 <__reset+0xfb10edc8> +8112edf0: d9801e04 addi r6,sp,120 +8112edf4: 800b883a mov r5,r16 +8112edf8: 9809883a mov r4,r19 +8112edfc: 113a6800 call 8113a680 <__ssprint_r> +8112ee00: 103d1a1e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112ee04: 8c7ffc04 addi r17,r17,-16 +8112ee08: d8c02017 ldw r3,128(sp) +8112ee0c: d8801f17 ldw r2,124(sp) +8112ee10: da000404 addi r8,sp,16 +8112ee14: e47fef16 blt fp,r17,8112edd4 <__reset+0xfb10edd4> +8112ee18: 9021883a mov r16,r18 +8112ee1c: b025883a mov r18,r22 +8112ee20: 882d883a mov r22,r17 +8112ee24: dc403017 ldw r17,192(sp) +8112ee28: d9002b17 ldw r4,172(sp) +8112ee2c: 1d87883a add r3,r3,r22 +8112ee30: 10800044 addi r2,r2,1 +8112ee34: 41000015 stw r4,0(r8) +8112ee38: 45800115 stw r22,4(r8) +8112ee3c: d8c02015 stw r3,128(sp) +8112ee40: d8801f15 stw r2,124(sp) +8112ee44: 010001c4 movi r4,7 +8112ee48: 20819a16 blt r4,r2,8112f4b4 <___svfprintf_internal_r+0x15dc> +8112ee4c: 42000204 addi r8,r8,8 +8112ee50: 003e3506 br 8112e728 <__reset+0xfb10e728> +8112ee54: d9403317 ldw r5,204(sp) +8112ee58: 00800044 movi r2,1 +8112ee5c: 18c00044 addi r3,r3,1 +8112ee60: 1141710e bge r2,r5,8112f428 <___svfprintf_internal_r+0x1550> +8112ee64: dc401f17 ldw r17,124(sp) +8112ee68: 00800044 movi r2,1 +8112ee6c: 40800115 stw r2,4(r8) +8112ee70: 8c400044 addi r17,r17,1 +8112ee74: 44000015 stw r16,0(r8) +8112ee78: d8c02015 stw r3,128(sp) +8112ee7c: dc401f15 stw r17,124(sp) +8112ee80: 008001c4 movi r2,7 +8112ee84: 14417416 blt r2,r17,8112f458 <___svfprintf_internal_r+0x1580> +8112ee88: 42000204 addi r8,r8,8 +8112ee8c: d8803817 ldw r2,224(sp) +8112ee90: d9003417 ldw r4,208(sp) +8112ee94: 8c400044 addi r17,r17,1 +8112ee98: 10c7883a add r3,r2,r3 +8112ee9c: 40800115 stw r2,4(r8) +8112eea0: 41000015 stw r4,0(r8) +8112eea4: d8c02015 stw r3,128(sp) +8112eea8: dc401f15 stw r17,124(sp) +8112eeac: 008001c4 movi r2,7 +8112eeb0: 14417216 blt r2,r17,8112f47c <___svfprintf_internal_r+0x15a4> +8112eeb4: 45800204 addi r22,r8,8 +8112eeb8: d9003617 ldw r4,216(sp) +8112eebc: d9403717 ldw r5,220(sp) +8112eec0: 000d883a mov r6,zero +8112eec4: 000f883a mov r7,zero +8112eec8: d8c03d15 stw r3,244(sp) +8112eecc: 113f0e00 call 8113f0e0 <__eqdf2> +8112eed0: d8c03d17 ldw r3,244(sp) +8112eed4: 1000b326 beq r2,zero,8112f1a4 <___svfprintf_internal_r+0x12cc> +8112eed8: d9403317 ldw r5,204(sp) +8112eedc: 84000044 addi r16,r16,1 +8112eee0: 8c400044 addi r17,r17,1 +8112eee4: 28bfffc4 addi r2,r5,-1 +8112eee8: 1887883a add r3,r3,r2 +8112eeec: b0800115 stw r2,4(r22) +8112eef0: b4000015 stw r16,0(r22) +8112eef4: d8c02015 stw r3,128(sp) +8112eef8: dc401f15 stw r17,124(sp) +8112eefc: 008001c4 movi r2,7 +8112ef00: 1440d216 blt r2,r17,8112f24c <___svfprintf_internal_r+0x1374> +8112ef04: b5800204 addi r22,r22,8 +8112ef08: d9003b17 ldw r4,236(sp) +8112ef0c: df0022c4 addi fp,sp,139 +8112ef10: 8c400044 addi r17,r17,1 +8112ef14: 20c7883a add r3,r4,r3 +8112ef18: b7000015 stw fp,0(r22) +8112ef1c: b1000115 stw r4,4(r22) +8112ef20: d8c02015 stw r3,128(sp) +8112ef24: dc401f15 stw r17,124(sp) +8112ef28: 008001c4 movi r2,7 +8112ef2c: 14400e16 blt r2,r17,8112ef68 <___svfprintf_internal_r+0x1090> +8112ef30: b2000204 addi r8,r22,8 +8112ef34: 003e3c06 br 8112e828 <__reset+0xfb10e828> +8112ef38: 01204574 movhi r4,33045 +8112ef3c: 213ecd84 addi r4,r4,-1226 +8112ef40: d9002b15 stw r4,172(sp) +8112ef44: d9002b17 ldw r4,172(sp) +8112ef48: 1c07883a add r3,r3,r16 +8112ef4c: 44000115 stw r16,4(r8) +8112ef50: 41000015 stw r4,0(r8) +8112ef54: 10800044 addi r2,r2,1 +8112ef58: d8c02015 stw r3,128(sp) +8112ef5c: d8801f15 stw r2,124(sp) +8112ef60: 010001c4 movi r4,7 +8112ef64: 20be2f0e bge r4,r2,8112e824 <__reset+0xfb10e824> +8112ef68: d9402c17 ldw r5,176(sp) +8112ef6c: d9801e04 addi r6,sp,120 +8112ef70: 9809883a mov r4,r19 +8112ef74: 113a6800 call 8113a680 <__ssprint_r> +8112ef78: 103cbc1e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112ef7c: d8c02017 ldw r3,128(sp) +8112ef80: da000404 addi r8,sp,16 +8112ef84: 003e2806 br 8112e828 <__reset+0xfb10e828> +8112ef88: d9402c17 ldw r5,176(sp) +8112ef8c: d9801e04 addi r6,sp,120 +8112ef90: 9809883a mov r4,r19 +8112ef94: 113a6800 call 8113a680 <__ssprint_r> +8112ef98: 103e5f26 beq r2,zero,8112e918 <__reset+0xfb10e918> +8112ef9c: 003cb306 br 8112e26c <__reset+0xfb10e26c> 8112efa0: d9402c17 ldw r5,176(sp) 8112efa4: d9801e04 addi r6,sp,120 8112efa8: 9809883a mov r4,r19 -8112efac: 113a6400 call 8113a640 <__ssprint_r> -8112efb0: 103c9e1e bne r2,zero,8112e22c <__reset+0xfb10e22c> +8112efac: 113a6800 call 8113a680 <__ssprint_r> +8112efb0: 103cae1e bne r2,zero,8112e26c <__reset+0xfb10e26c> 8112efb4: d8c02017 ldw r3,128(sp) 8112efb8: da000404 addi r8,sp,16 -8112efbc: 003dc706 br 8112e6dc <__reset+0xfb10e6dc> -8112efc0: d8802917 ldw r2,164(sp) -8112efc4: d8002785 stb zero,158(sp) -8112efc8: 103f0816 blt r2,zero,8112ebec <__reset+0xfb10ebec> -8112efcc: 00ffdfc4 movi r3,-129 -8112efd0: a584b03a or r2,r20,r22 -8112efd4: 90e4703a and r18,r18,r3 -8112efd8: 103c8126 beq r2,zero,8112e1e0 <__reset+0xfb10e1e0> -8112efdc: 0039883a mov fp,zero -8112efe0: 003e7606 br 8112e9bc <__reset+0xfb10e9bc> -8112efe4: 9080040c andi r2,r18,16 -8112efe8: 10013d26 beq r2,zero,8112f4e0 <___svfprintf_internal_r+0x1648> -8112efec: d9002d17 ldw r4,180(sp) -8112eff0: d9402917 ldw r5,164(sp) -8112eff4: d8002785 stb zero,158(sp) -8112eff8: 20800104 addi r2,r4,4 -8112effc: 25000017 ldw r20,0(r4) -8112f000: 002d883a mov r22,zero -8112f004: 28013f16 blt r5,zero,8112f504 <___svfprintf_internal_r+0x166c> -8112f008: 00ffdfc4 movi r3,-129 -8112f00c: d8802d15 stw r2,180(sp) -8112f010: 90e4703a and r18,r18,r3 -8112f014: a03d2b26 beq r20,zero,8112e4c4 <__reset+0xfb10e4c4> -8112f018: 0039883a mov fp,zero -8112f01c: 003d2e06 br 8112e4d8 <__reset+0xfb10e4d8> -8112f020: dc402617 ldw r17,152(sp) -8112f024: 0441830e bge zero,r17,8112f634 <___svfprintf_internal_r+0x179c> -8112f028: dc403217 ldw r17,200(sp) -8112f02c: d8803317 ldw r2,204(sp) -8112f030: 1440010e bge r2,r17,8112f038 <___svfprintf_internal_r+0x11a0> -8112f034: 1023883a mov r17,r2 -8112f038: 04400a0e bge zero,r17,8112f064 <___svfprintf_internal_r+0x11cc> -8112f03c: d8801f17 ldw r2,124(sp) -8112f040: 1c47883a add r3,r3,r17 -8112f044: 44000015 stw r16,0(r8) -8112f048: 10800044 addi r2,r2,1 -8112f04c: 44400115 stw r17,4(r8) -8112f050: d8c02015 stw r3,128(sp) -8112f054: d8801f15 stw r2,124(sp) -8112f058: 010001c4 movi r4,7 -8112f05c: 20827516 blt r4,r2,8112fa34 <___svfprintf_internal_r+0x1b9c> -8112f060: 42000204 addi r8,r8,8 -8112f064: 88027b16 blt r17,zero,8112fa54 <___svfprintf_internal_r+0x1bbc> -8112f068: d9003217 ldw r4,200(sp) -8112f06c: 2463c83a sub r17,r4,r17 -8112f070: 0440990e bge zero,r17,8112f2d8 <___svfprintf_internal_r+0x1440> -8112f074: 05800404 movi r22,16 -8112f078: d8801f17 ldw r2,124(sp) -8112f07c: b441530e bge r22,r17,8112f5cc <___svfprintf_internal_r+0x1734> -8112f080: 01204574 movhi r4,33045 -8112f084: 213ebc84 addi r4,r4,-1294 -8112f088: d9002b15 stw r4,172(sp) -8112f08c: 070001c4 movi fp,7 -8112f090: dd002c17 ldw r20,176(sp) -8112f094: 00000306 br 8112f0a4 <___svfprintf_internal_r+0x120c> -8112f098: 42000204 addi r8,r8,8 -8112f09c: 8c7ffc04 addi r17,r17,-16 -8112f0a0: b4414d0e bge r22,r17,8112f5d8 <___svfprintf_internal_r+0x1740> -8112f0a4: 18c00404 addi r3,r3,16 -8112f0a8: 10800044 addi r2,r2,1 -8112f0ac: 45400015 stw r21,0(r8) -8112f0b0: 45800115 stw r22,4(r8) -8112f0b4: d8c02015 stw r3,128(sp) -8112f0b8: d8801f15 stw r2,124(sp) -8112f0bc: e0bff60e bge fp,r2,8112f098 <__reset+0xfb10f098> -8112f0c0: d9801e04 addi r6,sp,120 -8112f0c4: a00b883a mov r5,r20 -8112f0c8: 9809883a mov r4,r19 -8112f0cc: 113a6400 call 8113a640 <__ssprint_r> -8112f0d0: 103c561e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112f0d4: d8c02017 ldw r3,128(sp) -8112f0d8: d8801f17 ldw r2,124(sp) -8112f0dc: da000404 addi r8,sp,16 -8112f0e0: 003fee06 br 8112f09c <__reset+0xfb10f09c> -8112f0e4: d9402c17 ldw r5,176(sp) -8112f0e8: d9801e04 addi r6,sp,120 -8112f0ec: 9809883a mov r4,r19 -8112f0f0: 113a6400 call 8113a640 <__ssprint_r> -8112f0f4: 103c4d1e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112f0f8: d8c02017 ldw r3,128(sp) -8112f0fc: df002787 ldb fp,158(sp) -8112f100: da000404 addi r8,sp,16 -8112f104: 003d5a06 br 8112e670 <__reset+0xfb10e670> -8112f108: 9080040c andi r2,r18,16 -8112f10c: 10005c26 beq r2,zero,8112f280 <___svfprintf_internal_r+0x13e8> -8112f110: d9402d17 ldw r5,180(sp) -8112f114: d8c02917 ldw r3,164(sp) -8112f118: d8002785 stb zero,158(sp) -8112f11c: 28800104 addi r2,r5,4 -8112f120: 2d000017 ldw r20,0(r5) -8112f124: 002d883a mov r22,zero -8112f128: 18005e16 blt r3,zero,8112f2a4 <___svfprintf_internal_r+0x140c> -8112f12c: 00ffdfc4 movi r3,-129 -8112f130: d8802d15 stw r2,180(sp) -8112f134: 90e4703a and r18,r18,r3 -8112f138: 0039883a mov fp,zero -8112f13c: a03dfe26 beq r20,zero,8112e938 <__reset+0xfb10e938> -8112f140: 00800244 movi r2,9 -8112f144: 153cb336 bltu r2,r20,8112e414 <__reset+0xfb10e414> -8112f148: a5000c04 addi r20,r20,48 -8112f14c: dc001dc4 addi r16,sp,119 -8112f150: dd001dc5 stb r20,119(sp) -8112f154: d8c02817 ldw r3,160(sp) -8112f158: 1c07c83a sub r3,r3,r16 -8112f15c: d8c02e15 stw r3,184(sp) -8112f160: 003cf506 br 8112e538 <__reset+0xfb10e538> -8112f164: d8803317 ldw r2,204(sp) -8112f168: 143fffc4 addi r16,r2,-1 -8112f16c: 043f560e bge zero,r16,8112eec8 <__reset+0xfb10eec8> -8112f170: 07000404 movi fp,16 -8112f174: e403530e bge fp,r16,8112fec4 <___svfprintf_internal_r+0x202c> -8112f178: 01604574 movhi r5,33045 -8112f17c: 297ebc84 addi r5,r5,-1294 -8112f180: d9402b15 stw r5,172(sp) -8112f184: 01c001c4 movi r7,7 -8112f188: dd002c17 ldw r20,176(sp) -8112f18c: 00000306 br 8112f19c <___svfprintf_internal_r+0x1304> -8112f190: 843ffc04 addi r16,r16,-16 -8112f194: b5800204 addi r22,r22,8 -8112f198: e400130e bge fp,r16,8112f1e8 <___svfprintf_internal_r+0x1350> -8112f19c: 18c00404 addi r3,r3,16 -8112f1a0: 8c400044 addi r17,r17,1 -8112f1a4: b5400015 stw r21,0(r22) -8112f1a8: b7000115 stw fp,4(r22) -8112f1ac: d8c02015 stw r3,128(sp) -8112f1b0: dc401f15 stw r17,124(sp) -8112f1b4: 3c7ff60e bge r7,r17,8112f190 <__reset+0xfb10f190> -8112f1b8: d9801e04 addi r6,sp,120 -8112f1bc: a00b883a mov r5,r20 -8112f1c0: 9809883a mov r4,r19 -8112f1c4: d9c03d15 stw r7,244(sp) -8112f1c8: 113a6400 call 8113a640 <__ssprint_r> -8112f1cc: d9c03d17 ldw r7,244(sp) -8112f1d0: 103c161e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112f1d4: 843ffc04 addi r16,r16,-16 -8112f1d8: d8c02017 ldw r3,128(sp) -8112f1dc: dc401f17 ldw r17,124(sp) -8112f1e0: dd800404 addi r22,sp,16 -8112f1e4: e43fed16 blt fp,r16,8112f19c <__reset+0xfb10f19c> -8112f1e8: d8802b17 ldw r2,172(sp) -8112f1ec: 1c07883a add r3,r3,r16 -8112f1f0: 8c400044 addi r17,r17,1 -8112f1f4: b0800015 stw r2,0(r22) -8112f1f8: b4000115 stw r16,4(r22) -8112f1fc: d8c02015 stw r3,128(sp) -8112f200: dc401f15 stw r17,124(sp) -8112f204: 008001c4 movi r2,7 -8112f208: 147f2e0e bge r2,r17,8112eec4 <__reset+0xfb10eec4> -8112f20c: d9402c17 ldw r5,176(sp) -8112f210: d9801e04 addi r6,sp,120 -8112f214: 9809883a mov r4,r19 -8112f218: 113a6400 call 8113a640 <__ssprint_r> -8112f21c: 103c031e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112f220: d8c02017 ldw r3,128(sp) -8112f224: dc401f17 ldw r17,124(sp) -8112f228: dd800404 addi r22,sp,16 -8112f22c: 003f2606 br 8112eec8 <__reset+0xfb10eec8> -8112f230: 9080040c andi r2,r18,16 -8112f234: 1000c326 beq r2,zero,8112f544 <___svfprintf_internal_r+0x16ac> -8112f238: d8802d17 ldw r2,180(sp) -8112f23c: 15000017 ldw r20,0(r2) -8112f240: 10800104 addi r2,r2,4 -8112f244: d8802d15 stw r2,180(sp) -8112f248: a02dd7fa srai r22,r20,31 -8112f24c: b005883a mov r2,r22 -8112f250: 103c680e bge r2,zero,8112e3f4 <__reset+0xfb10e3f4> -8112f254: 0529c83a sub r20,zero,r20 -8112f258: a004c03a cmpne r2,r20,zero -8112f25c: 05adc83a sub r22,zero,r22 -8112f260: b0adc83a sub r22,r22,r2 -8112f264: d8802917 ldw r2,164(sp) -8112f268: 07000b44 movi fp,45 -8112f26c: df002785 stb fp,158(sp) -8112f270: 10022e16 blt r2,zero,8112fb2c <___svfprintf_internal_r+0x1c94> -8112f274: 00bfdfc4 movi r2,-129 -8112f278: 90a4703a and r18,r18,r2 -8112f27c: 003c6406 br 8112e410 <__reset+0xfb10e410> -8112f280: 9080100c andi r2,r18,64 -8112f284: d8002785 stb zero,158(sp) -8112f288: 10012526 beq r2,zero,8112f720 <___svfprintf_internal_r+0x1888> -8112f28c: d9002d17 ldw r4,180(sp) -8112f290: d9402917 ldw r5,164(sp) -8112f294: 002d883a mov r22,zero -8112f298: 20800104 addi r2,r4,4 -8112f29c: 2500000b ldhu r20,0(r4) -8112f2a0: 283fa20e bge r5,zero,8112f12c <__reset+0xfb10f12c> -8112f2a4: d8802d15 stw r2,180(sp) -8112f2a8: 0039883a mov fp,zero -8112f2ac: a584b03a or r2,r20,r22 -8112f2b0: 103c571e bne r2,zero,8112e410 <__reset+0xfb10e410> -8112f2b4: 00800044 movi r2,1 -8112f2b8: 003e5006 br 8112ebfc <__reset+0xfb10ebfc> -8112f2bc: d9402c17 ldw r5,176(sp) -8112f2c0: d9801e04 addi r6,sp,120 -8112f2c4: 9809883a mov r4,r19 -8112f2c8: 113a6400 call 8113a640 <__ssprint_r> -8112f2cc: 103bd71e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112f2d0: d8c02017 ldw r3,128(sp) -8112f2d4: da000404 addi r8,sp,16 -8112f2d8: d9003217 ldw r4,200(sp) -8112f2dc: d8802617 ldw r2,152(sp) -8112f2e0: d9403317 ldw r5,204(sp) -8112f2e4: 8123883a add r17,r16,r4 -8112f2e8: 11400216 blt r2,r5,8112f2f4 <___svfprintf_internal_r+0x145c> -8112f2ec: 9100004c andi r4,r18,1 -8112f2f0: 20000d26 beq r4,zero,8112f328 <___svfprintf_internal_r+0x1490> -8112f2f4: d9003817 ldw r4,224(sp) -8112f2f8: d9403417 ldw r5,208(sp) -8112f2fc: 1907883a add r3,r3,r4 -8112f300: d9001f17 ldw r4,124(sp) -8112f304: 41400015 stw r5,0(r8) -8112f308: d9403817 ldw r5,224(sp) -8112f30c: 21000044 addi r4,r4,1 -8112f310: d8c02015 stw r3,128(sp) -8112f314: 41400115 stw r5,4(r8) -8112f318: d9001f15 stw r4,124(sp) -8112f31c: 014001c4 movi r5,7 -8112f320: 2901dc16 blt r5,r4,8112fa94 <___svfprintf_internal_r+0x1bfc> -8112f324: 42000204 addi r8,r8,8 -8112f328: d9003317 ldw r4,204(sp) -8112f32c: 8121883a add r16,r16,r4 -8112f330: 2085c83a sub r2,r4,r2 -8112f334: 8461c83a sub r16,r16,r17 -8112f338: 1400010e bge r2,r16,8112f340 <___svfprintf_internal_r+0x14a8> -8112f33c: 1021883a mov r16,r2 -8112f340: 04000a0e bge zero,r16,8112f36c <___svfprintf_internal_r+0x14d4> -8112f344: d9001f17 ldw r4,124(sp) -8112f348: 1c07883a add r3,r3,r16 -8112f34c: 44400015 stw r17,0(r8) -8112f350: 21000044 addi r4,r4,1 -8112f354: 44000115 stw r16,4(r8) -8112f358: d8c02015 stw r3,128(sp) -8112f35c: d9001f15 stw r4,124(sp) -8112f360: 014001c4 movi r5,7 -8112f364: 2901e616 blt r5,r4,8112fb00 <___svfprintf_internal_r+0x1c68> -8112f368: 42000204 addi r8,r8,8 -8112f36c: 8001f616 blt r16,zero,8112fb48 <___svfprintf_internal_r+0x1cb0> -8112f370: 1421c83a sub r16,r2,r16 -8112f374: 043d1c0e bge zero,r16,8112e7e8 <__reset+0xfb10e7e8> -8112f378: 04400404 movi r17,16 -8112f37c: d8801f17 ldw r2,124(sp) -8112f380: 8c3edd0e bge r17,r16,8112eef8 <__reset+0xfb10eef8> -8112f384: 01604574 movhi r5,33045 -8112f388: 297ebc84 addi r5,r5,-1294 -8112f38c: d9402b15 stw r5,172(sp) -8112f390: 058001c4 movi r22,7 -8112f394: dd002c17 ldw r20,176(sp) -8112f398: 00000306 br 8112f3a8 <___svfprintf_internal_r+0x1510> -8112f39c: 42000204 addi r8,r8,8 -8112f3a0: 843ffc04 addi r16,r16,-16 -8112f3a4: 8c3ed70e bge r17,r16,8112ef04 <__reset+0xfb10ef04> -8112f3a8: 18c00404 addi r3,r3,16 -8112f3ac: 10800044 addi r2,r2,1 -8112f3b0: 45400015 stw r21,0(r8) -8112f3b4: 44400115 stw r17,4(r8) -8112f3b8: d8c02015 stw r3,128(sp) -8112f3bc: d8801f15 stw r2,124(sp) -8112f3c0: b0bff60e bge r22,r2,8112f39c <__reset+0xfb10f39c> -8112f3c4: d9801e04 addi r6,sp,120 -8112f3c8: a00b883a mov r5,r20 -8112f3cc: 9809883a mov r4,r19 -8112f3d0: 113a6400 call 8113a640 <__ssprint_r> -8112f3d4: 103b951e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112f3d8: d8c02017 ldw r3,128(sp) -8112f3dc: d8801f17 ldw r2,124(sp) -8112f3e0: da000404 addi r8,sp,16 -8112f3e4: 003fee06 br 8112f3a0 <__reset+0xfb10f3a0> -8112f3e8: 9088703a and r4,r18,r2 -8112f3ec: 203e8d1e bne r4,zero,8112ee24 <__reset+0xfb10ee24> -8112f3f0: dc401f17 ldw r17,124(sp) -8112f3f4: 40800115 stw r2,4(r8) -8112f3f8: 44000015 stw r16,0(r8) -8112f3fc: 8c400044 addi r17,r17,1 -8112f400: d8c02015 stw r3,128(sp) -8112f404: dc401f15 stw r17,124(sp) -8112f408: 008001c4 movi r2,7 -8112f40c: 147f7f16 blt r2,r17,8112f20c <__reset+0xfb10f20c> -8112f410: 45800204 addi r22,r8,8 -8112f414: 003eac06 br 8112eec8 <__reset+0xfb10eec8> -8112f418: d9402c17 ldw r5,176(sp) -8112f41c: d9801e04 addi r6,sp,120 -8112f420: 9809883a mov r4,r19 -8112f424: 113a6400 call 8113a640 <__ssprint_r> -8112f428: 103b801e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112f42c: d8c02017 ldw r3,128(sp) +8112efbc: 003e0d06 br 8112e7f4 <__reset+0xfb10e7f4> +8112efc0: d9402c17 ldw r5,176(sp) +8112efc4: d9801e04 addi r6,sp,120 +8112efc8: 9809883a mov r4,r19 +8112efcc: 113a6800 call 8113a680 <__ssprint_r> +8112efd0: 103ca61e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112efd4: d8c02017 ldw r3,128(sp) +8112efd8: da000404 addi r8,sp,16 +8112efdc: 003dc106 br 8112e6e4 <__reset+0xfb10e6e4> +8112efe0: d9402c17 ldw r5,176(sp) +8112efe4: d9801e04 addi r6,sp,120 +8112efe8: 9809883a mov r4,r19 +8112efec: 113a6800 call 8113a680 <__ssprint_r> +8112eff0: 103c9e1e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112eff4: d8c02017 ldw r3,128(sp) +8112eff8: da000404 addi r8,sp,16 +8112effc: 003dc706 br 8112e71c <__reset+0xfb10e71c> +8112f000: d8802917 ldw r2,164(sp) +8112f004: d8002785 stb zero,158(sp) +8112f008: 103f0816 blt r2,zero,8112ec2c <__reset+0xfb10ec2c> +8112f00c: 00ffdfc4 movi r3,-129 +8112f010: a584b03a or r2,r20,r22 +8112f014: 90e4703a and r18,r18,r3 +8112f018: 103c8126 beq r2,zero,8112e220 <__reset+0xfb10e220> +8112f01c: 0039883a mov fp,zero +8112f020: 003e7606 br 8112e9fc <__reset+0xfb10e9fc> +8112f024: 9080040c andi r2,r18,16 +8112f028: 10013d26 beq r2,zero,8112f520 <___svfprintf_internal_r+0x1648> +8112f02c: d9002d17 ldw r4,180(sp) +8112f030: d9402917 ldw r5,164(sp) +8112f034: d8002785 stb zero,158(sp) +8112f038: 20800104 addi r2,r4,4 +8112f03c: 25000017 ldw r20,0(r4) +8112f040: 002d883a mov r22,zero +8112f044: 28013f16 blt r5,zero,8112f544 <___svfprintf_internal_r+0x166c> +8112f048: 00ffdfc4 movi r3,-129 +8112f04c: d8802d15 stw r2,180(sp) +8112f050: 90e4703a and r18,r18,r3 +8112f054: a03d2b26 beq r20,zero,8112e504 <__reset+0xfb10e504> +8112f058: 0039883a mov fp,zero +8112f05c: 003d2e06 br 8112e518 <__reset+0xfb10e518> +8112f060: dc402617 ldw r17,152(sp) +8112f064: 0441830e bge zero,r17,8112f674 <___svfprintf_internal_r+0x179c> +8112f068: dc403217 ldw r17,200(sp) +8112f06c: d8803317 ldw r2,204(sp) +8112f070: 1440010e bge r2,r17,8112f078 <___svfprintf_internal_r+0x11a0> +8112f074: 1023883a mov r17,r2 +8112f078: 04400a0e bge zero,r17,8112f0a4 <___svfprintf_internal_r+0x11cc> +8112f07c: d8801f17 ldw r2,124(sp) +8112f080: 1c47883a add r3,r3,r17 +8112f084: 44000015 stw r16,0(r8) +8112f088: 10800044 addi r2,r2,1 +8112f08c: 44400115 stw r17,4(r8) +8112f090: d8c02015 stw r3,128(sp) +8112f094: d8801f15 stw r2,124(sp) +8112f098: 010001c4 movi r4,7 +8112f09c: 20827516 blt r4,r2,8112fa74 <___svfprintf_internal_r+0x1b9c> +8112f0a0: 42000204 addi r8,r8,8 +8112f0a4: 88027b16 blt r17,zero,8112fa94 <___svfprintf_internal_r+0x1bbc> +8112f0a8: d9003217 ldw r4,200(sp) +8112f0ac: 2463c83a sub r17,r4,r17 +8112f0b0: 0440990e bge zero,r17,8112f318 <___svfprintf_internal_r+0x1440> +8112f0b4: 05800404 movi r22,16 +8112f0b8: d8801f17 ldw r2,124(sp) +8112f0bc: b441530e bge r22,r17,8112f60c <___svfprintf_internal_r+0x1734> +8112f0c0: 01204574 movhi r4,33045 +8112f0c4: 213ecd84 addi r4,r4,-1226 +8112f0c8: d9002b15 stw r4,172(sp) +8112f0cc: 070001c4 movi fp,7 +8112f0d0: dd002c17 ldw r20,176(sp) +8112f0d4: 00000306 br 8112f0e4 <___svfprintf_internal_r+0x120c> +8112f0d8: 42000204 addi r8,r8,8 +8112f0dc: 8c7ffc04 addi r17,r17,-16 +8112f0e0: b4414d0e bge r22,r17,8112f618 <___svfprintf_internal_r+0x1740> +8112f0e4: 18c00404 addi r3,r3,16 +8112f0e8: 10800044 addi r2,r2,1 +8112f0ec: 45400015 stw r21,0(r8) +8112f0f0: 45800115 stw r22,4(r8) +8112f0f4: d8c02015 stw r3,128(sp) +8112f0f8: d8801f15 stw r2,124(sp) +8112f0fc: e0bff60e bge fp,r2,8112f0d8 <__reset+0xfb10f0d8> +8112f100: d9801e04 addi r6,sp,120 +8112f104: a00b883a mov r5,r20 +8112f108: 9809883a mov r4,r19 +8112f10c: 113a6800 call 8113a680 <__ssprint_r> +8112f110: 103c561e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112f114: d8c02017 ldw r3,128(sp) +8112f118: d8801f17 ldw r2,124(sp) +8112f11c: da000404 addi r8,sp,16 +8112f120: 003fee06 br 8112f0dc <__reset+0xfb10f0dc> +8112f124: d9402c17 ldw r5,176(sp) +8112f128: d9801e04 addi r6,sp,120 +8112f12c: 9809883a mov r4,r19 +8112f130: 113a6800 call 8113a680 <__ssprint_r> +8112f134: 103c4d1e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112f138: d8c02017 ldw r3,128(sp) +8112f13c: df002787 ldb fp,158(sp) +8112f140: da000404 addi r8,sp,16 +8112f144: 003d5a06 br 8112e6b0 <__reset+0xfb10e6b0> +8112f148: 9080040c andi r2,r18,16 +8112f14c: 10005c26 beq r2,zero,8112f2c0 <___svfprintf_internal_r+0x13e8> +8112f150: d9402d17 ldw r5,180(sp) +8112f154: d8c02917 ldw r3,164(sp) +8112f158: d8002785 stb zero,158(sp) +8112f15c: 28800104 addi r2,r5,4 +8112f160: 2d000017 ldw r20,0(r5) +8112f164: 002d883a mov r22,zero +8112f168: 18005e16 blt r3,zero,8112f2e4 <___svfprintf_internal_r+0x140c> +8112f16c: 00ffdfc4 movi r3,-129 +8112f170: d8802d15 stw r2,180(sp) +8112f174: 90e4703a and r18,r18,r3 +8112f178: 0039883a mov fp,zero +8112f17c: a03dfe26 beq r20,zero,8112e978 <__reset+0xfb10e978> +8112f180: 00800244 movi r2,9 +8112f184: 153cb336 bltu r2,r20,8112e454 <__reset+0xfb10e454> +8112f188: a5000c04 addi r20,r20,48 +8112f18c: dc001dc4 addi r16,sp,119 +8112f190: dd001dc5 stb r20,119(sp) +8112f194: d8c02817 ldw r3,160(sp) +8112f198: 1c07c83a sub r3,r3,r16 +8112f19c: d8c02e15 stw r3,184(sp) +8112f1a0: 003cf506 br 8112e578 <__reset+0xfb10e578> +8112f1a4: d8803317 ldw r2,204(sp) +8112f1a8: 143fffc4 addi r16,r2,-1 +8112f1ac: 043f560e bge zero,r16,8112ef08 <__reset+0xfb10ef08> +8112f1b0: 07000404 movi fp,16 +8112f1b4: e403530e bge fp,r16,8112ff04 <___svfprintf_internal_r+0x202c> +8112f1b8: 01604574 movhi r5,33045 +8112f1bc: 297ecd84 addi r5,r5,-1226 +8112f1c0: d9402b15 stw r5,172(sp) +8112f1c4: 01c001c4 movi r7,7 +8112f1c8: dd002c17 ldw r20,176(sp) +8112f1cc: 00000306 br 8112f1dc <___svfprintf_internal_r+0x1304> +8112f1d0: 843ffc04 addi r16,r16,-16 +8112f1d4: b5800204 addi r22,r22,8 +8112f1d8: e400130e bge fp,r16,8112f228 <___svfprintf_internal_r+0x1350> +8112f1dc: 18c00404 addi r3,r3,16 +8112f1e0: 8c400044 addi r17,r17,1 +8112f1e4: b5400015 stw r21,0(r22) +8112f1e8: b7000115 stw fp,4(r22) +8112f1ec: d8c02015 stw r3,128(sp) +8112f1f0: dc401f15 stw r17,124(sp) +8112f1f4: 3c7ff60e bge r7,r17,8112f1d0 <__reset+0xfb10f1d0> +8112f1f8: d9801e04 addi r6,sp,120 +8112f1fc: a00b883a mov r5,r20 +8112f200: 9809883a mov r4,r19 +8112f204: d9c03d15 stw r7,244(sp) +8112f208: 113a6800 call 8113a680 <__ssprint_r> +8112f20c: d9c03d17 ldw r7,244(sp) +8112f210: 103c161e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112f214: 843ffc04 addi r16,r16,-16 +8112f218: d8c02017 ldw r3,128(sp) +8112f21c: dc401f17 ldw r17,124(sp) +8112f220: dd800404 addi r22,sp,16 +8112f224: e43fed16 blt fp,r16,8112f1dc <__reset+0xfb10f1dc> +8112f228: d8802b17 ldw r2,172(sp) +8112f22c: 1c07883a add r3,r3,r16 +8112f230: 8c400044 addi r17,r17,1 +8112f234: b0800015 stw r2,0(r22) +8112f238: b4000115 stw r16,4(r22) +8112f23c: d8c02015 stw r3,128(sp) +8112f240: dc401f15 stw r17,124(sp) +8112f244: 008001c4 movi r2,7 +8112f248: 147f2e0e bge r2,r17,8112ef04 <__reset+0xfb10ef04> +8112f24c: d9402c17 ldw r5,176(sp) +8112f250: d9801e04 addi r6,sp,120 +8112f254: 9809883a mov r4,r19 +8112f258: 113a6800 call 8113a680 <__ssprint_r> +8112f25c: 103c031e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112f260: d8c02017 ldw r3,128(sp) +8112f264: dc401f17 ldw r17,124(sp) +8112f268: dd800404 addi r22,sp,16 +8112f26c: 003f2606 br 8112ef08 <__reset+0xfb10ef08> +8112f270: 9080040c andi r2,r18,16 +8112f274: 1000c326 beq r2,zero,8112f584 <___svfprintf_internal_r+0x16ac> +8112f278: d8802d17 ldw r2,180(sp) +8112f27c: 15000017 ldw r20,0(r2) +8112f280: 10800104 addi r2,r2,4 +8112f284: d8802d15 stw r2,180(sp) +8112f288: a02dd7fa srai r22,r20,31 +8112f28c: b005883a mov r2,r22 +8112f290: 103c680e bge r2,zero,8112e434 <__reset+0xfb10e434> +8112f294: 0529c83a sub r20,zero,r20 +8112f298: a004c03a cmpne r2,r20,zero +8112f29c: 05adc83a sub r22,zero,r22 +8112f2a0: b0adc83a sub r22,r22,r2 +8112f2a4: d8802917 ldw r2,164(sp) +8112f2a8: 07000b44 movi fp,45 +8112f2ac: df002785 stb fp,158(sp) +8112f2b0: 10022e16 blt r2,zero,8112fb6c <___svfprintf_internal_r+0x1c94> +8112f2b4: 00bfdfc4 movi r2,-129 +8112f2b8: 90a4703a and r18,r18,r2 +8112f2bc: 003c6406 br 8112e450 <__reset+0xfb10e450> +8112f2c0: 9080100c andi r2,r18,64 +8112f2c4: d8002785 stb zero,158(sp) +8112f2c8: 10012526 beq r2,zero,8112f760 <___svfprintf_internal_r+0x1888> +8112f2cc: d9002d17 ldw r4,180(sp) +8112f2d0: d9402917 ldw r5,164(sp) +8112f2d4: 002d883a mov r22,zero +8112f2d8: 20800104 addi r2,r4,4 +8112f2dc: 2500000b ldhu r20,0(r4) +8112f2e0: 283fa20e bge r5,zero,8112f16c <__reset+0xfb10f16c> +8112f2e4: d8802d15 stw r2,180(sp) +8112f2e8: 0039883a mov fp,zero +8112f2ec: a584b03a or r2,r20,r22 +8112f2f0: 103c571e bne r2,zero,8112e450 <__reset+0xfb10e450> +8112f2f4: 00800044 movi r2,1 +8112f2f8: 003e5006 br 8112ec3c <__reset+0xfb10ec3c> +8112f2fc: d9402c17 ldw r5,176(sp) +8112f300: d9801e04 addi r6,sp,120 +8112f304: 9809883a mov r4,r19 +8112f308: 113a6800 call 8113a680 <__ssprint_r> +8112f30c: 103bd71e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112f310: d8c02017 ldw r3,128(sp) +8112f314: da000404 addi r8,sp,16 +8112f318: d9003217 ldw r4,200(sp) +8112f31c: d8802617 ldw r2,152(sp) +8112f320: d9403317 ldw r5,204(sp) +8112f324: 8123883a add r17,r16,r4 +8112f328: 11400216 blt r2,r5,8112f334 <___svfprintf_internal_r+0x145c> +8112f32c: 9100004c andi r4,r18,1 +8112f330: 20000d26 beq r4,zero,8112f368 <___svfprintf_internal_r+0x1490> +8112f334: d9003817 ldw r4,224(sp) +8112f338: d9403417 ldw r5,208(sp) +8112f33c: 1907883a add r3,r3,r4 +8112f340: d9001f17 ldw r4,124(sp) +8112f344: 41400015 stw r5,0(r8) +8112f348: d9403817 ldw r5,224(sp) +8112f34c: 21000044 addi r4,r4,1 +8112f350: d8c02015 stw r3,128(sp) +8112f354: 41400115 stw r5,4(r8) +8112f358: d9001f15 stw r4,124(sp) +8112f35c: 014001c4 movi r5,7 +8112f360: 2901dc16 blt r5,r4,8112fad4 <___svfprintf_internal_r+0x1bfc> +8112f364: 42000204 addi r8,r8,8 +8112f368: d9003317 ldw r4,204(sp) +8112f36c: 8121883a add r16,r16,r4 +8112f370: 2085c83a sub r2,r4,r2 +8112f374: 8461c83a sub r16,r16,r17 +8112f378: 1400010e bge r2,r16,8112f380 <___svfprintf_internal_r+0x14a8> +8112f37c: 1021883a mov r16,r2 +8112f380: 04000a0e bge zero,r16,8112f3ac <___svfprintf_internal_r+0x14d4> +8112f384: d9001f17 ldw r4,124(sp) +8112f388: 1c07883a add r3,r3,r16 +8112f38c: 44400015 stw r17,0(r8) +8112f390: 21000044 addi r4,r4,1 +8112f394: 44000115 stw r16,4(r8) +8112f398: d8c02015 stw r3,128(sp) +8112f39c: d9001f15 stw r4,124(sp) +8112f3a0: 014001c4 movi r5,7 +8112f3a4: 2901e616 blt r5,r4,8112fb40 <___svfprintf_internal_r+0x1c68> +8112f3a8: 42000204 addi r8,r8,8 +8112f3ac: 8001f616 blt r16,zero,8112fb88 <___svfprintf_internal_r+0x1cb0> +8112f3b0: 1421c83a sub r16,r2,r16 +8112f3b4: 043d1c0e bge zero,r16,8112e828 <__reset+0xfb10e828> +8112f3b8: 04400404 movi r17,16 +8112f3bc: d8801f17 ldw r2,124(sp) +8112f3c0: 8c3edd0e bge r17,r16,8112ef38 <__reset+0xfb10ef38> +8112f3c4: 01604574 movhi r5,33045 +8112f3c8: 297ecd84 addi r5,r5,-1226 +8112f3cc: d9402b15 stw r5,172(sp) +8112f3d0: 058001c4 movi r22,7 +8112f3d4: dd002c17 ldw r20,176(sp) +8112f3d8: 00000306 br 8112f3e8 <___svfprintf_internal_r+0x1510> +8112f3dc: 42000204 addi r8,r8,8 +8112f3e0: 843ffc04 addi r16,r16,-16 +8112f3e4: 8c3ed70e bge r17,r16,8112ef44 <__reset+0xfb10ef44> +8112f3e8: 18c00404 addi r3,r3,16 +8112f3ec: 10800044 addi r2,r2,1 +8112f3f0: 45400015 stw r21,0(r8) +8112f3f4: 44400115 stw r17,4(r8) +8112f3f8: d8c02015 stw r3,128(sp) +8112f3fc: d8801f15 stw r2,124(sp) +8112f400: b0bff60e bge r22,r2,8112f3dc <__reset+0xfb10f3dc> +8112f404: d9801e04 addi r6,sp,120 +8112f408: a00b883a mov r5,r20 +8112f40c: 9809883a mov r4,r19 +8112f410: 113a6800 call 8113a680 <__ssprint_r> +8112f414: 103b951e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112f418: d8c02017 ldw r3,128(sp) +8112f41c: d8801f17 ldw r2,124(sp) +8112f420: da000404 addi r8,sp,16 +8112f424: 003fee06 br 8112f3e0 <__reset+0xfb10f3e0> +8112f428: 9088703a and r4,r18,r2 +8112f42c: 203e8d1e bne r4,zero,8112ee64 <__reset+0xfb10ee64> 8112f430: dc401f17 ldw r17,124(sp) -8112f434: da000404 addi r8,sp,16 -8112f438: 003e8406 br 8112ee4c <__reset+0xfb10ee4c> -8112f43c: d9402c17 ldw r5,176(sp) -8112f440: d9801e04 addi r6,sp,120 -8112f444: 9809883a mov r4,r19 -8112f448: 113a6400 call 8113a640 <__ssprint_r> -8112f44c: 103b771e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112f450: d8c02017 ldw r3,128(sp) -8112f454: dc401f17 ldw r17,124(sp) -8112f458: dd800404 addi r22,sp,16 -8112f45c: 003e8606 br 8112ee78 <__reset+0xfb10ee78> -8112f460: 0029883a mov r20,zero -8112f464: a5000c04 addi r20,r20,48 -8112f468: dc001dc4 addi r16,sp,119 -8112f46c: dd001dc5 stb r20,119(sp) -8112f470: 003f3806 br 8112f154 <__reset+0xfb10f154> -8112f474: d9402c17 ldw r5,176(sp) -8112f478: d9801e04 addi r6,sp,120 -8112f47c: 9809883a mov r4,r19 -8112f480: 113a6400 call 8113a640 <__ssprint_r> -8112f484: 103b691e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112f488: d8c02017 ldw r3,128(sp) -8112f48c: da000404 addi r8,sp,16 -8112f490: 003c9506 br 8112e6e8 <__reset+0xfb10e6e8> -8112f494: d9003617 ldw r4,216(sp) -8112f498: d9403717 ldw r5,220(sp) -8112f49c: da003e15 stw r8,248(sp) -8112f4a0: 11387640 call 81138764 <__fpclassifyd> -8112f4a4: da003e17 ldw r8,248(sp) -8112f4a8: 1000bd1e bne r2,zero,8112f7a0 <___svfprintf_internal_r+0x1908> -8112f4ac: 008011c4 movi r2,71 -8112f4b0: 14411e0e bge r2,r17,8112f92c <___svfprintf_internal_r+0x1a94> -8112f4b4: 04204574 movhi r16,33045 -8112f4b8: 843eaf04 addi r16,r16,-1348 -8112f4bc: 00c000c4 movi r3,3 -8112f4c0: 00bfdfc4 movi r2,-129 -8112f4c4: d8c02a15 stw r3,168(sp) -8112f4c8: 90a4703a and r18,r18,r2 -8112f4cc: df002783 ldbu fp,158(sp) -8112f4d0: d8c02e15 stw r3,184(sp) -8112f4d4: d8002915 stw zero,164(sp) -8112f4d8: d8003215 stw zero,200(sp) -8112f4dc: 003c1c06 br 8112e550 <__reset+0xfb10e550> -8112f4e0: 9080100c andi r2,r18,64 -8112f4e4: d8002785 stb zero,158(sp) -8112f4e8: 10009426 beq r2,zero,8112f73c <___svfprintf_internal_r+0x18a4> -8112f4ec: d8c02d17 ldw r3,180(sp) -8112f4f0: d9002917 ldw r4,164(sp) -8112f4f4: 002d883a mov r22,zero -8112f4f8: 18800104 addi r2,r3,4 -8112f4fc: 1d00000b ldhu r20,0(r3) -8112f500: 203ec10e bge r4,zero,8112f008 <__reset+0xfb10f008> -8112f504: a586b03a or r3,r20,r22 -8112f508: d8802d15 stw r2,180(sp) -8112f50c: 183ec21e bne r3,zero,8112f018 <__reset+0xfb10f018> -8112f510: 0039883a mov fp,zero -8112f514: 0005883a mov r2,zero -8112f518: 003db806 br 8112ebfc <__reset+0xfb10ebfc> -8112f51c: d8802d17 ldw r2,180(sp) -8112f520: d8c02d17 ldw r3,180(sp) -8112f524: d9002d17 ldw r4,180(sp) -8112f528: 10800017 ldw r2,0(r2) -8112f52c: 18c00117 ldw r3,4(r3) -8112f530: 21000204 addi r4,r4,8 -8112f534: d8803615 stw r2,216(sp) -8112f538: d8c03715 stw r3,220(sp) -8112f53c: d9002d15 stw r4,180(sp) -8112f540: 003b8206 br 8112e34c <__reset+0xfb10e34c> -8112f544: 9080100c andi r2,r18,64 -8112f548: 10010726 beq r2,zero,8112f968 <___svfprintf_internal_r+0x1ad0> -8112f54c: d8c02d17 ldw r3,180(sp) -8112f550: 1d00000f ldh r20,0(r3) -8112f554: 18c00104 addi r3,r3,4 -8112f558: d8c02d15 stw r3,180(sp) -8112f55c: a02dd7fa srai r22,r20,31 -8112f560: b005883a mov r2,r22 -8112f564: 003ba206 br 8112e3f0 <__reset+0xfb10e3f0> -8112f568: 9080100c andi r2,r18,64 -8112f56c: 10010526 beq r2,zero,8112f984 <___svfprintf_internal_r+0x1aec> -8112f570: d9002d17 ldw r4,180(sp) -8112f574: 002d883a mov r22,zero -8112f578: 2500000b ldhu r20,0(r4) -8112f57c: 21000104 addi r4,r4,4 -8112f580: d9002d15 stw r4,180(sp) -8112f584: 003cfe06 br 8112e980 <__reset+0xfb10e980> -8112f588: bc400007 ldb r17,0(r23) -8112f58c: 003a9606 br 8112dfe8 <__reset+0xfb10dfe8> -8112f590: 9080040c andi r2,r18,16 -8112f594: 10010126 beq r2,zero,8112f99c <___svfprintf_internal_r+0x1b04> -8112f598: d9402d17 ldw r5,180(sp) -8112f59c: d8c02f17 ldw r3,188(sp) -8112f5a0: 28800017 ldw r2,0(r5) -8112f5a4: 29400104 addi r5,r5,4 -8112f5a8: d9402d15 stw r5,180(sp) -8112f5ac: 10c00015 stw r3,0(r2) -8112f5b0: 003a6406 br 8112df44 <__reset+0xfb10df44> -8112f5b4: d9002917 ldw r4,164(sp) -8112f5b8: d8002785 stb zero,158(sp) -8112f5bc: 203d8d16 blt r4,zero,8112ebf4 <__reset+0xfb10ebf4> -8112f5c0: 00bfdfc4 movi r2,-129 -8112f5c4: 90a4703a and r18,r18,r2 -8112f5c8: 003b0506 br 8112e1e0 <__reset+0xfb10e1e0> -8112f5cc: 01604574 movhi r5,33045 -8112f5d0: 297ebc84 addi r5,r5,-1294 -8112f5d4: d9402b15 stw r5,172(sp) -8112f5d8: d9402b17 ldw r5,172(sp) -8112f5dc: 1c47883a add r3,r3,r17 -8112f5e0: 10800044 addi r2,r2,1 -8112f5e4: 41400015 stw r5,0(r8) -8112f5e8: 44400115 stw r17,4(r8) -8112f5ec: d8c02015 stw r3,128(sp) -8112f5f0: d8801f15 stw r2,124(sp) -8112f5f4: 010001c4 movi r4,7 -8112f5f8: 20bf3016 blt r4,r2,8112f2bc <__reset+0xfb10f2bc> -8112f5fc: 42000204 addi r8,r8,8 -8112f600: 003f3506 br 8112f2d8 <__reset+0xfb10f2d8> -8112f604: 01204574 movhi r4,33045 -8112f608: 213ebc84 addi r4,r4,-1294 -8112f60c: d9002b15 stw r4,172(sp) -8112f610: 003c5e06 br 8112e78c <__reset+0xfb10e78c> -8112f614: d9402c17 ldw r5,176(sp) -8112f618: d9801e04 addi r6,sp,120 -8112f61c: 9809883a mov r4,r19 -8112f620: 113a6400 call 8113a640 <__ssprint_r> -8112f624: 103b011e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112f628: d8c02017 ldw r3,128(sp) -8112f62c: da000404 addi r8,sp,16 -8112f630: 003d9306 br 8112ec80 <__reset+0xfb10ec80> -8112f634: d8801f17 ldw r2,124(sp) -8112f638: 01604574 movhi r5,33045 -8112f63c: 01000044 movi r4,1 -8112f640: 18c00044 addi r3,r3,1 -8112f644: 10800044 addi r2,r2,1 -8112f648: 297ebc04 addi r5,r5,-1296 -8112f64c: 41000115 stw r4,4(r8) -8112f650: 41400015 stw r5,0(r8) -8112f654: d8c02015 stw r3,128(sp) -8112f658: d8801f15 stw r2,124(sp) -8112f65c: 010001c4 movi r4,7 -8112f660: 2080b516 blt r4,r2,8112f938 <___svfprintf_internal_r+0x1aa0> -8112f664: 42000204 addi r8,r8,8 -8112f668: 8800041e bne r17,zero,8112f67c <___svfprintf_internal_r+0x17e4> -8112f66c: d8803317 ldw r2,204(sp) -8112f670: 1000021e bne r2,zero,8112f67c <___svfprintf_internal_r+0x17e4> -8112f674: 9080004c andi r2,r18,1 -8112f678: 103c5b26 beq r2,zero,8112e7e8 <__reset+0xfb10e7e8> -8112f67c: d9003817 ldw r4,224(sp) -8112f680: d8801f17 ldw r2,124(sp) -8112f684: d9403417 ldw r5,208(sp) -8112f688: 20c7883a add r3,r4,r3 -8112f68c: 10800044 addi r2,r2,1 -8112f690: 41000115 stw r4,4(r8) -8112f694: 41400015 stw r5,0(r8) -8112f698: d8c02015 stw r3,128(sp) -8112f69c: d8801f15 stw r2,124(sp) -8112f6a0: 010001c4 movi r4,7 -8112f6a4: 20818016 blt r4,r2,8112fca8 <___svfprintf_internal_r+0x1e10> -8112f6a8: 42000204 addi r8,r8,8 -8112f6ac: 0463c83a sub r17,zero,r17 -8112f6b0: 0440cb0e bge zero,r17,8112f9e0 <___svfprintf_internal_r+0x1b48> -8112f6b4: 05800404 movi r22,16 -8112f6b8: b440e80e bge r22,r17,8112fa5c <___svfprintf_internal_r+0x1bc4> -8112f6bc: 01604574 movhi r5,33045 -8112f6c0: 297ebc84 addi r5,r5,-1294 -8112f6c4: d9402b15 stw r5,172(sp) -8112f6c8: 070001c4 movi fp,7 -8112f6cc: dd002c17 ldw r20,176(sp) -8112f6d0: 00000306 br 8112f6e0 <___svfprintf_internal_r+0x1848> -8112f6d4: 42000204 addi r8,r8,8 -8112f6d8: 8c7ffc04 addi r17,r17,-16 -8112f6dc: b440e20e bge r22,r17,8112fa68 <___svfprintf_internal_r+0x1bd0> -8112f6e0: 18c00404 addi r3,r3,16 -8112f6e4: 10800044 addi r2,r2,1 -8112f6e8: 45400015 stw r21,0(r8) -8112f6ec: 45800115 stw r22,4(r8) -8112f6f0: d8c02015 stw r3,128(sp) -8112f6f4: d8801f15 stw r2,124(sp) -8112f6f8: e0bff60e bge fp,r2,8112f6d4 <__reset+0xfb10f6d4> -8112f6fc: d9801e04 addi r6,sp,120 -8112f700: a00b883a mov r5,r20 -8112f704: 9809883a mov r4,r19 -8112f708: 113a6400 call 8113a640 <__ssprint_r> -8112f70c: 103ac71e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112f710: d8c02017 ldw r3,128(sp) -8112f714: d8801f17 ldw r2,124(sp) -8112f718: da000404 addi r8,sp,16 -8112f71c: 003fee06 br 8112f6d8 <__reset+0xfb10f6d8> -8112f720: d8c02d17 ldw r3,180(sp) -8112f724: d9002917 ldw r4,164(sp) -8112f728: 002d883a mov r22,zero -8112f72c: 18800104 addi r2,r3,4 -8112f730: 1d000017 ldw r20,0(r3) -8112f734: 203e7d0e bge r4,zero,8112f12c <__reset+0xfb10f12c> -8112f738: 003eda06 br 8112f2a4 <__reset+0xfb10f2a4> -8112f73c: d9402d17 ldw r5,180(sp) -8112f740: d8c02917 ldw r3,164(sp) -8112f744: 002d883a mov r22,zero -8112f748: 28800104 addi r2,r5,4 -8112f74c: 2d000017 ldw r20,0(r5) -8112f750: 183e2d0e bge r3,zero,8112f008 <__reset+0xfb10f008> -8112f754: 003f6b06 br 8112f504 <__reset+0xfb10f504> -8112f758: d8c02d15 stw r3,180(sp) -8112f75c: 0039883a mov fp,zero -8112f760: 003ed206 br 8112f2ac <__reset+0xfb10f2ac> -8112f764: bc400043 ldbu r17,1(r23) -8112f768: 94800814 ori r18,r18,32 -8112f76c: bdc00044 addi r23,r23,1 -8112f770: 8c403fcc andi r17,r17,255 -8112f774: 8c40201c xori r17,r17,128 -8112f778: 8c7fe004 addi r17,r17,-128 -8112f77c: 003a1a06 br 8112dfe8 <__reset+0xfb10dfe8> -8112f780: d9402c17 ldw r5,176(sp) -8112f784: d9801e04 addi r6,sp,120 -8112f788: 9809883a mov r4,r19 -8112f78c: 113a6400 call 8113a640 <__ssprint_r> -8112f790: 103aa61e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112f794: d8c02017 ldw r3,128(sp) -8112f798: da000404 addi r8,sp,16 -8112f79c: 003d4a06 br 8112ecc8 <__reset+0xfb10ecc8> -8112f7a0: d9002917 ldw r4,164(sp) -8112f7a4: 05bff7c4 movi r22,-33 -8112f7a8: 00bfffc4 movi r2,-1 -8112f7ac: 8dac703a and r22,r17,r22 -8112f7b0: 20806a26 beq r4,r2,8112f95c <___svfprintf_internal_r+0x1ac4> -8112f7b4: 008011c4 movi r2,71 -8112f7b8: b0813726 beq r22,r2,8112fc98 <___svfprintf_internal_r+0x1e00> -8112f7bc: d9003717 ldw r4,220(sp) -8112f7c0: 90c04014 ori r3,r18,256 -8112f7c4: d8c02b15 stw r3,172(sp) -8112f7c8: 20015d16 blt r4,zero,8112fd40 <___svfprintf_internal_r+0x1ea8> -8112f7cc: dd003717 ldw r20,220(sp) -8112f7d0: d8002a05 stb zero,168(sp) -8112f7d4: 00801984 movi r2,102 -8112f7d8: 88814026 beq r17,r2,8112fcdc <___svfprintf_internal_r+0x1e44> -8112f7dc: 00801184 movi r2,70 -8112f7e0: 88817126 beq r17,r2,8112fda8 <___svfprintf_internal_r+0x1f10> -8112f7e4: 00801144 movi r2,69 -8112f7e8: b0816226 beq r22,r2,8112fd74 <___svfprintf_internal_r+0x1edc> -8112f7ec: d8c02917 ldw r3,164(sp) -8112f7f0: d8802104 addi r2,sp,132 -8112f7f4: d8800315 stw r2,12(sp) -8112f7f8: d9403617 ldw r5,216(sp) -8112f7fc: d8802504 addi r2,sp,148 -8112f800: d8800215 stw r2,8(sp) -8112f804: d8802604 addi r2,sp,152 -8112f808: d8c00015 stw r3,0(sp) -8112f80c: d8800115 stw r2,4(sp) -8112f810: 01c00084 movi r7,2 -8112f814: a00d883a mov r6,r20 -8112f818: 9809883a mov r4,r19 -8112f81c: d8c03d15 stw r3,244(sp) -8112f820: da003e15 stw r8,248(sp) -8112f824: 113412c0 call 8113412c <_dtoa_r> -8112f828: 1021883a mov r16,r2 -8112f82c: 008019c4 movi r2,103 -8112f830: d8c03d17 ldw r3,244(sp) -8112f834: da003e17 ldw r8,248(sp) -8112f838: 8880e726 beq r17,r2,8112fbd8 <___svfprintf_internal_r+0x1d40> -8112f83c: 008011c4 movi r2,71 -8112f840: 8880d426 beq r17,r2,8112fb94 <___svfprintf_internal_r+0x1cfc> -8112f844: 80f9883a add fp,r16,r3 -8112f848: d9003617 ldw r4,216(sp) -8112f84c: 000d883a mov r6,zero -8112f850: 000f883a mov r7,zero -8112f854: a00b883a mov r5,r20 -8112f858: da003e15 stw r8,248(sp) -8112f85c: 113f0a00 call 8113f0a0 <__eqdf2> -8112f860: da003e17 ldw r8,248(sp) -8112f864: 1000e426 beq r2,zero,8112fbf8 <___svfprintf_internal_r+0x1d60> -8112f868: d8802117 ldw r2,132(sp) -8112f86c: 1700062e bgeu r2,fp,8112f888 <___svfprintf_internal_r+0x19f0> -8112f870: 01000c04 movi r4,48 -8112f874: 10c00044 addi r3,r2,1 -8112f878: d8c02115 stw r3,132(sp) -8112f87c: 11000005 stb r4,0(r2) -8112f880: d8802117 ldw r2,132(sp) -8112f884: 173ffb36 bltu r2,fp,8112f874 <__reset+0xfb10f874> -8112f888: 1405c83a sub r2,r2,r16 -8112f88c: d8803315 stw r2,204(sp) -8112f890: 008011c4 movi r2,71 -8112f894: b080c526 beq r22,r2,8112fbac <___svfprintf_internal_r+0x1d14> -8112f898: 00801944 movi r2,101 -8112f89c: 1441d90e bge r2,r17,81130004 <___svfprintf_internal_r+0x216c> -8112f8a0: d8c02617 ldw r3,152(sp) -8112f8a4: 00801984 movi r2,102 -8112f8a8: d8c03215 stw r3,200(sp) -8112f8ac: 88813426 beq r17,r2,8112fd80 <___svfprintf_internal_r+0x1ee8> -8112f8b0: d8c03217 ldw r3,200(sp) -8112f8b4: d9003317 ldw r4,204(sp) -8112f8b8: 19012516 blt r3,r4,8112fd50 <___svfprintf_internal_r+0x1eb8> -8112f8bc: 9480004c andi r18,r18,1 -8112f8c0: 9001841e bne r18,zero,8112fed4 <___svfprintf_internal_r+0x203c> -8112f8c4: 1805883a mov r2,r3 -8112f8c8: 1801cc16 blt r3,zero,8112fffc <___svfprintf_internal_r+0x2164> -8112f8cc: d8c03217 ldw r3,200(sp) -8112f8d0: 044019c4 movi r17,103 -8112f8d4: d8c02e15 stw r3,184(sp) -8112f8d8: df002a07 ldb fp,168(sp) -8112f8dc: e000a61e bne fp,zero,8112fb78 <___svfprintf_internal_r+0x1ce0> -8112f8e0: df002783 ldbu fp,158(sp) -8112f8e4: d8802a15 stw r2,168(sp) -8112f8e8: dc802b17 ldw r18,172(sp) -8112f8ec: d8002915 stw zero,164(sp) -8112f8f0: 003b1706 br 8112e550 <__reset+0xfb10e550> -8112f8f4: 04204574 movhi r16,33045 -8112f8f8: 843eac04 addi r16,r16,-1360 -8112f8fc: 003aa606 br 8112e398 <__reset+0xfb10e398> -8112f900: d9003917 ldw r4,228(sp) -8112f904: 04001004 movi r16,64 -8112f908: 800b883a mov r5,r16 -8112f90c: 112be3c0 call 8112be3c <_malloc_r> -8112f910: d9002c17 ldw r4,176(sp) -8112f914: 20800015 stw r2,0(r4) -8112f918: 20800415 stw r2,16(r4) -8112f91c: 1001cb26 beq r2,zero,8113004c <___svfprintf_internal_r+0x21b4> -8112f920: d8802c17 ldw r2,176(sp) -8112f924: 14000515 stw r16,20(r2) -8112f928: 00397606 br 8112df04 <__reset+0xfb10df04> -8112f92c: 04204574 movhi r16,33045 -8112f930: 843eae04 addi r16,r16,-1352 -8112f934: 003ee106 br 8112f4bc <__reset+0xfb10f4bc> -8112f938: d9402c17 ldw r5,176(sp) -8112f93c: d9801e04 addi r6,sp,120 -8112f940: 9809883a mov r4,r19 -8112f944: 113a6400 call 8113a640 <__ssprint_r> -8112f948: 103a381e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112f94c: dc402617 ldw r17,152(sp) -8112f950: d8c02017 ldw r3,128(sp) -8112f954: da000404 addi r8,sp,16 -8112f958: 003f4306 br 8112f668 <__reset+0xfb10f668> -8112f95c: 01400184 movi r5,6 -8112f960: d9402915 stw r5,164(sp) -8112f964: 003f9506 br 8112f7bc <__reset+0xfb10f7bc> -8112f968: d9002d17 ldw r4,180(sp) -8112f96c: 25000017 ldw r20,0(r4) -8112f970: 21000104 addi r4,r4,4 -8112f974: d9002d15 stw r4,180(sp) -8112f978: a02dd7fa srai r22,r20,31 -8112f97c: b005883a mov r2,r22 -8112f980: 003a9b06 br 8112e3f0 <__reset+0xfb10e3f0> -8112f984: d9402d17 ldw r5,180(sp) -8112f988: 002d883a mov r22,zero -8112f98c: 2d000017 ldw r20,0(r5) -8112f990: 29400104 addi r5,r5,4 -8112f994: d9402d15 stw r5,180(sp) -8112f998: 003bf906 br 8112e980 <__reset+0xfb10e980> -8112f99c: 9480100c andi r18,r18,64 -8112f9a0: 90006e26 beq r18,zero,8112fb5c <___svfprintf_internal_r+0x1cc4> -8112f9a4: d9002d17 ldw r4,180(sp) -8112f9a8: d9402f17 ldw r5,188(sp) -8112f9ac: 20800017 ldw r2,0(r4) +8112f434: 40800115 stw r2,4(r8) +8112f438: 44000015 stw r16,0(r8) +8112f43c: 8c400044 addi r17,r17,1 +8112f440: d8c02015 stw r3,128(sp) +8112f444: dc401f15 stw r17,124(sp) +8112f448: 008001c4 movi r2,7 +8112f44c: 147f7f16 blt r2,r17,8112f24c <__reset+0xfb10f24c> +8112f450: 45800204 addi r22,r8,8 +8112f454: 003eac06 br 8112ef08 <__reset+0xfb10ef08> +8112f458: d9402c17 ldw r5,176(sp) +8112f45c: d9801e04 addi r6,sp,120 +8112f460: 9809883a mov r4,r19 +8112f464: 113a6800 call 8113a680 <__ssprint_r> +8112f468: 103b801e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112f46c: d8c02017 ldw r3,128(sp) +8112f470: dc401f17 ldw r17,124(sp) +8112f474: da000404 addi r8,sp,16 +8112f478: 003e8406 br 8112ee8c <__reset+0xfb10ee8c> +8112f47c: d9402c17 ldw r5,176(sp) +8112f480: d9801e04 addi r6,sp,120 +8112f484: 9809883a mov r4,r19 +8112f488: 113a6800 call 8113a680 <__ssprint_r> +8112f48c: 103b771e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112f490: d8c02017 ldw r3,128(sp) +8112f494: dc401f17 ldw r17,124(sp) +8112f498: dd800404 addi r22,sp,16 +8112f49c: 003e8606 br 8112eeb8 <__reset+0xfb10eeb8> +8112f4a0: 0029883a mov r20,zero +8112f4a4: a5000c04 addi r20,r20,48 +8112f4a8: dc001dc4 addi r16,sp,119 +8112f4ac: dd001dc5 stb r20,119(sp) +8112f4b0: 003f3806 br 8112f194 <__reset+0xfb10f194> +8112f4b4: d9402c17 ldw r5,176(sp) +8112f4b8: d9801e04 addi r6,sp,120 +8112f4bc: 9809883a mov r4,r19 +8112f4c0: 113a6800 call 8113a680 <__ssprint_r> +8112f4c4: 103b691e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112f4c8: d8c02017 ldw r3,128(sp) +8112f4cc: da000404 addi r8,sp,16 +8112f4d0: 003c9506 br 8112e728 <__reset+0xfb10e728> +8112f4d4: d9003617 ldw r4,216(sp) +8112f4d8: d9403717 ldw r5,220(sp) +8112f4dc: da003e15 stw r8,248(sp) +8112f4e0: 11387a40 call 811387a4 <__fpclassifyd> +8112f4e4: da003e17 ldw r8,248(sp) +8112f4e8: 1000bd1e bne r2,zero,8112f7e0 <___svfprintf_internal_r+0x1908> +8112f4ec: 008011c4 movi r2,71 +8112f4f0: 14411e0e bge r2,r17,8112f96c <___svfprintf_internal_r+0x1a94> +8112f4f4: 04204574 movhi r16,33045 +8112f4f8: 843ec004 addi r16,r16,-1280 +8112f4fc: 00c000c4 movi r3,3 +8112f500: 00bfdfc4 movi r2,-129 +8112f504: d8c02a15 stw r3,168(sp) +8112f508: 90a4703a and r18,r18,r2 +8112f50c: df002783 ldbu fp,158(sp) +8112f510: d8c02e15 stw r3,184(sp) +8112f514: d8002915 stw zero,164(sp) +8112f518: d8003215 stw zero,200(sp) +8112f51c: 003c1c06 br 8112e590 <__reset+0xfb10e590> +8112f520: 9080100c andi r2,r18,64 +8112f524: d8002785 stb zero,158(sp) +8112f528: 10009426 beq r2,zero,8112f77c <___svfprintf_internal_r+0x18a4> +8112f52c: d8c02d17 ldw r3,180(sp) +8112f530: d9002917 ldw r4,164(sp) +8112f534: 002d883a mov r22,zero +8112f538: 18800104 addi r2,r3,4 +8112f53c: 1d00000b ldhu r20,0(r3) +8112f540: 203ec10e bge r4,zero,8112f048 <__reset+0xfb10f048> +8112f544: a586b03a or r3,r20,r22 +8112f548: d8802d15 stw r2,180(sp) +8112f54c: 183ec21e bne r3,zero,8112f058 <__reset+0xfb10f058> +8112f550: 0039883a mov fp,zero +8112f554: 0005883a mov r2,zero +8112f558: 003db806 br 8112ec3c <__reset+0xfb10ec3c> +8112f55c: d8802d17 ldw r2,180(sp) +8112f560: d8c02d17 ldw r3,180(sp) +8112f564: d9002d17 ldw r4,180(sp) +8112f568: 10800017 ldw r2,0(r2) +8112f56c: 18c00117 ldw r3,4(r3) +8112f570: 21000204 addi r4,r4,8 +8112f574: d8803615 stw r2,216(sp) +8112f578: d8c03715 stw r3,220(sp) +8112f57c: d9002d15 stw r4,180(sp) +8112f580: 003b8206 br 8112e38c <__reset+0xfb10e38c> +8112f584: 9080100c andi r2,r18,64 +8112f588: 10010726 beq r2,zero,8112f9a8 <___svfprintf_internal_r+0x1ad0> +8112f58c: d8c02d17 ldw r3,180(sp) +8112f590: 1d00000f ldh r20,0(r3) +8112f594: 18c00104 addi r3,r3,4 +8112f598: d8c02d15 stw r3,180(sp) +8112f59c: a02dd7fa srai r22,r20,31 +8112f5a0: b005883a mov r2,r22 +8112f5a4: 003ba206 br 8112e430 <__reset+0xfb10e430> +8112f5a8: 9080100c andi r2,r18,64 +8112f5ac: 10010526 beq r2,zero,8112f9c4 <___svfprintf_internal_r+0x1aec> +8112f5b0: d9002d17 ldw r4,180(sp) +8112f5b4: 002d883a mov r22,zero +8112f5b8: 2500000b ldhu r20,0(r4) +8112f5bc: 21000104 addi r4,r4,4 +8112f5c0: d9002d15 stw r4,180(sp) +8112f5c4: 003cfe06 br 8112e9c0 <__reset+0xfb10e9c0> +8112f5c8: bc400007 ldb r17,0(r23) +8112f5cc: 003a9606 br 8112e028 <__reset+0xfb10e028> +8112f5d0: 9080040c andi r2,r18,16 +8112f5d4: 10010126 beq r2,zero,8112f9dc <___svfprintf_internal_r+0x1b04> +8112f5d8: d9402d17 ldw r5,180(sp) +8112f5dc: d8c02f17 ldw r3,188(sp) +8112f5e0: 28800017 ldw r2,0(r5) +8112f5e4: 29400104 addi r5,r5,4 +8112f5e8: d9402d15 stw r5,180(sp) +8112f5ec: 10c00015 stw r3,0(r2) +8112f5f0: 003a6406 br 8112df84 <__reset+0xfb10df84> +8112f5f4: d9002917 ldw r4,164(sp) +8112f5f8: d8002785 stb zero,158(sp) +8112f5fc: 203d8d16 blt r4,zero,8112ec34 <__reset+0xfb10ec34> +8112f600: 00bfdfc4 movi r2,-129 +8112f604: 90a4703a and r18,r18,r2 +8112f608: 003b0506 br 8112e220 <__reset+0xfb10e220> +8112f60c: 01604574 movhi r5,33045 +8112f610: 297ecd84 addi r5,r5,-1226 +8112f614: d9402b15 stw r5,172(sp) +8112f618: d9402b17 ldw r5,172(sp) +8112f61c: 1c47883a add r3,r3,r17 +8112f620: 10800044 addi r2,r2,1 +8112f624: 41400015 stw r5,0(r8) +8112f628: 44400115 stw r17,4(r8) +8112f62c: d8c02015 stw r3,128(sp) +8112f630: d8801f15 stw r2,124(sp) +8112f634: 010001c4 movi r4,7 +8112f638: 20bf3016 blt r4,r2,8112f2fc <__reset+0xfb10f2fc> +8112f63c: 42000204 addi r8,r8,8 +8112f640: 003f3506 br 8112f318 <__reset+0xfb10f318> +8112f644: 01204574 movhi r4,33045 +8112f648: 213ecd84 addi r4,r4,-1226 +8112f64c: d9002b15 stw r4,172(sp) +8112f650: 003c5e06 br 8112e7cc <__reset+0xfb10e7cc> +8112f654: d9402c17 ldw r5,176(sp) +8112f658: d9801e04 addi r6,sp,120 +8112f65c: 9809883a mov r4,r19 +8112f660: 113a6800 call 8113a680 <__ssprint_r> +8112f664: 103b011e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112f668: d8c02017 ldw r3,128(sp) +8112f66c: da000404 addi r8,sp,16 +8112f670: 003d9306 br 8112ecc0 <__reset+0xfb10ecc0> +8112f674: d8801f17 ldw r2,124(sp) +8112f678: 01604574 movhi r5,33045 +8112f67c: 01000044 movi r4,1 +8112f680: 18c00044 addi r3,r3,1 +8112f684: 10800044 addi r2,r2,1 +8112f688: 297ecd04 addi r5,r5,-1228 +8112f68c: 41000115 stw r4,4(r8) +8112f690: 41400015 stw r5,0(r8) +8112f694: d8c02015 stw r3,128(sp) +8112f698: d8801f15 stw r2,124(sp) +8112f69c: 010001c4 movi r4,7 +8112f6a0: 2080b516 blt r4,r2,8112f978 <___svfprintf_internal_r+0x1aa0> +8112f6a4: 42000204 addi r8,r8,8 +8112f6a8: 8800041e bne r17,zero,8112f6bc <___svfprintf_internal_r+0x17e4> +8112f6ac: d8803317 ldw r2,204(sp) +8112f6b0: 1000021e bne r2,zero,8112f6bc <___svfprintf_internal_r+0x17e4> +8112f6b4: 9080004c andi r2,r18,1 +8112f6b8: 103c5b26 beq r2,zero,8112e828 <__reset+0xfb10e828> +8112f6bc: d9003817 ldw r4,224(sp) +8112f6c0: d8801f17 ldw r2,124(sp) +8112f6c4: d9403417 ldw r5,208(sp) +8112f6c8: 20c7883a add r3,r4,r3 +8112f6cc: 10800044 addi r2,r2,1 +8112f6d0: 41000115 stw r4,4(r8) +8112f6d4: 41400015 stw r5,0(r8) +8112f6d8: d8c02015 stw r3,128(sp) +8112f6dc: d8801f15 stw r2,124(sp) +8112f6e0: 010001c4 movi r4,7 +8112f6e4: 20818016 blt r4,r2,8112fce8 <___svfprintf_internal_r+0x1e10> +8112f6e8: 42000204 addi r8,r8,8 +8112f6ec: 0463c83a sub r17,zero,r17 +8112f6f0: 0440cb0e bge zero,r17,8112fa20 <___svfprintf_internal_r+0x1b48> +8112f6f4: 05800404 movi r22,16 +8112f6f8: b440e80e bge r22,r17,8112fa9c <___svfprintf_internal_r+0x1bc4> +8112f6fc: 01604574 movhi r5,33045 +8112f700: 297ecd84 addi r5,r5,-1226 +8112f704: d9402b15 stw r5,172(sp) +8112f708: 070001c4 movi fp,7 +8112f70c: dd002c17 ldw r20,176(sp) +8112f710: 00000306 br 8112f720 <___svfprintf_internal_r+0x1848> +8112f714: 42000204 addi r8,r8,8 +8112f718: 8c7ffc04 addi r17,r17,-16 +8112f71c: b440e20e bge r22,r17,8112faa8 <___svfprintf_internal_r+0x1bd0> +8112f720: 18c00404 addi r3,r3,16 +8112f724: 10800044 addi r2,r2,1 +8112f728: 45400015 stw r21,0(r8) +8112f72c: 45800115 stw r22,4(r8) +8112f730: d8c02015 stw r3,128(sp) +8112f734: d8801f15 stw r2,124(sp) +8112f738: e0bff60e bge fp,r2,8112f714 <__reset+0xfb10f714> +8112f73c: d9801e04 addi r6,sp,120 +8112f740: a00b883a mov r5,r20 +8112f744: 9809883a mov r4,r19 +8112f748: 113a6800 call 8113a680 <__ssprint_r> +8112f74c: 103ac71e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112f750: d8c02017 ldw r3,128(sp) +8112f754: d8801f17 ldw r2,124(sp) +8112f758: da000404 addi r8,sp,16 +8112f75c: 003fee06 br 8112f718 <__reset+0xfb10f718> +8112f760: d8c02d17 ldw r3,180(sp) +8112f764: d9002917 ldw r4,164(sp) +8112f768: 002d883a mov r22,zero +8112f76c: 18800104 addi r2,r3,4 +8112f770: 1d000017 ldw r20,0(r3) +8112f774: 203e7d0e bge r4,zero,8112f16c <__reset+0xfb10f16c> +8112f778: 003eda06 br 8112f2e4 <__reset+0xfb10f2e4> +8112f77c: d9402d17 ldw r5,180(sp) +8112f780: d8c02917 ldw r3,164(sp) +8112f784: 002d883a mov r22,zero +8112f788: 28800104 addi r2,r5,4 +8112f78c: 2d000017 ldw r20,0(r5) +8112f790: 183e2d0e bge r3,zero,8112f048 <__reset+0xfb10f048> +8112f794: 003f6b06 br 8112f544 <__reset+0xfb10f544> +8112f798: d8c02d15 stw r3,180(sp) +8112f79c: 0039883a mov fp,zero +8112f7a0: 003ed206 br 8112f2ec <__reset+0xfb10f2ec> +8112f7a4: bc400043 ldbu r17,1(r23) +8112f7a8: 94800814 ori r18,r18,32 +8112f7ac: bdc00044 addi r23,r23,1 +8112f7b0: 8c403fcc andi r17,r17,255 +8112f7b4: 8c40201c xori r17,r17,128 +8112f7b8: 8c7fe004 addi r17,r17,-128 +8112f7bc: 003a1a06 br 8112e028 <__reset+0xfb10e028> +8112f7c0: d9402c17 ldw r5,176(sp) +8112f7c4: d9801e04 addi r6,sp,120 +8112f7c8: 9809883a mov r4,r19 +8112f7cc: 113a6800 call 8113a680 <__ssprint_r> +8112f7d0: 103aa61e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112f7d4: d8c02017 ldw r3,128(sp) +8112f7d8: da000404 addi r8,sp,16 +8112f7dc: 003d4a06 br 8112ed08 <__reset+0xfb10ed08> +8112f7e0: d9002917 ldw r4,164(sp) +8112f7e4: 05bff7c4 movi r22,-33 +8112f7e8: 00bfffc4 movi r2,-1 +8112f7ec: 8dac703a and r22,r17,r22 +8112f7f0: 20806a26 beq r4,r2,8112f99c <___svfprintf_internal_r+0x1ac4> +8112f7f4: 008011c4 movi r2,71 +8112f7f8: b0813726 beq r22,r2,8112fcd8 <___svfprintf_internal_r+0x1e00> +8112f7fc: d9003717 ldw r4,220(sp) +8112f800: 90c04014 ori r3,r18,256 +8112f804: d8c02b15 stw r3,172(sp) +8112f808: 20015d16 blt r4,zero,8112fd80 <___svfprintf_internal_r+0x1ea8> +8112f80c: dd003717 ldw r20,220(sp) +8112f810: d8002a05 stb zero,168(sp) +8112f814: 00801984 movi r2,102 +8112f818: 88814026 beq r17,r2,8112fd1c <___svfprintf_internal_r+0x1e44> +8112f81c: 00801184 movi r2,70 +8112f820: 88817126 beq r17,r2,8112fde8 <___svfprintf_internal_r+0x1f10> +8112f824: 00801144 movi r2,69 +8112f828: b0816226 beq r22,r2,8112fdb4 <___svfprintf_internal_r+0x1edc> +8112f82c: d8c02917 ldw r3,164(sp) +8112f830: d8802104 addi r2,sp,132 +8112f834: d8800315 stw r2,12(sp) +8112f838: d9403617 ldw r5,216(sp) +8112f83c: d8802504 addi r2,sp,148 +8112f840: d8800215 stw r2,8(sp) +8112f844: d8802604 addi r2,sp,152 +8112f848: d8c00015 stw r3,0(sp) +8112f84c: d8800115 stw r2,4(sp) +8112f850: 01c00084 movi r7,2 +8112f854: a00d883a mov r6,r20 +8112f858: 9809883a mov r4,r19 +8112f85c: d8c03d15 stw r3,244(sp) +8112f860: da003e15 stw r8,248(sp) +8112f864: 113416c0 call 8113416c <_dtoa_r> +8112f868: 1021883a mov r16,r2 +8112f86c: 008019c4 movi r2,103 +8112f870: d8c03d17 ldw r3,244(sp) +8112f874: da003e17 ldw r8,248(sp) +8112f878: 8880e726 beq r17,r2,8112fc18 <___svfprintf_internal_r+0x1d40> +8112f87c: 008011c4 movi r2,71 +8112f880: 8880d426 beq r17,r2,8112fbd4 <___svfprintf_internal_r+0x1cfc> +8112f884: 80f9883a add fp,r16,r3 +8112f888: d9003617 ldw r4,216(sp) +8112f88c: 000d883a mov r6,zero +8112f890: 000f883a mov r7,zero +8112f894: a00b883a mov r5,r20 +8112f898: da003e15 stw r8,248(sp) +8112f89c: 113f0e00 call 8113f0e0 <__eqdf2> +8112f8a0: da003e17 ldw r8,248(sp) +8112f8a4: 1000e426 beq r2,zero,8112fc38 <___svfprintf_internal_r+0x1d60> +8112f8a8: d8802117 ldw r2,132(sp) +8112f8ac: 1700062e bgeu r2,fp,8112f8c8 <___svfprintf_internal_r+0x19f0> +8112f8b0: 01000c04 movi r4,48 +8112f8b4: 10c00044 addi r3,r2,1 +8112f8b8: d8c02115 stw r3,132(sp) +8112f8bc: 11000005 stb r4,0(r2) +8112f8c0: d8802117 ldw r2,132(sp) +8112f8c4: 173ffb36 bltu r2,fp,8112f8b4 <__reset+0xfb10f8b4> +8112f8c8: 1405c83a sub r2,r2,r16 +8112f8cc: d8803315 stw r2,204(sp) +8112f8d0: 008011c4 movi r2,71 +8112f8d4: b080c526 beq r22,r2,8112fbec <___svfprintf_internal_r+0x1d14> +8112f8d8: 00801944 movi r2,101 +8112f8dc: 1441d90e bge r2,r17,81130044 <___svfprintf_internal_r+0x216c> +8112f8e0: d8c02617 ldw r3,152(sp) +8112f8e4: 00801984 movi r2,102 +8112f8e8: d8c03215 stw r3,200(sp) +8112f8ec: 88813426 beq r17,r2,8112fdc0 <___svfprintf_internal_r+0x1ee8> +8112f8f0: d8c03217 ldw r3,200(sp) +8112f8f4: d9003317 ldw r4,204(sp) +8112f8f8: 19012516 blt r3,r4,8112fd90 <___svfprintf_internal_r+0x1eb8> +8112f8fc: 9480004c andi r18,r18,1 +8112f900: 9001841e bne r18,zero,8112ff14 <___svfprintf_internal_r+0x203c> +8112f904: 1805883a mov r2,r3 +8112f908: 1801cc16 blt r3,zero,8113003c <___svfprintf_internal_r+0x2164> +8112f90c: d8c03217 ldw r3,200(sp) +8112f910: 044019c4 movi r17,103 +8112f914: d8c02e15 stw r3,184(sp) +8112f918: df002a07 ldb fp,168(sp) +8112f91c: e000a61e bne fp,zero,8112fbb8 <___svfprintf_internal_r+0x1ce0> +8112f920: df002783 ldbu fp,158(sp) +8112f924: d8802a15 stw r2,168(sp) +8112f928: dc802b17 ldw r18,172(sp) +8112f92c: d8002915 stw zero,164(sp) +8112f930: 003b1706 br 8112e590 <__reset+0xfb10e590> +8112f934: 04204574 movhi r16,33045 +8112f938: 843ebd04 addi r16,r16,-1292 +8112f93c: 003aa606 br 8112e3d8 <__reset+0xfb10e3d8> +8112f940: d9003917 ldw r4,228(sp) +8112f944: 04001004 movi r16,64 +8112f948: 800b883a mov r5,r16 +8112f94c: 112be7c0 call 8112be7c <_malloc_r> +8112f950: d9002c17 ldw r4,176(sp) +8112f954: 20800015 stw r2,0(r4) +8112f958: 20800415 stw r2,16(r4) +8112f95c: 1001cb26 beq r2,zero,8113008c <___svfprintf_internal_r+0x21b4> +8112f960: d8802c17 ldw r2,176(sp) +8112f964: 14000515 stw r16,20(r2) +8112f968: 00397606 br 8112df44 <__reset+0xfb10df44> +8112f96c: 04204574 movhi r16,33045 +8112f970: 843ebf04 addi r16,r16,-1284 +8112f974: 003ee106 br 8112f4fc <__reset+0xfb10f4fc> +8112f978: d9402c17 ldw r5,176(sp) +8112f97c: d9801e04 addi r6,sp,120 +8112f980: 9809883a mov r4,r19 +8112f984: 113a6800 call 8113a680 <__ssprint_r> +8112f988: 103a381e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112f98c: dc402617 ldw r17,152(sp) +8112f990: d8c02017 ldw r3,128(sp) +8112f994: da000404 addi r8,sp,16 +8112f998: 003f4306 br 8112f6a8 <__reset+0xfb10f6a8> +8112f99c: 01400184 movi r5,6 +8112f9a0: d9402915 stw r5,164(sp) +8112f9a4: 003f9506 br 8112f7fc <__reset+0xfb10f7fc> +8112f9a8: d9002d17 ldw r4,180(sp) +8112f9ac: 25000017 ldw r20,0(r4) 8112f9b0: 21000104 addi r4,r4,4 8112f9b4: d9002d15 stw r4,180(sp) -8112f9b8: 1140000d sth r5,0(r2) -8112f9bc: 00396106 br 8112df44 <__reset+0xfb10df44> -8112f9c0: d9402c17 ldw r5,176(sp) -8112f9c4: d9801e04 addi r6,sp,120 -8112f9c8: 9809883a mov r4,r19 -8112f9cc: 113a6400 call 8113a640 <__ssprint_r> -8112f9d0: 103a161e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112f9d4: d8c02017 ldw r3,128(sp) -8112f9d8: d8801f17 ldw r2,124(sp) -8112f9dc: da000404 addi r8,sp,16 -8112f9e0: d9403317 ldw r5,204(sp) -8112f9e4: 10800044 addi r2,r2,1 -8112f9e8: 44000015 stw r16,0(r8) -8112f9ec: 28c7883a add r3,r5,r3 -8112f9f0: 003b7706 br 8112e7d0 <__reset+0xfb10e7d0> -8112f9f4: 8009883a mov r4,r16 -8112f9f8: da003e15 stw r8,248(sp) -8112f9fc: 112d86c0 call 8112d86c -8112fa00: d8802e15 stw r2,184(sp) -8112fa04: da003e17 ldw r8,248(sp) -8112fa08: 103c280e bge r2,zero,8112eaac <__reset+0xfb10eaac> -8112fa0c: 0005883a mov r2,zero -8112fa10: 003c2606 br 8112eaac <__reset+0xfb10eaac> -8112fa14: 00bfffc4 movi r2,-1 -8112fa18: 003a0906 br 8112e240 <__reset+0xfb10e240> -8112fa1c: 01204574 movhi r4,33045 -8112fa20: 213ec084 addi r4,r4,-1278 -8112fa24: d9003515 stw r4,212(sp) -8112fa28: 003b0606 br 8112e644 <__reset+0xfb10e644> -8112fa2c: 013fffc4 movi r4,-1 -8112fa30: 003a2706 br 8112e2d0 <__reset+0xfb10e2d0> -8112fa34: d9402c17 ldw r5,176(sp) -8112fa38: d9801e04 addi r6,sp,120 -8112fa3c: 9809883a mov r4,r19 -8112fa40: 113a6400 call 8113a640 <__ssprint_r> -8112fa44: 1039f91e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112fa48: d8c02017 ldw r3,128(sp) -8112fa4c: da000404 addi r8,sp,16 -8112fa50: 003d8406 br 8112f064 <__reset+0xfb10f064> -8112fa54: 0023883a mov r17,zero -8112fa58: 003d8306 br 8112f068 <__reset+0xfb10f068> +8112f9b8: a02dd7fa srai r22,r20,31 +8112f9bc: b005883a mov r2,r22 +8112f9c0: 003a9b06 br 8112e430 <__reset+0xfb10e430> +8112f9c4: d9402d17 ldw r5,180(sp) +8112f9c8: 002d883a mov r22,zero +8112f9cc: 2d000017 ldw r20,0(r5) +8112f9d0: 29400104 addi r5,r5,4 +8112f9d4: d9402d15 stw r5,180(sp) +8112f9d8: 003bf906 br 8112e9c0 <__reset+0xfb10e9c0> +8112f9dc: 9480100c andi r18,r18,64 +8112f9e0: 90006e26 beq r18,zero,8112fb9c <___svfprintf_internal_r+0x1cc4> +8112f9e4: d9002d17 ldw r4,180(sp) +8112f9e8: d9402f17 ldw r5,188(sp) +8112f9ec: 20800017 ldw r2,0(r4) +8112f9f0: 21000104 addi r4,r4,4 +8112f9f4: d9002d15 stw r4,180(sp) +8112f9f8: 1140000d sth r5,0(r2) +8112f9fc: 00396106 br 8112df84 <__reset+0xfb10df84> +8112fa00: d9402c17 ldw r5,176(sp) +8112fa04: d9801e04 addi r6,sp,120 +8112fa08: 9809883a mov r4,r19 +8112fa0c: 113a6800 call 8113a680 <__ssprint_r> +8112fa10: 103a161e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112fa14: d8c02017 ldw r3,128(sp) +8112fa18: d8801f17 ldw r2,124(sp) +8112fa1c: da000404 addi r8,sp,16 +8112fa20: d9403317 ldw r5,204(sp) +8112fa24: 10800044 addi r2,r2,1 +8112fa28: 44000015 stw r16,0(r8) +8112fa2c: 28c7883a add r3,r5,r3 +8112fa30: 003b7706 br 8112e810 <__reset+0xfb10e810> +8112fa34: 8009883a mov r4,r16 +8112fa38: da003e15 stw r8,248(sp) +8112fa3c: 112d8ac0 call 8112d8ac +8112fa40: d8802e15 stw r2,184(sp) +8112fa44: da003e17 ldw r8,248(sp) +8112fa48: 103c280e bge r2,zero,8112eaec <__reset+0xfb10eaec> +8112fa4c: 0005883a mov r2,zero +8112fa50: 003c2606 br 8112eaec <__reset+0xfb10eaec> +8112fa54: 00bfffc4 movi r2,-1 +8112fa58: 003a0906 br 8112e280 <__reset+0xfb10e280> 8112fa5c: 01204574 movhi r4,33045 -8112fa60: 213ebc84 addi r4,r4,-1294 -8112fa64: d9002b15 stw r4,172(sp) -8112fa68: d9002b17 ldw r4,172(sp) -8112fa6c: 1c47883a add r3,r3,r17 -8112fa70: 10800044 addi r2,r2,1 -8112fa74: 41000015 stw r4,0(r8) -8112fa78: 44400115 stw r17,4(r8) -8112fa7c: d8c02015 stw r3,128(sp) -8112fa80: d8801f15 stw r2,124(sp) -8112fa84: 010001c4 movi r4,7 -8112fa88: 20bfcd16 blt r4,r2,8112f9c0 <__reset+0xfb10f9c0> -8112fa8c: 42000204 addi r8,r8,8 -8112fa90: 003fd306 br 8112f9e0 <__reset+0xfb10f9e0> -8112fa94: d9402c17 ldw r5,176(sp) -8112fa98: d9801e04 addi r6,sp,120 -8112fa9c: 9809883a mov r4,r19 -8112faa0: 113a6400 call 8113a640 <__ssprint_r> -8112faa4: 1039e11e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112faa8: d8802617 ldw r2,152(sp) -8112faac: d8c02017 ldw r3,128(sp) -8112fab0: da000404 addi r8,sp,16 -8112fab4: 003e1c06 br 8112f328 <__reset+0xfb10f328> -8112fab8: d8802917 ldw r2,164(sp) -8112fabc: 00c00184 movi r3,6 -8112fac0: 1880012e bgeu r3,r2,8112fac8 <___svfprintf_internal_r+0x1c30> -8112fac4: 1805883a mov r2,r3 -8112fac8: d8802e15 stw r2,184(sp) -8112facc: 1000f316 blt r2,zero,8112fe9c <___svfprintf_internal_r+0x2004> -8112fad0: 04204574 movhi r16,33045 -8112fad4: d8802a15 stw r2,168(sp) -8112fad8: dd002d15 stw r20,180(sp) -8112fadc: d8002915 stw zero,164(sp) -8112fae0: d8003215 stw zero,200(sp) -8112fae4: 843eba04 addi r16,r16,-1304 -8112fae8: 0039883a mov fp,zero -8112faec: 003a9f06 br 8112e56c <__reset+0xfb10e56c> -8112faf0: 01204574 movhi r4,33045 -8112faf4: 213ec084 addi r4,r4,-1278 -8112faf8: d9003515 stw r4,212(sp) -8112fafc: 003b5f06 br 8112e87c <__reset+0xfb10e87c> -8112fb00: d9402c17 ldw r5,176(sp) -8112fb04: d9801e04 addi r6,sp,120 -8112fb08: 9809883a mov r4,r19 -8112fb0c: 113a6400 call 8113a640 <__ssprint_r> -8112fb10: 1039c61e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112fb14: d8802617 ldw r2,152(sp) -8112fb18: d9403317 ldw r5,204(sp) -8112fb1c: d8c02017 ldw r3,128(sp) -8112fb20: da000404 addi r8,sp,16 -8112fb24: 2885c83a sub r2,r5,r2 -8112fb28: 003e1006 br 8112f36c <__reset+0xfb10f36c> -8112fb2c: 00800044 movi r2,1 -8112fb30: 10803fcc andi r2,r2,255 -8112fb34: 00c00044 movi r3,1 -8112fb38: 10fa3526 beq r2,r3,8112e410 <__reset+0xfb10e410> -8112fb3c: 00c00084 movi r3,2 -8112fb40: 10fb9e26 beq r2,r3,8112e9bc <__reset+0xfb10e9bc> -8112fb44: 003a6406 br 8112e4d8 <__reset+0xfb10e4d8> -8112fb48: 0021883a mov r16,zero -8112fb4c: 003e0806 br 8112f370 <__reset+0xfb10f370> -8112fb50: 07000b44 movi fp,45 -8112fb54: df002785 stb fp,158(sp) -8112fb58: 003a0b06 br 8112e388 <__reset+0xfb10e388> -8112fb5c: d8c02d17 ldw r3,180(sp) -8112fb60: d9002f17 ldw r4,188(sp) -8112fb64: 18800017 ldw r2,0(r3) -8112fb68: 18c00104 addi r3,r3,4 -8112fb6c: d8c02d15 stw r3,180(sp) -8112fb70: 11000015 stw r4,0(r2) -8112fb74: 0038f306 br 8112df44 <__reset+0xfb10df44> -8112fb78: 00c00b44 movi r3,45 -8112fb7c: d8c02785 stb r3,158(sp) -8112fb80: d8802a15 stw r2,168(sp) -8112fb84: dc802b17 ldw r18,172(sp) -8112fb88: d8002915 stw zero,164(sp) -8112fb8c: 07000b44 movi fp,45 -8112fb90: 003a7306 br 8112e560 <__reset+0xfb10e560> -8112fb94: 9080004c andi r2,r18,1 -8112fb98: 1000941e bne r2,zero,8112fdec <___svfprintf_internal_r+0x1f54> -8112fb9c: d8802117 ldw r2,132(sp) -8112fba0: 1405c83a sub r2,r2,r16 -8112fba4: d8803315 stw r2,204(sp) -8112fba8: b441161e bne r22,r17,81130004 <___svfprintf_internal_r+0x216c> -8112fbac: dd802617 ldw r22,152(sp) -8112fbb0: 00bfff44 movi r2,-3 -8112fbb4: b0801a16 blt r22,r2,8112fc20 <___svfprintf_internal_r+0x1d88> -8112fbb8: d9402917 ldw r5,164(sp) -8112fbbc: 2d801816 blt r5,r22,8112fc20 <___svfprintf_internal_r+0x1d88> -8112fbc0: dd803215 stw r22,200(sp) -8112fbc4: 003f3a06 br 8112f8b0 <__reset+0xfb10f8b0> -8112fbc8: 1025883a mov r18,r2 -8112fbcc: 0039883a mov fp,zero -8112fbd0: 00800084 movi r2,2 -8112fbd4: 003fd606 br 8112fb30 <__reset+0xfb10fb30> -8112fbd8: 9080004c andi r2,r18,1 -8112fbdc: 103f191e bne r2,zero,8112f844 <__reset+0xfb10f844> -8112fbe0: d8802117 ldw r2,132(sp) -8112fbe4: 003f2806 br 8112f888 <__reset+0xfb10f888> -8112fbe8: 01204574 movhi r4,33045 -8112fbec: 213ebc84 addi r4,r4,-1294 -8112fbf0: d9002b15 stw r4,172(sp) -8112fbf4: 003c7c06 br 8112ede8 <__reset+0xfb10ede8> -8112fbf8: e005883a mov r2,fp -8112fbfc: 003f2206 br 8112f888 <__reset+0xfb10f888> -8112fc00: d9402917 ldw r5,164(sp) -8112fc04: df002783 ldbu fp,158(sp) -8112fc08: dd002d15 stw r20,180(sp) -8112fc0c: d9402a15 stw r5,168(sp) -8112fc10: d9402e15 stw r5,184(sp) -8112fc14: d8002915 stw zero,164(sp) -8112fc18: d8003215 stw zero,200(sp) -8112fc1c: 003a4c06 br 8112e550 <__reset+0xfb10e550> -8112fc20: 8c7fff84 addi r17,r17,-2 -8112fc24: b5bfffc4 addi r22,r22,-1 -8112fc28: dd802615 stw r22,152(sp) -8112fc2c: dc4022c5 stb r17,139(sp) -8112fc30: b000c316 blt r22,zero,8112ff40 <___svfprintf_internal_r+0x20a8> -8112fc34: 00800ac4 movi r2,43 -8112fc38: d8802305 stb r2,140(sp) -8112fc3c: 00800244 movi r2,9 -8112fc40: 15806e16 blt r2,r22,8112fdfc <___svfprintf_internal_r+0x1f64> -8112fc44: 00800c04 movi r2,48 -8112fc48: b5800c04 addi r22,r22,48 -8112fc4c: d8802345 stb r2,141(sp) -8112fc50: dd802385 stb r22,142(sp) -8112fc54: d88023c4 addi r2,sp,143 -8112fc58: df0022c4 addi fp,sp,139 -8112fc5c: d8c03317 ldw r3,204(sp) -8112fc60: 1739c83a sub fp,r2,fp -8112fc64: d9003317 ldw r4,204(sp) -8112fc68: e0c7883a add r3,fp,r3 -8112fc6c: df003b15 stw fp,236(sp) -8112fc70: d8c02e15 stw r3,184(sp) -8112fc74: 00800044 movi r2,1 -8112fc78: 1100b70e bge r2,r4,8112ff58 <___svfprintf_internal_r+0x20c0> -8112fc7c: d8c02e17 ldw r3,184(sp) -8112fc80: 18c00044 addi r3,r3,1 -8112fc84: d8c02e15 stw r3,184(sp) -8112fc88: 1805883a mov r2,r3 -8112fc8c: 1800b016 blt r3,zero,8112ff50 <___svfprintf_internal_r+0x20b8> -8112fc90: d8003215 stw zero,200(sp) -8112fc94: 003f1006 br 8112f8d8 <__reset+0xfb10f8d8> -8112fc98: d8802917 ldw r2,164(sp) -8112fc9c: 103ec71e bne r2,zero,8112f7bc <__reset+0xfb10f7bc> -8112fca0: dc002915 stw r16,164(sp) -8112fca4: 003ec506 br 8112f7bc <__reset+0xfb10f7bc> -8112fca8: d9402c17 ldw r5,176(sp) -8112fcac: d9801e04 addi r6,sp,120 -8112fcb0: 9809883a mov r4,r19 -8112fcb4: 113a6400 call 8113a640 <__ssprint_r> -8112fcb8: 10395c1e bne r2,zero,8112e22c <__reset+0xfb10e22c> -8112fcbc: dc402617 ldw r17,152(sp) -8112fcc0: d8c02017 ldw r3,128(sp) -8112fcc4: d8801f17 ldw r2,124(sp) -8112fcc8: da000404 addi r8,sp,16 -8112fccc: 003e7706 br 8112f6ac <__reset+0xfb10f6ac> -8112fcd0: 582f883a mov r23,r11 -8112fcd4: d8002915 stw zero,164(sp) -8112fcd8: 0038c406 br 8112dfec <__reset+0xfb10dfec> -8112fcdc: d8c02917 ldw r3,164(sp) -8112fce0: d8802104 addi r2,sp,132 -8112fce4: d8800315 stw r2,12(sp) -8112fce8: d9403617 ldw r5,216(sp) -8112fcec: d8802504 addi r2,sp,148 -8112fcf0: d8800215 stw r2,8(sp) -8112fcf4: d8802604 addi r2,sp,152 -8112fcf8: d8c00015 stw r3,0(sp) -8112fcfc: 9809883a mov r4,r19 -8112fd00: d8800115 stw r2,4(sp) -8112fd04: 01c000c4 movi r7,3 -8112fd08: a00d883a mov r6,r20 -8112fd0c: da003e15 stw r8,248(sp) -8112fd10: 113412c0 call 8113412c <_dtoa_r> -8112fd14: d9002917 ldw r4,164(sp) -8112fd18: da003e17 ldw r8,248(sp) -8112fd1c: 1021883a mov r16,r2 -8112fd20: 1139883a add fp,r2,r4 -8112fd24: 2007883a mov r3,r4 -8112fd28: 81000007 ldb r4,0(r16) -8112fd2c: 00800c04 movi r2,48 -8112fd30: 20806f26 beq r4,r2,8112fef0 <___svfprintf_internal_r+0x2058> -8112fd34: d8c02617 ldw r3,152(sp) -8112fd38: e0f9883a add fp,fp,r3 -8112fd3c: 003ec206 br 8112f848 <__reset+0xfb10f848> -8112fd40: 00c00b44 movi r3,45 -8112fd44: 2520003c xorhi r20,r4,32768 -8112fd48: d8c02a05 stb r3,168(sp) -8112fd4c: 003ea106 br 8112f7d4 <__reset+0xfb10f7d4> -8112fd50: d8c03217 ldw r3,200(sp) -8112fd54: 00c0890e bge zero,r3,8112ff7c <___svfprintf_internal_r+0x20e4> -8112fd58: 00800044 movi r2,1 -8112fd5c: d9003317 ldw r4,204(sp) -8112fd60: 1105883a add r2,r2,r4 -8112fd64: d8802e15 stw r2,184(sp) -8112fd68: 10005f16 blt r2,zero,8112fee8 <___svfprintf_internal_r+0x2050> -8112fd6c: 044019c4 movi r17,103 -8112fd70: 003ed906 br 8112f8d8 <__reset+0xfb10f8d8> -8112fd74: d9002917 ldw r4,164(sp) -8112fd78: 20c00044 addi r3,r4,1 -8112fd7c: 003e9c06 br 8112f7f0 <__reset+0xfb10f7f0> -8112fd80: d9002917 ldw r4,164(sp) -8112fd84: 00c0680e bge zero,r3,8112ff28 <___svfprintf_internal_r+0x2090> -8112fd88: 2000461e bne r4,zero,8112fea4 <___svfprintf_internal_r+0x200c> -8112fd8c: 9480004c andi r18,r18,1 -8112fd90: 9000441e bne r18,zero,8112fea4 <___svfprintf_internal_r+0x200c> -8112fd94: 1805883a mov r2,r3 -8112fd98: 1800a016 blt r3,zero,8113001c <___svfprintf_internal_r+0x2184> -8112fd9c: d8c03217 ldw r3,200(sp) -8112fda0: d8c02e15 stw r3,184(sp) -8112fda4: 003ecc06 br 8112f8d8 <__reset+0xfb10f8d8> -8112fda8: d9402917 ldw r5,164(sp) -8112fdac: d8802104 addi r2,sp,132 -8112fdb0: d8800315 stw r2,12(sp) -8112fdb4: d9400015 stw r5,0(sp) -8112fdb8: d8802504 addi r2,sp,148 -8112fdbc: d9403617 ldw r5,216(sp) -8112fdc0: d8800215 stw r2,8(sp) -8112fdc4: d8802604 addi r2,sp,152 -8112fdc8: d8800115 stw r2,4(sp) -8112fdcc: 01c000c4 movi r7,3 -8112fdd0: a00d883a mov r6,r20 -8112fdd4: 9809883a mov r4,r19 -8112fdd8: da003e15 stw r8,248(sp) -8112fddc: 113412c0 call 8113412c <_dtoa_r> -8112fde0: d8c02917 ldw r3,164(sp) -8112fde4: da003e17 ldw r8,248(sp) -8112fde8: 1021883a mov r16,r2 -8112fdec: 00801184 movi r2,70 -8112fdf0: 80f9883a add fp,r16,r3 -8112fdf4: 88bfcc26 beq r17,r2,8112fd28 <__reset+0xfb10fd28> -8112fdf8: 003e9306 br 8112f848 <__reset+0xfb10f848> -8112fdfc: df0022c4 addi fp,sp,139 -8112fe00: dc002915 stw r16,164(sp) -8112fe04: 9829883a mov r20,r19 -8112fe08: e021883a mov r16,fp -8112fe0c: 4027883a mov r19,r8 -8112fe10: b009883a mov r4,r22 -8112fe14: 01400284 movi r5,10 -8112fe18: 112b2d80 call 8112b2d8 <__modsi3> -8112fe1c: 10800c04 addi r2,r2,48 -8112fe20: 843fffc4 addi r16,r16,-1 -8112fe24: b009883a mov r4,r22 -8112fe28: 01400284 movi r5,10 -8112fe2c: 80800005 stb r2,0(r16) -8112fe30: 112b2540 call 8112b254 <__divsi3> -8112fe34: 102d883a mov r22,r2 -8112fe38: 00800244 movi r2,9 -8112fe3c: 15bff416 blt r2,r22,8112fe10 <__reset+0xfb10fe10> -8112fe40: 9811883a mov r8,r19 -8112fe44: b0800c04 addi r2,r22,48 -8112fe48: a027883a mov r19,r20 -8112fe4c: 8029883a mov r20,r16 -8112fe50: a17fffc4 addi r5,r20,-1 -8112fe54: a0bfffc5 stb r2,-1(r20) -8112fe58: dc002917 ldw r16,164(sp) -8112fe5c: 2f00752e bgeu r5,fp,81130034 <___svfprintf_internal_r+0x219c> -8112fe60: d9c02384 addi r7,sp,142 -8112fe64: 3d0fc83a sub r7,r7,r20 -8112fe68: d9002344 addi r4,sp,141 -8112fe6c: e1cf883a add r7,fp,r7 -8112fe70: 00000106 br 8112fe78 <___svfprintf_internal_r+0x1fe0> -8112fe74: 28800003 ldbu r2,0(r5) -8112fe78: 20800005 stb r2,0(r4) -8112fe7c: 21000044 addi r4,r4,1 -8112fe80: 29400044 addi r5,r5,1 -8112fe84: 21fffb1e bne r4,r7,8112fe74 <__reset+0xfb10fe74> -8112fe88: d8802304 addi r2,sp,140 -8112fe8c: 1505c83a sub r2,r2,r20 -8112fe90: d8c02344 addi r3,sp,141 -8112fe94: 1885883a add r2,r3,r2 -8112fe98: 003f7006 br 8112fc5c <__reset+0xfb10fc5c> -8112fe9c: 0005883a mov r2,zero -8112fea0: 003f0b06 br 8112fad0 <__reset+0xfb10fad0> -8112fea4: d9002917 ldw r4,164(sp) -8112fea8: d8c03217 ldw r3,200(sp) -8112feac: 20800044 addi r2,r4,1 -8112feb0: 1885883a add r2,r3,r2 -8112feb4: d8802e15 stw r2,184(sp) -8112feb8: 103e870e bge r2,zero,8112f8d8 <__reset+0xfb10f8d8> -8112febc: 0005883a mov r2,zero -8112fec0: 003e8506 br 8112f8d8 <__reset+0xfb10f8d8> -8112fec4: 01204574 movhi r4,33045 -8112fec8: 213ebc84 addi r4,r4,-1294 -8112fecc: d9002b15 stw r4,172(sp) -8112fed0: 003cc506 br 8112f1e8 <__reset+0xfb10f1e8> -8112fed4: d8c03217 ldw r3,200(sp) -8112fed8: 18c00044 addi r3,r3,1 -8112fedc: d8c02e15 stw r3,184(sp) -8112fee0: 1805883a mov r2,r3 -8112fee4: 183fa10e bge r3,zero,8112fd6c <__reset+0xfb10fd6c> -8112fee8: 0005883a mov r2,zero -8112feec: 003f9f06 br 8112fd6c <__reset+0xfb10fd6c> -8112fef0: d9003617 ldw r4,216(sp) -8112fef4: 000d883a mov r6,zero -8112fef8: 000f883a mov r7,zero -8112fefc: a00b883a mov r5,r20 -8112ff00: d8c03d15 stw r3,244(sp) -8112ff04: da003e15 stw r8,248(sp) -8112ff08: 113f0a00 call 8113f0a0 <__eqdf2> -8112ff0c: d8c03d17 ldw r3,244(sp) -8112ff10: da003e17 ldw r8,248(sp) -8112ff14: 103f8726 beq r2,zero,8112fd34 <__reset+0xfb10fd34> -8112ff18: 00800044 movi r2,1 -8112ff1c: 10c7c83a sub r3,r2,r3 -8112ff20: d8c02615 stw r3,152(sp) -8112ff24: 003f8406 br 8112fd38 <__reset+0xfb10fd38> -8112ff28: 20000e1e bne r4,zero,8112ff64 <___svfprintf_internal_r+0x20cc> -8112ff2c: 9480004c andi r18,r18,1 -8112ff30: 90000c1e bne r18,zero,8112ff64 <___svfprintf_internal_r+0x20cc> -8112ff34: 00800044 movi r2,1 -8112ff38: d8802e15 stw r2,184(sp) -8112ff3c: 003e6606 br 8112f8d8 <__reset+0xfb10f8d8> -8112ff40: 00800b44 movi r2,45 -8112ff44: 05adc83a sub r22,zero,r22 -8112ff48: d8802305 stb r2,140(sp) -8112ff4c: 003f3b06 br 8112fc3c <__reset+0xfb10fc3c> -8112ff50: 0005883a mov r2,zero -8112ff54: 003f4e06 br 8112fc90 <__reset+0xfb10fc90> -8112ff58: 90a4703a and r18,r18,r2 -8112ff5c: 903f4a26 beq r18,zero,8112fc88 <__reset+0xfb10fc88> -8112ff60: 003f4606 br 8112fc7c <__reset+0xfb10fc7c> -8112ff64: d8c02917 ldw r3,164(sp) -8112ff68: 18c00084 addi r3,r3,2 -8112ff6c: d8c02e15 stw r3,184(sp) -8112ff70: 1805883a mov r2,r3 -8112ff74: 183e580e bge r3,zero,8112f8d8 <__reset+0xfb10f8d8> -8112ff78: 003fd006 br 8112febc <__reset+0xfb10febc> -8112ff7c: 00800084 movi r2,2 -8112ff80: 10c5c83a sub r2,r2,r3 -8112ff84: 003f7506 br 8112fd5c <__reset+0xfb10fd5c> -8112ff88: d8802d17 ldw r2,180(sp) -8112ff8c: d9002d17 ldw r4,180(sp) -8112ff90: bc400043 ldbu r17,1(r23) -8112ff94: 10800017 ldw r2,0(r2) -8112ff98: 582f883a mov r23,r11 -8112ff9c: d8802915 stw r2,164(sp) -8112ffa0: 20800104 addi r2,r4,4 -8112ffa4: d9002917 ldw r4,164(sp) -8112ffa8: d8802d15 stw r2,180(sp) -8112ffac: 203df00e bge r4,zero,8112f770 <__reset+0xfb10f770> -8112ffb0: 8c403fcc andi r17,r17,255 -8112ffb4: 00bfffc4 movi r2,-1 -8112ffb8: 8c40201c xori r17,r17,128 -8112ffbc: d8802915 stw r2,164(sp) -8112ffc0: 8c7fe004 addi r17,r17,-128 -8112ffc4: 00380806 br 8112dfe8 <__reset+0xfb10dfe8> -8112ffc8: 9080004c andi r2,r18,1 -8112ffcc: 0039883a mov fp,zero -8112ffd0: 10000726 beq r2,zero,8112fff0 <___svfprintf_internal_r+0x2158> -8112ffd4: d8c02817 ldw r3,160(sp) -8112ffd8: dc001dc4 addi r16,sp,119 -8112ffdc: 00800c04 movi r2,48 -8112ffe0: 1c07c83a sub r3,r3,r16 -8112ffe4: d8801dc5 stb r2,119(sp) -8112ffe8: d8c02e15 stw r3,184(sp) -8112ffec: 00395206 br 8112e538 <__reset+0xfb10e538> -8112fff0: d8002e15 stw zero,184(sp) -8112fff4: dc001e04 addi r16,sp,120 -8112fff8: 00394f06 br 8112e538 <__reset+0xfb10e538> -8112fffc: 0005883a mov r2,zero -81130000: 003e3206 br 8112f8cc <__reset+0xfb10f8cc> -81130004: dd802617 ldw r22,152(sp) -81130008: 003f0606 br 8112fc24 <__reset+0xfb10fc24> -8113000c: d9c02785 stb r7,158(sp) -81130010: 003a5106 br 8112e958 <__reset+0xfb10e958> -81130014: d9c02785 stb r7,158(sp) -81130018: 003a3706 br 8112e8f8 <__reset+0xfb10e8f8> -8113001c: 0005883a mov r2,zero -81130020: 003f5e06 br 8112fd9c <__reset+0xfb10fd9c> -81130024: d9c02785 stb r7,158(sp) -81130028: 00391706 br 8112e488 <__reset+0xfb10e488> -8113002c: d9c02785 stb r7,158(sp) -81130030: 0038e606 br 8112e3cc <__reset+0xfb10e3cc> -81130034: d8802344 addi r2,sp,141 -81130038: 003f0806 br 8112fc5c <__reset+0xfb10fc5c> -8113003c: d9c02785 stb r7,158(sp) -81130040: 0038b706 br 8112e320 <__reset+0xfb10e320> -81130044: d9c02785 stb r7,158(sp) -81130048: 003adc06 br 8112ebbc <__reset+0xfb10ebbc> -8113004c: d9403917 ldw r5,228(sp) -81130050: 00800304 movi r2,12 -81130054: 28800015 stw r2,0(r5) -81130058: 00bfffc4 movi r2,-1 -8113005c: 00387806 br 8112e240 <__reset+0xfb10e240> -81130060: d9c02785 stb r7,158(sp) -81130064: 003abf06 br 8112eb64 <__reset+0xfb10eb64> -81130068: d9c02785 stb r7,158(sp) -8113006c: 003a9b06 br 8112eadc <__reset+0xfb10eadc> - -81130070 <___vfprintf_internal_r>: -81130070: deffb804 addi sp,sp,-288 -81130074: dfc04715 stw ra,284(sp) -81130078: ddc04515 stw r23,276(sp) -8113007c: dd404315 stw r21,268(sp) -81130080: d9002c15 stw r4,176(sp) -81130084: 282f883a mov r23,r5 -81130088: 302b883a mov r21,r6 -8113008c: d9c02d15 stw r7,180(sp) -81130090: df004615 stw fp,280(sp) -81130094: dd804415 stw r22,272(sp) -81130098: dd004215 stw r20,264(sp) -8113009c: dcc04115 stw r19,260(sp) -811300a0: dc804015 stw r18,256(sp) -811300a4: dc403f15 stw r17,252(sp) -811300a8: dc003e15 stw r16,248(sp) -811300ac: 1136cb00 call 81136cb0 <_localeconv_r> -811300b0: 10800017 ldw r2,0(r2) -811300b4: 1009883a mov r4,r2 -811300b8: d8803415 stw r2,208(sp) -811300bc: 112d86c0 call 8112d86c -811300c0: d8803715 stw r2,220(sp) -811300c4: d8802c17 ldw r2,176(sp) -811300c8: 10000226 beq r2,zero,811300d4 <___vfprintf_internal_r+0x64> -811300cc: 10800e17 ldw r2,56(r2) -811300d0: 1000f926 beq r2,zero,811304b8 <___vfprintf_internal_r+0x448> -811300d4: b880030b ldhu r2,12(r23) -811300d8: 10c8000c andi r3,r2,8192 -811300dc: 1800061e bne r3,zero,811300f8 <___vfprintf_internal_r+0x88> -811300e0: b9001917 ldw r4,100(r23) -811300e4: 00f7ffc4 movi r3,-8193 -811300e8: 10880014 ori r2,r2,8192 -811300ec: 20c6703a and r3,r4,r3 -811300f0: b880030d sth r2,12(r23) -811300f4: b8c01915 stw r3,100(r23) -811300f8: 10c0020c andi r3,r2,8 -811300fc: 1800c126 beq r3,zero,81130404 <___vfprintf_internal_r+0x394> -81130100: b8c00417 ldw r3,16(r23) -81130104: 1800bf26 beq r3,zero,81130404 <___vfprintf_internal_r+0x394> -81130108: 1080068c andi r2,r2,26 -8113010c: 00c00284 movi r3,10 -81130110: 10c0c426 beq r2,r3,81130424 <___vfprintf_internal_r+0x3b4> -81130114: d8c00404 addi r3,sp,16 -81130118: 05204574 movhi r20,33045 -8113011c: d9001e04 addi r4,sp,120 -81130120: a53ec484 addi r20,r20,-1262 -81130124: d8c01e15 stw r3,120(sp) -81130128: d8002015 stw zero,128(sp) -8113012c: d8001f15 stw zero,124(sp) -81130130: d8003315 stw zero,204(sp) -81130134: d8003615 stw zero,216(sp) -81130138: d8003815 stw zero,224(sp) -8113013c: 1811883a mov r8,r3 -81130140: d8003915 stw zero,228(sp) -81130144: d8003a15 stw zero,232(sp) -81130148: d8002f15 stw zero,188(sp) -8113014c: d9002815 stw r4,160(sp) -81130150: a8800007 ldb r2,0(r21) -81130154: 10027b26 beq r2,zero,81130b44 <___vfprintf_internal_r+0xad4> -81130158: 00c00944 movi r3,37 -8113015c: a821883a mov r16,r21 -81130160: 10c0021e bne r2,r3,8113016c <___vfprintf_internal_r+0xfc> -81130164: 00001406 br 811301b8 <___vfprintf_internal_r+0x148> -81130168: 10c00326 beq r2,r3,81130178 <___vfprintf_internal_r+0x108> -8113016c: 84000044 addi r16,r16,1 -81130170: 80800007 ldb r2,0(r16) -81130174: 103ffc1e bne r2,zero,81130168 <__reset+0xfb110168> -81130178: 8563c83a sub r17,r16,r21 -8113017c: 88000e26 beq r17,zero,811301b8 <___vfprintf_internal_r+0x148> -81130180: d8c02017 ldw r3,128(sp) -81130184: d8801f17 ldw r2,124(sp) -81130188: 45400015 stw r21,0(r8) -8113018c: 1c47883a add r3,r3,r17 -81130190: 10800044 addi r2,r2,1 -81130194: d8c02015 stw r3,128(sp) -81130198: 44400115 stw r17,4(r8) -8113019c: d8801f15 stw r2,124(sp) -811301a0: 00c001c4 movi r3,7 -811301a4: 1880a716 blt r3,r2,81130444 <___vfprintf_internal_r+0x3d4> -811301a8: 42000204 addi r8,r8,8 -811301ac: d9402f17 ldw r5,188(sp) -811301b0: 2c4b883a add r5,r5,r17 -811301b4: d9402f15 stw r5,188(sp) -811301b8: 80800007 ldb r2,0(r16) -811301bc: 1000a826 beq r2,zero,81130460 <___vfprintf_internal_r+0x3f0> -811301c0: 84400047 ldb r17,1(r16) -811301c4: 00bfffc4 movi r2,-1 -811301c8: 85400044 addi r21,r16,1 -811301cc: d8002785 stb zero,158(sp) -811301d0: 0007883a mov r3,zero -811301d4: 000f883a mov r7,zero -811301d8: d8802915 stw r2,164(sp) -811301dc: d8003115 stw zero,196(sp) -811301e0: 0025883a mov r18,zero -811301e4: 01401604 movi r5,88 -811301e8: 01800244 movi r6,9 -811301ec: 02800a84 movi r10,42 -811301f0: 02401b04 movi r9,108 -811301f4: ad400044 addi r21,r21,1 -811301f8: 88bff804 addi r2,r17,-32 -811301fc: 28830436 bltu r5,r2,81130e10 <___vfprintf_internal_r+0xda0> -81130200: 100490ba slli r2,r2,2 -81130204: 012044f4 movhi r4,33043 -81130208: 21008604 addi r4,r4,536 -8113020c: 1105883a add r2,r2,r4 -81130210: 10800017 ldw r2,0(r2) -81130214: 1000683a jmp r2 -81130218: 81130d30 cmpltui r4,r16,19508 -8113021c: 81130e10 cmplti r4,r16,19512 -81130220: 81130e10 cmplti r4,r16,19512 -81130224: 81130d50 cmplti r4,r16,19509 -81130228: 81130e10 cmplti r4,r16,19512 -8113022c: 81130e10 cmplti r4,r16,19512 -81130230: 81130e10 cmplti r4,r16,19512 -81130234: 81130e10 cmplti r4,r16,19512 -81130238: 81130e10 cmplti r4,r16,19512 -8113023c: 81130e10 cmplti r4,r16,19512 -81130240: 811304c4 addi r4,r16,19475 -81130244: 81130c6c andhi r4,r16,19505 -81130248: 81130e10 cmplti r4,r16,19512 -8113024c: 8113038c andi r4,r16,19470 -81130250: 811304ec andhi r4,r16,19475 -81130254: 81130e10 cmplti r4,r16,19512 -81130258: 8113052c andhi r4,r16,19476 -8113025c: 81130538 rdprs r4,r16,19476 -81130260: 81130538 rdprs r4,r16,19476 -81130264: 81130538 rdprs r4,r16,19476 -81130268: 81130538 rdprs r4,r16,19476 -8113026c: 81130538 rdprs r4,r16,19476 -81130270: 81130538 rdprs r4,r16,19476 -81130274: 81130538 rdprs r4,r16,19476 -81130278: 81130538 rdprs r4,r16,19476 -8113027c: 81130538 rdprs r4,r16,19476 -81130280: 81130e10 cmplti r4,r16,19512 -81130284: 81130e10 cmplti r4,r16,19512 -81130288: 81130e10 cmplti r4,r16,19512 -8113028c: 81130e10 cmplti r4,r16,19512 -81130290: 81130e10 cmplti r4,r16,19512 -81130294: 81130e10 cmplti r4,r16,19512 -81130298: 81130e10 cmplti r4,r16,19512 -8113029c: 81130e10 cmplti r4,r16,19512 -811302a0: 81130e10 cmplti r4,r16,19512 -811302a4: 81130e10 cmplti r4,r16,19512 -811302a8: 8113056c andhi r4,r16,19477 -811302ac: 81130628 cmpgeui r4,r16,19480 -811302b0: 81130e10 cmplti r4,r16,19512 -811302b4: 81130628 cmpgeui r4,r16,19480 -811302b8: 81130e10 cmplti r4,r16,19512 -811302bc: 81130e10 cmplti r4,r16,19512 -811302c0: 81130e10 cmplti r4,r16,19512 -811302c4: 81130e10 cmplti r4,r16,19512 -811302c8: 811306c8 cmpgei r4,r16,19483 -811302cc: 81130e10 cmplti r4,r16,19512 -811302d0: 81130e10 cmplti r4,r16,19512 -811302d4: 811306d4 ori r4,r16,19483 -811302d8: 81130e10 cmplti r4,r16,19512 -811302dc: 81130e10 cmplti r4,r16,19512 -811302e0: 81130e10 cmplti r4,r16,19512 -811302e4: 81130e10 cmplti r4,r16,19512 -811302e8: 81130e10 cmplti r4,r16,19512 -811302ec: 81130b4c andi r4,r16,19501 -811302f0: 81130e10 cmplti r4,r16,19512 -811302f4: 81130e10 cmplti r4,r16,19512 -811302f8: 81130bac andhi r4,r16,19502 -811302fc: 81130e10 cmplti r4,r16,19512 -81130300: 81130e10 cmplti r4,r16,19512 -81130304: 81130e10 cmplti r4,r16,19512 -81130308: 81130e10 cmplti r4,r16,19512 -8113030c: 81130e10 cmplti r4,r16,19512 -81130310: 81130e10 cmplti r4,r16,19512 -81130314: 81130e10 cmplti r4,r16,19512 -81130318: 81130e10 cmplti r4,r16,19512 -8113031c: 81130e10 cmplti r4,r16,19512 -81130320: 81130e10 cmplti r4,r16,19512 -81130324: 81130dbc xorhi r4,r16,19510 -81130328: 81130d5c xori r4,r16,19509 -8113032c: 81130628 cmpgeui r4,r16,19480 -81130330: 81130628 cmpgeui r4,r16,19480 -81130334: 81130628 cmpgeui r4,r16,19480 -81130338: 81130d6c andhi r4,r16,19509 -8113033c: 81130d5c xori r4,r16,19509 -81130340: 81130e10 cmplti r4,r16,19512 -81130344: 81130e10 cmplti r4,r16,19512 -81130348: 81130d78 rdprs r4,r16,19509 -8113034c: 81130e10 cmplti r4,r16,19512 -81130350: 81130d88 cmpgei r4,r16,19510 -81130354: 81130c5c xori r4,r16,19505 -81130358: 81130398 cmpnei r4,r16,19470 -8113035c: 81130c7c xorhi r4,r16,19505 -81130360: 81130e10 cmplti r4,r16,19512 -81130364: 81130c88 cmpgei r4,r16,19506 -81130368: 81130e10 cmplti r4,r16,19512 -8113036c: 81130ce4 muli r4,r16,19507 -81130370: 81130e10 cmplti r4,r16,19512 -81130374: 81130e10 cmplti r4,r16,19512 -81130378: 81130cf4 orhi r4,r16,19507 -8113037c: d9003117 ldw r4,196(sp) -81130380: d8802d15 stw r2,180(sp) -81130384: 0109c83a sub r4,zero,r4 -81130388: d9003115 stw r4,196(sp) -8113038c: 94800114 ori r18,r18,4 -81130390: ac400007 ldb r17,0(r21) -81130394: 003f9706 br 811301f4 <__reset+0xfb1101f4> -81130398: 00800c04 movi r2,48 -8113039c: d9002d17 ldw r4,180(sp) -811303a0: d9402917 ldw r5,164(sp) -811303a4: d8802705 stb r2,156(sp) -811303a8: 00801e04 movi r2,120 -811303ac: d8802745 stb r2,157(sp) -811303b0: d8002785 stb zero,158(sp) -811303b4: 20c00104 addi r3,r4,4 -811303b8: 24c00017 ldw r19,0(r4) -811303bc: 002d883a mov r22,zero -811303c0: 90800094 ori r2,r18,2 -811303c4: 28029a16 blt r5,zero,81130e30 <___vfprintf_internal_r+0xdc0> -811303c8: 00bfdfc4 movi r2,-129 -811303cc: 90a4703a and r18,r18,r2 -811303d0: d8c02d15 stw r3,180(sp) -811303d4: 94800094 ori r18,r18,2 -811303d8: 9802871e bne r19,zero,81130df8 <___vfprintf_internal_r+0xd88> -811303dc: 00a04574 movhi r2,33045 -811303e0: 10beb504 addi r2,r2,-1324 -811303e4: d8803915 stw r2,228(sp) -811303e8: 04401e04 movi r17,120 -811303ec: d8802917 ldw r2,164(sp) -811303f0: 0039883a mov fp,zero -811303f4: 1001e926 beq r2,zero,81130b9c <___vfprintf_internal_r+0xb2c> -811303f8: 0027883a mov r19,zero +8112fa60: 213ed184 addi r4,r4,-1210 +8112fa64: d9003515 stw r4,212(sp) +8112fa68: 003b0606 br 8112e684 <__reset+0xfb10e684> +8112fa6c: 013fffc4 movi r4,-1 +8112fa70: 003a2706 br 8112e310 <__reset+0xfb10e310> +8112fa74: d9402c17 ldw r5,176(sp) +8112fa78: d9801e04 addi r6,sp,120 +8112fa7c: 9809883a mov r4,r19 +8112fa80: 113a6800 call 8113a680 <__ssprint_r> +8112fa84: 1039f91e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112fa88: d8c02017 ldw r3,128(sp) +8112fa8c: da000404 addi r8,sp,16 +8112fa90: 003d8406 br 8112f0a4 <__reset+0xfb10f0a4> +8112fa94: 0023883a mov r17,zero +8112fa98: 003d8306 br 8112f0a8 <__reset+0xfb10f0a8> +8112fa9c: 01204574 movhi r4,33045 +8112faa0: 213ecd84 addi r4,r4,-1226 +8112faa4: d9002b15 stw r4,172(sp) +8112faa8: d9002b17 ldw r4,172(sp) +8112faac: 1c47883a add r3,r3,r17 +8112fab0: 10800044 addi r2,r2,1 +8112fab4: 41000015 stw r4,0(r8) +8112fab8: 44400115 stw r17,4(r8) +8112fabc: d8c02015 stw r3,128(sp) +8112fac0: d8801f15 stw r2,124(sp) +8112fac4: 010001c4 movi r4,7 +8112fac8: 20bfcd16 blt r4,r2,8112fa00 <__reset+0xfb10fa00> +8112facc: 42000204 addi r8,r8,8 +8112fad0: 003fd306 br 8112fa20 <__reset+0xfb10fa20> +8112fad4: d9402c17 ldw r5,176(sp) +8112fad8: d9801e04 addi r6,sp,120 +8112fadc: 9809883a mov r4,r19 +8112fae0: 113a6800 call 8113a680 <__ssprint_r> +8112fae4: 1039e11e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112fae8: d8802617 ldw r2,152(sp) +8112faec: d8c02017 ldw r3,128(sp) +8112faf0: da000404 addi r8,sp,16 +8112faf4: 003e1c06 br 8112f368 <__reset+0xfb10f368> +8112faf8: d8802917 ldw r2,164(sp) +8112fafc: 00c00184 movi r3,6 +8112fb00: 1880012e bgeu r3,r2,8112fb08 <___svfprintf_internal_r+0x1c30> +8112fb04: 1805883a mov r2,r3 +8112fb08: d8802e15 stw r2,184(sp) +8112fb0c: 1000f316 blt r2,zero,8112fedc <___svfprintf_internal_r+0x2004> +8112fb10: 04204574 movhi r16,33045 +8112fb14: d8802a15 stw r2,168(sp) +8112fb18: dd002d15 stw r20,180(sp) +8112fb1c: d8002915 stw zero,164(sp) +8112fb20: d8003215 stw zero,200(sp) +8112fb24: 843ecb04 addi r16,r16,-1236 +8112fb28: 0039883a mov fp,zero +8112fb2c: 003a9f06 br 8112e5ac <__reset+0xfb10e5ac> +8112fb30: 01204574 movhi r4,33045 +8112fb34: 213ed184 addi r4,r4,-1210 +8112fb38: d9003515 stw r4,212(sp) +8112fb3c: 003b5f06 br 8112e8bc <__reset+0xfb10e8bc> +8112fb40: d9402c17 ldw r5,176(sp) +8112fb44: d9801e04 addi r6,sp,120 +8112fb48: 9809883a mov r4,r19 +8112fb4c: 113a6800 call 8113a680 <__ssprint_r> +8112fb50: 1039c61e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112fb54: d8802617 ldw r2,152(sp) +8112fb58: d9403317 ldw r5,204(sp) +8112fb5c: d8c02017 ldw r3,128(sp) +8112fb60: da000404 addi r8,sp,16 +8112fb64: 2885c83a sub r2,r5,r2 +8112fb68: 003e1006 br 8112f3ac <__reset+0xfb10f3ac> +8112fb6c: 00800044 movi r2,1 +8112fb70: 10803fcc andi r2,r2,255 +8112fb74: 00c00044 movi r3,1 +8112fb78: 10fa3526 beq r2,r3,8112e450 <__reset+0xfb10e450> +8112fb7c: 00c00084 movi r3,2 +8112fb80: 10fb9e26 beq r2,r3,8112e9fc <__reset+0xfb10e9fc> +8112fb84: 003a6406 br 8112e518 <__reset+0xfb10e518> +8112fb88: 0021883a mov r16,zero +8112fb8c: 003e0806 br 8112f3b0 <__reset+0xfb10f3b0> +8112fb90: 07000b44 movi fp,45 +8112fb94: df002785 stb fp,158(sp) +8112fb98: 003a0b06 br 8112e3c8 <__reset+0xfb10e3c8> +8112fb9c: d8c02d17 ldw r3,180(sp) +8112fba0: d9002f17 ldw r4,188(sp) +8112fba4: 18800017 ldw r2,0(r3) +8112fba8: 18c00104 addi r3,r3,4 +8112fbac: d8c02d15 stw r3,180(sp) +8112fbb0: 11000015 stw r4,0(r2) +8112fbb4: 0038f306 br 8112df84 <__reset+0xfb10df84> +8112fbb8: 00c00b44 movi r3,45 +8112fbbc: d8c02785 stb r3,158(sp) +8112fbc0: d8802a15 stw r2,168(sp) +8112fbc4: dc802b17 ldw r18,172(sp) +8112fbc8: d8002915 stw zero,164(sp) +8112fbcc: 07000b44 movi fp,45 +8112fbd0: 003a7306 br 8112e5a0 <__reset+0xfb10e5a0> +8112fbd4: 9080004c andi r2,r18,1 +8112fbd8: 1000941e bne r2,zero,8112fe2c <___svfprintf_internal_r+0x1f54> +8112fbdc: d8802117 ldw r2,132(sp) +8112fbe0: 1405c83a sub r2,r2,r16 +8112fbe4: d8803315 stw r2,204(sp) +8112fbe8: b441161e bne r22,r17,81130044 <___svfprintf_internal_r+0x216c> +8112fbec: dd802617 ldw r22,152(sp) +8112fbf0: 00bfff44 movi r2,-3 +8112fbf4: b0801a16 blt r22,r2,8112fc60 <___svfprintf_internal_r+0x1d88> +8112fbf8: d9402917 ldw r5,164(sp) +8112fbfc: 2d801816 blt r5,r22,8112fc60 <___svfprintf_internal_r+0x1d88> +8112fc00: dd803215 stw r22,200(sp) +8112fc04: 003f3a06 br 8112f8f0 <__reset+0xfb10f8f0> +8112fc08: 1025883a mov r18,r2 +8112fc0c: 0039883a mov fp,zero +8112fc10: 00800084 movi r2,2 +8112fc14: 003fd606 br 8112fb70 <__reset+0xfb10fb70> +8112fc18: 9080004c andi r2,r18,1 +8112fc1c: 103f191e bne r2,zero,8112f884 <__reset+0xfb10f884> +8112fc20: d8802117 ldw r2,132(sp) +8112fc24: 003f2806 br 8112f8c8 <__reset+0xfb10f8c8> +8112fc28: 01204574 movhi r4,33045 +8112fc2c: 213ecd84 addi r4,r4,-1226 +8112fc30: d9002b15 stw r4,172(sp) +8112fc34: 003c7c06 br 8112ee28 <__reset+0xfb10ee28> +8112fc38: e005883a mov r2,fp +8112fc3c: 003f2206 br 8112f8c8 <__reset+0xfb10f8c8> +8112fc40: d9402917 ldw r5,164(sp) +8112fc44: df002783 ldbu fp,158(sp) +8112fc48: dd002d15 stw r20,180(sp) +8112fc4c: d9402a15 stw r5,168(sp) +8112fc50: d9402e15 stw r5,184(sp) +8112fc54: d8002915 stw zero,164(sp) +8112fc58: d8003215 stw zero,200(sp) +8112fc5c: 003a4c06 br 8112e590 <__reset+0xfb10e590> +8112fc60: 8c7fff84 addi r17,r17,-2 +8112fc64: b5bfffc4 addi r22,r22,-1 +8112fc68: dd802615 stw r22,152(sp) +8112fc6c: dc4022c5 stb r17,139(sp) +8112fc70: b000c316 blt r22,zero,8112ff80 <___svfprintf_internal_r+0x20a8> +8112fc74: 00800ac4 movi r2,43 +8112fc78: d8802305 stb r2,140(sp) +8112fc7c: 00800244 movi r2,9 +8112fc80: 15806e16 blt r2,r22,8112fe3c <___svfprintf_internal_r+0x1f64> +8112fc84: 00800c04 movi r2,48 +8112fc88: b5800c04 addi r22,r22,48 +8112fc8c: d8802345 stb r2,141(sp) +8112fc90: dd802385 stb r22,142(sp) +8112fc94: d88023c4 addi r2,sp,143 +8112fc98: df0022c4 addi fp,sp,139 +8112fc9c: d8c03317 ldw r3,204(sp) +8112fca0: 1739c83a sub fp,r2,fp +8112fca4: d9003317 ldw r4,204(sp) +8112fca8: e0c7883a add r3,fp,r3 +8112fcac: df003b15 stw fp,236(sp) +8112fcb0: d8c02e15 stw r3,184(sp) +8112fcb4: 00800044 movi r2,1 +8112fcb8: 1100b70e bge r2,r4,8112ff98 <___svfprintf_internal_r+0x20c0> +8112fcbc: d8c02e17 ldw r3,184(sp) +8112fcc0: 18c00044 addi r3,r3,1 +8112fcc4: d8c02e15 stw r3,184(sp) +8112fcc8: 1805883a mov r2,r3 +8112fccc: 1800b016 blt r3,zero,8112ff90 <___svfprintf_internal_r+0x20b8> +8112fcd0: d8003215 stw zero,200(sp) +8112fcd4: 003f1006 br 8112f918 <__reset+0xfb10f918> +8112fcd8: d8802917 ldw r2,164(sp) +8112fcdc: 103ec71e bne r2,zero,8112f7fc <__reset+0xfb10f7fc> +8112fce0: dc002915 stw r16,164(sp) +8112fce4: 003ec506 br 8112f7fc <__reset+0xfb10f7fc> +8112fce8: d9402c17 ldw r5,176(sp) +8112fcec: d9801e04 addi r6,sp,120 +8112fcf0: 9809883a mov r4,r19 +8112fcf4: 113a6800 call 8113a680 <__ssprint_r> +8112fcf8: 10395c1e bne r2,zero,8112e26c <__reset+0xfb10e26c> +8112fcfc: dc402617 ldw r17,152(sp) +8112fd00: d8c02017 ldw r3,128(sp) +8112fd04: d8801f17 ldw r2,124(sp) +8112fd08: da000404 addi r8,sp,16 +8112fd0c: 003e7706 br 8112f6ec <__reset+0xfb10f6ec> +8112fd10: 582f883a mov r23,r11 +8112fd14: d8002915 stw zero,164(sp) +8112fd18: 0038c406 br 8112e02c <__reset+0xfb10e02c> +8112fd1c: d8c02917 ldw r3,164(sp) +8112fd20: d8802104 addi r2,sp,132 +8112fd24: d8800315 stw r2,12(sp) +8112fd28: d9403617 ldw r5,216(sp) +8112fd2c: d8802504 addi r2,sp,148 +8112fd30: d8800215 stw r2,8(sp) +8112fd34: d8802604 addi r2,sp,152 +8112fd38: d8c00015 stw r3,0(sp) +8112fd3c: 9809883a mov r4,r19 +8112fd40: d8800115 stw r2,4(sp) +8112fd44: 01c000c4 movi r7,3 +8112fd48: a00d883a mov r6,r20 +8112fd4c: da003e15 stw r8,248(sp) +8112fd50: 113416c0 call 8113416c <_dtoa_r> +8112fd54: d9002917 ldw r4,164(sp) +8112fd58: da003e17 ldw r8,248(sp) +8112fd5c: 1021883a mov r16,r2 +8112fd60: 1139883a add fp,r2,r4 +8112fd64: 2007883a mov r3,r4 +8112fd68: 81000007 ldb r4,0(r16) +8112fd6c: 00800c04 movi r2,48 +8112fd70: 20806f26 beq r4,r2,8112ff30 <___svfprintf_internal_r+0x2058> +8112fd74: d8c02617 ldw r3,152(sp) +8112fd78: e0f9883a add fp,fp,r3 +8112fd7c: 003ec206 br 8112f888 <__reset+0xfb10f888> +8112fd80: 00c00b44 movi r3,45 +8112fd84: 2520003c xorhi r20,r4,32768 +8112fd88: d8c02a05 stb r3,168(sp) +8112fd8c: 003ea106 br 8112f814 <__reset+0xfb10f814> +8112fd90: d8c03217 ldw r3,200(sp) +8112fd94: 00c0890e bge zero,r3,8112ffbc <___svfprintf_internal_r+0x20e4> +8112fd98: 00800044 movi r2,1 +8112fd9c: d9003317 ldw r4,204(sp) +8112fda0: 1105883a add r2,r2,r4 +8112fda4: d8802e15 stw r2,184(sp) +8112fda8: 10005f16 blt r2,zero,8112ff28 <___svfprintf_internal_r+0x2050> +8112fdac: 044019c4 movi r17,103 +8112fdb0: 003ed906 br 8112f918 <__reset+0xfb10f918> +8112fdb4: d9002917 ldw r4,164(sp) +8112fdb8: 20c00044 addi r3,r4,1 +8112fdbc: 003e9c06 br 8112f830 <__reset+0xfb10f830> +8112fdc0: d9002917 ldw r4,164(sp) +8112fdc4: 00c0680e bge zero,r3,8112ff68 <___svfprintf_internal_r+0x2090> +8112fdc8: 2000461e bne r4,zero,8112fee4 <___svfprintf_internal_r+0x200c> +8112fdcc: 9480004c andi r18,r18,1 +8112fdd0: 9000441e bne r18,zero,8112fee4 <___svfprintf_internal_r+0x200c> +8112fdd4: 1805883a mov r2,r3 +8112fdd8: 1800a016 blt r3,zero,8113005c <___svfprintf_internal_r+0x2184> +8112fddc: d8c03217 ldw r3,200(sp) +8112fde0: d8c02e15 stw r3,184(sp) +8112fde4: 003ecc06 br 8112f918 <__reset+0xfb10f918> +8112fde8: d9402917 ldw r5,164(sp) +8112fdec: d8802104 addi r2,sp,132 +8112fdf0: d8800315 stw r2,12(sp) +8112fdf4: d9400015 stw r5,0(sp) +8112fdf8: d8802504 addi r2,sp,148 +8112fdfc: d9403617 ldw r5,216(sp) +8112fe00: d8800215 stw r2,8(sp) +8112fe04: d8802604 addi r2,sp,152 +8112fe08: d8800115 stw r2,4(sp) +8112fe0c: 01c000c4 movi r7,3 +8112fe10: a00d883a mov r6,r20 +8112fe14: 9809883a mov r4,r19 +8112fe18: da003e15 stw r8,248(sp) +8112fe1c: 113416c0 call 8113416c <_dtoa_r> +8112fe20: d8c02917 ldw r3,164(sp) +8112fe24: da003e17 ldw r8,248(sp) +8112fe28: 1021883a mov r16,r2 +8112fe2c: 00801184 movi r2,70 +8112fe30: 80f9883a add fp,r16,r3 +8112fe34: 88bfcc26 beq r17,r2,8112fd68 <__reset+0xfb10fd68> +8112fe38: 003e9306 br 8112f888 <__reset+0xfb10f888> +8112fe3c: df0022c4 addi fp,sp,139 +8112fe40: dc002915 stw r16,164(sp) +8112fe44: 9829883a mov r20,r19 +8112fe48: e021883a mov r16,fp +8112fe4c: 4027883a mov r19,r8 +8112fe50: b009883a mov r4,r22 +8112fe54: 01400284 movi r5,10 +8112fe58: 112b3180 call 8112b318 <__modsi3> +8112fe5c: 10800c04 addi r2,r2,48 +8112fe60: 843fffc4 addi r16,r16,-1 +8112fe64: b009883a mov r4,r22 +8112fe68: 01400284 movi r5,10 +8112fe6c: 80800005 stb r2,0(r16) +8112fe70: 112b2940 call 8112b294 <__divsi3> +8112fe74: 102d883a mov r22,r2 +8112fe78: 00800244 movi r2,9 +8112fe7c: 15bff416 blt r2,r22,8112fe50 <__reset+0xfb10fe50> +8112fe80: 9811883a mov r8,r19 +8112fe84: b0800c04 addi r2,r22,48 +8112fe88: a027883a mov r19,r20 +8112fe8c: 8029883a mov r20,r16 +8112fe90: a17fffc4 addi r5,r20,-1 +8112fe94: a0bfffc5 stb r2,-1(r20) +8112fe98: dc002917 ldw r16,164(sp) +8112fe9c: 2f00752e bgeu r5,fp,81130074 <___svfprintf_internal_r+0x219c> +8112fea0: d9c02384 addi r7,sp,142 +8112fea4: 3d0fc83a sub r7,r7,r20 +8112fea8: d9002344 addi r4,sp,141 +8112feac: e1cf883a add r7,fp,r7 +8112feb0: 00000106 br 8112feb8 <___svfprintf_internal_r+0x1fe0> +8112feb4: 28800003 ldbu r2,0(r5) +8112feb8: 20800005 stb r2,0(r4) +8112febc: 21000044 addi r4,r4,1 +8112fec0: 29400044 addi r5,r5,1 +8112fec4: 21fffb1e bne r4,r7,8112feb4 <__reset+0xfb10feb4> +8112fec8: d8802304 addi r2,sp,140 +8112fecc: 1505c83a sub r2,r2,r20 +8112fed0: d8c02344 addi r3,sp,141 +8112fed4: 1885883a add r2,r3,r2 +8112fed8: 003f7006 br 8112fc9c <__reset+0xfb10fc9c> +8112fedc: 0005883a mov r2,zero +8112fee0: 003f0b06 br 8112fb10 <__reset+0xfb10fb10> +8112fee4: d9002917 ldw r4,164(sp) +8112fee8: d8c03217 ldw r3,200(sp) +8112feec: 20800044 addi r2,r4,1 +8112fef0: 1885883a add r2,r3,r2 +8112fef4: d8802e15 stw r2,184(sp) +8112fef8: 103e870e bge r2,zero,8112f918 <__reset+0xfb10f918> +8112fefc: 0005883a mov r2,zero +8112ff00: 003e8506 br 8112f918 <__reset+0xfb10f918> +8112ff04: 01204574 movhi r4,33045 +8112ff08: 213ecd84 addi r4,r4,-1226 +8112ff0c: d9002b15 stw r4,172(sp) +8112ff10: 003cc506 br 8112f228 <__reset+0xfb10f228> +8112ff14: d8c03217 ldw r3,200(sp) +8112ff18: 18c00044 addi r3,r3,1 +8112ff1c: d8c02e15 stw r3,184(sp) +8112ff20: 1805883a mov r2,r3 +8112ff24: 183fa10e bge r3,zero,8112fdac <__reset+0xfb10fdac> +8112ff28: 0005883a mov r2,zero +8112ff2c: 003f9f06 br 8112fdac <__reset+0xfb10fdac> +8112ff30: d9003617 ldw r4,216(sp) +8112ff34: 000d883a mov r6,zero +8112ff38: 000f883a mov r7,zero +8112ff3c: a00b883a mov r5,r20 +8112ff40: d8c03d15 stw r3,244(sp) +8112ff44: da003e15 stw r8,248(sp) +8112ff48: 113f0e00 call 8113f0e0 <__eqdf2> +8112ff4c: d8c03d17 ldw r3,244(sp) +8112ff50: da003e17 ldw r8,248(sp) +8112ff54: 103f8726 beq r2,zero,8112fd74 <__reset+0xfb10fd74> +8112ff58: 00800044 movi r2,1 +8112ff5c: 10c7c83a sub r3,r2,r3 +8112ff60: d8c02615 stw r3,152(sp) +8112ff64: 003f8406 br 8112fd78 <__reset+0xfb10fd78> +8112ff68: 20000e1e bne r4,zero,8112ffa4 <___svfprintf_internal_r+0x20cc> +8112ff6c: 9480004c andi r18,r18,1 +8112ff70: 90000c1e bne r18,zero,8112ffa4 <___svfprintf_internal_r+0x20cc> +8112ff74: 00800044 movi r2,1 +8112ff78: d8802e15 stw r2,184(sp) +8112ff7c: 003e6606 br 8112f918 <__reset+0xfb10f918> +8112ff80: 00800b44 movi r2,45 +8112ff84: 05adc83a sub r22,zero,r22 +8112ff88: d8802305 stb r2,140(sp) +8112ff8c: 003f3b06 br 8112fc7c <__reset+0xfb10fc7c> +8112ff90: 0005883a mov r2,zero +8112ff94: 003f4e06 br 8112fcd0 <__reset+0xfb10fcd0> +8112ff98: 90a4703a and r18,r18,r2 +8112ff9c: 903f4a26 beq r18,zero,8112fcc8 <__reset+0xfb10fcc8> +8112ffa0: 003f4606 br 8112fcbc <__reset+0xfb10fcbc> +8112ffa4: d8c02917 ldw r3,164(sp) +8112ffa8: 18c00084 addi r3,r3,2 +8112ffac: d8c02e15 stw r3,184(sp) +8112ffb0: 1805883a mov r2,r3 +8112ffb4: 183e580e bge r3,zero,8112f918 <__reset+0xfb10f918> +8112ffb8: 003fd006 br 8112fefc <__reset+0xfb10fefc> +8112ffbc: 00800084 movi r2,2 +8112ffc0: 10c5c83a sub r2,r2,r3 +8112ffc4: 003f7506 br 8112fd9c <__reset+0xfb10fd9c> +8112ffc8: d8802d17 ldw r2,180(sp) +8112ffcc: d9002d17 ldw r4,180(sp) +8112ffd0: bc400043 ldbu r17,1(r23) +8112ffd4: 10800017 ldw r2,0(r2) +8112ffd8: 582f883a mov r23,r11 +8112ffdc: d8802915 stw r2,164(sp) +8112ffe0: 20800104 addi r2,r4,4 +8112ffe4: d9002917 ldw r4,164(sp) +8112ffe8: d8802d15 stw r2,180(sp) +8112ffec: 203df00e bge r4,zero,8112f7b0 <__reset+0xfb10f7b0> +8112fff0: 8c403fcc andi r17,r17,255 +8112fff4: 00bfffc4 movi r2,-1 +8112fff8: 8c40201c xori r17,r17,128 +8112fffc: d8802915 stw r2,164(sp) +81130000: 8c7fe004 addi r17,r17,-128 +81130004: 00380806 br 8112e028 <__reset+0xfb10e028> +81130008: 9080004c andi r2,r18,1 +8113000c: 0039883a mov fp,zero +81130010: 10000726 beq r2,zero,81130030 <___svfprintf_internal_r+0x2158> +81130014: d8c02817 ldw r3,160(sp) +81130018: dc001dc4 addi r16,sp,119 +8113001c: 00800c04 movi r2,48 +81130020: 1c07c83a sub r3,r3,r16 +81130024: d8801dc5 stb r2,119(sp) +81130028: d8c02e15 stw r3,184(sp) +8113002c: 00395206 br 8112e578 <__reset+0xfb10e578> +81130030: d8002e15 stw zero,184(sp) +81130034: dc001e04 addi r16,sp,120 +81130038: 00394f06 br 8112e578 <__reset+0xfb10e578> +8113003c: 0005883a mov r2,zero +81130040: 003e3206 br 8112f90c <__reset+0xfb10f90c> +81130044: dd802617 ldw r22,152(sp) +81130048: 003f0606 br 8112fc64 <__reset+0xfb10fc64> +8113004c: d9c02785 stb r7,158(sp) +81130050: 003a5106 br 8112e998 <__reset+0xfb10e998> +81130054: d9c02785 stb r7,158(sp) +81130058: 003a3706 br 8112e938 <__reset+0xfb10e938> +8113005c: 0005883a mov r2,zero +81130060: 003f5e06 br 8112fddc <__reset+0xfb10fddc> +81130064: d9c02785 stb r7,158(sp) +81130068: 00391706 br 8112e4c8 <__reset+0xfb10e4c8> +8113006c: d9c02785 stb r7,158(sp) +81130070: 0038e606 br 8112e40c <__reset+0xfb10e40c> +81130074: d8802344 addi r2,sp,141 +81130078: 003f0806 br 8112fc9c <__reset+0xfb10fc9c> +8113007c: d9c02785 stb r7,158(sp) +81130080: 0038b706 br 8112e360 <__reset+0xfb10e360> +81130084: d9c02785 stb r7,158(sp) +81130088: 003adc06 br 8112ebfc <__reset+0xfb10ebfc> +8113008c: d9403917 ldw r5,228(sp) +81130090: 00800304 movi r2,12 +81130094: 28800015 stw r2,0(r5) +81130098: 00bfffc4 movi r2,-1 +8113009c: 00387806 br 8112e280 <__reset+0xfb10e280> +811300a0: d9c02785 stb r7,158(sp) +811300a4: 003abf06 br 8112eba4 <__reset+0xfb10eba4> +811300a8: d9c02785 stb r7,158(sp) +811300ac: 003a9b06 br 8112eb1c <__reset+0xfb10eb1c> + +811300b0 <___vfprintf_internal_r>: +811300b0: deffb804 addi sp,sp,-288 +811300b4: dfc04715 stw ra,284(sp) +811300b8: ddc04515 stw r23,276(sp) +811300bc: dd404315 stw r21,268(sp) +811300c0: d9002c15 stw r4,176(sp) +811300c4: 282f883a mov r23,r5 +811300c8: 302b883a mov r21,r6 +811300cc: d9c02d15 stw r7,180(sp) +811300d0: df004615 stw fp,280(sp) +811300d4: dd804415 stw r22,272(sp) +811300d8: dd004215 stw r20,264(sp) +811300dc: dcc04115 stw r19,260(sp) +811300e0: dc804015 stw r18,256(sp) +811300e4: dc403f15 stw r17,252(sp) +811300e8: dc003e15 stw r16,248(sp) +811300ec: 1136cf00 call 81136cf0 <_localeconv_r> +811300f0: 10800017 ldw r2,0(r2) +811300f4: 1009883a mov r4,r2 +811300f8: d8803415 stw r2,208(sp) +811300fc: 112d8ac0 call 8112d8ac +81130100: d8803715 stw r2,220(sp) +81130104: d8802c17 ldw r2,176(sp) +81130108: 10000226 beq r2,zero,81130114 <___vfprintf_internal_r+0x64> +8113010c: 10800e17 ldw r2,56(r2) +81130110: 1000f926 beq r2,zero,811304f8 <___vfprintf_internal_r+0x448> +81130114: b880030b ldhu r2,12(r23) +81130118: 10c8000c andi r3,r2,8192 +8113011c: 1800061e bne r3,zero,81130138 <___vfprintf_internal_r+0x88> +81130120: b9001917 ldw r4,100(r23) +81130124: 00f7ffc4 movi r3,-8193 +81130128: 10880014 ori r2,r2,8192 +8113012c: 20c6703a and r3,r4,r3 +81130130: b880030d sth r2,12(r23) +81130134: b8c01915 stw r3,100(r23) +81130138: 10c0020c andi r3,r2,8 +8113013c: 1800c126 beq r3,zero,81130444 <___vfprintf_internal_r+0x394> +81130140: b8c00417 ldw r3,16(r23) +81130144: 1800bf26 beq r3,zero,81130444 <___vfprintf_internal_r+0x394> +81130148: 1080068c andi r2,r2,26 +8113014c: 00c00284 movi r3,10 +81130150: 10c0c426 beq r2,r3,81130464 <___vfprintf_internal_r+0x3b4> +81130154: d8c00404 addi r3,sp,16 +81130158: 05204574 movhi r20,33045 +8113015c: d9001e04 addi r4,sp,120 +81130160: a53ed584 addi r20,r20,-1194 +81130164: d8c01e15 stw r3,120(sp) +81130168: d8002015 stw zero,128(sp) +8113016c: d8001f15 stw zero,124(sp) +81130170: d8003315 stw zero,204(sp) +81130174: d8003615 stw zero,216(sp) +81130178: d8003815 stw zero,224(sp) +8113017c: 1811883a mov r8,r3 +81130180: d8003915 stw zero,228(sp) +81130184: d8003a15 stw zero,232(sp) +81130188: d8002f15 stw zero,188(sp) +8113018c: d9002815 stw r4,160(sp) +81130190: a8800007 ldb r2,0(r21) +81130194: 10027b26 beq r2,zero,81130b84 <___vfprintf_internal_r+0xad4> +81130198: 00c00944 movi r3,37 +8113019c: a821883a mov r16,r21 +811301a0: 10c0021e bne r2,r3,811301ac <___vfprintf_internal_r+0xfc> +811301a4: 00001406 br 811301f8 <___vfprintf_internal_r+0x148> +811301a8: 10c00326 beq r2,r3,811301b8 <___vfprintf_internal_r+0x108> +811301ac: 84000044 addi r16,r16,1 +811301b0: 80800007 ldb r2,0(r16) +811301b4: 103ffc1e bne r2,zero,811301a8 <__reset+0xfb1101a8> +811301b8: 8563c83a sub r17,r16,r21 +811301bc: 88000e26 beq r17,zero,811301f8 <___vfprintf_internal_r+0x148> +811301c0: d8c02017 ldw r3,128(sp) +811301c4: d8801f17 ldw r2,124(sp) +811301c8: 45400015 stw r21,0(r8) +811301cc: 1c47883a add r3,r3,r17 +811301d0: 10800044 addi r2,r2,1 +811301d4: d8c02015 stw r3,128(sp) +811301d8: 44400115 stw r17,4(r8) +811301dc: d8801f15 stw r2,124(sp) +811301e0: 00c001c4 movi r3,7 +811301e4: 1880a716 blt r3,r2,81130484 <___vfprintf_internal_r+0x3d4> +811301e8: 42000204 addi r8,r8,8 +811301ec: d9402f17 ldw r5,188(sp) +811301f0: 2c4b883a add r5,r5,r17 +811301f4: d9402f15 stw r5,188(sp) +811301f8: 80800007 ldb r2,0(r16) +811301fc: 1000a826 beq r2,zero,811304a0 <___vfprintf_internal_r+0x3f0> +81130200: 84400047 ldb r17,1(r16) +81130204: 00bfffc4 movi r2,-1 +81130208: 85400044 addi r21,r16,1 +8113020c: d8002785 stb zero,158(sp) +81130210: 0007883a mov r3,zero +81130214: 000f883a mov r7,zero +81130218: d8802915 stw r2,164(sp) +8113021c: d8003115 stw zero,196(sp) +81130220: 0025883a mov r18,zero +81130224: 01401604 movi r5,88 +81130228: 01800244 movi r6,9 +8113022c: 02800a84 movi r10,42 +81130230: 02401b04 movi r9,108 +81130234: ad400044 addi r21,r21,1 +81130238: 88bff804 addi r2,r17,-32 +8113023c: 28830436 bltu r5,r2,81130e50 <___vfprintf_internal_r+0xda0> +81130240: 100490ba slli r2,r2,2 +81130244: 012044f4 movhi r4,33043 +81130248: 21009604 addi r4,r4,600 +8113024c: 1105883a add r2,r2,r4 +81130250: 10800017 ldw r2,0(r2) +81130254: 1000683a jmp r2 +81130258: 81130d70 cmpltui r4,r16,19509 +8113025c: 81130e50 cmplti r4,r16,19513 +81130260: 81130e50 cmplti r4,r16,19513 +81130264: 81130d90 cmplti r4,r16,19510 +81130268: 81130e50 cmplti r4,r16,19513 +8113026c: 81130e50 cmplti r4,r16,19513 +81130270: 81130e50 cmplti r4,r16,19513 +81130274: 81130e50 cmplti r4,r16,19513 +81130278: 81130e50 cmplti r4,r16,19513 +8113027c: 81130e50 cmplti r4,r16,19513 +81130280: 81130504 addi r4,r16,19476 +81130284: 81130cac andhi r4,r16,19506 +81130288: 81130e50 cmplti r4,r16,19513 +8113028c: 811303cc andi r4,r16,19471 +81130290: 8113052c andhi r4,r16,19476 +81130294: 81130e50 cmplti r4,r16,19513 +81130298: 8113056c andhi r4,r16,19477 +8113029c: 81130578 rdprs r4,r16,19477 +811302a0: 81130578 rdprs r4,r16,19477 +811302a4: 81130578 rdprs r4,r16,19477 +811302a8: 81130578 rdprs r4,r16,19477 +811302ac: 81130578 rdprs r4,r16,19477 +811302b0: 81130578 rdprs r4,r16,19477 +811302b4: 81130578 rdprs r4,r16,19477 +811302b8: 81130578 rdprs r4,r16,19477 +811302bc: 81130578 rdprs r4,r16,19477 +811302c0: 81130e50 cmplti r4,r16,19513 +811302c4: 81130e50 cmplti r4,r16,19513 +811302c8: 81130e50 cmplti r4,r16,19513 +811302cc: 81130e50 cmplti r4,r16,19513 +811302d0: 81130e50 cmplti r4,r16,19513 +811302d4: 81130e50 cmplti r4,r16,19513 +811302d8: 81130e50 cmplti r4,r16,19513 +811302dc: 81130e50 cmplti r4,r16,19513 +811302e0: 81130e50 cmplti r4,r16,19513 +811302e4: 81130e50 cmplti r4,r16,19513 +811302e8: 811305ac andhi r4,r16,19478 +811302ec: 81130668 cmpgeui r4,r16,19481 +811302f0: 81130e50 cmplti r4,r16,19513 +811302f4: 81130668 cmpgeui r4,r16,19481 +811302f8: 81130e50 cmplti r4,r16,19513 +811302fc: 81130e50 cmplti r4,r16,19513 +81130300: 81130e50 cmplti r4,r16,19513 +81130304: 81130e50 cmplti r4,r16,19513 +81130308: 81130708 cmpgei r4,r16,19484 +8113030c: 81130e50 cmplti r4,r16,19513 +81130310: 81130e50 cmplti r4,r16,19513 +81130314: 81130714 ori r4,r16,19484 +81130318: 81130e50 cmplti r4,r16,19513 +8113031c: 81130e50 cmplti r4,r16,19513 +81130320: 81130e50 cmplti r4,r16,19513 +81130324: 81130e50 cmplti r4,r16,19513 +81130328: 81130e50 cmplti r4,r16,19513 +8113032c: 81130b8c andi r4,r16,19502 +81130330: 81130e50 cmplti r4,r16,19513 +81130334: 81130e50 cmplti r4,r16,19513 +81130338: 81130bec andhi r4,r16,19503 +8113033c: 81130e50 cmplti r4,r16,19513 +81130340: 81130e50 cmplti r4,r16,19513 +81130344: 81130e50 cmplti r4,r16,19513 +81130348: 81130e50 cmplti r4,r16,19513 +8113034c: 81130e50 cmplti r4,r16,19513 +81130350: 81130e50 cmplti r4,r16,19513 +81130354: 81130e50 cmplti r4,r16,19513 +81130358: 81130e50 cmplti r4,r16,19513 +8113035c: 81130e50 cmplti r4,r16,19513 +81130360: 81130e50 cmplti r4,r16,19513 +81130364: 81130dfc xorhi r4,r16,19511 +81130368: 81130d9c xori r4,r16,19510 +8113036c: 81130668 cmpgeui r4,r16,19481 +81130370: 81130668 cmpgeui r4,r16,19481 +81130374: 81130668 cmpgeui r4,r16,19481 +81130378: 81130dac andhi r4,r16,19510 +8113037c: 81130d9c xori r4,r16,19510 +81130380: 81130e50 cmplti r4,r16,19513 +81130384: 81130e50 cmplti r4,r16,19513 +81130388: 81130db8 rdprs r4,r16,19510 +8113038c: 81130e50 cmplti r4,r16,19513 +81130390: 81130dc8 cmpgei r4,r16,19511 +81130394: 81130c9c xori r4,r16,19506 +81130398: 811303d8 cmpnei r4,r16,19471 +8113039c: 81130cbc xorhi r4,r16,19506 +811303a0: 81130e50 cmplti r4,r16,19513 +811303a4: 81130cc8 cmpgei r4,r16,19507 +811303a8: 81130e50 cmplti r4,r16,19513 +811303ac: 81130d24 muli r4,r16,19508 +811303b0: 81130e50 cmplti r4,r16,19513 +811303b4: 81130e50 cmplti r4,r16,19513 +811303b8: 81130d34 orhi r4,r16,19508 +811303bc: d9003117 ldw r4,196(sp) +811303c0: d8802d15 stw r2,180(sp) +811303c4: 0109c83a sub r4,zero,r4 +811303c8: d9003115 stw r4,196(sp) +811303cc: 94800114 ori r18,r18,4 +811303d0: ac400007 ldb r17,0(r21) +811303d4: 003f9706 br 81130234 <__reset+0xfb110234> +811303d8: 00800c04 movi r2,48 +811303dc: d9002d17 ldw r4,180(sp) +811303e0: d9402917 ldw r5,164(sp) +811303e4: d8802705 stb r2,156(sp) +811303e8: 00801e04 movi r2,120 +811303ec: d8802745 stb r2,157(sp) +811303f0: d8002785 stb zero,158(sp) +811303f4: 20c00104 addi r3,r4,4 +811303f8: 24c00017 ldw r19,0(r4) 811303fc: 002d883a mov r22,zero -81130400: 00020506 br 81130c18 <___vfprintf_internal_r+0xba8> -81130404: d9002c17 ldw r4,176(sp) -81130408: b80b883a mov r5,r23 -8113040c: 1133d840 call 81133d84 <__swsetup_r> -81130410: 1005ac1e bne r2,zero,81131ac4 <___vfprintf_internal_r+0x1a54> -81130414: b880030b ldhu r2,12(r23) -81130418: 00c00284 movi r3,10 -8113041c: 1080068c andi r2,r2,26 -81130420: 10ff3c1e bne r2,r3,81130114 <__reset+0xfb110114> -81130424: b880038f ldh r2,14(r23) -81130428: 103f3a16 blt r2,zero,81130114 <__reset+0xfb110114> -8113042c: d9c02d17 ldw r7,180(sp) -81130430: d9002c17 ldw r4,176(sp) -81130434: a80d883a mov r6,r21 -81130438: b80b883a mov r5,r23 -8113043c: 11322840 call 81132284 <__sbprintf> -81130440: 00001106 br 81130488 <___vfprintf_internal_r+0x418> +81130400: 90800094 ori r2,r18,2 +81130404: 28029a16 blt r5,zero,81130e70 <___vfprintf_internal_r+0xdc0> +81130408: 00bfdfc4 movi r2,-129 +8113040c: 90a4703a and r18,r18,r2 +81130410: d8c02d15 stw r3,180(sp) +81130414: 94800094 ori r18,r18,2 +81130418: 9802871e bne r19,zero,81130e38 <___vfprintf_internal_r+0xd88> +8113041c: 00a04574 movhi r2,33045 +81130420: 10bec604 addi r2,r2,-1256 +81130424: d8803915 stw r2,228(sp) +81130428: 04401e04 movi r17,120 +8113042c: d8802917 ldw r2,164(sp) +81130430: 0039883a mov fp,zero +81130434: 1001e926 beq r2,zero,81130bdc <___vfprintf_internal_r+0xb2c> +81130438: 0027883a mov r19,zero +8113043c: 002d883a mov r22,zero +81130440: 00020506 br 81130c58 <___vfprintf_internal_r+0xba8> 81130444: d9002c17 ldw r4,176(sp) -81130448: d9801e04 addi r6,sp,120 -8113044c: b80b883a mov r5,r23 -81130450: 113bdc80 call 8113bdc8 <__sprint_r> -81130454: 1000081e bne r2,zero,81130478 <___vfprintf_internal_r+0x408> -81130458: da000404 addi r8,sp,16 -8113045c: 003f5306 br 811301ac <__reset+0xfb1101ac> -81130460: d8802017 ldw r2,128(sp) -81130464: 10000426 beq r2,zero,81130478 <___vfprintf_internal_r+0x408> -81130468: d9002c17 ldw r4,176(sp) -8113046c: d9801e04 addi r6,sp,120 -81130470: b80b883a mov r5,r23 -81130474: 113bdc80 call 8113bdc8 <__sprint_r> -81130478: b880030b ldhu r2,12(r23) -8113047c: 1080100c andi r2,r2,64 -81130480: 1005901e bne r2,zero,81131ac4 <___vfprintf_internal_r+0x1a54> -81130484: d8802f17 ldw r2,188(sp) -81130488: dfc04717 ldw ra,284(sp) -8113048c: df004617 ldw fp,280(sp) -81130490: ddc04517 ldw r23,276(sp) -81130494: dd804417 ldw r22,272(sp) -81130498: dd404317 ldw r21,268(sp) -8113049c: dd004217 ldw r20,264(sp) -811304a0: dcc04117 ldw r19,260(sp) -811304a4: dc804017 ldw r18,256(sp) -811304a8: dc403f17 ldw r17,252(sp) -811304ac: dc003e17 ldw r16,248(sp) -811304b0: dec04804 addi sp,sp,288 -811304b4: f800283a ret -811304b8: d9002c17 ldw r4,176(sp) -811304bc: 1135dac0 call 81135dac <__sinit> -811304c0: 003f0406 br 811300d4 <__reset+0xfb1100d4> -811304c4: d8802d17 ldw r2,180(sp) -811304c8: d9002d17 ldw r4,180(sp) -811304cc: 10800017 ldw r2,0(r2) -811304d0: d8803115 stw r2,196(sp) -811304d4: 20800104 addi r2,r4,4 -811304d8: d9003117 ldw r4,196(sp) -811304dc: 203fa716 blt r4,zero,8113037c <__reset+0xfb11037c> -811304e0: d8802d15 stw r2,180(sp) -811304e4: ac400007 ldb r17,0(r21) -811304e8: 003f4206 br 811301f4 <__reset+0xfb1101f4> -811304ec: ac400007 ldb r17,0(r21) -811304f0: aac00044 addi r11,r21,1 -811304f4: 8a872826 beq r17,r10,81132198 <___vfprintf_internal_r+0x2128> -811304f8: 88bff404 addi r2,r17,-48 -811304fc: 0009883a mov r4,zero -81130500: 30867d36 bltu r6,r2,81131ef8 <___vfprintf_internal_r+0x1e88> -81130504: 5c400007 ldb r17,0(r11) -81130508: 210002a4 muli r4,r4,10 -8113050c: 5d400044 addi r21,r11,1 -81130510: a817883a mov r11,r21 -81130514: 2089883a add r4,r4,r2 -81130518: 88bff404 addi r2,r17,-48 -8113051c: 30bff92e bgeu r6,r2,81130504 <__reset+0xfb110504> -81130520: 2005c916 blt r4,zero,81131c48 <___vfprintf_internal_r+0x1bd8> -81130524: d9002915 stw r4,164(sp) -81130528: 003f3306 br 811301f8 <__reset+0xfb1101f8> -8113052c: 94802014 ori r18,r18,128 -81130530: ac400007 ldb r17,0(r21) -81130534: 003f2f06 br 811301f4 <__reset+0xfb1101f4> -81130538: a809883a mov r4,r21 -8113053c: d8003115 stw zero,196(sp) -81130540: 88bff404 addi r2,r17,-48 -81130544: 0017883a mov r11,zero -81130548: 24400007 ldb r17,0(r4) -8113054c: 5ac002a4 muli r11,r11,10 -81130550: ad400044 addi r21,r21,1 -81130554: a809883a mov r4,r21 -81130558: 12d7883a add r11,r2,r11 -8113055c: 88bff404 addi r2,r17,-48 -81130560: 30bff92e bgeu r6,r2,81130548 <__reset+0xfb110548> -81130564: dac03115 stw r11,196(sp) -81130568: 003f2306 br 811301f8 <__reset+0xfb1101f8> -8113056c: 18c03fcc andi r3,r3,255 -81130570: 18072b1e bne r3,zero,81132220 <___vfprintf_internal_r+0x21b0> -81130574: 94800414 ori r18,r18,16 -81130578: 9080080c andi r2,r18,32 -8113057c: 10037b26 beq r2,zero,8113136c <___vfprintf_internal_r+0x12fc> -81130580: d9402d17 ldw r5,180(sp) -81130584: 28800117 ldw r2,4(r5) -81130588: 2cc00017 ldw r19,0(r5) -8113058c: 29400204 addi r5,r5,8 -81130590: d9402d15 stw r5,180(sp) -81130594: 102d883a mov r22,r2 -81130598: 10044b16 blt r2,zero,811316c8 <___vfprintf_internal_r+0x1658> -8113059c: d9402917 ldw r5,164(sp) -811305a0: df002783 ldbu fp,158(sp) -811305a4: 2803bc16 blt r5,zero,81131498 <___vfprintf_internal_r+0x1428> -811305a8: 00ffdfc4 movi r3,-129 -811305ac: 9d84b03a or r2,r19,r22 -811305b0: 90e4703a and r18,r18,r3 -811305b4: 10017726 beq r2,zero,81130b94 <___vfprintf_internal_r+0xb24> -811305b8: b0038326 beq r22,zero,811313c8 <___vfprintf_internal_r+0x1358> -811305bc: dc402a15 stw r17,168(sp) -811305c0: dc001e04 addi r16,sp,120 -811305c4: b023883a mov r17,r22 -811305c8: 402d883a mov r22,r8 -811305cc: 9809883a mov r4,r19 -811305d0: 880b883a mov r5,r17 -811305d4: 01800284 movi r6,10 -811305d8: 000f883a mov r7,zero -811305dc: 113eaa00 call 8113eaa0 <__umoddi3> -811305e0: 10800c04 addi r2,r2,48 -811305e4: 843fffc4 addi r16,r16,-1 -811305e8: 9809883a mov r4,r19 -811305ec: 880b883a mov r5,r17 -811305f0: 80800005 stb r2,0(r16) -811305f4: 01800284 movi r6,10 -811305f8: 000f883a mov r7,zero -811305fc: 113e5280 call 8113e528 <__udivdi3> -81130600: 1027883a mov r19,r2 -81130604: 10c4b03a or r2,r2,r3 -81130608: 1823883a mov r17,r3 -8113060c: 103fef1e bne r2,zero,811305cc <__reset+0xfb1105cc> -81130610: d8c02817 ldw r3,160(sp) -81130614: dc402a17 ldw r17,168(sp) -81130618: b011883a mov r8,r22 -8113061c: 1c07c83a sub r3,r3,r16 -81130620: d8c02e15 stw r3,184(sp) -81130624: 00005906 br 8113078c <___vfprintf_internal_r+0x71c> -81130628: 18c03fcc andi r3,r3,255 -8113062c: 1806fa1e bne r3,zero,81132218 <___vfprintf_internal_r+0x21a8> -81130630: 9080020c andi r2,r18,8 -81130634: 10048a26 beq r2,zero,81131860 <___vfprintf_internal_r+0x17f0> -81130638: d8c02d17 ldw r3,180(sp) -8113063c: d9002d17 ldw r4,180(sp) -81130640: d9402d17 ldw r5,180(sp) -81130644: 18c00017 ldw r3,0(r3) -81130648: 21000117 ldw r4,4(r4) -8113064c: 29400204 addi r5,r5,8 -81130650: d8c03615 stw r3,216(sp) -81130654: d9003815 stw r4,224(sp) -81130658: d9402d15 stw r5,180(sp) -8113065c: d9003617 ldw r4,216(sp) -81130660: d9403817 ldw r5,224(sp) -81130664: da003d15 stw r8,244(sp) -81130668: 04000044 movi r16,1 -8113066c: 11387640 call 81138764 <__fpclassifyd> -81130670: da003d17 ldw r8,244(sp) -81130674: 14041f1e bne r2,r16,811316f4 <___vfprintf_internal_r+0x1684> -81130678: d9003617 ldw r4,216(sp) -8113067c: d9403817 ldw r5,224(sp) -81130680: 000d883a mov r6,zero -81130684: 000f883a mov r7,zero -81130688: 113f1280 call 8113f128 <__ledf2> -8113068c: da003d17 ldw r8,244(sp) -81130690: 1005be16 blt r2,zero,81131d8c <___vfprintf_internal_r+0x1d1c> -81130694: df002783 ldbu fp,158(sp) -81130698: 008011c4 movi r2,71 -8113069c: 1445330e bge r2,r17,81131b6c <___vfprintf_internal_r+0x1afc> -811306a0: 04204574 movhi r16,33045 -811306a4: 843ead04 addi r16,r16,-1356 -811306a8: 00c000c4 movi r3,3 -811306ac: 00bfdfc4 movi r2,-129 -811306b0: d8c02a15 stw r3,168(sp) -811306b4: 90a4703a and r18,r18,r2 -811306b8: d8c02e15 stw r3,184(sp) -811306bc: d8002915 stw zero,164(sp) -811306c0: d8003215 stw zero,200(sp) -811306c4: 00003706 br 811307a4 <___vfprintf_internal_r+0x734> -811306c8: 94800214 ori r18,r18,8 -811306cc: ac400007 ldb r17,0(r21) -811306d0: 003ec806 br 811301f4 <__reset+0xfb1101f4> -811306d4: 18c03fcc andi r3,r3,255 -811306d8: 1806db1e bne r3,zero,81132248 <___vfprintf_internal_r+0x21d8> -811306dc: 94800414 ori r18,r18,16 -811306e0: 9080080c andi r2,r18,32 -811306e4: 1002d826 beq r2,zero,81131248 <___vfprintf_internal_r+0x11d8> -811306e8: d9402d17 ldw r5,180(sp) -811306ec: d8c02917 ldw r3,164(sp) -811306f0: d8002785 stb zero,158(sp) -811306f4: 28800204 addi r2,r5,8 -811306f8: 2cc00017 ldw r19,0(r5) -811306fc: 2d800117 ldw r22,4(r5) -81130700: 18048f16 blt r3,zero,81131940 <___vfprintf_internal_r+0x18d0> -81130704: 013fdfc4 movi r4,-129 -81130708: 9d86b03a or r3,r19,r22 -8113070c: d8802d15 stw r2,180(sp) -81130710: 9124703a and r18,r18,r4 -81130714: 1802d91e bne r3,zero,8113127c <___vfprintf_internal_r+0x120c> -81130718: d8c02917 ldw r3,164(sp) -8113071c: 0039883a mov fp,zero -81130720: 1805c326 beq r3,zero,81131e30 <___vfprintf_internal_r+0x1dc0> -81130724: 0027883a mov r19,zero -81130728: 002d883a mov r22,zero -8113072c: dc001e04 addi r16,sp,120 -81130730: 9806d0fa srli r3,r19,3 -81130734: b008977a slli r4,r22,29 -81130738: b02cd0fa srli r22,r22,3 -8113073c: 9cc001cc andi r19,r19,7 -81130740: 98800c04 addi r2,r19,48 -81130744: 843fffc4 addi r16,r16,-1 -81130748: 20e6b03a or r19,r4,r3 -8113074c: 80800005 stb r2,0(r16) -81130750: 9d86b03a or r3,r19,r22 -81130754: 183ff61e bne r3,zero,81130730 <__reset+0xfb110730> -81130758: 90c0004c andi r3,r18,1 -8113075c: 18013b26 beq r3,zero,81130c4c <___vfprintf_internal_r+0xbdc> -81130760: 10803fcc andi r2,r2,255 -81130764: 1080201c xori r2,r2,128 -81130768: 10bfe004 addi r2,r2,-128 -8113076c: 00c00c04 movi r3,48 -81130770: 10c13626 beq r2,r3,81130c4c <___vfprintf_internal_r+0xbdc> -81130774: 80ffffc5 stb r3,-1(r16) -81130778: d8c02817 ldw r3,160(sp) -8113077c: 80bfffc4 addi r2,r16,-1 -81130780: 1021883a mov r16,r2 -81130784: 1887c83a sub r3,r3,r2 -81130788: d8c02e15 stw r3,184(sp) -8113078c: d8802e17 ldw r2,184(sp) -81130790: d9002917 ldw r4,164(sp) -81130794: 1100010e bge r2,r4,8113079c <___vfprintf_internal_r+0x72c> -81130798: 2005883a mov r2,r4 -8113079c: d8802a15 stw r2,168(sp) -811307a0: d8003215 stw zero,200(sp) -811307a4: e7003fcc andi fp,fp,255 -811307a8: e700201c xori fp,fp,128 -811307ac: e73fe004 addi fp,fp,-128 -811307b0: e0000326 beq fp,zero,811307c0 <___vfprintf_internal_r+0x750> -811307b4: d8c02a17 ldw r3,168(sp) -811307b8: 18c00044 addi r3,r3,1 -811307bc: d8c02a15 stw r3,168(sp) -811307c0: 90c0008c andi r3,r18,2 -811307c4: d8c02b15 stw r3,172(sp) -811307c8: 18000326 beq r3,zero,811307d8 <___vfprintf_internal_r+0x768> -811307cc: d8c02a17 ldw r3,168(sp) -811307d0: 18c00084 addi r3,r3,2 -811307d4: d8c02a15 stw r3,168(sp) -811307d8: 90c0210c andi r3,r18,132 -811307dc: d8c03015 stw r3,192(sp) -811307e0: 1801a31e bne r3,zero,81130e70 <___vfprintf_internal_r+0xe00> -811307e4: d9003117 ldw r4,196(sp) -811307e8: d8c02a17 ldw r3,168(sp) -811307ec: 20e7c83a sub r19,r4,r3 -811307f0: 04c19f0e bge zero,r19,81130e70 <___vfprintf_internal_r+0xe00> -811307f4: 02400404 movi r9,16 -811307f8: d8c02017 ldw r3,128(sp) -811307fc: d8801f17 ldw r2,124(sp) -81130800: 4cc50d0e bge r9,r19,81131c38 <___vfprintf_internal_r+0x1bc8> -81130804: 01604574 movhi r5,33045 -81130808: 297ec884 addi r5,r5,-1246 -8113080c: dc403b15 stw r17,236(sp) -81130810: d9403515 stw r5,212(sp) -81130814: 9823883a mov r17,r19 -81130818: 482d883a mov r22,r9 -8113081c: 9027883a mov r19,r18 -81130820: 070001c4 movi fp,7 -81130824: 8025883a mov r18,r16 -81130828: dc002c17 ldw r16,176(sp) -8113082c: 00000306 br 8113083c <___vfprintf_internal_r+0x7cc> -81130830: 8c7ffc04 addi r17,r17,-16 -81130834: 42000204 addi r8,r8,8 -81130838: b440130e bge r22,r17,81130888 <___vfprintf_internal_r+0x818> -8113083c: 01204574 movhi r4,33045 -81130840: 18c00404 addi r3,r3,16 -81130844: 10800044 addi r2,r2,1 -81130848: 213ec884 addi r4,r4,-1246 -8113084c: 41000015 stw r4,0(r8) -81130850: 45800115 stw r22,4(r8) -81130854: d8c02015 stw r3,128(sp) -81130858: d8801f15 stw r2,124(sp) -8113085c: e0bff40e bge fp,r2,81130830 <__reset+0xfb110830> -81130860: d9801e04 addi r6,sp,120 -81130864: b80b883a mov r5,r23 -81130868: 8009883a mov r4,r16 -8113086c: 113bdc80 call 8113bdc8 <__sprint_r> -81130870: 103f011e bne r2,zero,81130478 <__reset+0xfb110478> -81130874: 8c7ffc04 addi r17,r17,-16 -81130878: d8c02017 ldw r3,128(sp) -8113087c: d8801f17 ldw r2,124(sp) -81130880: da000404 addi r8,sp,16 -81130884: b47fed16 blt r22,r17,8113083c <__reset+0xfb11083c> -81130888: 9021883a mov r16,r18 -8113088c: 9825883a mov r18,r19 -81130890: 8827883a mov r19,r17 -81130894: dc403b17 ldw r17,236(sp) -81130898: d9403517 ldw r5,212(sp) -8113089c: 98c7883a add r3,r19,r3 -811308a0: 10800044 addi r2,r2,1 -811308a4: 41400015 stw r5,0(r8) -811308a8: 44c00115 stw r19,4(r8) -811308ac: d8c02015 stw r3,128(sp) -811308b0: d8801f15 stw r2,124(sp) -811308b4: 010001c4 movi r4,7 -811308b8: 2082a316 blt r4,r2,81131348 <___vfprintf_internal_r+0x12d8> -811308bc: df002787 ldb fp,158(sp) -811308c0: 42000204 addi r8,r8,8 -811308c4: e0000c26 beq fp,zero,811308f8 <___vfprintf_internal_r+0x888> -811308c8: d8801f17 ldw r2,124(sp) -811308cc: d9002784 addi r4,sp,158 -811308d0: 18c00044 addi r3,r3,1 -811308d4: 10800044 addi r2,r2,1 -811308d8: 41000015 stw r4,0(r8) -811308dc: 01000044 movi r4,1 -811308e0: 41000115 stw r4,4(r8) -811308e4: d8c02015 stw r3,128(sp) -811308e8: d8801f15 stw r2,124(sp) -811308ec: 010001c4 movi r4,7 -811308f0: 20823c16 blt r4,r2,811311e4 <___vfprintf_internal_r+0x1174> -811308f4: 42000204 addi r8,r8,8 -811308f8: d8802b17 ldw r2,172(sp) -811308fc: 10000c26 beq r2,zero,81130930 <___vfprintf_internal_r+0x8c0> -81130900: d8801f17 ldw r2,124(sp) -81130904: d9002704 addi r4,sp,156 -81130908: 18c00084 addi r3,r3,2 -8113090c: 10800044 addi r2,r2,1 -81130910: 41000015 stw r4,0(r8) -81130914: 01000084 movi r4,2 -81130918: 41000115 stw r4,4(r8) -8113091c: d8c02015 stw r3,128(sp) -81130920: d8801f15 stw r2,124(sp) -81130924: 010001c4 movi r4,7 -81130928: 20823616 blt r4,r2,81131204 <___vfprintf_internal_r+0x1194> -8113092c: 42000204 addi r8,r8,8 -81130930: d9003017 ldw r4,192(sp) -81130934: 00802004 movi r2,128 -81130938: 20819926 beq r4,r2,81130fa0 <___vfprintf_internal_r+0xf30> -8113093c: d9402917 ldw r5,164(sp) -81130940: d8802e17 ldw r2,184(sp) -81130944: 28adc83a sub r22,r5,r2 -81130948: 0580310e bge zero,r22,81130a10 <___vfprintf_internal_r+0x9a0> -8113094c: 07000404 movi fp,16 -81130950: d8801f17 ldw r2,124(sp) -81130954: e584140e bge fp,r22,811319a8 <___vfprintf_internal_r+0x1938> -81130958: 01604574 movhi r5,33045 -8113095c: 297ec484 addi r5,r5,-1262 -81130960: dc402915 stw r17,164(sp) -81130964: d9402b15 stw r5,172(sp) -81130968: b023883a mov r17,r22 -8113096c: 04c001c4 movi r19,7 -81130970: a82d883a mov r22,r21 -81130974: 902b883a mov r21,r18 -81130978: 8025883a mov r18,r16 -8113097c: dc002c17 ldw r16,176(sp) -81130980: 00000306 br 81130990 <___vfprintf_internal_r+0x920> -81130984: 8c7ffc04 addi r17,r17,-16 -81130988: 42000204 addi r8,r8,8 -8113098c: e440110e bge fp,r17,811309d4 <___vfprintf_internal_r+0x964> -81130990: 18c00404 addi r3,r3,16 -81130994: 10800044 addi r2,r2,1 -81130998: 45000015 stw r20,0(r8) -8113099c: 47000115 stw fp,4(r8) -811309a0: d8c02015 stw r3,128(sp) -811309a4: d8801f15 stw r2,124(sp) -811309a8: 98bff60e bge r19,r2,81130984 <__reset+0xfb110984> -811309ac: d9801e04 addi r6,sp,120 -811309b0: b80b883a mov r5,r23 -811309b4: 8009883a mov r4,r16 -811309b8: 113bdc80 call 8113bdc8 <__sprint_r> -811309bc: 103eae1e bne r2,zero,81130478 <__reset+0xfb110478> -811309c0: 8c7ffc04 addi r17,r17,-16 -811309c4: d8c02017 ldw r3,128(sp) -811309c8: d8801f17 ldw r2,124(sp) -811309cc: da000404 addi r8,sp,16 -811309d0: e47fef16 blt fp,r17,81130990 <__reset+0xfb110990> -811309d4: 9021883a mov r16,r18 -811309d8: a825883a mov r18,r21 -811309dc: b02b883a mov r21,r22 -811309e0: 882d883a mov r22,r17 -811309e4: dc402917 ldw r17,164(sp) -811309e8: d9002b17 ldw r4,172(sp) -811309ec: 1d87883a add r3,r3,r22 -811309f0: 10800044 addi r2,r2,1 -811309f4: 41000015 stw r4,0(r8) -811309f8: 45800115 stw r22,4(r8) -811309fc: d8c02015 stw r3,128(sp) -81130a00: d8801f15 stw r2,124(sp) -81130a04: 010001c4 movi r4,7 -81130a08: 2081ee16 blt r4,r2,811311c4 <___vfprintf_internal_r+0x1154> -81130a0c: 42000204 addi r8,r8,8 -81130a10: 9080400c andi r2,r18,256 -81130a14: 1001181e bne r2,zero,81130e78 <___vfprintf_internal_r+0xe08> -81130a18: d9402e17 ldw r5,184(sp) -81130a1c: d8801f17 ldw r2,124(sp) -81130a20: 44000015 stw r16,0(r8) -81130a24: 1947883a add r3,r3,r5 -81130a28: 10800044 addi r2,r2,1 -81130a2c: 41400115 stw r5,4(r8) -81130a30: d8c02015 stw r3,128(sp) -81130a34: d8801f15 stw r2,124(sp) -81130a38: 010001c4 movi r4,7 -81130a3c: 2081d316 blt r4,r2,8113118c <___vfprintf_internal_r+0x111c> -81130a40: 42000204 addi r8,r8,8 -81130a44: 9480010c andi r18,r18,4 -81130a48: 90003226 beq r18,zero,81130b14 <___vfprintf_internal_r+0xaa4> -81130a4c: d9403117 ldw r5,196(sp) -81130a50: d8802a17 ldw r2,168(sp) -81130a54: 28a1c83a sub r16,r5,r2 -81130a58: 04002e0e bge zero,r16,81130b14 <___vfprintf_internal_r+0xaa4> -81130a5c: 04400404 movi r17,16 -81130a60: d8801f17 ldw r2,124(sp) -81130a64: 8c04a20e bge r17,r16,81131cf0 <___vfprintf_internal_r+0x1c80> -81130a68: 01604574 movhi r5,33045 -81130a6c: 297ec884 addi r5,r5,-1246 -81130a70: d9403515 stw r5,212(sp) -81130a74: 048001c4 movi r18,7 -81130a78: dcc02c17 ldw r19,176(sp) -81130a7c: 00000306 br 81130a8c <___vfprintf_internal_r+0xa1c> -81130a80: 843ffc04 addi r16,r16,-16 -81130a84: 42000204 addi r8,r8,8 -81130a88: 8c00130e bge r17,r16,81130ad8 <___vfprintf_internal_r+0xa68> -81130a8c: 01204574 movhi r4,33045 -81130a90: 18c00404 addi r3,r3,16 -81130a94: 10800044 addi r2,r2,1 -81130a98: 213ec884 addi r4,r4,-1246 -81130a9c: 41000015 stw r4,0(r8) -81130aa0: 44400115 stw r17,4(r8) -81130aa4: d8c02015 stw r3,128(sp) -81130aa8: d8801f15 stw r2,124(sp) -81130aac: 90bff40e bge r18,r2,81130a80 <__reset+0xfb110a80> -81130ab0: d9801e04 addi r6,sp,120 -81130ab4: b80b883a mov r5,r23 -81130ab8: 9809883a mov r4,r19 -81130abc: 113bdc80 call 8113bdc8 <__sprint_r> -81130ac0: 103e6d1e bne r2,zero,81130478 <__reset+0xfb110478> -81130ac4: 843ffc04 addi r16,r16,-16 -81130ac8: d8c02017 ldw r3,128(sp) -81130acc: d8801f17 ldw r2,124(sp) -81130ad0: da000404 addi r8,sp,16 -81130ad4: 8c3fed16 blt r17,r16,81130a8c <__reset+0xfb110a8c> -81130ad8: d9403517 ldw r5,212(sp) -81130adc: 1c07883a add r3,r3,r16 -81130ae0: 10800044 addi r2,r2,1 -81130ae4: 41400015 stw r5,0(r8) -81130ae8: 44000115 stw r16,4(r8) -81130aec: d8c02015 stw r3,128(sp) -81130af0: d8801f15 stw r2,124(sp) -81130af4: 010001c4 movi r4,7 -81130af8: 2080060e bge r4,r2,81130b14 <___vfprintf_internal_r+0xaa4> -81130afc: d9002c17 ldw r4,176(sp) -81130b00: d9801e04 addi r6,sp,120 -81130b04: b80b883a mov r5,r23 -81130b08: 113bdc80 call 8113bdc8 <__sprint_r> -81130b0c: 103e5a1e bne r2,zero,81130478 <__reset+0xfb110478> -81130b10: d8c02017 ldw r3,128(sp) -81130b14: d8803117 ldw r2,196(sp) -81130b18: d9002a17 ldw r4,168(sp) -81130b1c: 1100010e bge r2,r4,81130b24 <___vfprintf_internal_r+0xab4> -81130b20: 2005883a mov r2,r4 -81130b24: d9402f17 ldw r5,188(sp) -81130b28: 288b883a add r5,r5,r2 -81130b2c: d9402f15 stw r5,188(sp) -81130b30: 18019e1e bne r3,zero,811311ac <___vfprintf_internal_r+0x113c> -81130b34: a8800007 ldb r2,0(r21) -81130b38: d8001f15 stw zero,124(sp) -81130b3c: da000404 addi r8,sp,16 -81130b40: 103d851e bne r2,zero,81130158 <__reset+0xfb110158> -81130b44: a821883a mov r16,r21 -81130b48: 003d9b06 br 811301b8 <__reset+0xfb1101b8> -81130b4c: 18c03fcc andi r3,r3,255 -81130b50: 1805c11e bne r3,zero,81132258 <___vfprintf_internal_r+0x21e8> -81130b54: 94800414 ori r18,r18,16 -81130b58: 9080080c andi r2,r18,32 -81130b5c: 10020c26 beq r2,zero,81131390 <___vfprintf_internal_r+0x1320> -81130b60: d8802d17 ldw r2,180(sp) -81130b64: d9002917 ldw r4,164(sp) -81130b68: d8002785 stb zero,158(sp) -81130b6c: 10c00204 addi r3,r2,8 -81130b70: 14c00017 ldw r19,0(r2) -81130b74: 15800117 ldw r22,4(r2) -81130b78: 20040f16 blt r4,zero,81131bb8 <___vfprintf_internal_r+0x1b48> -81130b7c: 013fdfc4 movi r4,-129 -81130b80: 9d84b03a or r2,r19,r22 -81130b84: d8c02d15 stw r3,180(sp) -81130b88: 9124703a and r18,r18,r4 -81130b8c: 0039883a mov fp,zero -81130b90: 103e891e bne r2,zero,811305b8 <__reset+0xfb1105b8> -81130b94: d9002917 ldw r4,164(sp) -81130b98: 2002c11e bne r4,zero,811316a0 <___vfprintf_internal_r+0x1630> -81130b9c: d8002915 stw zero,164(sp) -81130ba0: d8002e15 stw zero,184(sp) -81130ba4: dc001e04 addi r16,sp,120 -81130ba8: 003ef806 br 8113078c <__reset+0xfb11078c> -81130bac: 18c03fcc andi r3,r3,255 -81130bb0: 18059d1e bne r3,zero,81132228 <___vfprintf_internal_r+0x21b8> -81130bb4: 01604574 movhi r5,33045 -81130bb8: 297eb004 addi r5,r5,-1344 -81130bbc: d9403915 stw r5,228(sp) -81130bc0: 9080080c andi r2,r18,32 -81130bc4: 10005226 beq r2,zero,81130d10 <___vfprintf_internal_r+0xca0> -81130bc8: d8802d17 ldw r2,180(sp) -81130bcc: 14c00017 ldw r19,0(r2) -81130bd0: 15800117 ldw r22,4(r2) -81130bd4: 10800204 addi r2,r2,8 -81130bd8: d8802d15 stw r2,180(sp) -81130bdc: 9080004c andi r2,r18,1 -81130be0: 10019026 beq r2,zero,81131224 <___vfprintf_internal_r+0x11b4> -81130be4: 9d84b03a or r2,r19,r22 -81130be8: 10036926 beq r2,zero,81131990 <___vfprintf_internal_r+0x1920> -81130bec: d8c02917 ldw r3,164(sp) -81130bf0: 00800c04 movi r2,48 -81130bf4: d8802705 stb r2,156(sp) -81130bf8: dc402745 stb r17,157(sp) -81130bfc: d8002785 stb zero,158(sp) -81130c00: 90800094 ori r2,r18,2 -81130c04: 18045d16 blt r3,zero,81131d7c <___vfprintf_internal_r+0x1d0c> -81130c08: 00bfdfc4 movi r2,-129 -81130c0c: 90a4703a and r18,r18,r2 -81130c10: 94800094 ori r18,r18,2 -81130c14: 0039883a mov fp,zero -81130c18: d9003917 ldw r4,228(sp) -81130c1c: dc001e04 addi r16,sp,120 -81130c20: 988003cc andi r2,r19,15 -81130c24: b006973a slli r3,r22,28 -81130c28: 2085883a add r2,r4,r2 -81130c2c: 9826d13a srli r19,r19,4 -81130c30: 10800003 ldbu r2,0(r2) -81130c34: b02cd13a srli r22,r22,4 -81130c38: 843fffc4 addi r16,r16,-1 -81130c3c: 1ce6b03a or r19,r3,r19 -81130c40: 80800005 stb r2,0(r16) -81130c44: 9d84b03a or r2,r19,r22 -81130c48: 103ff51e bne r2,zero,81130c20 <__reset+0xfb110c20> -81130c4c: d8c02817 ldw r3,160(sp) -81130c50: 1c07c83a sub r3,r3,r16 -81130c54: d8c02e15 stw r3,184(sp) -81130c58: 003ecc06 br 8113078c <__reset+0xfb11078c> -81130c5c: 18c03fcc andi r3,r3,255 -81130c60: 183e9f26 beq r3,zero,811306e0 <__reset+0xfb1106e0> -81130c64: d9c02785 stb r7,158(sp) -81130c68: 003e9d06 br 811306e0 <__reset+0xfb1106e0> -81130c6c: 00c00044 movi r3,1 -81130c70: 01c00ac4 movi r7,43 -81130c74: ac400007 ldb r17,0(r21) -81130c78: 003d5e06 br 811301f4 <__reset+0xfb1101f4> -81130c7c: 94800814 ori r18,r18,32 -81130c80: ac400007 ldb r17,0(r21) -81130c84: 003d5b06 br 811301f4 <__reset+0xfb1101f4> -81130c88: d8c02d17 ldw r3,180(sp) -81130c8c: d8002785 stb zero,158(sp) -81130c90: 1c000017 ldw r16,0(r3) -81130c94: 1cc00104 addi r19,r3,4 -81130c98: 80041926 beq r16,zero,81131d00 <___vfprintf_internal_r+0x1c90> -81130c9c: d9002917 ldw r4,164(sp) -81130ca0: 2003d016 blt r4,zero,81131be4 <___vfprintf_internal_r+0x1b74> -81130ca4: 200d883a mov r6,r4 -81130ca8: 000b883a mov r5,zero -81130cac: 8009883a mov r4,r16 -81130cb0: da003d15 stw r8,244(sp) -81130cb4: 1136f640 call 81136f64 -81130cb8: da003d17 ldw r8,244(sp) -81130cbc: 10045426 beq r2,zero,81131e10 <___vfprintf_internal_r+0x1da0> -81130cc0: 1405c83a sub r2,r2,r16 -81130cc4: d8802e15 stw r2,184(sp) -81130cc8: 1003cc16 blt r2,zero,81131bfc <___vfprintf_internal_r+0x1b8c> -81130ccc: df002783 ldbu fp,158(sp) -81130cd0: d8802a15 stw r2,168(sp) -81130cd4: dcc02d15 stw r19,180(sp) -81130cd8: d8002915 stw zero,164(sp) -81130cdc: d8003215 stw zero,200(sp) -81130ce0: 003eb006 br 811307a4 <__reset+0xfb1107a4> -81130ce4: 18c03fcc andi r3,r3,255 -81130ce8: 183f9b26 beq r3,zero,81130b58 <__reset+0xfb110b58> -81130cec: d9c02785 stb r7,158(sp) -81130cf0: 003f9906 br 81130b58 <__reset+0xfb110b58> -81130cf4: 18c03fcc andi r3,r3,255 -81130cf8: 1805551e bne r3,zero,81132250 <___vfprintf_internal_r+0x21e0> -81130cfc: 01604574 movhi r5,33045 -81130d00: 297eb504 addi r5,r5,-1324 -81130d04: d9403915 stw r5,228(sp) -81130d08: 9080080c andi r2,r18,32 -81130d0c: 103fae1e bne r2,zero,81130bc8 <__reset+0xfb110bc8> -81130d10: 9080040c andi r2,r18,16 -81130d14: 1002de26 beq r2,zero,81131890 <___vfprintf_internal_r+0x1820> -81130d18: d8c02d17 ldw r3,180(sp) -81130d1c: 002d883a mov r22,zero -81130d20: 1cc00017 ldw r19,0(r3) -81130d24: 18c00104 addi r3,r3,4 -81130d28: d8c02d15 stw r3,180(sp) -81130d2c: 003fab06 br 81130bdc <__reset+0xfb110bdc> -81130d30: 38803fcc andi r2,r7,255 -81130d34: 1080201c xori r2,r2,128 -81130d38: 10bfe004 addi r2,r2,-128 -81130d3c: 1002d21e bne r2,zero,81131888 <___vfprintf_internal_r+0x1818> -81130d40: 00c00044 movi r3,1 -81130d44: 01c00804 movi r7,32 -81130d48: ac400007 ldb r17,0(r21) -81130d4c: 003d2906 br 811301f4 <__reset+0xfb1101f4> -81130d50: 94800054 ori r18,r18,1 -81130d54: ac400007 ldb r17,0(r21) -81130d58: 003d2606 br 811301f4 <__reset+0xfb1101f4> -81130d5c: 18c03fcc andi r3,r3,255 -81130d60: 183e0526 beq r3,zero,81130578 <__reset+0xfb110578> -81130d64: d9c02785 stb r7,158(sp) -81130d68: 003e0306 br 81130578 <__reset+0xfb110578> -81130d6c: 94801014 ori r18,r18,64 -81130d70: ac400007 ldb r17,0(r21) -81130d74: 003d1f06 br 811301f4 <__reset+0xfb1101f4> -81130d78: ac400007 ldb r17,0(r21) -81130d7c: 8a438726 beq r17,r9,81131b9c <___vfprintf_internal_r+0x1b2c> -81130d80: 94800414 ori r18,r18,16 -81130d84: 003d1b06 br 811301f4 <__reset+0xfb1101f4> -81130d88: 18c03fcc andi r3,r3,255 -81130d8c: 1805341e bne r3,zero,81132260 <___vfprintf_internal_r+0x21f0> -81130d90: 9080080c andi r2,r18,32 -81130d94: 1002cd26 beq r2,zero,811318cc <___vfprintf_internal_r+0x185c> -81130d98: d9402d17 ldw r5,180(sp) -81130d9c: d9002f17 ldw r4,188(sp) -81130da0: 28800017 ldw r2,0(r5) -81130da4: 2007d7fa srai r3,r4,31 -81130da8: 29400104 addi r5,r5,4 -81130dac: d9402d15 stw r5,180(sp) -81130db0: 11000015 stw r4,0(r2) -81130db4: 10c00115 stw r3,4(r2) -81130db8: 003ce506 br 81130150 <__reset+0xfb110150> -81130dbc: d8c02d17 ldw r3,180(sp) -81130dc0: d9002d17 ldw r4,180(sp) -81130dc4: d8002785 stb zero,158(sp) -81130dc8: 18800017 ldw r2,0(r3) -81130dcc: 21000104 addi r4,r4,4 -81130dd0: 00c00044 movi r3,1 -81130dd4: d8c02a15 stw r3,168(sp) -81130dd8: d8801405 stb r2,80(sp) -81130ddc: d9002d15 stw r4,180(sp) -81130de0: d8c02e15 stw r3,184(sp) -81130de4: d8002915 stw zero,164(sp) -81130de8: d8003215 stw zero,200(sp) -81130dec: dc001404 addi r16,sp,80 -81130df0: 0039883a mov fp,zero -81130df4: 003e7206 br 811307c0 <__reset+0xfb1107c0> -81130df8: 01204574 movhi r4,33045 -81130dfc: 213eb504 addi r4,r4,-1324 -81130e00: 0039883a mov fp,zero -81130e04: d9003915 stw r4,228(sp) -81130e08: 04401e04 movi r17,120 -81130e0c: 003f8206 br 81130c18 <__reset+0xfb110c18> -81130e10: 18c03fcc andi r3,r3,255 -81130e14: 1805061e bne r3,zero,81132230 <___vfprintf_internal_r+0x21c0> -81130e18: 883d9126 beq r17,zero,81130460 <__reset+0xfb110460> -81130e1c: 00c00044 movi r3,1 -81130e20: d8c02a15 stw r3,168(sp) -81130e24: dc401405 stb r17,80(sp) -81130e28: d8002785 stb zero,158(sp) -81130e2c: 003fec06 br 81130de0 <__reset+0xfb110de0> -81130e30: 01604574 movhi r5,33045 -81130e34: 297eb504 addi r5,r5,-1324 -81130e38: d9403915 stw r5,228(sp) -81130e3c: d8c02d15 stw r3,180(sp) -81130e40: 1025883a mov r18,r2 -81130e44: 04401e04 movi r17,120 -81130e48: 9d84b03a or r2,r19,r22 -81130e4c: 1000fc1e bne r2,zero,81131240 <___vfprintf_internal_r+0x11d0> -81130e50: 0039883a mov fp,zero -81130e54: 00800084 movi r2,2 -81130e58: 10803fcc andi r2,r2,255 +81130448: b80b883a mov r5,r23 +8113044c: 1133dc40 call 81133dc4 <__swsetup_r> +81130450: 1005ac1e bne r2,zero,81131b04 <___vfprintf_internal_r+0x1a54> +81130454: b880030b ldhu r2,12(r23) +81130458: 00c00284 movi r3,10 +8113045c: 1080068c andi r2,r2,26 +81130460: 10ff3c1e bne r2,r3,81130154 <__reset+0xfb110154> +81130464: b880038f ldh r2,14(r23) +81130468: 103f3a16 blt r2,zero,81130154 <__reset+0xfb110154> +8113046c: d9c02d17 ldw r7,180(sp) +81130470: d9002c17 ldw r4,176(sp) +81130474: a80d883a mov r6,r21 +81130478: b80b883a mov r5,r23 +8113047c: 11322c40 call 811322c4 <__sbprintf> +81130480: 00001106 br 811304c8 <___vfprintf_internal_r+0x418> +81130484: d9002c17 ldw r4,176(sp) +81130488: d9801e04 addi r6,sp,120 +8113048c: b80b883a mov r5,r23 +81130490: 113be080 call 8113be08 <__sprint_r> +81130494: 1000081e bne r2,zero,811304b8 <___vfprintf_internal_r+0x408> +81130498: da000404 addi r8,sp,16 +8113049c: 003f5306 br 811301ec <__reset+0xfb1101ec> +811304a0: d8802017 ldw r2,128(sp) +811304a4: 10000426 beq r2,zero,811304b8 <___vfprintf_internal_r+0x408> +811304a8: d9002c17 ldw r4,176(sp) +811304ac: d9801e04 addi r6,sp,120 +811304b0: b80b883a mov r5,r23 +811304b4: 113be080 call 8113be08 <__sprint_r> +811304b8: b880030b ldhu r2,12(r23) +811304bc: 1080100c andi r2,r2,64 +811304c0: 1005901e bne r2,zero,81131b04 <___vfprintf_internal_r+0x1a54> +811304c4: d8802f17 ldw r2,188(sp) +811304c8: dfc04717 ldw ra,284(sp) +811304cc: df004617 ldw fp,280(sp) +811304d0: ddc04517 ldw r23,276(sp) +811304d4: dd804417 ldw r22,272(sp) +811304d8: dd404317 ldw r21,268(sp) +811304dc: dd004217 ldw r20,264(sp) +811304e0: dcc04117 ldw r19,260(sp) +811304e4: dc804017 ldw r18,256(sp) +811304e8: dc403f17 ldw r17,252(sp) +811304ec: dc003e17 ldw r16,248(sp) +811304f0: dec04804 addi sp,sp,288 +811304f4: f800283a ret +811304f8: d9002c17 ldw r4,176(sp) +811304fc: 1135dec0 call 81135dec <__sinit> +81130500: 003f0406 br 81130114 <__reset+0xfb110114> +81130504: d8802d17 ldw r2,180(sp) +81130508: d9002d17 ldw r4,180(sp) +8113050c: 10800017 ldw r2,0(r2) +81130510: d8803115 stw r2,196(sp) +81130514: 20800104 addi r2,r4,4 +81130518: d9003117 ldw r4,196(sp) +8113051c: 203fa716 blt r4,zero,811303bc <__reset+0xfb1103bc> +81130520: d8802d15 stw r2,180(sp) +81130524: ac400007 ldb r17,0(r21) +81130528: 003f4206 br 81130234 <__reset+0xfb110234> +8113052c: ac400007 ldb r17,0(r21) +81130530: aac00044 addi r11,r21,1 +81130534: 8a872826 beq r17,r10,811321d8 <___vfprintf_internal_r+0x2128> +81130538: 88bff404 addi r2,r17,-48 +8113053c: 0009883a mov r4,zero +81130540: 30867d36 bltu r6,r2,81131f38 <___vfprintf_internal_r+0x1e88> +81130544: 5c400007 ldb r17,0(r11) +81130548: 210002a4 muli r4,r4,10 +8113054c: 5d400044 addi r21,r11,1 +81130550: a817883a mov r11,r21 +81130554: 2089883a add r4,r4,r2 +81130558: 88bff404 addi r2,r17,-48 +8113055c: 30bff92e bgeu r6,r2,81130544 <__reset+0xfb110544> +81130560: 2005c916 blt r4,zero,81131c88 <___vfprintf_internal_r+0x1bd8> +81130564: d9002915 stw r4,164(sp) +81130568: 003f3306 br 81130238 <__reset+0xfb110238> +8113056c: 94802014 ori r18,r18,128 +81130570: ac400007 ldb r17,0(r21) +81130574: 003f2f06 br 81130234 <__reset+0xfb110234> +81130578: a809883a mov r4,r21 +8113057c: d8003115 stw zero,196(sp) +81130580: 88bff404 addi r2,r17,-48 +81130584: 0017883a mov r11,zero +81130588: 24400007 ldb r17,0(r4) +8113058c: 5ac002a4 muli r11,r11,10 +81130590: ad400044 addi r21,r21,1 +81130594: a809883a mov r4,r21 +81130598: 12d7883a add r11,r2,r11 +8113059c: 88bff404 addi r2,r17,-48 +811305a0: 30bff92e bgeu r6,r2,81130588 <__reset+0xfb110588> +811305a4: dac03115 stw r11,196(sp) +811305a8: 003f2306 br 81130238 <__reset+0xfb110238> +811305ac: 18c03fcc andi r3,r3,255 +811305b0: 18072b1e bne r3,zero,81132260 <___vfprintf_internal_r+0x21b0> +811305b4: 94800414 ori r18,r18,16 +811305b8: 9080080c andi r2,r18,32 +811305bc: 10037b26 beq r2,zero,811313ac <___vfprintf_internal_r+0x12fc> +811305c0: d9402d17 ldw r5,180(sp) +811305c4: 28800117 ldw r2,4(r5) +811305c8: 2cc00017 ldw r19,0(r5) +811305cc: 29400204 addi r5,r5,8 +811305d0: d9402d15 stw r5,180(sp) +811305d4: 102d883a mov r22,r2 +811305d8: 10044b16 blt r2,zero,81131708 <___vfprintf_internal_r+0x1658> +811305dc: d9402917 ldw r5,164(sp) +811305e0: df002783 ldbu fp,158(sp) +811305e4: 2803bc16 blt r5,zero,811314d8 <___vfprintf_internal_r+0x1428> +811305e8: 00ffdfc4 movi r3,-129 +811305ec: 9d84b03a or r2,r19,r22 +811305f0: 90e4703a and r18,r18,r3 +811305f4: 10017726 beq r2,zero,81130bd4 <___vfprintf_internal_r+0xb24> +811305f8: b0038326 beq r22,zero,81131408 <___vfprintf_internal_r+0x1358> +811305fc: dc402a15 stw r17,168(sp) +81130600: dc001e04 addi r16,sp,120 +81130604: b023883a mov r17,r22 +81130608: 402d883a mov r22,r8 +8113060c: 9809883a mov r4,r19 +81130610: 880b883a mov r5,r17 +81130614: 01800284 movi r6,10 +81130618: 000f883a mov r7,zero +8113061c: 113eae00 call 8113eae0 <__umoddi3> +81130620: 10800c04 addi r2,r2,48 +81130624: 843fffc4 addi r16,r16,-1 +81130628: 9809883a mov r4,r19 +8113062c: 880b883a mov r5,r17 +81130630: 80800005 stb r2,0(r16) +81130634: 01800284 movi r6,10 +81130638: 000f883a mov r7,zero +8113063c: 113e5680 call 8113e568 <__udivdi3> +81130640: 1027883a mov r19,r2 +81130644: 10c4b03a or r2,r2,r3 +81130648: 1823883a mov r17,r3 +8113064c: 103fef1e bne r2,zero,8113060c <__reset+0xfb11060c> +81130650: d8c02817 ldw r3,160(sp) +81130654: dc402a17 ldw r17,168(sp) +81130658: b011883a mov r8,r22 +8113065c: 1c07c83a sub r3,r3,r16 +81130660: d8c02e15 stw r3,184(sp) +81130664: 00005906 br 811307cc <___vfprintf_internal_r+0x71c> +81130668: 18c03fcc andi r3,r3,255 +8113066c: 1806fa1e bne r3,zero,81132258 <___vfprintf_internal_r+0x21a8> +81130670: 9080020c andi r2,r18,8 +81130674: 10048a26 beq r2,zero,811318a0 <___vfprintf_internal_r+0x17f0> +81130678: d8c02d17 ldw r3,180(sp) +8113067c: d9002d17 ldw r4,180(sp) +81130680: d9402d17 ldw r5,180(sp) +81130684: 18c00017 ldw r3,0(r3) +81130688: 21000117 ldw r4,4(r4) +8113068c: 29400204 addi r5,r5,8 +81130690: d8c03615 stw r3,216(sp) +81130694: d9003815 stw r4,224(sp) +81130698: d9402d15 stw r5,180(sp) +8113069c: d9003617 ldw r4,216(sp) +811306a0: d9403817 ldw r5,224(sp) +811306a4: da003d15 stw r8,244(sp) +811306a8: 04000044 movi r16,1 +811306ac: 11387a40 call 811387a4 <__fpclassifyd> +811306b0: da003d17 ldw r8,244(sp) +811306b4: 14041f1e bne r2,r16,81131734 <___vfprintf_internal_r+0x1684> +811306b8: d9003617 ldw r4,216(sp) +811306bc: d9403817 ldw r5,224(sp) +811306c0: 000d883a mov r6,zero +811306c4: 000f883a mov r7,zero +811306c8: 113f1680 call 8113f168 <__ledf2> +811306cc: da003d17 ldw r8,244(sp) +811306d0: 1005be16 blt r2,zero,81131dcc <___vfprintf_internal_r+0x1d1c> +811306d4: df002783 ldbu fp,158(sp) +811306d8: 008011c4 movi r2,71 +811306dc: 1445330e bge r2,r17,81131bac <___vfprintf_internal_r+0x1afc> +811306e0: 04204574 movhi r16,33045 +811306e4: 843ebe04 addi r16,r16,-1288 +811306e8: 00c000c4 movi r3,3 +811306ec: 00bfdfc4 movi r2,-129 +811306f0: d8c02a15 stw r3,168(sp) +811306f4: 90a4703a and r18,r18,r2 +811306f8: d8c02e15 stw r3,184(sp) +811306fc: d8002915 stw zero,164(sp) +81130700: d8003215 stw zero,200(sp) +81130704: 00003706 br 811307e4 <___vfprintf_internal_r+0x734> +81130708: 94800214 ori r18,r18,8 +8113070c: ac400007 ldb r17,0(r21) +81130710: 003ec806 br 81130234 <__reset+0xfb110234> +81130714: 18c03fcc andi r3,r3,255 +81130718: 1806db1e bne r3,zero,81132288 <___vfprintf_internal_r+0x21d8> +8113071c: 94800414 ori r18,r18,16 +81130720: 9080080c andi r2,r18,32 +81130724: 1002d826 beq r2,zero,81131288 <___vfprintf_internal_r+0x11d8> +81130728: d9402d17 ldw r5,180(sp) +8113072c: d8c02917 ldw r3,164(sp) +81130730: d8002785 stb zero,158(sp) +81130734: 28800204 addi r2,r5,8 +81130738: 2cc00017 ldw r19,0(r5) +8113073c: 2d800117 ldw r22,4(r5) +81130740: 18048f16 blt r3,zero,81131980 <___vfprintf_internal_r+0x18d0> +81130744: 013fdfc4 movi r4,-129 +81130748: 9d86b03a or r3,r19,r22 +8113074c: d8802d15 stw r2,180(sp) +81130750: 9124703a and r18,r18,r4 +81130754: 1802d91e bne r3,zero,811312bc <___vfprintf_internal_r+0x120c> +81130758: d8c02917 ldw r3,164(sp) +8113075c: 0039883a mov fp,zero +81130760: 1805c326 beq r3,zero,81131e70 <___vfprintf_internal_r+0x1dc0> +81130764: 0027883a mov r19,zero +81130768: 002d883a mov r22,zero +8113076c: dc001e04 addi r16,sp,120 +81130770: 9806d0fa srli r3,r19,3 +81130774: b008977a slli r4,r22,29 +81130778: b02cd0fa srli r22,r22,3 +8113077c: 9cc001cc andi r19,r19,7 +81130780: 98800c04 addi r2,r19,48 +81130784: 843fffc4 addi r16,r16,-1 +81130788: 20e6b03a or r19,r4,r3 +8113078c: 80800005 stb r2,0(r16) +81130790: 9d86b03a or r3,r19,r22 +81130794: 183ff61e bne r3,zero,81130770 <__reset+0xfb110770> +81130798: 90c0004c andi r3,r18,1 +8113079c: 18013b26 beq r3,zero,81130c8c <___vfprintf_internal_r+0xbdc> +811307a0: 10803fcc andi r2,r2,255 +811307a4: 1080201c xori r2,r2,128 +811307a8: 10bfe004 addi r2,r2,-128 +811307ac: 00c00c04 movi r3,48 +811307b0: 10c13626 beq r2,r3,81130c8c <___vfprintf_internal_r+0xbdc> +811307b4: 80ffffc5 stb r3,-1(r16) +811307b8: d8c02817 ldw r3,160(sp) +811307bc: 80bfffc4 addi r2,r16,-1 +811307c0: 1021883a mov r16,r2 +811307c4: 1887c83a sub r3,r3,r2 +811307c8: d8c02e15 stw r3,184(sp) +811307cc: d8802e17 ldw r2,184(sp) +811307d0: d9002917 ldw r4,164(sp) +811307d4: 1100010e bge r2,r4,811307dc <___vfprintf_internal_r+0x72c> +811307d8: 2005883a mov r2,r4 +811307dc: d8802a15 stw r2,168(sp) +811307e0: d8003215 stw zero,200(sp) +811307e4: e7003fcc andi fp,fp,255 +811307e8: e700201c xori fp,fp,128 +811307ec: e73fe004 addi fp,fp,-128 +811307f0: e0000326 beq fp,zero,81130800 <___vfprintf_internal_r+0x750> +811307f4: d8c02a17 ldw r3,168(sp) +811307f8: 18c00044 addi r3,r3,1 +811307fc: d8c02a15 stw r3,168(sp) +81130800: 90c0008c andi r3,r18,2 +81130804: d8c02b15 stw r3,172(sp) +81130808: 18000326 beq r3,zero,81130818 <___vfprintf_internal_r+0x768> +8113080c: d8c02a17 ldw r3,168(sp) +81130810: 18c00084 addi r3,r3,2 +81130814: d8c02a15 stw r3,168(sp) +81130818: 90c0210c andi r3,r18,132 +8113081c: d8c03015 stw r3,192(sp) +81130820: 1801a31e bne r3,zero,81130eb0 <___vfprintf_internal_r+0xe00> +81130824: d9003117 ldw r4,196(sp) +81130828: d8c02a17 ldw r3,168(sp) +8113082c: 20e7c83a sub r19,r4,r3 +81130830: 04c19f0e bge zero,r19,81130eb0 <___vfprintf_internal_r+0xe00> +81130834: 02400404 movi r9,16 +81130838: d8c02017 ldw r3,128(sp) +8113083c: d8801f17 ldw r2,124(sp) +81130840: 4cc50d0e bge r9,r19,81131c78 <___vfprintf_internal_r+0x1bc8> +81130844: 01604574 movhi r5,33045 +81130848: 297ed984 addi r5,r5,-1178 +8113084c: dc403b15 stw r17,236(sp) +81130850: d9403515 stw r5,212(sp) +81130854: 9823883a mov r17,r19 +81130858: 482d883a mov r22,r9 +8113085c: 9027883a mov r19,r18 +81130860: 070001c4 movi fp,7 +81130864: 8025883a mov r18,r16 +81130868: dc002c17 ldw r16,176(sp) +8113086c: 00000306 br 8113087c <___vfprintf_internal_r+0x7cc> +81130870: 8c7ffc04 addi r17,r17,-16 +81130874: 42000204 addi r8,r8,8 +81130878: b440130e bge r22,r17,811308c8 <___vfprintf_internal_r+0x818> +8113087c: 01204574 movhi r4,33045 +81130880: 18c00404 addi r3,r3,16 +81130884: 10800044 addi r2,r2,1 +81130888: 213ed984 addi r4,r4,-1178 +8113088c: 41000015 stw r4,0(r8) +81130890: 45800115 stw r22,4(r8) +81130894: d8c02015 stw r3,128(sp) +81130898: d8801f15 stw r2,124(sp) +8113089c: e0bff40e bge fp,r2,81130870 <__reset+0xfb110870> +811308a0: d9801e04 addi r6,sp,120 +811308a4: b80b883a mov r5,r23 +811308a8: 8009883a mov r4,r16 +811308ac: 113be080 call 8113be08 <__sprint_r> +811308b0: 103f011e bne r2,zero,811304b8 <__reset+0xfb1104b8> +811308b4: 8c7ffc04 addi r17,r17,-16 +811308b8: d8c02017 ldw r3,128(sp) +811308bc: d8801f17 ldw r2,124(sp) +811308c0: da000404 addi r8,sp,16 +811308c4: b47fed16 blt r22,r17,8113087c <__reset+0xfb11087c> +811308c8: 9021883a mov r16,r18 +811308cc: 9825883a mov r18,r19 +811308d0: 8827883a mov r19,r17 +811308d4: dc403b17 ldw r17,236(sp) +811308d8: d9403517 ldw r5,212(sp) +811308dc: 98c7883a add r3,r19,r3 +811308e0: 10800044 addi r2,r2,1 +811308e4: 41400015 stw r5,0(r8) +811308e8: 44c00115 stw r19,4(r8) +811308ec: d8c02015 stw r3,128(sp) +811308f0: d8801f15 stw r2,124(sp) +811308f4: 010001c4 movi r4,7 +811308f8: 2082a316 blt r4,r2,81131388 <___vfprintf_internal_r+0x12d8> +811308fc: df002787 ldb fp,158(sp) +81130900: 42000204 addi r8,r8,8 +81130904: e0000c26 beq fp,zero,81130938 <___vfprintf_internal_r+0x888> +81130908: d8801f17 ldw r2,124(sp) +8113090c: d9002784 addi r4,sp,158 +81130910: 18c00044 addi r3,r3,1 +81130914: 10800044 addi r2,r2,1 +81130918: 41000015 stw r4,0(r8) +8113091c: 01000044 movi r4,1 +81130920: 41000115 stw r4,4(r8) +81130924: d8c02015 stw r3,128(sp) +81130928: d8801f15 stw r2,124(sp) +8113092c: 010001c4 movi r4,7 +81130930: 20823c16 blt r4,r2,81131224 <___vfprintf_internal_r+0x1174> +81130934: 42000204 addi r8,r8,8 +81130938: d8802b17 ldw r2,172(sp) +8113093c: 10000c26 beq r2,zero,81130970 <___vfprintf_internal_r+0x8c0> +81130940: d8801f17 ldw r2,124(sp) +81130944: d9002704 addi r4,sp,156 +81130948: 18c00084 addi r3,r3,2 +8113094c: 10800044 addi r2,r2,1 +81130950: 41000015 stw r4,0(r8) +81130954: 01000084 movi r4,2 +81130958: 41000115 stw r4,4(r8) +8113095c: d8c02015 stw r3,128(sp) +81130960: d8801f15 stw r2,124(sp) +81130964: 010001c4 movi r4,7 +81130968: 20823616 blt r4,r2,81131244 <___vfprintf_internal_r+0x1194> +8113096c: 42000204 addi r8,r8,8 +81130970: d9003017 ldw r4,192(sp) +81130974: 00802004 movi r2,128 +81130978: 20819926 beq r4,r2,81130fe0 <___vfprintf_internal_r+0xf30> +8113097c: d9402917 ldw r5,164(sp) +81130980: d8802e17 ldw r2,184(sp) +81130984: 28adc83a sub r22,r5,r2 +81130988: 0580310e bge zero,r22,81130a50 <___vfprintf_internal_r+0x9a0> +8113098c: 07000404 movi fp,16 +81130990: d8801f17 ldw r2,124(sp) +81130994: e584140e bge fp,r22,811319e8 <___vfprintf_internal_r+0x1938> +81130998: 01604574 movhi r5,33045 +8113099c: 297ed584 addi r5,r5,-1194 +811309a0: dc402915 stw r17,164(sp) +811309a4: d9402b15 stw r5,172(sp) +811309a8: b023883a mov r17,r22 +811309ac: 04c001c4 movi r19,7 +811309b0: a82d883a mov r22,r21 +811309b4: 902b883a mov r21,r18 +811309b8: 8025883a mov r18,r16 +811309bc: dc002c17 ldw r16,176(sp) +811309c0: 00000306 br 811309d0 <___vfprintf_internal_r+0x920> +811309c4: 8c7ffc04 addi r17,r17,-16 +811309c8: 42000204 addi r8,r8,8 +811309cc: e440110e bge fp,r17,81130a14 <___vfprintf_internal_r+0x964> +811309d0: 18c00404 addi r3,r3,16 +811309d4: 10800044 addi r2,r2,1 +811309d8: 45000015 stw r20,0(r8) +811309dc: 47000115 stw fp,4(r8) +811309e0: d8c02015 stw r3,128(sp) +811309e4: d8801f15 stw r2,124(sp) +811309e8: 98bff60e bge r19,r2,811309c4 <__reset+0xfb1109c4> +811309ec: d9801e04 addi r6,sp,120 +811309f0: b80b883a mov r5,r23 +811309f4: 8009883a mov r4,r16 +811309f8: 113be080 call 8113be08 <__sprint_r> +811309fc: 103eae1e bne r2,zero,811304b8 <__reset+0xfb1104b8> +81130a00: 8c7ffc04 addi r17,r17,-16 +81130a04: d8c02017 ldw r3,128(sp) +81130a08: d8801f17 ldw r2,124(sp) +81130a0c: da000404 addi r8,sp,16 +81130a10: e47fef16 blt fp,r17,811309d0 <__reset+0xfb1109d0> +81130a14: 9021883a mov r16,r18 +81130a18: a825883a mov r18,r21 +81130a1c: b02b883a mov r21,r22 +81130a20: 882d883a mov r22,r17 +81130a24: dc402917 ldw r17,164(sp) +81130a28: d9002b17 ldw r4,172(sp) +81130a2c: 1d87883a add r3,r3,r22 +81130a30: 10800044 addi r2,r2,1 +81130a34: 41000015 stw r4,0(r8) +81130a38: 45800115 stw r22,4(r8) +81130a3c: d8c02015 stw r3,128(sp) +81130a40: d8801f15 stw r2,124(sp) +81130a44: 010001c4 movi r4,7 +81130a48: 2081ee16 blt r4,r2,81131204 <___vfprintf_internal_r+0x1154> +81130a4c: 42000204 addi r8,r8,8 +81130a50: 9080400c andi r2,r18,256 +81130a54: 1001181e bne r2,zero,81130eb8 <___vfprintf_internal_r+0xe08> +81130a58: d9402e17 ldw r5,184(sp) +81130a5c: d8801f17 ldw r2,124(sp) +81130a60: 44000015 stw r16,0(r8) +81130a64: 1947883a add r3,r3,r5 +81130a68: 10800044 addi r2,r2,1 +81130a6c: 41400115 stw r5,4(r8) +81130a70: d8c02015 stw r3,128(sp) +81130a74: d8801f15 stw r2,124(sp) +81130a78: 010001c4 movi r4,7 +81130a7c: 2081d316 blt r4,r2,811311cc <___vfprintf_internal_r+0x111c> +81130a80: 42000204 addi r8,r8,8 +81130a84: 9480010c andi r18,r18,4 +81130a88: 90003226 beq r18,zero,81130b54 <___vfprintf_internal_r+0xaa4> +81130a8c: d9403117 ldw r5,196(sp) +81130a90: d8802a17 ldw r2,168(sp) +81130a94: 28a1c83a sub r16,r5,r2 +81130a98: 04002e0e bge zero,r16,81130b54 <___vfprintf_internal_r+0xaa4> +81130a9c: 04400404 movi r17,16 +81130aa0: d8801f17 ldw r2,124(sp) +81130aa4: 8c04a20e bge r17,r16,81131d30 <___vfprintf_internal_r+0x1c80> +81130aa8: 01604574 movhi r5,33045 +81130aac: 297ed984 addi r5,r5,-1178 +81130ab0: d9403515 stw r5,212(sp) +81130ab4: 048001c4 movi r18,7 +81130ab8: dcc02c17 ldw r19,176(sp) +81130abc: 00000306 br 81130acc <___vfprintf_internal_r+0xa1c> +81130ac0: 843ffc04 addi r16,r16,-16 +81130ac4: 42000204 addi r8,r8,8 +81130ac8: 8c00130e bge r17,r16,81130b18 <___vfprintf_internal_r+0xa68> +81130acc: 01204574 movhi r4,33045 +81130ad0: 18c00404 addi r3,r3,16 +81130ad4: 10800044 addi r2,r2,1 +81130ad8: 213ed984 addi r4,r4,-1178 +81130adc: 41000015 stw r4,0(r8) +81130ae0: 44400115 stw r17,4(r8) +81130ae4: d8c02015 stw r3,128(sp) +81130ae8: d8801f15 stw r2,124(sp) +81130aec: 90bff40e bge r18,r2,81130ac0 <__reset+0xfb110ac0> +81130af0: d9801e04 addi r6,sp,120 +81130af4: b80b883a mov r5,r23 +81130af8: 9809883a mov r4,r19 +81130afc: 113be080 call 8113be08 <__sprint_r> +81130b00: 103e6d1e bne r2,zero,811304b8 <__reset+0xfb1104b8> +81130b04: 843ffc04 addi r16,r16,-16 +81130b08: d8c02017 ldw r3,128(sp) +81130b0c: d8801f17 ldw r2,124(sp) +81130b10: da000404 addi r8,sp,16 +81130b14: 8c3fed16 blt r17,r16,81130acc <__reset+0xfb110acc> +81130b18: d9403517 ldw r5,212(sp) +81130b1c: 1c07883a add r3,r3,r16 +81130b20: 10800044 addi r2,r2,1 +81130b24: 41400015 stw r5,0(r8) +81130b28: 44000115 stw r16,4(r8) +81130b2c: d8c02015 stw r3,128(sp) +81130b30: d8801f15 stw r2,124(sp) +81130b34: 010001c4 movi r4,7 +81130b38: 2080060e bge r4,r2,81130b54 <___vfprintf_internal_r+0xaa4> +81130b3c: d9002c17 ldw r4,176(sp) +81130b40: d9801e04 addi r6,sp,120 +81130b44: b80b883a mov r5,r23 +81130b48: 113be080 call 8113be08 <__sprint_r> +81130b4c: 103e5a1e bne r2,zero,811304b8 <__reset+0xfb1104b8> +81130b50: d8c02017 ldw r3,128(sp) +81130b54: d8803117 ldw r2,196(sp) +81130b58: d9002a17 ldw r4,168(sp) +81130b5c: 1100010e bge r2,r4,81130b64 <___vfprintf_internal_r+0xab4> +81130b60: 2005883a mov r2,r4 +81130b64: d9402f17 ldw r5,188(sp) +81130b68: 288b883a add r5,r5,r2 +81130b6c: d9402f15 stw r5,188(sp) +81130b70: 18019e1e bne r3,zero,811311ec <___vfprintf_internal_r+0x113c> +81130b74: a8800007 ldb r2,0(r21) +81130b78: d8001f15 stw zero,124(sp) +81130b7c: da000404 addi r8,sp,16 +81130b80: 103d851e bne r2,zero,81130198 <__reset+0xfb110198> +81130b84: a821883a mov r16,r21 +81130b88: 003d9b06 br 811301f8 <__reset+0xfb1101f8> +81130b8c: 18c03fcc andi r3,r3,255 +81130b90: 1805c11e bne r3,zero,81132298 <___vfprintf_internal_r+0x21e8> +81130b94: 94800414 ori r18,r18,16 +81130b98: 9080080c andi r2,r18,32 +81130b9c: 10020c26 beq r2,zero,811313d0 <___vfprintf_internal_r+0x1320> +81130ba0: d8802d17 ldw r2,180(sp) +81130ba4: d9002917 ldw r4,164(sp) +81130ba8: d8002785 stb zero,158(sp) +81130bac: 10c00204 addi r3,r2,8 +81130bb0: 14c00017 ldw r19,0(r2) +81130bb4: 15800117 ldw r22,4(r2) +81130bb8: 20040f16 blt r4,zero,81131bf8 <___vfprintf_internal_r+0x1b48> +81130bbc: 013fdfc4 movi r4,-129 +81130bc0: 9d84b03a or r2,r19,r22 +81130bc4: d8c02d15 stw r3,180(sp) +81130bc8: 9124703a and r18,r18,r4 +81130bcc: 0039883a mov fp,zero +81130bd0: 103e891e bne r2,zero,811305f8 <__reset+0xfb1105f8> +81130bd4: d9002917 ldw r4,164(sp) +81130bd8: 2002c11e bne r4,zero,811316e0 <___vfprintf_internal_r+0x1630> +81130bdc: d8002915 stw zero,164(sp) +81130be0: d8002e15 stw zero,184(sp) +81130be4: dc001e04 addi r16,sp,120 +81130be8: 003ef806 br 811307cc <__reset+0xfb1107cc> +81130bec: 18c03fcc andi r3,r3,255 +81130bf0: 18059d1e bne r3,zero,81132268 <___vfprintf_internal_r+0x21b8> +81130bf4: 01604574 movhi r5,33045 +81130bf8: 297ec104 addi r5,r5,-1276 +81130bfc: d9403915 stw r5,228(sp) +81130c00: 9080080c andi r2,r18,32 +81130c04: 10005226 beq r2,zero,81130d50 <___vfprintf_internal_r+0xca0> +81130c08: d8802d17 ldw r2,180(sp) +81130c0c: 14c00017 ldw r19,0(r2) +81130c10: 15800117 ldw r22,4(r2) +81130c14: 10800204 addi r2,r2,8 +81130c18: d8802d15 stw r2,180(sp) +81130c1c: 9080004c andi r2,r18,1 +81130c20: 10019026 beq r2,zero,81131264 <___vfprintf_internal_r+0x11b4> +81130c24: 9d84b03a or r2,r19,r22 +81130c28: 10036926 beq r2,zero,811319d0 <___vfprintf_internal_r+0x1920> +81130c2c: d8c02917 ldw r3,164(sp) +81130c30: 00800c04 movi r2,48 +81130c34: d8802705 stb r2,156(sp) +81130c38: dc402745 stb r17,157(sp) +81130c3c: d8002785 stb zero,158(sp) +81130c40: 90800094 ori r2,r18,2 +81130c44: 18045d16 blt r3,zero,81131dbc <___vfprintf_internal_r+0x1d0c> +81130c48: 00bfdfc4 movi r2,-129 +81130c4c: 90a4703a and r18,r18,r2 +81130c50: 94800094 ori r18,r18,2 +81130c54: 0039883a mov fp,zero +81130c58: d9003917 ldw r4,228(sp) +81130c5c: dc001e04 addi r16,sp,120 +81130c60: 988003cc andi r2,r19,15 +81130c64: b006973a slli r3,r22,28 +81130c68: 2085883a add r2,r4,r2 +81130c6c: 9826d13a srli r19,r19,4 +81130c70: 10800003 ldbu r2,0(r2) +81130c74: b02cd13a srli r22,r22,4 +81130c78: 843fffc4 addi r16,r16,-1 +81130c7c: 1ce6b03a or r19,r3,r19 +81130c80: 80800005 stb r2,0(r16) +81130c84: 9d84b03a or r2,r19,r22 +81130c88: 103ff51e bne r2,zero,81130c60 <__reset+0xfb110c60> +81130c8c: d8c02817 ldw r3,160(sp) +81130c90: 1c07c83a sub r3,r3,r16 +81130c94: d8c02e15 stw r3,184(sp) +81130c98: 003ecc06 br 811307cc <__reset+0xfb1107cc> +81130c9c: 18c03fcc andi r3,r3,255 +81130ca0: 183e9f26 beq r3,zero,81130720 <__reset+0xfb110720> +81130ca4: d9c02785 stb r7,158(sp) +81130ca8: 003e9d06 br 81130720 <__reset+0xfb110720> +81130cac: 00c00044 movi r3,1 +81130cb0: 01c00ac4 movi r7,43 +81130cb4: ac400007 ldb r17,0(r21) +81130cb8: 003d5e06 br 81130234 <__reset+0xfb110234> +81130cbc: 94800814 ori r18,r18,32 +81130cc0: ac400007 ldb r17,0(r21) +81130cc4: 003d5b06 br 81130234 <__reset+0xfb110234> +81130cc8: d8c02d17 ldw r3,180(sp) +81130ccc: d8002785 stb zero,158(sp) +81130cd0: 1c000017 ldw r16,0(r3) +81130cd4: 1cc00104 addi r19,r3,4 +81130cd8: 80041926 beq r16,zero,81131d40 <___vfprintf_internal_r+0x1c90> +81130cdc: d9002917 ldw r4,164(sp) +81130ce0: 2003d016 blt r4,zero,81131c24 <___vfprintf_internal_r+0x1b74> +81130ce4: 200d883a mov r6,r4 +81130ce8: 000b883a mov r5,zero +81130cec: 8009883a mov r4,r16 +81130cf0: da003d15 stw r8,244(sp) +81130cf4: 1136fa40 call 81136fa4 +81130cf8: da003d17 ldw r8,244(sp) +81130cfc: 10045426 beq r2,zero,81131e50 <___vfprintf_internal_r+0x1da0> +81130d00: 1405c83a sub r2,r2,r16 +81130d04: d8802e15 stw r2,184(sp) +81130d08: 1003cc16 blt r2,zero,81131c3c <___vfprintf_internal_r+0x1b8c> +81130d0c: df002783 ldbu fp,158(sp) +81130d10: d8802a15 stw r2,168(sp) +81130d14: dcc02d15 stw r19,180(sp) +81130d18: d8002915 stw zero,164(sp) +81130d1c: d8003215 stw zero,200(sp) +81130d20: 003eb006 br 811307e4 <__reset+0xfb1107e4> +81130d24: 18c03fcc andi r3,r3,255 +81130d28: 183f9b26 beq r3,zero,81130b98 <__reset+0xfb110b98> +81130d2c: d9c02785 stb r7,158(sp) +81130d30: 003f9906 br 81130b98 <__reset+0xfb110b98> +81130d34: 18c03fcc andi r3,r3,255 +81130d38: 1805551e bne r3,zero,81132290 <___vfprintf_internal_r+0x21e0> +81130d3c: 01604574 movhi r5,33045 +81130d40: 297ec604 addi r5,r5,-1256 +81130d44: d9403915 stw r5,228(sp) +81130d48: 9080080c andi r2,r18,32 +81130d4c: 103fae1e bne r2,zero,81130c08 <__reset+0xfb110c08> +81130d50: 9080040c andi r2,r18,16 +81130d54: 1002de26 beq r2,zero,811318d0 <___vfprintf_internal_r+0x1820> +81130d58: d8c02d17 ldw r3,180(sp) +81130d5c: 002d883a mov r22,zero +81130d60: 1cc00017 ldw r19,0(r3) +81130d64: 18c00104 addi r3,r3,4 +81130d68: d8c02d15 stw r3,180(sp) +81130d6c: 003fab06 br 81130c1c <__reset+0xfb110c1c> +81130d70: 38803fcc andi r2,r7,255 +81130d74: 1080201c xori r2,r2,128 +81130d78: 10bfe004 addi r2,r2,-128 +81130d7c: 1002d21e bne r2,zero,811318c8 <___vfprintf_internal_r+0x1818> +81130d80: 00c00044 movi r3,1 +81130d84: 01c00804 movi r7,32 +81130d88: ac400007 ldb r17,0(r21) +81130d8c: 003d2906 br 81130234 <__reset+0xfb110234> +81130d90: 94800054 ori r18,r18,1 +81130d94: ac400007 ldb r17,0(r21) +81130d98: 003d2606 br 81130234 <__reset+0xfb110234> +81130d9c: 18c03fcc andi r3,r3,255 +81130da0: 183e0526 beq r3,zero,811305b8 <__reset+0xfb1105b8> +81130da4: d9c02785 stb r7,158(sp) +81130da8: 003e0306 br 811305b8 <__reset+0xfb1105b8> +81130dac: 94801014 ori r18,r18,64 +81130db0: ac400007 ldb r17,0(r21) +81130db4: 003d1f06 br 81130234 <__reset+0xfb110234> +81130db8: ac400007 ldb r17,0(r21) +81130dbc: 8a438726 beq r17,r9,81131bdc <___vfprintf_internal_r+0x1b2c> +81130dc0: 94800414 ori r18,r18,16 +81130dc4: 003d1b06 br 81130234 <__reset+0xfb110234> +81130dc8: 18c03fcc andi r3,r3,255 +81130dcc: 1805341e bne r3,zero,811322a0 <___vfprintf_internal_r+0x21f0> +81130dd0: 9080080c andi r2,r18,32 +81130dd4: 1002cd26 beq r2,zero,8113190c <___vfprintf_internal_r+0x185c> +81130dd8: d9402d17 ldw r5,180(sp) +81130ddc: d9002f17 ldw r4,188(sp) +81130de0: 28800017 ldw r2,0(r5) +81130de4: 2007d7fa srai r3,r4,31 +81130de8: 29400104 addi r5,r5,4 +81130dec: d9402d15 stw r5,180(sp) +81130df0: 11000015 stw r4,0(r2) +81130df4: 10c00115 stw r3,4(r2) +81130df8: 003ce506 br 81130190 <__reset+0xfb110190> +81130dfc: d8c02d17 ldw r3,180(sp) +81130e00: d9002d17 ldw r4,180(sp) +81130e04: d8002785 stb zero,158(sp) +81130e08: 18800017 ldw r2,0(r3) +81130e0c: 21000104 addi r4,r4,4 +81130e10: 00c00044 movi r3,1 +81130e14: d8c02a15 stw r3,168(sp) +81130e18: d8801405 stb r2,80(sp) +81130e1c: d9002d15 stw r4,180(sp) +81130e20: d8c02e15 stw r3,184(sp) +81130e24: d8002915 stw zero,164(sp) +81130e28: d8003215 stw zero,200(sp) +81130e2c: dc001404 addi r16,sp,80 +81130e30: 0039883a mov fp,zero +81130e34: 003e7206 br 81130800 <__reset+0xfb110800> +81130e38: 01204574 movhi r4,33045 +81130e3c: 213ec604 addi r4,r4,-1256 +81130e40: 0039883a mov fp,zero +81130e44: d9003915 stw r4,228(sp) +81130e48: 04401e04 movi r17,120 +81130e4c: 003f8206 br 81130c58 <__reset+0xfb110c58> +81130e50: 18c03fcc andi r3,r3,255 +81130e54: 1805061e bne r3,zero,81132270 <___vfprintf_internal_r+0x21c0> +81130e58: 883d9126 beq r17,zero,811304a0 <__reset+0xfb1104a0> 81130e5c: 00c00044 movi r3,1 -81130e60: 10c20f26 beq r2,r3,811316a0 <___vfprintf_internal_r+0x1630> -81130e64: 00c00084 movi r3,2 -81130e68: 10fd6326 beq r2,r3,811303f8 <__reset+0xfb1103f8> -81130e6c: 003e2d06 br 81130724 <__reset+0xfb110724> -81130e70: d8c02017 ldw r3,128(sp) -81130e74: 003e9306 br 811308c4 <__reset+0xfb1108c4> -81130e78: 00801944 movi r2,101 -81130e7c: 14407e0e bge r2,r17,81131078 <___vfprintf_internal_r+0x1008> -81130e80: d9003617 ldw r4,216(sp) -81130e84: d9403817 ldw r5,224(sp) -81130e88: 000d883a mov r6,zero -81130e8c: 000f883a mov r7,zero -81130e90: d8c03c15 stw r3,240(sp) -81130e94: da003d15 stw r8,244(sp) -81130e98: 113f0a00 call 8113f0a0 <__eqdf2> -81130e9c: d8c03c17 ldw r3,240(sp) -81130ea0: da003d17 ldw r8,244(sp) -81130ea4: 1000f71e bne r2,zero,81131284 <___vfprintf_internal_r+0x1214> -81130ea8: d8801f17 ldw r2,124(sp) -81130eac: 01204574 movhi r4,33045 -81130eb0: 213ebc04 addi r4,r4,-1296 -81130eb4: 18c00044 addi r3,r3,1 -81130eb8: 10800044 addi r2,r2,1 -81130ebc: 41000015 stw r4,0(r8) -81130ec0: 01000044 movi r4,1 -81130ec4: 41000115 stw r4,4(r8) -81130ec8: d8c02015 stw r3,128(sp) -81130ecc: d8801f15 stw r2,124(sp) -81130ed0: 010001c4 movi r4,7 -81130ed4: 2082b816 blt r4,r2,811319b8 <___vfprintf_internal_r+0x1948> -81130ed8: 42000204 addi r8,r8,8 -81130edc: d8802617 ldw r2,152(sp) -81130ee0: d9403317 ldw r5,204(sp) -81130ee4: 11400216 blt r2,r5,81130ef0 <___vfprintf_internal_r+0xe80> -81130ee8: 9080004c andi r2,r18,1 -81130eec: 103ed526 beq r2,zero,81130a44 <__reset+0xfb110a44> -81130ef0: d8803717 ldw r2,220(sp) -81130ef4: d9003417 ldw r4,208(sp) -81130ef8: d9403717 ldw r5,220(sp) -81130efc: 1887883a add r3,r3,r2 -81130f00: d8801f17 ldw r2,124(sp) -81130f04: 41000015 stw r4,0(r8) -81130f08: 41400115 stw r5,4(r8) -81130f0c: 10800044 addi r2,r2,1 -81130f10: d8c02015 stw r3,128(sp) -81130f14: d8801f15 stw r2,124(sp) -81130f18: 010001c4 movi r4,7 -81130f1c: 20832916 blt r4,r2,81131bc4 <___vfprintf_internal_r+0x1b54> -81130f20: 42000204 addi r8,r8,8 -81130f24: d8803317 ldw r2,204(sp) -81130f28: 143fffc4 addi r16,r2,-1 -81130f2c: 043ec50e bge zero,r16,81130a44 <__reset+0xfb110a44> -81130f30: 04400404 movi r17,16 -81130f34: d8801f17 ldw r2,124(sp) -81130f38: 8c00880e bge r17,r16,8113115c <___vfprintf_internal_r+0x10ec> -81130f3c: 01604574 movhi r5,33045 -81130f40: 297ec484 addi r5,r5,-1262 -81130f44: d9402b15 stw r5,172(sp) -81130f48: 058001c4 movi r22,7 -81130f4c: dcc02c17 ldw r19,176(sp) -81130f50: 00000306 br 81130f60 <___vfprintf_internal_r+0xef0> -81130f54: 42000204 addi r8,r8,8 -81130f58: 843ffc04 addi r16,r16,-16 -81130f5c: 8c00820e bge r17,r16,81131168 <___vfprintf_internal_r+0x10f8> -81130f60: 18c00404 addi r3,r3,16 -81130f64: 10800044 addi r2,r2,1 -81130f68: 45000015 stw r20,0(r8) -81130f6c: 44400115 stw r17,4(r8) -81130f70: d8c02015 stw r3,128(sp) -81130f74: d8801f15 stw r2,124(sp) -81130f78: b0bff60e bge r22,r2,81130f54 <__reset+0xfb110f54> -81130f7c: d9801e04 addi r6,sp,120 -81130f80: b80b883a mov r5,r23 -81130f84: 9809883a mov r4,r19 -81130f88: 113bdc80 call 8113bdc8 <__sprint_r> -81130f8c: 103d3a1e bne r2,zero,81130478 <__reset+0xfb110478> -81130f90: d8c02017 ldw r3,128(sp) -81130f94: d8801f17 ldw r2,124(sp) -81130f98: da000404 addi r8,sp,16 -81130f9c: 003fee06 br 81130f58 <__reset+0xfb110f58> -81130fa0: d9403117 ldw r5,196(sp) -81130fa4: d8802a17 ldw r2,168(sp) -81130fa8: 28adc83a sub r22,r5,r2 -81130fac: 05be630e bge zero,r22,8113093c <__reset+0xfb11093c> -81130fb0: 07000404 movi fp,16 -81130fb4: d8801f17 ldw r2,124(sp) -81130fb8: e5838f0e bge fp,r22,81131df8 <___vfprintf_internal_r+0x1d88> -81130fbc: 01604574 movhi r5,33045 -81130fc0: 297ec484 addi r5,r5,-1262 -81130fc4: dc403015 stw r17,192(sp) -81130fc8: d9402b15 stw r5,172(sp) -81130fcc: b023883a mov r17,r22 -81130fd0: 04c001c4 movi r19,7 -81130fd4: a82d883a mov r22,r21 -81130fd8: 902b883a mov r21,r18 -81130fdc: 8025883a mov r18,r16 -81130fe0: dc002c17 ldw r16,176(sp) -81130fe4: 00000306 br 81130ff4 <___vfprintf_internal_r+0xf84> -81130fe8: 8c7ffc04 addi r17,r17,-16 -81130fec: 42000204 addi r8,r8,8 -81130ff0: e440110e bge fp,r17,81131038 <___vfprintf_internal_r+0xfc8> -81130ff4: 18c00404 addi r3,r3,16 -81130ff8: 10800044 addi r2,r2,1 -81130ffc: 45000015 stw r20,0(r8) -81131000: 47000115 stw fp,4(r8) -81131004: d8c02015 stw r3,128(sp) -81131008: d8801f15 stw r2,124(sp) -8113100c: 98bff60e bge r19,r2,81130fe8 <__reset+0xfb110fe8> -81131010: d9801e04 addi r6,sp,120 -81131014: b80b883a mov r5,r23 -81131018: 8009883a mov r4,r16 -8113101c: 113bdc80 call 8113bdc8 <__sprint_r> -81131020: 103d151e bne r2,zero,81130478 <__reset+0xfb110478> -81131024: 8c7ffc04 addi r17,r17,-16 -81131028: d8c02017 ldw r3,128(sp) -8113102c: d8801f17 ldw r2,124(sp) -81131030: da000404 addi r8,sp,16 -81131034: e47fef16 blt fp,r17,81130ff4 <__reset+0xfb110ff4> -81131038: 9021883a mov r16,r18 -8113103c: a825883a mov r18,r21 -81131040: b02b883a mov r21,r22 -81131044: 882d883a mov r22,r17 -81131048: dc403017 ldw r17,192(sp) -8113104c: d9002b17 ldw r4,172(sp) -81131050: 1d87883a add r3,r3,r22 -81131054: 10800044 addi r2,r2,1 -81131058: 41000015 stw r4,0(r8) -8113105c: 45800115 stw r22,4(r8) -81131060: d8c02015 stw r3,128(sp) -81131064: d8801f15 stw r2,124(sp) -81131068: 010001c4 movi r4,7 -8113106c: 20818e16 blt r4,r2,811316a8 <___vfprintf_internal_r+0x1638> -81131070: 42000204 addi r8,r8,8 -81131074: 003e3106 br 8113093c <__reset+0xfb11093c> -81131078: d9403317 ldw r5,204(sp) -8113107c: 00800044 movi r2,1 -81131080: 18c00044 addi r3,r3,1 -81131084: 1141530e bge r2,r5,811315d4 <___vfprintf_internal_r+0x1564> -81131088: dc401f17 ldw r17,124(sp) -8113108c: 00800044 movi r2,1 -81131090: 40800115 stw r2,4(r8) -81131094: 8c400044 addi r17,r17,1 -81131098: 44000015 stw r16,0(r8) -8113109c: d8c02015 stw r3,128(sp) -811310a0: dc401f15 stw r17,124(sp) -811310a4: 008001c4 movi r2,7 -811310a8: 14416b16 blt r2,r17,81131658 <___vfprintf_internal_r+0x15e8> -811310ac: 42000204 addi r8,r8,8 -811310b0: d8803717 ldw r2,220(sp) -811310b4: d9003417 ldw r4,208(sp) -811310b8: 8c400044 addi r17,r17,1 -811310bc: 10c7883a add r3,r2,r3 -811310c0: 40800115 stw r2,4(r8) -811310c4: 41000015 stw r4,0(r8) -811310c8: d8c02015 stw r3,128(sp) -811310cc: dc401f15 stw r17,124(sp) -811310d0: 008001c4 movi r2,7 -811310d4: 14416916 blt r2,r17,8113167c <___vfprintf_internal_r+0x160c> -811310d8: 45800204 addi r22,r8,8 -811310dc: d9003617 ldw r4,216(sp) -811310e0: d9403817 ldw r5,224(sp) -811310e4: 000d883a mov r6,zero -811310e8: 000f883a mov r7,zero -811310ec: d8c03c15 stw r3,240(sp) -811310f0: 113f0a00 call 8113f0a0 <__eqdf2> -811310f4: d8c03c17 ldw r3,240(sp) -811310f8: 1000bc26 beq r2,zero,811313ec <___vfprintf_internal_r+0x137c> -811310fc: d9403317 ldw r5,204(sp) -81131100: 84000044 addi r16,r16,1 -81131104: 8c400044 addi r17,r17,1 -81131108: 28bfffc4 addi r2,r5,-1 -8113110c: 1887883a add r3,r3,r2 -81131110: b0800115 stw r2,4(r22) -81131114: b4000015 stw r16,0(r22) -81131118: d8c02015 stw r3,128(sp) -8113111c: dc401f15 stw r17,124(sp) -81131120: 008001c4 movi r2,7 -81131124: 14414316 blt r2,r17,81131634 <___vfprintf_internal_r+0x15c4> -81131128: b5800204 addi r22,r22,8 -8113112c: d9003a17 ldw r4,232(sp) -81131130: df0022c4 addi fp,sp,139 -81131134: 8c400044 addi r17,r17,1 -81131138: 20c7883a add r3,r4,r3 -8113113c: b7000015 stw fp,0(r22) -81131140: b1000115 stw r4,4(r22) -81131144: d8c02015 stw r3,128(sp) -81131148: dc401f15 stw r17,124(sp) -8113114c: 008001c4 movi r2,7 -81131150: 14400e16 blt r2,r17,8113118c <___vfprintf_internal_r+0x111c> -81131154: b2000204 addi r8,r22,8 -81131158: 003e3a06 br 81130a44 <__reset+0xfb110a44> -8113115c: 01204574 movhi r4,33045 -81131160: 213ec484 addi r4,r4,-1262 -81131164: d9002b15 stw r4,172(sp) -81131168: d9002b17 ldw r4,172(sp) -8113116c: 1c07883a add r3,r3,r16 -81131170: 44000115 stw r16,4(r8) -81131174: 41000015 stw r4,0(r8) -81131178: 10800044 addi r2,r2,1 -8113117c: d8c02015 stw r3,128(sp) -81131180: d8801f15 stw r2,124(sp) -81131184: 010001c4 movi r4,7 -81131188: 20be2d0e bge r4,r2,81130a40 <__reset+0xfb110a40> -8113118c: d9002c17 ldw r4,176(sp) -81131190: d9801e04 addi r6,sp,120 -81131194: b80b883a mov r5,r23 -81131198: 113bdc80 call 8113bdc8 <__sprint_r> -8113119c: 103cb61e bne r2,zero,81130478 <__reset+0xfb110478> -811311a0: d8c02017 ldw r3,128(sp) -811311a4: da000404 addi r8,sp,16 -811311a8: 003e2606 br 81130a44 <__reset+0xfb110a44> -811311ac: d9002c17 ldw r4,176(sp) -811311b0: d9801e04 addi r6,sp,120 -811311b4: b80b883a mov r5,r23 -811311b8: 113bdc80 call 8113bdc8 <__sprint_r> -811311bc: 103e5d26 beq r2,zero,81130b34 <__reset+0xfb110b34> -811311c0: 003cad06 br 81130478 <__reset+0xfb110478> -811311c4: d9002c17 ldw r4,176(sp) -811311c8: d9801e04 addi r6,sp,120 -811311cc: b80b883a mov r5,r23 -811311d0: 113bdc80 call 8113bdc8 <__sprint_r> -811311d4: 103ca81e bne r2,zero,81130478 <__reset+0xfb110478> -811311d8: d8c02017 ldw r3,128(sp) -811311dc: da000404 addi r8,sp,16 -811311e0: 003e0b06 br 81130a10 <__reset+0xfb110a10> -811311e4: d9002c17 ldw r4,176(sp) -811311e8: d9801e04 addi r6,sp,120 -811311ec: b80b883a mov r5,r23 -811311f0: 113bdc80 call 8113bdc8 <__sprint_r> -811311f4: 103ca01e bne r2,zero,81130478 <__reset+0xfb110478> -811311f8: d8c02017 ldw r3,128(sp) -811311fc: da000404 addi r8,sp,16 -81131200: 003dbd06 br 811308f8 <__reset+0xfb1108f8> +81130e60: d8c02a15 stw r3,168(sp) +81130e64: dc401405 stb r17,80(sp) +81130e68: d8002785 stb zero,158(sp) +81130e6c: 003fec06 br 81130e20 <__reset+0xfb110e20> +81130e70: 01604574 movhi r5,33045 +81130e74: 297ec604 addi r5,r5,-1256 +81130e78: d9403915 stw r5,228(sp) +81130e7c: d8c02d15 stw r3,180(sp) +81130e80: 1025883a mov r18,r2 +81130e84: 04401e04 movi r17,120 +81130e88: 9d84b03a or r2,r19,r22 +81130e8c: 1000fc1e bne r2,zero,81131280 <___vfprintf_internal_r+0x11d0> +81130e90: 0039883a mov fp,zero +81130e94: 00800084 movi r2,2 +81130e98: 10803fcc andi r2,r2,255 +81130e9c: 00c00044 movi r3,1 +81130ea0: 10c20f26 beq r2,r3,811316e0 <___vfprintf_internal_r+0x1630> +81130ea4: 00c00084 movi r3,2 +81130ea8: 10fd6326 beq r2,r3,81130438 <__reset+0xfb110438> +81130eac: 003e2d06 br 81130764 <__reset+0xfb110764> +81130eb0: d8c02017 ldw r3,128(sp) +81130eb4: 003e9306 br 81130904 <__reset+0xfb110904> +81130eb8: 00801944 movi r2,101 +81130ebc: 14407e0e bge r2,r17,811310b8 <___vfprintf_internal_r+0x1008> +81130ec0: d9003617 ldw r4,216(sp) +81130ec4: d9403817 ldw r5,224(sp) +81130ec8: 000d883a mov r6,zero +81130ecc: 000f883a mov r7,zero +81130ed0: d8c03c15 stw r3,240(sp) +81130ed4: da003d15 stw r8,244(sp) +81130ed8: 113f0e00 call 8113f0e0 <__eqdf2> +81130edc: d8c03c17 ldw r3,240(sp) +81130ee0: da003d17 ldw r8,244(sp) +81130ee4: 1000f71e bne r2,zero,811312c4 <___vfprintf_internal_r+0x1214> +81130ee8: d8801f17 ldw r2,124(sp) +81130eec: 01204574 movhi r4,33045 +81130ef0: 213ecd04 addi r4,r4,-1228 +81130ef4: 18c00044 addi r3,r3,1 +81130ef8: 10800044 addi r2,r2,1 +81130efc: 41000015 stw r4,0(r8) +81130f00: 01000044 movi r4,1 +81130f04: 41000115 stw r4,4(r8) +81130f08: d8c02015 stw r3,128(sp) +81130f0c: d8801f15 stw r2,124(sp) +81130f10: 010001c4 movi r4,7 +81130f14: 2082b816 blt r4,r2,811319f8 <___vfprintf_internal_r+0x1948> +81130f18: 42000204 addi r8,r8,8 +81130f1c: d8802617 ldw r2,152(sp) +81130f20: d9403317 ldw r5,204(sp) +81130f24: 11400216 blt r2,r5,81130f30 <___vfprintf_internal_r+0xe80> +81130f28: 9080004c andi r2,r18,1 +81130f2c: 103ed526 beq r2,zero,81130a84 <__reset+0xfb110a84> +81130f30: d8803717 ldw r2,220(sp) +81130f34: d9003417 ldw r4,208(sp) +81130f38: d9403717 ldw r5,220(sp) +81130f3c: 1887883a add r3,r3,r2 +81130f40: d8801f17 ldw r2,124(sp) +81130f44: 41000015 stw r4,0(r8) +81130f48: 41400115 stw r5,4(r8) +81130f4c: 10800044 addi r2,r2,1 +81130f50: d8c02015 stw r3,128(sp) +81130f54: d8801f15 stw r2,124(sp) +81130f58: 010001c4 movi r4,7 +81130f5c: 20832916 blt r4,r2,81131c04 <___vfprintf_internal_r+0x1b54> +81130f60: 42000204 addi r8,r8,8 +81130f64: d8803317 ldw r2,204(sp) +81130f68: 143fffc4 addi r16,r2,-1 +81130f6c: 043ec50e bge zero,r16,81130a84 <__reset+0xfb110a84> +81130f70: 04400404 movi r17,16 +81130f74: d8801f17 ldw r2,124(sp) +81130f78: 8c00880e bge r17,r16,8113119c <___vfprintf_internal_r+0x10ec> +81130f7c: 01604574 movhi r5,33045 +81130f80: 297ed584 addi r5,r5,-1194 +81130f84: d9402b15 stw r5,172(sp) +81130f88: 058001c4 movi r22,7 +81130f8c: dcc02c17 ldw r19,176(sp) +81130f90: 00000306 br 81130fa0 <___vfprintf_internal_r+0xef0> +81130f94: 42000204 addi r8,r8,8 +81130f98: 843ffc04 addi r16,r16,-16 +81130f9c: 8c00820e bge r17,r16,811311a8 <___vfprintf_internal_r+0x10f8> +81130fa0: 18c00404 addi r3,r3,16 +81130fa4: 10800044 addi r2,r2,1 +81130fa8: 45000015 stw r20,0(r8) +81130fac: 44400115 stw r17,4(r8) +81130fb0: d8c02015 stw r3,128(sp) +81130fb4: d8801f15 stw r2,124(sp) +81130fb8: b0bff60e bge r22,r2,81130f94 <__reset+0xfb110f94> +81130fbc: d9801e04 addi r6,sp,120 +81130fc0: b80b883a mov r5,r23 +81130fc4: 9809883a mov r4,r19 +81130fc8: 113be080 call 8113be08 <__sprint_r> +81130fcc: 103d3a1e bne r2,zero,811304b8 <__reset+0xfb1104b8> +81130fd0: d8c02017 ldw r3,128(sp) +81130fd4: d8801f17 ldw r2,124(sp) +81130fd8: da000404 addi r8,sp,16 +81130fdc: 003fee06 br 81130f98 <__reset+0xfb110f98> +81130fe0: d9403117 ldw r5,196(sp) +81130fe4: d8802a17 ldw r2,168(sp) +81130fe8: 28adc83a sub r22,r5,r2 +81130fec: 05be630e bge zero,r22,8113097c <__reset+0xfb11097c> +81130ff0: 07000404 movi fp,16 +81130ff4: d8801f17 ldw r2,124(sp) +81130ff8: e5838f0e bge fp,r22,81131e38 <___vfprintf_internal_r+0x1d88> +81130ffc: 01604574 movhi r5,33045 +81131000: 297ed584 addi r5,r5,-1194 +81131004: dc403015 stw r17,192(sp) +81131008: d9402b15 stw r5,172(sp) +8113100c: b023883a mov r17,r22 +81131010: 04c001c4 movi r19,7 +81131014: a82d883a mov r22,r21 +81131018: 902b883a mov r21,r18 +8113101c: 8025883a mov r18,r16 +81131020: dc002c17 ldw r16,176(sp) +81131024: 00000306 br 81131034 <___vfprintf_internal_r+0xf84> +81131028: 8c7ffc04 addi r17,r17,-16 +8113102c: 42000204 addi r8,r8,8 +81131030: e440110e bge fp,r17,81131078 <___vfprintf_internal_r+0xfc8> +81131034: 18c00404 addi r3,r3,16 +81131038: 10800044 addi r2,r2,1 +8113103c: 45000015 stw r20,0(r8) +81131040: 47000115 stw fp,4(r8) +81131044: d8c02015 stw r3,128(sp) +81131048: d8801f15 stw r2,124(sp) +8113104c: 98bff60e bge r19,r2,81131028 <__reset+0xfb111028> +81131050: d9801e04 addi r6,sp,120 +81131054: b80b883a mov r5,r23 +81131058: 8009883a mov r4,r16 +8113105c: 113be080 call 8113be08 <__sprint_r> +81131060: 103d151e bne r2,zero,811304b8 <__reset+0xfb1104b8> +81131064: 8c7ffc04 addi r17,r17,-16 +81131068: d8c02017 ldw r3,128(sp) +8113106c: d8801f17 ldw r2,124(sp) +81131070: da000404 addi r8,sp,16 +81131074: e47fef16 blt fp,r17,81131034 <__reset+0xfb111034> +81131078: 9021883a mov r16,r18 +8113107c: a825883a mov r18,r21 +81131080: b02b883a mov r21,r22 +81131084: 882d883a mov r22,r17 +81131088: dc403017 ldw r17,192(sp) +8113108c: d9002b17 ldw r4,172(sp) +81131090: 1d87883a add r3,r3,r22 +81131094: 10800044 addi r2,r2,1 +81131098: 41000015 stw r4,0(r8) +8113109c: 45800115 stw r22,4(r8) +811310a0: d8c02015 stw r3,128(sp) +811310a4: d8801f15 stw r2,124(sp) +811310a8: 010001c4 movi r4,7 +811310ac: 20818e16 blt r4,r2,811316e8 <___vfprintf_internal_r+0x1638> +811310b0: 42000204 addi r8,r8,8 +811310b4: 003e3106 br 8113097c <__reset+0xfb11097c> +811310b8: d9403317 ldw r5,204(sp) +811310bc: 00800044 movi r2,1 +811310c0: 18c00044 addi r3,r3,1 +811310c4: 1141530e bge r2,r5,81131614 <___vfprintf_internal_r+0x1564> +811310c8: dc401f17 ldw r17,124(sp) +811310cc: 00800044 movi r2,1 +811310d0: 40800115 stw r2,4(r8) +811310d4: 8c400044 addi r17,r17,1 +811310d8: 44000015 stw r16,0(r8) +811310dc: d8c02015 stw r3,128(sp) +811310e0: dc401f15 stw r17,124(sp) +811310e4: 008001c4 movi r2,7 +811310e8: 14416b16 blt r2,r17,81131698 <___vfprintf_internal_r+0x15e8> +811310ec: 42000204 addi r8,r8,8 +811310f0: d8803717 ldw r2,220(sp) +811310f4: d9003417 ldw r4,208(sp) +811310f8: 8c400044 addi r17,r17,1 +811310fc: 10c7883a add r3,r2,r3 +81131100: 40800115 stw r2,4(r8) +81131104: 41000015 stw r4,0(r8) +81131108: d8c02015 stw r3,128(sp) +8113110c: dc401f15 stw r17,124(sp) +81131110: 008001c4 movi r2,7 +81131114: 14416916 blt r2,r17,811316bc <___vfprintf_internal_r+0x160c> +81131118: 45800204 addi r22,r8,8 +8113111c: d9003617 ldw r4,216(sp) +81131120: d9403817 ldw r5,224(sp) +81131124: 000d883a mov r6,zero +81131128: 000f883a mov r7,zero +8113112c: d8c03c15 stw r3,240(sp) +81131130: 113f0e00 call 8113f0e0 <__eqdf2> +81131134: d8c03c17 ldw r3,240(sp) +81131138: 1000bc26 beq r2,zero,8113142c <___vfprintf_internal_r+0x137c> +8113113c: d9403317 ldw r5,204(sp) +81131140: 84000044 addi r16,r16,1 +81131144: 8c400044 addi r17,r17,1 +81131148: 28bfffc4 addi r2,r5,-1 +8113114c: 1887883a add r3,r3,r2 +81131150: b0800115 stw r2,4(r22) +81131154: b4000015 stw r16,0(r22) +81131158: d8c02015 stw r3,128(sp) +8113115c: dc401f15 stw r17,124(sp) +81131160: 008001c4 movi r2,7 +81131164: 14414316 blt r2,r17,81131674 <___vfprintf_internal_r+0x15c4> +81131168: b5800204 addi r22,r22,8 +8113116c: d9003a17 ldw r4,232(sp) +81131170: df0022c4 addi fp,sp,139 +81131174: 8c400044 addi r17,r17,1 +81131178: 20c7883a add r3,r4,r3 +8113117c: b7000015 stw fp,0(r22) +81131180: b1000115 stw r4,4(r22) +81131184: d8c02015 stw r3,128(sp) +81131188: dc401f15 stw r17,124(sp) +8113118c: 008001c4 movi r2,7 +81131190: 14400e16 blt r2,r17,811311cc <___vfprintf_internal_r+0x111c> +81131194: b2000204 addi r8,r22,8 +81131198: 003e3a06 br 81130a84 <__reset+0xfb110a84> +8113119c: 01204574 movhi r4,33045 +811311a0: 213ed584 addi r4,r4,-1194 +811311a4: d9002b15 stw r4,172(sp) +811311a8: d9002b17 ldw r4,172(sp) +811311ac: 1c07883a add r3,r3,r16 +811311b0: 44000115 stw r16,4(r8) +811311b4: 41000015 stw r4,0(r8) +811311b8: 10800044 addi r2,r2,1 +811311bc: d8c02015 stw r3,128(sp) +811311c0: d8801f15 stw r2,124(sp) +811311c4: 010001c4 movi r4,7 +811311c8: 20be2d0e bge r4,r2,81130a80 <__reset+0xfb110a80> +811311cc: d9002c17 ldw r4,176(sp) +811311d0: d9801e04 addi r6,sp,120 +811311d4: b80b883a mov r5,r23 +811311d8: 113be080 call 8113be08 <__sprint_r> +811311dc: 103cb61e bne r2,zero,811304b8 <__reset+0xfb1104b8> +811311e0: d8c02017 ldw r3,128(sp) +811311e4: da000404 addi r8,sp,16 +811311e8: 003e2606 br 81130a84 <__reset+0xfb110a84> +811311ec: d9002c17 ldw r4,176(sp) +811311f0: d9801e04 addi r6,sp,120 +811311f4: b80b883a mov r5,r23 +811311f8: 113be080 call 8113be08 <__sprint_r> +811311fc: 103e5d26 beq r2,zero,81130b74 <__reset+0xfb110b74> +81131200: 003cad06 br 811304b8 <__reset+0xfb1104b8> 81131204: d9002c17 ldw r4,176(sp) 81131208: d9801e04 addi r6,sp,120 8113120c: b80b883a mov r5,r23 -81131210: 113bdc80 call 8113bdc8 <__sprint_r> -81131214: 103c981e bne r2,zero,81130478 <__reset+0xfb110478> +81131210: 113be080 call 8113be08 <__sprint_r> +81131214: 103ca81e bne r2,zero,811304b8 <__reset+0xfb1104b8> 81131218: d8c02017 ldw r3,128(sp) 8113121c: da000404 addi r8,sp,16 -81131220: 003dc306 br 81130930 <__reset+0xfb110930> -81131224: d8802917 ldw r2,164(sp) -81131228: d8002785 stb zero,158(sp) -8113122c: 103f0616 blt r2,zero,81130e48 <__reset+0xfb110e48> -81131230: 00ffdfc4 movi r3,-129 -81131234: 9d84b03a or r2,r19,r22 -81131238: 90e4703a and r18,r18,r3 -8113123c: 103c6b26 beq r2,zero,811303ec <__reset+0xfb1103ec> -81131240: 0039883a mov fp,zero -81131244: 003e7406 br 81130c18 <__reset+0xfb110c18> -81131248: 9080040c andi r2,r18,16 -8113124c: 1001b326 beq r2,zero,8113191c <___vfprintf_internal_r+0x18ac> -81131250: d9002d17 ldw r4,180(sp) -81131254: d9402917 ldw r5,164(sp) -81131258: d8002785 stb zero,158(sp) -8113125c: 20800104 addi r2,r4,4 -81131260: 24c00017 ldw r19,0(r4) -81131264: 002d883a mov r22,zero -81131268: 2801b516 blt r5,zero,81131940 <___vfprintf_internal_r+0x18d0> -8113126c: 00ffdfc4 movi r3,-129 -81131270: d8802d15 stw r2,180(sp) -81131274: 90e4703a and r18,r18,r3 -81131278: 983d2726 beq r19,zero,81130718 <__reset+0xfb110718> -8113127c: 0039883a mov fp,zero -81131280: 003d2a06 br 8113072c <__reset+0xfb11072c> -81131284: dc402617 ldw r17,152(sp) -81131288: 0441d30e bge zero,r17,811319d8 <___vfprintf_internal_r+0x1968> -8113128c: dc403217 ldw r17,200(sp) -81131290: d8803317 ldw r2,204(sp) -81131294: 1440010e bge r2,r17,8113129c <___vfprintf_internal_r+0x122c> -81131298: 1023883a mov r17,r2 -8113129c: 04400a0e bge zero,r17,811312c8 <___vfprintf_internal_r+0x1258> -811312a0: d8801f17 ldw r2,124(sp) -811312a4: 1c47883a add r3,r3,r17 -811312a8: 44000015 stw r16,0(r8) -811312ac: 10800044 addi r2,r2,1 -811312b0: 44400115 stw r17,4(r8) -811312b4: d8c02015 stw r3,128(sp) -811312b8: d8801f15 stw r2,124(sp) -811312bc: 010001c4 movi r4,7 -811312c0: 20826516 blt r4,r2,81131c58 <___vfprintf_internal_r+0x1be8> -811312c4: 42000204 addi r8,r8,8 -811312c8: 88026116 blt r17,zero,81131c50 <___vfprintf_internal_r+0x1be0> -811312cc: d9003217 ldw r4,200(sp) -811312d0: 2463c83a sub r17,r4,r17 -811312d4: 04407b0e bge zero,r17,811314c4 <___vfprintf_internal_r+0x1454> -811312d8: 05800404 movi r22,16 -811312dc: d8801f17 ldw r2,124(sp) -811312e0: b4419d0e bge r22,r17,81131958 <___vfprintf_internal_r+0x18e8> -811312e4: 01204574 movhi r4,33045 -811312e8: 213ec484 addi r4,r4,-1262 -811312ec: d9002b15 stw r4,172(sp) -811312f0: 070001c4 movi fp,7 -811312f4: dcc02c17 ldw r19,176(sp) -811312f8: 00000306 br 81131308 <___vfprintf_internal_r+0x1298> -811312fc: 42000204 addi r8,r8,8 -81131300: 8c7ffc04 addi r17,r17,-16 -81131304: b441970e bge r22,r17,81131964 <___vfprintf_internal_r+0x18f4> -81131308: 18c00404 addi r3,r3,16 -8113130c: 10800044 addi r2,r2,1 -81131310: 45000015 stw r20,0(r8) -81131314: 45800115 stw r22,4(r8) -81131318: d8c02015 stw r3,128(sp) -8113131c: d8801f15 stw r2,124(sp) -81131320: e0bff60e bge fp,r2,811312fc <__reset+0xfb1112fc> -81131324: d9801e04 addi r6,sp,120 -81131328: b80b883a mov r5,r23 -8113132c: 9809883a mov r4,r19 -81131330: 113bdc80 call 8113bdc8 <__sprint_r> -81131334: 103c501e bne r2,zero,81130478 <__reset+0xfb110478> -81131338: d8c02017 ldw r3,128(sp) -8113133c: d8801f17 ldw r2,124(sp) -81131340: da000404 addi r8,sp,16 -81131344: 003fee06 br 81131300 <__reset+0xfb111300> -81131348: d9002c17 ldw r4,176(sp) -8113134c: d9801e04 addi r6,sp,120 -81131350: b80b883a mov r5,r23 -81131354: 113bdc80 call 8113bdc8 <__sprint_r> -81131358: 103c471e bne r2,zero,81130478 <__reset+0xfb110478> -8113135c: d8c02017 ldw r3,128(sp) -81131360: df002787 ldb fp,158(sp) -81131364: da000404 addi r8,sp,16 -81131368: 003d5606 br 811308c4 <__reset+0xfb1108c4> -8113136c: 9080040c andi r2,r18,16 -81131370: 10016126 beq r2,zero,811318f8 <___vfprintf_internal_r+0x1888> -81131374: d8802d17 ldw r2,180(sp) -81131378: 14c00017 ldw r19,0(r2) -8113137c: 10800104 addi r2,r2,4 -81131380: d8802d15 stw r2,180(sp) -81131384: 982dd7fa srai r22,r19,31 -81131388: b005883a mov r2,r22 -8113138c: 003c8206 br 81130598 <__reset+0xfb110598> -81131390: 9080040c andi r2,r18,16 -81131394: 10003526 beq r2,zero,8113146c <___vfprintf_internal_r+0x13fc> -81131398: d9402d17 ldw r5,180(sp) -8113139c: d8c02917 ldw r3,164(sp) -811313a0: d8002785 stb zero,158(sp) -811313a4: 28800104 addi r2,r5,4 -811313a8: 2cc00017 ldw r19,0(r5) -811313ac: 002d883a mov r22,zero -811313b0: 18003716 blt r3,zero,81131490 <___vfprintf_internal_r+0x1420> -811313b4: 00ffdfc4 movi r3,-129 -811313b8: d8802d15 stw r2,180(sp) -811313bc: 90e4703a and r18,r18,r3 -811313c0: 0039883a mov fp,zero -811313c4: 983df326 beq r19,zero,81130b94 <__reset+0xfb110b94> -811313c8: 00800244 movi r2,9 -811313cc: 14fc7b36 bltu r2,r19,811305bc <__reset+0xfb1105bc> -811313d0: d8c02817 ldw r3,160(sp) -811313d4: dc001dc4 addi r16,sp,119 -811313d8: 9cc00c04 addi r19,r19,48 -811313dc: 1c07c83a sub r3,r3,r16 -811313e0: dcc01dc5 stb r19,119(sp) -811313e4: d8c02e15 stw r3,184(sp) -811313e8: 003ce806 br 8113078c <__reset+0xfb11078c> -811313ec: d8803317 ldw r2,204(sp) -811313f0: 143fffc4 addi r16,r2,-1 -811313f4: 043f4d0e bge zero,r16,8113112c <__reset+0xfb11112c> -811313f8: 07000404 movi fp,16 -811313fc: e400810e bge fp,r16,81131604 <___vfprintf_internal_r+0x1594> -81131400: 01604574 movhi r5,33045 -81131404: 297ec484 addi r5,r5,-1262 -81131408: d9402b15 stw r5,172(sp) -8113140c: 01c001c4 movi r7,7 -81131410: dcc02c17 ldw r19,176(sp) -81131414: 00000306 br 81131424 <___vfprintf_internal_r+0x13b4> -81131418: b5800204 addi r22,r22,8 -8113141c: 843ffc04 addi r16,r16,-16 -81131420: e4007b0e bge fp,r16,81131610 <___vfprintf_internal_r+0x15a0> -81131424: 18c00404 addi r3,r3,16 -81131428: 8c400044 addi r17,r17,1 -8113142c: b5000015 stw r20,0(r22) -81131430: b7000115 stw fp,4(r22) -81131434: d8c02015 stw r3,128(sp) -81131438: dc401f15 stw r17,124(sp) -8113143c: 3c7ff60e bge r7,r17,81131418 <__reset+0xfb111418> -81131440: d9801e04 addi r6,sp,120 -81131444: b80b883a mov r5,r23 -81131448: 9809883a mov r4,r19 -8113144c: d9c03c15 stw r7,240(sp) -81131450: 113bdc80 call 8113bdc8 <__sprint_r> -81131454: d9c03c17 ldw r7,240(sp) -81131458: 103c071e bne r2,zero,81130478 <__reset+0xfb110478> -8113145c: d8c02017 ldw r3,128(sp) -81131460: dc401f17 ldw r17,124(sp) -81131464: dd800404 addi r22,sp,16 -81131468: 003fec06 br 8113141c <__reset+0xfb11141c> -8113146c: 9080100c andi r2,r18,64 -81131470: d8002785 stb zero,158(sp) -81131474: 10010e26 beq r2,zero,811318b0 <___vfprintf_internal_r+0x1840> -81131478: d9002d17 ldw r4,180(sp) -8113147c: d9402917 ldw r5,164(sp) -81131480: 002d883a mov r22,zero -81131484: 20800104 addi r2,r4,4 -81131488: 24c0000b ldhu r19,0(r4) -8113148c: 283fc90e bge r5,zero,811313b4 <__reset+0xfb1113b4> -81131490: d8802d15 stw r2,180(sp) -81131494: 0039883a mov fp,zero -81131498: 9d84b03a or r2,r19,r22 -8113149c: 103c461e bne r2,zero,811305b8 <__reset+0xfb1105b8> -811314a0: 00800044 movi r2,1 -811314a4: 003e6c06 br 81130e58 <__reset+0xfb110e58> -811314a8: d9002c17 ldw r4,176(sp) -811314ac: d9801e04 addi r6,sp,120 -811314b0: b80b883a mov r5,r23 -811314b4: 113bdc80 call 8113bdc8 <__sprint_r> -811314b8: 103bef1e bne r2,zero,81130478 <__reset+0xfb110478> -811314bc: d8c02017 ldw r3,128(sp) -811314c0: da000404 addi r8,sp,16 -811314c4: d9003217 ldw r4,200(sp) -811314c8: d8802617 ldw r2,152(sp) -811314cc: d9403317 ldw r5,204(sp) -811314d0: 8123883a add r17,r16,r4 -811314d4: 11400216 blt r2,r5,811314e0 <___vfprintf_internal_r+0x1470> -811314d8: 9100004c andi r4,r18,1 -811314dc: 20000d26 beq r4,zero,81131514 <___vfprintf_internal_r+0x14a4> -811314e0: d9003717 ldw r4,220(sp) -811314e4: d9403417 ldw r5,208(sp) -811314e8: 1907883a add r3,r3,r4 -811314ec: d9001f17 ldw r4,124(sp) -811314f0: 41400015 stw r5,0(r8) -811314f4: d9403717 ldw r5,220(sp) -811314f8: 21000044 addi r4,r4,1 -811314fc: d8c02015 stw r3,128(sp) -81131500: 41400115 stw r5,4(r8) -81131504: d9001f15 stw r4,124(sp) -81131508: 014001c4 movi r5,7 -8113150c: 2901e816 blt r5,r4,81131cb0 <___vfprintf_internal_r+0x1c40> -81131510: 42000204 addi r8,r8,8 -81131514: d9003317 ldw r4,204(sp) -81131518: 8121883a add r16,r16,r4 -8113151c: 2085c83a sub r2,r4,r2 -81131520: 8461c83a sub r16,r16,r17 -81131524: 1400010e bge r2,r16,8113152c <___vfprintf_internal_r+0x14bc> -81131528: 1021883a mov r16,r2 -8113152c: 04000a0e bge zero,r16,81131558 <___vfprintf_internal_r+0x14e8> -81131530: d9001f17 ldw r4,124(sp) -81131534: 1c07883a add r3,r3,r16 -81131538: 44400015 stw r17,0(r8) -8113153c: 21000044 addi r4,r4,1 -81131540: 44000115 stw r16,4(r8) -81131544: d8c02015 stw r3,128(sp) -81131548: d9001f15 stw r4,124(sp) -8113154c: 014001c4 movi r5,7 -81131550: 2901fb16 blt r5,r4,81131d40 <___vfprintf_internal_r+0x1cd0> -81131554: 42000204 addi r8,r8,8 -81131558: 8001f716 blt r16,zero,81131d38 <___vfprintf_internal_r+0x1cc8> -8113155c: 1421c83a sub r16,r2,r16 -81131560: 043d380e bge zero,r16,81130a44 <__reset+0xfb110a44> -81131564: 04400404 movi r17,16 -81131568: d8801f17 ldw r2,124(sp) -8113156c: 8c3efb0e bge r17,r16,8113115c <__reset+0xfb11115c> -81131570: 01604574 movhi r5,33045 -81131574: 297ec484 addi r5,r5,-1262 -81131578: d9402b15 stw r5,172(sp) -8113157c: 058001c4 movi r22,7 -81131580: dcc02c17 ldw r19,176(sp) -81131584: 00000306 br 81131594 <___vfprintf_internal_r+0x1524> -81131588: 42000204 addi r8,r8,8 -8113158c: 843ffc04 addi r16,r16,-16 -81131590: 8c3ef50e bge r17,r16,81131168 <__reset+0xfb111168> -81131594: 18c00404 addi r3,r3,16 -81131598: 10800044 addi r2,r2,1 -8113159c: 45000015 stw r20,0(r8) -811315a0: 44400115 stw r17,4(r8) -811315a4: d8c02015 stw r3,128(sp) -811315a8: d8801f15 stw r2,124(sp) -811315ac: b0bff60e bge r22,r2,81131588 <__reset+0xfb111588> -811315b0: d9801e04 addi r6,sp,120 -811315b4: b80b883a mov r5,r23 -811315b8: 9809883a mov r4,r19 -811315bc: 113bdc80 call 8113bdc8 <__sprint_r> -811315c0: 103bad1e bne r2,zero,81130478 <__reset+0xfb110478> -811315c4: d8c02017 ldw r3,128(sp) -811315c8: d8801f17 ldw r2,124(sp) -811315cc: da000404 addi r8,sp,16 -811315d0: 003fee06 br 8113158c <__reset+0xfb11158c> -811315d4: 9088703a and r4,r18,r2 -811315d8: 203eab1e bne r4,zero,81131088 <__reset+0xfb111088> -811315dc: dc401f17 ldw r17,124(sp) -811315e0: 40800115 stw r2,4(r8) -811315e4: 44000015 stw r16,0(r8) -811315e8: 8c400044 addi r17,r17,1 -811315ec: d8c02015 stw r3,128(sp) -811315f0: dc401f15 stw r17,124(sp) -811315f4: 008001c4 movi r2,7 -811315f8: 14400e16 blt r2,r17,81131634 <___vfprintf_internal_r+0x15c4> -811315fc: 45800204 addi r22,r8,8 -81131600: 003eca06 br 8113112c <__reset+0xfb11112c> -81131604: 01204574 movhi r4,33045 -81131608: 213ec484 addi r4,r4,-1262 -8113160c: d9002b15 stw r4,172(sp) -81131610: d8802b17 ldw r2,172(sp) -81131614: 1c07883a add r3,r3,r16 -81131618: 8c400044 addi r17,r17,1 -8113161c: b0800015 stw r2,0(r22) -81131620: b4000115 stw r16,4(r22) -81131624: d8c02015 stw r3,128(sp) -81131628: dc401f15 stw r17,124(sp) -8113162c: 008001c4 movi r2,7 -81131630: 147ebd0e bge r2,r17,81131128 <__reset+0xfb111128> -81131634: d9002c17 ldw r4,176(sp) -81131638: d9801e04 addi r6,sp,120 -8113163c: b80b883a mov r5,r23 -81131640: 113bdc80 call 8113bdc8 <__sprint_r> -81131644: 103b8c1e bne r2,zero,81130478 <__reset+0xfb110478> -81131648: d8c02017 ldw r3,128(sp) -8113164c: dc401f17 ldw r17,124(sp) -81131650: dd800404 addi r22,sp,16 -81131654: 003eb506 br 8113112c <__reset+0xfb11112c> -81131658: d9002c17 ldw r4,176(sp) -8113165c: d9801e04 addi r6,sp,120 -81131660: b80b883a mov r5,r23 -81131664: 113bdc80 call 8113bdc8 <__sprint_r> -81131668: 103b831e bne r2,zero,81130478 <__reset+0xfb110478> -8113166c: d8c02017 ldw r3,128(sp) -81131670: dc401f17 ldw r17,124(sp) -81131674: da000404 addi r8,sp,16 -81131678: 003e8d06 br 811310b0 <__reset+0xfb1110b0> -8113167c: d9002c17 ldw r4,176(sp) -81131680: d9801e04 addi r6,sp,120 -81131684: b80b883a mov r5,r23 -81131688: 113bdc80 call 8113bdc8 <__sprint_r> -8113168c: 103b7a1e bne r2,zero,81130478 <__reset+0xfb110478> -81131690: d8c02017 ldw r3,128(sp) -81131694: dc401f17 ldw r17,124(sp) -81131698: dd800404 addi r22,sp,16 -8113169c: 003e8f06 br 811310dc <__reset+0xfb1110dc> -811316a0: 0027883a mov r19,zero -811316a4: 003f4a06 br 811313d0 <__reset+0xfb1113d0> -811316a8: d9002c17 ldw r4,176(sp) -811316ac: d9801e04 addi r6,sp,120 -811316b0: b80b883a mov r5,r23 -811316b4: 113bdc80 call 8113bdc8 <__sprint_r> -811316b8: 103b6f1e bne r2,zero,81130478 <__reset+0xfb110478> -811316bc: d8c02017 ldw r3,128(sp) -811316c0: da000404 addi r8,sp,16 -811316c4: 003c9d06 br 8113093c <__reset+0xfb11093c> -811316c8: 04e7c83a sub r19,zero,r19 -811316cc: 9804c03a cmpne r2,r19,zero -811316d0: 05adc83a sub r22,zero,r22 -811316d4: b0adc83a sub r22,r22,r2 -811316d8: d8802917 ldw r2,164(sp) -811316dc: 07000b44 movi fp,45 -811316e0: df002785 stb fp,158(sp) -811316e4: 10017b16 blt r2,zero,81131cd4 <___vfprintf_internal_r+0x1c64> -811316e8: 00bfdfc4 movi r2,-129 -811316ec: 90a4703a and r18,r18,r2 -811316f0: 003bb106 br 811305b8 <__reset+0xfb1105b8> -811316f4: d9003617 ldw r4,216(sp) -811316f8: d9403817 ldw r5,224(sp) -811316fc: da003d15 stw r8,244(sp) -81131700: 11387640 call 81138764 <__fpclassifyd> -81131704: da003d17 ldw r8,244(sp) -81131708: 1000f026 beq r2,zero,81131acc <___vfprintf_internal_r+0x1a5c> -8113170c: d9002917 ldw r4,164(sp) -81131710: 05bff7c4 movi r22,-33 -81131714: 00bfffc4 movi r2,-1 -81131718: 8dac703a and r22,r17,r22 -8113171c: 20820026 beq r4,r2,81131f20 <___vfprintf_internal_r+0x1eb0> -81131720: 008011c4 movi r2,71 -81131724: b081f726 beq r22,r2,81131f04 <___vfprintf_internal_r+0x1e94> -81131728: d9003817 ldw r4,224(sp) -8113172c: 90c04014 ori r3,r18,256 -81131730: d8c02b15 stw r3,172(sp) -81131734: 20021516 blt r4,zero,81131f8c <___vfprintf_internal_r+0x1f1c> -81131738: dcc03817 ldw r19,224(sp) -8113173c: d8002a05 stb zero,168(sp) -81131740: 00801984 movi r2,102 -81131744: 8881f926 beq r17,r2,81131f2c <___vfprintf_internal_r+0x1ebc> -81131748: 00801184 movi r2,70 -8113174c: 88821c26 beq r17,r2,81131fc0 <___vfprintf_internal_r+0x1f50> -81131750: 00801144 movi r2,69 -81131754: b081ef26 beq r22,r2,81131f14 <___vfprintf_internal_r+0x1ea4> -81131758: d8c02917 ldw r3,164(sp) -8113175c: d8802104 addi r2,sp,132 -81131760: d8800315 stw r2,12(sp) -81131764: d9403617 ldw r5,216(sp) -81131768: d8802504 addi r2,sp,148 -8113176c: d9002c17 ldw r4,176(sp) -81131770: d8800215 stw r2,8(sp) -81131774: d8802604 addi r2,sp,152 -81131778: d8c00015 stw r3,0(sp) -8113177c: d8800115 stw r2,4(sp) -81131780: 01c00084 movi r7,2 -81131784: 980d883a mov r6,r19 -81131788: d8c03c15 stw r3,240(sp) -8113178c: da003d15 stw r8,244(sp) -81131790: 113412c0 call 8113412c <_dtoa_r> -81131794: 1021883a mov r16,r2 -81131798: 008019c4 movi r2,103 -8113179c: d8c03c17 ldw r3,240(sp) -811317a0: da003d17 ldw r8,244(sp) -811317a4: 88817126 beq r17,r2,81131d6c <___vfprintf_internal_r+0x1cfc> -811317a8: 008011c4 movi r2,71 -811317ac: 88829226 beq r17,r2,811321f8 <___vfprintf_internal_r+0x2188> -811317b0: 80f9883a add fp,r16,r3 -811317b4: d9003617 ldw r4,216(sp) -811317b8: 000d883a mov r6,zero -811317bc: 000f883a mov r7,zero -811317c0: 980b883a mov r5,r19 -811317c4: da003d15 stw r8,244(sp) -811317c8: 113f0a00 call 8113f0a0 <__eqdf2> -811317cc: da003d17 ldw r8,244(sp) -811317d0: 10018d26 beq r2,zero,81131e08 <___vfprintf_internal_r+0x1d98> -811317d4: d8802117 ldw r2,132(sp) -811317d8: 1700062e bgeu r2,fp,811317f4 <___vfprintf_internal_r+0x1784> -811317dc: 01000c04 movi r4,48 -811317e0: 10c00044 addi r3,r2,1 -811317e4: d8c02115 stw r3,132(sp) -811317e8: 11000005 stb r4,0(r2) -811317ec: d8802117 ldw r2,132(sp) -811317f0: 173ffb36 bltu r2,fp,811317e0 <__reset+0xfb1117e0> -811317f4: 1405c83a sub r2,r2,r16 -811317f8: d8803315 stw r2,204(sp) -811317fc: 008011c4 movi r2,71 -81131800: b0817626 beq r22,r2,81131ddc <___vfprintf_internal_r+0x1d6c> -81131804: 00801944 movi r2,101 -81131808: 1442810e bge r2,r17,81132210 <___vfprintf_internal_r+0x21a0> -8113180c: d8c02617 ldw r3,152(sp) -81131810: 00801984 movi r2,102 -81131814: d8c03215 stw r3,200(sp) -81131818: 8881fe26 beq r17,r2,81132014 <___vfprintf_internal_r+0x1fa4> -8113181c: d8c03217 ldw r3,200(sp) -81131820: d9003317 ldw r4,204(sp) -81131824: 1901dd16 blt r3,r4,81131f9c <___vfprintf_internal_r+0x1f2c> -81131828: 9480004c andi r18,r18,1 -8113182c: 90022b1e bne r18,zero,811320dc <___vfprintf_internal_r+0x206c> -81131830: 1805883a mov r2,r3 -81131834: 18028016 blt r3,zero,81132238 <___vfprintf_internal_r+0x21c8> -81131838: d8c03217 ldw r3,200(sp) -8113183c: 044019c4 movi r17,103 -81131840: d8c02e15 stw r3,184(sp) -81131844: df002a07 ldb fp,168(sp) -81131848: e001531e bne fp,zero,81131d98 <___vfprintf_internal_r+0x1d28> -8113184c: df002783 ldbu fp,158(sp) -81131850: d8802a15 stw r2,168(sp) -81131854: dc802b17 ldw r18,172(sp) -81131858: d8002915 stw zero,164(sp) -8113185c: 003bd106 br 811307a4 <__reset+0xfb1107a4> -81131860: d8802d17 ldw r2,180(sp) -81131864: d8c02d17 ldw r3,180(sp) -81131868: d9002d17 ldw r4,180(sp) -8113186c: 10800017 ldw r2,0(r2) -81131870: 18c00117 ldw r3,4(r3) -81131874: 21000204 addi r4,r4,8 -81131878: d8803615 stw r2,216(sp) -8113187c: d8c03815 stw r3,224(sp) -81131880: d9002d15 stw r4,180(sp) -81131884: 003b7506 br 8113065c <__reset+0xfb11065c> -81131888: ac400007 ldb r17,0(r21) -8113188c: 003a5906 br 811301f4 <__reset+0xfb1101f4> -81131890: 9080100c andi r2,r18,64 -81131894: 1000a826 beq r2,zero,81131b38 <___vfprintf_internal_r+0x1ac8> -81131898: d9002d17 ldw r4,180(sp) -8113189c: 002d883a mov r22,zero -811318a0: 24c0000b ldhu r19,0(r4) -811318a4: 21000104 addi r4,r4,4 -811318a8: d9002d15 stw r4,180(sp) -811318ac: 003ccb06 br 81130bdc <__reset+0xfb110bdc> -811318b0: d8c02d17 ldw r3,180(sp) -811318b4: d9002917 ldw r4,164(sp) -811318b8: 002d883a mov r22,zero -811318bc: 18800104 addi r2,r3,4 -811318c0: 1cc00017 ldw r19,0(r3) -811318c4: 203ebb0e bge r4,zero,811313b4 <__reset+0xfb1113b4> -811318c8: 003ef106 br 81131490 <__reset+0xfb111490> -811318cc: 9080040c andi r2,r18,16 -811318d0: 1000921e bne r2,zero,81131b1c <___vfprintf_internal_r+0x1aac> -811318d4: 9480100c andi r18,r18,64 -811318d8: 90013926 beq r18,zero,81131dc0 <___vfprintf_internal_r+0x1d50> -811318dc: d9002d17 ldw r4,180(sp) -811318e0: d9402f17 ldw r5,188(sp) -811318e4: 20800017 ldw r2,0(r4) -811318e8: 21000104 addi r4,r4,4 -811318ec: d9002d15 stw r4,180(sp) -811318f0: 1140000d sth r5,0(r2) -811318f4: 003a1606 br 81130150 <__reset+0xfb110150> -811318f8: 9080100c andi r2,r18,64 -811318fc: 10008026 beq r2,zero,81131b00 <___vfprintf_internal_r+0x1a90> -81131900: d8c02d17 ldw r3,180(sp) -81131904: 1cc0000f ldh r19,0(r3) -81131908: 18c00104 addi r3,r3,4 -8113190c: d8c02d15 stw r3,180(sp) -81131910: 982dd7fa srai r22,r19,31 -81131914: b005883a mov r2,r22 -81131918: 003b1f06 br 81130598 <__reset+0xfb110598> -8113191c: 9080100c andi r2,r18,64 -81131920: d8002785 stb zero,158(sp) -81131924: 10008a1e bne r2,zero,81131b50 <___vfprintf_internal_r+0x1ae0> -81131928: d9402d17 ldw r5,180(sp) -8113192c: d8c02917 ldw r3,164(sp) -81131930: 002d883a mov r22,zero -81131934: 28800104 addi r2,r5,4 -81131938: 2cc00017 ldw r19,0(r5) -8113193c: 183e4b0e bge r3,zero,8113126c <__reset+0xfb11126c> -81131940: 9d86b03a or r3,r19,r22 -81131944: d8802d15 stw r2,180(sp) -81131948: 183e4c1e bne r3,zero,8113127c <__reset+0xfb11127c> -8113194c: 0039883a mov fp,zero -81131950: 0005883a mov r2,zero -81131954: 003d4006 br 81130e58 <__reset+0xfb110e58> -81131958: 01604574 movhi r5,33045 -8113195c: 297ec484 addi r5,r5,-1262 -81131960: d9402b15 stw r5,172(sp) -81131964: d9402b17 ldw r5,172(sp) -81131968: 1c47883a add r3,r3,r17 -8113196c: 10800044 addi r2,r2,1 -81131970: 41400015 stw r5,0(r8) -81131974: 44400115 stw r17,4(r8) -81131978: d8c02015 stw r3,128(sp) -8113197c: d8801f15 stw r2,124(sp) -81131980: 010001c4 movi r4,7 -81131984: 20bec816 blt r4,r2,811314a8 <__reset+0xfb1114a8> -81131988: 42000204 addi r8,r8,8 -8113198c: 003ecd06 br 811314c4 <__reset+0xfb1114c4> -81131990: d9002917 ldw r4,164(sp) -81131994: d8002785 stb zero,158(sp) -81131998: 203d2d16 blt r4,zero,81130e50 <__reset+0xfb110e50> -8113199c: 00bfdfc4 movi r2,-129 -811319a0: 90a4703a and r18,r18,r2 -811319a4: 003a9106 br 811303ec <__reset+0xfb1103ec> -811319a8: 01204574 movhi r4,33045 -811319ac: 213ec484 addi r4,r4,-1262 -811319b0: d9002b15 stw r4,172(sp) -811319b4: 003c0c06 br 811309e8 <__reset+0xfb1109e8> -811319b8: d9002c17 ldw r4,176(sp) -811319bc: d9801e04 addi r6,sp,120 -811319c0: b80b883a mov r5,r23 -811319c4: 113bdc80 call 8113bdc8 <__sprint_r> -811319c8: 103aab1e bne r2,zero,81130478 <__reset+0xfb110478> -811319cc: d8c02017 ldw r3,128(sp) -811319d0: da000404 addi r8,sp,16 -811319d4: 003d4106 br 81130edc <__reset+0xfb110edc> -811319d8: d8801f17 ldw r2,124(sp) -811319dc: 01604574 movhi r5,33045 -811319e0: 01000044 movi r4,1 -811319e4: 18c00044 addi r3,r3,1 -811319e8: 10800044 addi r2,r2,1 -811319ec: 297ebc04 addi r5,r5,-1296 -811319f0: 41000115 stw r4,4(r8) -811319f4: 41400015 stw r5,0(r8) -811319f8: d8c02015 stw r3,128(sp) -811319fc: d8801f15 stw r2,124(sp) -81131a00: 010001c4 movi r4,7 -81131a04: 20805c16 blt r4,r2,81131b78 <___vfprintf_internal_r+0x1b08> -81131a08: 42000204 addi r8,r8,8 -81131a0c: 8800041e bne r17,zero,81131a20 <___vfprintf_internal_r+0x19b0> -81131a10: d8803317 ldw r2,204(sp) -81131a14: 1000021e bne r2,zero,81131a20 <___vfprintf_internal_r+0x19b0> -81131a18: 9080004c andi r2,r18,1 -81131a1c: 103c0926 beq r2,zero,81130a44 <__reset+0xfb110a44> -81131a20: d9003717 ldw r4,220(sp) -81131a24: d8801f17 ldw r2,124(sp) -81131a28: d9403417 ldw r5,208(sp) -81131a2c: 20c7883a add r3,r4,r3 -81131a30: 10800044 addi r2,r2,1 -81131a34: 41000115 stw r4,4(r8) -81131a38: 41400015 stw r5,0(r8) -81131a3c: d8c02015 stw r3,128(sp) -81131a40: d8801f15 stw r2,124(sp) -81131a44: 010001c4 movi r4,7 -81131a48: 20812116 blt r4,r2,81131ed0 <___vfprintf_internal_r+0x1e60> -81131a4c: 42000204 addi r8,r8,8 -81131a50: 0463c83a sub r17,zero,r17 -81131a54: 0440730e bge zero,r17,81131c24 <___vfprintf_internal_r+0x1bb4> -81131a58: 05800404 movi r22,16 -81131a5c: b440860e bge r22,r17,81131c78 <___vfprintf_internal_r+0x1c08> -81131a60: 01604574 movhi r5,33045 -81131a64: 297ec484 addi r5,r5,-1262 -81131a68: d9402b15 stw r5,172(sp) -81131a6c: 070001c4 movi fp,7 -81131a70: dcc02c17 ldw r19,176(sp) -81131a74: 00000306 br 81131a84 <___vfprintf_internal_r+0x1a14> -81131a78: 42000204 addi r8,r8,8 -81131a7c: 8c7ffc04 addi r17,r17,-16 -81131a80: b440800e bge r22,r17,81131c84 <___vfprintf_internal_r+0x1c14> -81131a84: 18c00404 addi r3,r3,16 -81131a88: 10800044 addi r2,r2,1 -81131a8c: 45000015 stw r20,0(r8) -81131a90: 45800115 stw r22,4(r8) -81131a94: d8c02015 stw r3,128(sp) -81131a98: d8801f15 stw r2,124(sp) -81131a9c: e0bff60e bge fp,r2,81131a78 <__reset+0xfb111a78> -81131aa0: d9801e04 addi r6,sp,120 -81131aa4: b80b883a mov r5,r23 -81131aa8: 9809883a mov r4,r19 -81131aac: 113bdc80 call 8113bdc8 <__sprint_r> -81131ab0: 103a711e bne r2,zero,81130478 <__reset+0xfb110478> -81131ab4: d8c02017 ldw r3,128(sp) -81131ab8: d8801f17 ldw r2,124(sp) -81131abc: da000404 addi r8,sp,16 -81131ac0: 003fee06 br 81131a7c <__reset+0xfb111a7c> -81131ac4: 00bfffc4 movi r2,-1 -81131ac8: 003a6f06 br 81130488 <__reset+0xfb110488> -81131acc: 008011c4 movi r2,71 -81131ad0: 1440b816 blt r2,r17,81131db4 <___vfprintf_internal_r+0x1d44> -81131ad4: 04204574 movhi r16,33045 -81131ad8: 843eae04 addi r16,r16,-1352 -81131adc: 00c000c4 movi r3,3 -81131ae0: 00bfdfc4 movi r2,-129 -81131ae4: d8c02a15 stw r3,168(sp) -81131ae8: 90a4703a and r18,r18,r2 -81131aec: df002783 ldbu fp,158(sp) -81131af0: d8c02e15 stw r3,184(sp) -81131af4: d8002915 stw zero,164(sp) -81131af8: d8003215 stw zero,200(sp) -81131afc: 003b2906 br 811307a4 <__reset+0xfb1107a4> -81131b00: d9002d17 ldw r4,180(sp) -81131b04: 24c00017 ldw r19,0(r4) -81131b08: 21000104 addi r4,r4,4 -81131b0c: d9002d15 stw r4,180(sp) -81131b10: 982dd7fa srai r22,r19,31 -81131b14: b005883a mov r2,r22 -81131b18: 003a9f06 br 81130598 <__reset+0xfb110598> -81131b1c: d9402d17 ldw r5,180(sp) -81131b20: d8c02f17 ldw r3,188(sp) -81131b24: 28800017 ldw r2,0(r5) -81131b28: 29400104 addi r5,r5,4 -81131b2c: d9402d15 stw r5,180(sp) -81131b30: 10c00015 stw r3,0(r2) -81131b34: 00398606 br 81130150 <__reset+0xfb110150> -81131b38: d9402d17 ldw r5,180(sp) -81131b3c: 002d883a mov r22,zero -81131b40: 2cc00017 ldw r19,0(r5) -81131b44: 29400104 addi r5,r5,4 -81131b48: d9402d15 stw r5,180(sp) -81131b4c: 003c2306 br 81130bdc <__reset+0xfb110bdc> -81131b50: d8c02d17 ldw r3,180(sp) -81131b54: d9002917 ldw r4,164(sp) -81131b58: 002d883a mov r22,zero -81131b5c: 18800104 addi r2,r3,4 -81131b60: 1cc0000b ldhu r19,0(r3) -81131b64: 203dc10e bge r4,zero,8113126c <__reset+0xfb11126c> -81131b68: 003f7506 br 81131940 <__reset+0xfb111940> -81131b6c: 04204574 movhi r16,33045 -81131b70: 843eac04 addi r16,r16,-1360 -81131b74: 003acc06 br 811306a8 <__reset+0xfb1106a8> -81131b78: d9002c17 ldw r4,176(sp) -81131b7c: d9801e04 addi r6,sp,120 -81131b80: b80b883a mov r5,r23 -81131b84: 113bdc80 call 8113bdc8 <__sprint_r> -81131b88: 103a3b1e bne r2,zero,81130478 <__reset+0xfb110478> -81131b8c: dc402617 ldw r17,152(sp) -81131b90: d8c02017 ldw r3,128(sp) -81131b94: da000404 addi r8,sp,16 -81131b98: 003f9c06 br 81131a0c <__reset+0xfb111a0c> -81131b9c: ac400043 ldbu r17,1(r21) -81131ba0: 94800814 ori r18,r18,32 -81131ba4: ad400044 addi r21,r21,1 -81131ba8: 8c403fcc andi r17,r17,255 -81131bac: 8c40201c xori r17,r17,128 -81131bb0: 8c7fe004 addi r17,r17,-128 -81131bb4: 00398f06 br 811301f4 <__reset+0xfb1101f4> -81131bb8: d8c02d15 stw r3,180(sp) -81131bbc: 0039883a mov fp,zero -81131bc0: 003e3506 br 81131498 <__reset+0xfb111498> -81131bc4: d9002c17 ldw r4,176(sp) -81131bc8: d9801e04 addi r6,sp,120 -81131bcc: b80b883a mov r5,r23 -81131bd0: 113bdc80 call 8113bdc8 <__sprint_r> -81131bd4: 103a281e bne r2,zero,81130478 <__reset+0xfb110478> -81131bd8: d8c02017 ldw r3,128(sp) -81131bdc: da000404 addi r8,sp,16 -81131be0: 003cd006 br 81130f24 <__reset+0xfb110f24> -81131be4: 8009883a mov r4,r16 -81131be8: da003d15 stw r8,244(sp) -81131bec: 112d86c0 call 8112d86c -81131bf0: d8802e15 stw r2,184(sp) -81131bf4: da003d17 ldw r8,244(sp) -81131bf8: 103c340e bge r2,zero,81130ccc <__reset+0xfb110ccc> -81131bfc: 0005883a mov r2,zero -81131c00: 003c3206 br 81130ccc <__reset+0xfb110ccc> +81131220: 003e0b06 br 81130a50 <__reset+0xfb110a50> +81131224: d9002c17 ldw r4,176(sp) +81131228: d9801e04 addi r6,sp,120 +8113122c: b80b883a mov r5,r23 +81131230: 113be080 call 8113be08 <__sprint_r> +81131234: 103ca01e bne r2,zero,811304b8 <__reset+0xfb1104b8> +81131238: d8c02017 ldw r3,128(sp) +8113123c: da000404 addi r8,sp,16 +81131240: 003dbd06 br 81130938 <__reset+0xfb110938> +81131244: d9002c17 ldw r4,176(sp) +81131248: d9801e04 addi r6,sp,120 +8113124c: b80b883a mov r5,r23 +81131250: 113be080 call 8113be08 <__sprint_r> +81131254: 103c981e bne r2,zero,811304b8 <__reset+0xfb1104b8> +81131258: d8c02017 ldw r3,128(sp) +8113125c: da000404 addi r8,sp,16 +81131260: 003dc306 br 81130970 <__reset+0xfb110970> +81131264: d8802917 ldw r2,164(sp) +81131268: d8002785 stb zero,158(sp) +8113126c: 103f0616 blt r2,zero,81130e88 <__reset+0xfb110e88> +81131270: 00ffdfc4 movi r3,-129 +81131274: 9d84b03a or r2,r19,r22 +81131278: 90e4703a and r18,r18,r3 +8113127c: 103c6b26 beq r2,zero,8113042c <__reset+0xfb11042c> +81131280: 0039883a mov fp,zero +81131284: 003e7406 br 81130c58 <__reset+0xfb110c58> +81131288: 9080040c andi r2,r18,16 +8113128c: 1001b326 beq r2,zero,8113195c <___vfprintf_internal_r+0x18ac> +81131290: d9002d17 ldw r4,180(sp) +81131294: d9402917 ldw r5,164(sp) +81131298: d8002785 stb zero,158(sp) +8113129c: 20800104 addi r2,r4,4 +811312a0: 24c00017 ldw r19,0(r4) +811312a4: 002d883a mov r22,zero +811312a8: 2801b516 blt r5,zero,81131980 <___vfprintf_internal_r+0x18d0> +811312ac: 00ffdfc4 movi r3,-129 +811312b0: d8802d15 stw r2,180(sp) +811312b4: 90e4703a and r18,r18,r3 +811312b8: 983d2726 beq r19,zero,81130758 <__reset+0xfb110758> +811312bc: 0039883a mov fp,zero +811312c0: 003d2a06 br 8113076c <__reset+0xfb11076c> +811312c4: dc402617 ldw r17,152(sp) +811312c8: 0441d30e bge zero,r17,81131a18 <___vfprintf_internal_r+0x1968> +811312cc: dc403217 ldw r17,200(sp) +811312d0: d8803317 ldw r2,204(sp) +811312d4: 1440010e bge r2,r17,811312dc <___vfprintf_internal_r+0x122c> +811312d8: 1023883a mov r17,r2 +811312dc: 04400a0e bge zero,r17,81131308 <___vfprintf_internal_r+0x1258> +811312e0: d8801f17 ldw r2,124(sp) +811312e4: 1c47883a add r3,r3,r17 +811312e8: 44000015 stw r16,0(r8) +811312ec: 10800044 addi r2,r2,1 +811312f0: 44400115 stw r17,4(r8) +811312f4: d8c02015 stw r3,128(sp) +811312f8: d8801f15 stw r2,124(sp) +811312fc: 010001c4 movi r4,7 +81131300: 20826516 blt r4,r2,81131c98 <___vfprintf_internal_r+0x1be8> +81131304: 42000204 addi r8,r8,8 +81131308: 88026116 blt r17,zero,81131c90 <___vfprintf_internal_r+0x1be0> +8113130c: d9003217 ldw r4,200(sp) +81131310: 2463c83a sub r17,r4,r17 +81131314: 04407b0e bge zero,r17,81131504 <___vfprintf_internal_r+0x1454> +81131318: 05800404 movi r22,16 +8113131c: d8801f17 ldw r2,124(sp) +81131320: b4419d0e bge r22,r17,81131998 <___vfprintf_internal_r+0x18e8> +81131324: 01204574 movhi r4,33045 +81131328: 213ed584 addi r4,r4,-1194 +8113132c: d9002b15 stw r4,172(sp) +81131330: 070001c4 movi fp,7 +81131334: dcc02c17 ldw r19,176(sp) +81131338: 00000306 br 81131348 <___vfprintf_internal_r+0x1298> +8113133c: 42000204 addi r8,r8,8 +81131340: 8c7ffc04 addi r17,r17,-16 +81131344: b441970e bge r22,r17,811319a4 <___vfprintf_internal_r+0x18f4> +81131348: 18c00404 addi r3,r3,16 +8113134c: 10800044 addi r2,r2,1 +81131350: 45000015 stw r20,0(r8) +81131354: 45800115 stw r22,4(r8) +81131358: d8c02015 stw r3,128(sp) +8113135c: d8801f15 stw r2,124(sp) +81131360: e0bff60e bge fp,r2,8113133c <__reset+0xfb11133c> +81131364: d9801e04 addi r6,sp,120 +81131368: b80b883a mov r5,r23 +8113136c: 9809883a mov r4,r19 +81131370: 113be080 call 8113be08 <__sprint_r> +81131374: 103c501e bne r2,zero,811304b8 <__reset+0xfb1104b8> +81131378: d8c02017 ldw r3,128(sp) +8113137c: d8801f17 ldw r2,124(sp) +81131380: da000404 addi r8,sp,16 +81131384: 003fee06 br 81131340 <__reset+0xfb111340> +81131388: d9002c17 ldw r4,176(sp) +8113138c: d9801e04 addi r6,sp,120 +81131390: b80b883a mov r5,r23 +81131394: 113be080 call 8113be08 <__sprint_r> +81131398: 103c471e bne r2,zero,811304b8 <__reset+0xfb1104b8> +8113139c: d8c02017 ldw r3,128(sp) +811313a0: df002787 ldb fp,158(sp) +811313a4: da000404 addi r8,sp,16 +811313a8: 003d5606 br 81130904 <__reset+0xfb110904> +811313ac: 9080040c andi r2,r18,16 +811313b0: 10016126 beq r2,zero,81131938 <___vfprintf_internal_r+0x1888> +811313b4: d8802d17 ldw r2,180(sp) +811313b8: 14c00017 ldw r19,0(r2) +811313bc: 10800104 addi r2,r2,4 +811313c0: d8802d15 stw r2,180(sp) +811313c4: 982dd7fa srai r22,r19,31 +811313c8: b005883a mov r2,r22 +811313cc: 003c8206 br 811305d8 <__reset+0xfb1105d8> +811313d0: 9080040c andi r2,r18,16 +811313d4: 10003526 beq r2,zero,811314ac <___vfprintf_internal_r+0x13fc> +811313d8: d9402d17 ldw r5,180(sp) +811313dc: d8c02917 ldw r3,164(sp) +811313e0: d8002785 stb zero,158(sp) +811313e4: 28800104 addi r2,r5,4 +811313e8: 2cc00017 ldw r19,0(r5) +811313ec: 002d883a mov r22,zero +811313f0: 18003716 blt r3,zero,811314d0 <___vfprintf_internal_r+0x1420> +811313f4: 00ffdfc4 movi r3,-129 +811313f8: d8802d15 stw r2,180(sp) +811313fc: 90e4703a and r18,r18,r3 +81131400: 0039883a mov fp,zero +81131404: 983df326 beq r19,zero,81130bd4 <__reset+0xfb110bd4> +81131408: 00800244 movi r2,9 +8113140c: 14fc7b36 bltu r2,r19,811305fc <__reset+0xfb1105fc> +81131410: d8c02817 ldw r3,160(sp) +81131414: dc001dc4 addi r16,sp,119 +81131418: 9cc00c04 addi r19,r19,48 +8113141c: 1c07c83a sub r3,r3,r16 +81131420: dcc01dc5 stb r19,119(sp) +81131424: d8c02e15 stw r3,184(sp) +81131428: 003ce806 br 811307cc <__reset+0xfb1107cc> +8113142c: d8803317 ldw r2,204(sp) +81131430: 143fffc4 addi r16,r2,-1 +81131434: 043f4d0e bge zero,r16,8113116c <__reset+0xfb11116c> +81131438: 07000404 movi fp,16 +8113143c: e400810e bge fp,r16,81131644 <___vfprintf_internal_r+0x1594> +81131440: 01604574 movhi r5,33045 +81131444: 297ed584 addi r5,r5,-1194 +81131448: d9402b15 stw r5,172(sp) +8113144c: 01c001c4 movi r7,7 +81131450: dcc02c17 ldw r19,176(sp) +81131454: 00000306 br 81131464 <___vfprintf_internal_r+0x13b4> +81131458: b5800204 addi r22,r22,8 +8113145c: 843ffc04 addi r16,r16,-16 +81131460: e4007b0e bge fp,r16,81131650 <___vfprintf_internal_r+0x15a0> +81131464: 18c00404 addi r3,r3,16 +81131468: 8c400044 addi r17,r17,1 +8113146c: b5000015 stw r20,0(r22) +81131470: b7000115 stw fp,4(r22) +81131474: d8c02015 stw r3,128(sp) +81131478: dc401f15 stw r17,124(sp) +8113147c: 3c7ff60e bge r7,r17,81131458 <__reset+0xfb111458> +81131480: d9801e04 addi r6,sp,120 +81131484: b80b883a mov r5,r23 +81131488: 9809883a mov r4,r19 +8113148c: d9c03c15 stw r7,240(sp) +81131490: 113be080 call 8113be08 <__sprint_r> +81131494: d9c03c17 ldw r7,240(sp) +81131498: 103c071e bne r2,zero,811304b8 <__reset+0xfb1104b8> +8113149c: d8c02017 ldw r3,128(sp) +811314a0: dc401f17 ldw r17,124(sp) +811314a4: dd800404 addi r22,sp,16 +811314a8: 003fec06 br 8113145c <__reset+0xfb11145c> +811314ac: 9080100c andi r2,r18,64 +811314b0: d8002785 stb zero,158(sp) +811314b4: 10010e26 beq r2,zero,811318f0 <___vfprintf_internal_r+0x1840> +811314b8: d9002d17 ldw r4,180(sp) +811314bc: d9402917 ldw r5,164(sp) +811314c0: 002d883a mov r22,zero +811314c4: 20800104 addi r2,r4,4 +811314c8: 24c0000b ldhu r19,0(r4) +811314cc: 283fc90e bge r5,zero,811313f4 <__reset+0xfb1113f4> +811314d0: d8802d15 stw r2,180(sp) +811314d4: 0039883a mov fp,zero +811314d8: 9d84b03a or r2,r19,r22 +811314dc: 103c461e bne r2,zero,811305f8 <__reset+0xfb1105f8> +811314e0: 00800044 movi r2,1 +811314e4: 003e6c06 br 81130e98 <__reset+0xfb110e98> +811314e8: d9002c17 ldw r4,176(sp) +811314ec: d9801e04 addi r6,sp,120 +811314f0: b80b883a mov r5,r23 +811314f4: 113be080 call 8113be08 <__sprint_r> +811314f8: 103bef1e bne r2,zero,811304b8 <__reset+0xfb1104b8> +811314fc: d8c02017 ldw r3,128(sp) +81131500: da000404 addi r8,sp,16 +81131504: d9003217 ldw r4,200(sp) +81131508: d8802617 ldw r2,152(sp) +8113150c: d9403317 ldw r5,204(sp) +81131510: 8123883a add r17,r16,r4 +81131514: 11400216 blt r2,r5,81131520 <___vfprintf_internal_r+0x1470> +81131518: 9100004c andi r4,r18,1 +8113151c: 20000d26 beq r4,zero,81131554 <___vfprintf_internal_r+0x14a4> +81131520: d9003717 ldw r4,220(sp) +81131524: d9403417 ldw r5,208(sp) +81131528: 1907883a add r3,r3,r4 +8113152c: d9001f17 ldw r4,124(sp) +81131530: 41400015 stw r5,0(r8) +81131534: d9403717 ldw r5,220(sp) +81131538: 21000044 addi r4,r4,1 +8113153c: d8c02015 stw r3,128(sp) +81131540: 41400115 stw r5,4(r8) +81131544: d9001f15 stw r4,124(sp) +81131548: 014001c4 movi r5,7 +8113154c: 2901e816 blt r5,r4,81131cf0 <___vfprintf_internal_r+0x1c40> +81131550: 42000204 addi r8,r8,8 +81131554: d9003317 ldw r4,204(sp) +81131558: 8121883a add r16,r16,r4 +8113155c: 2085c83a sub r2,r4,r2 +81131560: 8461c83a sub r16,r16,r17 +81131564: 1400010e bge r2,r16,8113156c <___vfprintf_internal_r+0x14bc> +81131568: 1021883a mov r16,r2 +8113156c: 04000a0e bge zero,r16,81131598 <___vfprintf_internal_r+0x14e8> +81131570: d9001f17 ldw r4,124(sp) +81131574: 1c07883a add r3,r3,r16 +81131578: 44400015 stw r17,0(r8) +8113157c: 21000044 addi r4,r4,1 +81131580: 44000115 stw r16,4(r8) +81131584: d8c02015 stw r3,128(sp) +81131588: d9001f15 stw r4,124(sp) +8113158c: 014001c4 movi r5,7 +81131590: 2901fb16 blt r5,r4,81131d80 <___vfprintf_internal_r+0x1cd0> +81131594: 42000204 addi r8,r8,8 +81131598: 8001f716 blt r16,zero,81131d78 <___vfprintf_internal_r+0x1cc8> +8113159c: 1421c83a sub r16,r2,r16 +811315a0: 043d380e bge zero,r16,81130a84 <__reset+0xfb110a84> +811315a4: 04400404 movi r17,16 +811315a8: d8801f17 ldw r2,124(sp) +811315ac: 8c3efb0e bge r17,r16,8113119c <__reset+0xfb11119c> +811315b0: 01604574 movhi r5,33045 +811315b4: 297ed584 addi r5,r5,-1194 +811315b8: d9402b15 stw r5,172(sp) +811315bc: 058001c4 movi r22,7 +811315c0: dcc02c17 ldw r19,176(sp) +811315c4: 00000306 br 811315d4 <___vfprintf_internal_r+0x1524> +811315c8: 42000204 addi r8,r8,8 +811315cc: 843ffc04 addi r16,r16,-16 +811315d0: 8c3ef50e bge r17,r16,811311a8 <__reset+0xfb1111a8> +811315d4: 18c00404 addi r3,r3,16 +811315d8: 10800044 addi r2,r2,1 +811315dc: 45000015 stw r20,0(r8) +811315e0: 44400115 stw r17,4(r8) +811315e4: d8c02015 stw r3,128(sp) +811315e8: d8801f15 stw r2,124(sp) +811315ec: b0bff60e bge r22,r2,811315c8 <__reset+0xfb1115c8> +811315f0: d9801e04 addi r6,sp,120 +811315f4: b80b883a mov r5,r23 +811315f8: 9809883a mov r4,r19 +811315fc: 113be080 call 8113be08 <__sprint_r> +81131600: 103bad1e bne r2,zero,811304b8 <__reset+0xfb1104b8> +81131604: d8c02017 ldw r3,128(sp) +81131608: d8801f17 ldw r2,124(sp) +8113160c: da000404 addi r8,sp,16 +81131610: 003fee06 br 811315cc <__reset+0xfb1115cc> +81131614: 9088703a and r4,r18,r2 +81131618: 203eab1e bne r4,zero,811310c8 <__reset+0xfb1110c8> +8113161c: dc401f17 ldw r17,124(sp) +81131620: 40800115 stw r2,4(r8) +81131624: 44000015 stw r16,0(r8) +81131628: 8c400044 addi r17,r17,1 +8113162c: d8c02015 stw r3,128(sp) +81131630: dc401f15 stw r17,124(sp) +81131634: 008001c4 movi r2,7 +81131638: 14400e16 blt r2,r17,81131674 <___vfprintf_internal_r+0x15c4> +8113163c: 45800204 addi r22,r8,8 +81131640: 003eca06 br 8113116c <__reset+0xfb11116c> +81131644: 01204574 movhi r4,33045 +81131648: 213ed584 addi r4,r4,-1194 +8113164c: d9002b15 stw r4,172(sp) +81131650: d8802b17 ldw r2,172(sp) +81131654: 1c07883a add r3,r3,r16 +81131658: 8c400044 addi r17,r17,1 +8113165c: b0800015 stw r2,0(r22) +81131660: b4000115 stw r16,4(r22) +81131664: d8c02015 stw r3,128(sp) +81131668: dc401f15 stw r17,124(sp) +8113166c: 008001c4 movi r2,7 +81131670: 147ebd0e bge r2,r17,81131168 <__reset+0xfb111168> +81131674: d9002c17 ldw r4,176(sp) +81131678: d9801e04 addi r6,sp,120 +8113167c: b80b883a mov r5,r23 +81131680: 113be080 call 8113be08 <__sprint_r> +81131684: 103b8c1e bne r2,zero,811304b8 <__reset+0xfb1104b8> +81131688: d8c02017 ldw r3,128(sp) +8113168c: dc401f17 ldw r17,124(sp) +81131690: dd800404 addi r22,sp,16 +81131694: 003eb506 br 8113116c <__reset+0xfb11116c> +81131698: d9002c17 ldw r4,176(sp) +8113169c: d9801e04 addi r6,sp,120 +811316a0: b80b883a mov r5,r23 +811316a4: 113be080 call 8113be08 <__sprint_r> +811316a8: 103b831e bne r2,zero,811304b8 <__reset+0xfb1104b8> +811316ac: d8c02017 ldw r3,128(sp) +811316b0: dc401f17 ldw r17,124(sp) +811316b4: da000404 addi r8,sp,16 +811316b8: 003e8d06 br 811310f0 <__reset+0xfb1110f0> +811316bc: d9002c17 ldw r4,176(sp) +811316c0: d9801e04 addi r6,sp,120 +811316c4: b80b883a mov r5,r23 +811316c8: 113be080 call 8113be08 <__sprint_r> +811316cc: 103b7a1e bne r2,zero,811304b8 <__reset+0xfb1104b8> +811316d0: d8c02017 ldw r3,128(sp) +811316d4: dc401f17 ldw r17,124(sp) +811316d8: dd800404 addi r22,sp,16 +811316dc: 003e8f06 br 8113111c <__reset+0xfb11111c> +811316e0: 0027883a mov r19,zero +811316e4: 003f4a06 br 81131410 <__reset+0xfb111410> +811316e8: d9002c17 ldw r4,176(sp) +811316ec: d9801e04 addi r6,sp,120 +811316f0: b80b883a mov r5,r23 +811316f4: 113be080 call 8113be08 <__sprint_r> +811316f8: 103b6f1e bne r2,zero,811304b8 <__reset+0xfb1104b8> +811316fc: d8c02017 ldw r3,128(sp) +81131700: da000404 addi r8,sp,16 +81131704: 003c9d06 br 8113097c <__reset+0xfb11097c> +81131708: 04e7c83a sub r19,zero,r19 +8113170c: 9804c03a cmpne r2,r19,zero +81131710: 05adc83a sub r22,zero,r22 +81131714: b0adc83a sub r22,r22,r2 +81131718: d8802917 ldw r2,164(sp) +8113171c: 07000b44 movi fp,45 +81131720: df002785 stb fp,158(sp) +81131724: 10017b16 blt r2,zero,81131d14 <___vfprintf_internal_r+0x1c64> +81131728: 00bfdfc4 movi r2,-129 +8113172c: 90a4703a and r18,r18,r2 +81131730: 003bb106 br 811305f8 <__reset+0xfb1105f8> +81131734: d9003617 ldw r4,216(sp) +81131738: d9403817 ldw r5,224(sp) +8113173c: da003d15 stw r8,244(sp) +81131740: 11387a40 call 811387a4 <__fpclassifyd> +81131744: da003d17 ldw r8,244(sp) +81131748: 1000f026 beq r2,zero,81131b0c <___vfprintf_internal_r+0x1a5c> +8113174c: d9002917 ldw r4,164(sp) +81131750: 05bff7c4 movi r22,-33 +81131754: 00bfffc4 movi r2,-1 +81131758: 8dac703a and r22,r17,r22 +8113175c: 20820026 beq r4,r2,81131f60 <___vfprintf_internal_r+0x1eb0> +81131760: 008011c4 movi r2,71 +81131764: b081f726 beq r22,r2,81131f44 <___vfprintf_internal_r+0x1e94> +81131768: d9003817 ldw r4,224(sp) +8113176c: 90c04014 ori r3,r18,256 +81131770: d8c02b15 stw r3,172(sp) +81131774: 20021516 blt r4,zero,81131fcc <___vfprintf_internal_r+0x1f1c> +81131778: dcc03817 ldw r19,224(sp) +8113177c: d8002a05 stb zero,168(sp) +81131780: 00801984 movi r2,102 +81131784: 8881f926 beq r17,r2,81131f6c <___vfprintf_internal_r+0x1ebc> +81131788: 00801184 movi r2,70 +8113178c: 88821c26 beq r17,r2,81132000 <___vfprintf_internal_r+0x1f50> +81131790: 00801144 movi r2,69 +81131794: b081ef26 beq r22,r2,81131f54 <___vfprintf_internal_r+0x1ea4> +81131798: d8c02917 ldw r3,164(sp) +8113179c: d8802104 addi r2,sp,132 +811317a0: d8800315 stw r2,12(sp) +811317a4: d9403617 ldw r5,216(sp) +811317a8: d8802504 addi r2,sp,148 +811317ac: d9002c17 ldw r4,176(sp) +811317b0: d8800215 stw r2,8(sp) +811317b4: d8802604 addi r2,sp,152 +811317b8: d8c00015 stw r3,0(sp) +811317bc: d8800115 stw r2,4(sp) +811317c0: 01c00084 movi r7,2 +811317c4: 980d883a mov r6,r19 +811317c8: d8c03c15 stw r3,240(sp) +811317cc: da003d15 stw r8,244(sp) +811317d0: 113416c0 call 8113416c <_dtoa_r> +811317d4: 1021883a mov r16,r2 +811317d8: 008019c4 movi r2,103 +811317dc: d8c03c17 ldw r3,240(sp) +811317e0: da003d17 ldw r8,244(sp) +811317e4: 88817126 beq r17,r2,81131dac <___vfprintf_internal_r+0x1cfc> +811317e8: 008011c4 movi r2,71 +811317ec: 88829226 beq r17,r2,81132238 <___vfprintf_internal_r+0x2188> +811317f0: 80f9883a add fp,r16,r3 +811317f4: d9003617 ldw r4,216(sp) +811317f8: 000d883a mov r6,zero +811317fc: 000f883a mov r7,zero +81131800: 980b883a mov r5,r19 +81131804: da003d15 stw r8,244(sp) +81131808: 113f0e00 call 8113f0e0 <__eqdf2> +8113180c: da003d17 ldw r8,244(sp) +81131810: 10018d26 beq r2,zero,81131e48 <___vfprintf_internal_r+0x1d98> +81131814: d8802117 ldw r2,132(sp) +81131818: 1700062e bgeu r2,fp,81131834 <___vfprintf_internal_r+0x1784> +8113181c: 01000c04 movi r4,48 +81131820: 10c00044 addi r3,r2,1 +81131824: d8c02115 stw r3,132(sp) +81131828: 11000005 stb r4,0(r2) +8113182c: d8802117 ldw r2,132(sp) +81131830: 173ffb36 bltu r2,fp,81131820 <__reset+0xfb111820> +81131834: 1405c83a sub r2,r2,r16 +81131838: d8803315 stw r2,204(sp) +8113183c: 008011c4 movi r2,71 +81131840: b0817626 beq r22,r2,81131e1c <___vfprintf_internal_r+0x1d6c> +81131844: 00801944 movi r2,101 +81131848: 1442810e bge r2,r17,81132250 <___vfprintf_internal_r+0x21a0> +8113184c: d8c02617 ldw r3,152(sp) +81131850: 00801984 movi r2,102 +81131854: d8c03215 stw r3,200(sp) +81131858: 8881fe26 beq r17,r2,81132054 <___vfprintf_internal_r+0x1fa4> +8113185c: d8c03217 ldw r3,200(sp) +81131860: d9003317 ldw r4,204(sp) +81131864: 1901dd16 blt r3,r4,81131fdc <___vfprintf_internal_r+0x1f2c> +81131868: 9480004c andi r18,r18,1 +8113186c: 90022b1e bne r18,zero,8113211c <___vfprintf_internal_r+0x206c> +81131870: 1805883a mov r2,r3 +81131874: 18028016 blt r3,zero,81132278 <___vfprintf_internal_r+0x21c8> +81131878: d8c03217 ldw r3,200(sp) +8113187c: 044019c4 movi r17,103 +81131880: d8c02e15 stw r3,184(sp) +81131884: df002a07 ldb fp,168(sp) +81131888: e001531e bne fp,zero,81131dd8 <___vfprintf_internal_r+0x1d28> +8113188c: df002783 ldbu fp,158(sp) +81131890: d8802a15 stw r2,168(sp) +81131894: dc802b17 ldw r18,172(sp) +81131898: d8002915 stw zero,164(sp) +8113189c: 003bd106 br 811307e4 <__reset+0xfb1107e4> +811318a0: d8802d17 ldw r2,180(sp) +811318a4: d8c02d17 ldw r3,180(sp) +811318a8: d9002d17 ldw r4,180(sp) +811318ac: 10800017 ldw r2,0(r2) +811318b0: 18c00117 ldw r3,4(r3) +811318b4: 21000204 addi r4,r4,8 +811318b8: d8803615 stw r2,216(sp) +811318bc: d8c03815 stw r3,224(sp) +811318c0: d9002d15 stw r4,180(sp) +811318c4: 003b7506 br 8113069c <__reset+0xfb11069c> +811318c8: ac400007 ldb r17,0(r21) +811318cc: 003a5906 br 81130234 <__reset+0xfb110234> +811318d0: 9080100c andi r2,r18,64 +811318d4: 1000a826 beq r2,zero,81131b78 <___vfprintf_internal_r+0x1ac8> +811318d8: d9002d17 ldw r4,180(sp) +811318dc: 002d883a mov r22,zero +811318e0: 24c0000b ldhu r19,0(r4) +811318e4: 21000104 addi r4,r4,4 +811318e8: d9002d15 stw r4,180(sp) +811318ec: 003ccb06 br 81130c1c <__reset+0xfb110c1c> +811318f0: d8c02d17 ldw r3,180(sp) +811318f4: d9002917 ldw r4,164(sp) +811318f8: 002d883a mov r22,zero +811318fc: 18800104 addi r2,r3,4 +81131900: 1cc00017 ldw r19,0(r3) +81131904: 203ebb0e bge r4,zero,811313f4 <__reset+0xfb1113f4> +81131908: 003ef106 br 811314d0 <__reset+0xfb1114d0> +8113190c: 9080040c andi r2,r18,16 +81131910: 1000921e bne r2,zero,81131b5c <___vfprintf_internal_r+0x1aac> +81131914: 9480100c andi r18,r18,64 +81131918: 90013926 beq r18,zero,81131e00 <___vfprintf_internal_r+0x1d50> +8113191c: d9002d17 ldw r4,180(sp) +81131920: d9402f17 ldw r5,188(sp) +81131924: 20800017 ldw r2,0(r4) +81131928: 21000104 addi r4,r4,4 +8113192c: d9002d15 stw r4,180(sp) +81131930: 1140000d sth r5,0(r2) +81131934: 003a1606 br 81130190 <__reset+0xfb110190> +81131938: 9080100c andi r2,r18,64 +8113193c: 10008026 beq r2,zero,81131b40 <___vfprintf_internal_r+0x1a90> +81131940: d8c02d17 ldw r3,180(sp) +81131944: 1cc0000f ldh r19,0(r3) +81131948: 18c00104 addi r3,r3,4 +8113194c: d8c02d15 stw r3,180(sp) +81131950: 982dd7fa srai r22,r19,31 +81131954: b005883a mov r2,r22 +81131958: 003b1f06 br 811305d8 <__reset+0xfb1105d8> +8113195c: 9080100c andi r2,r18,64 +81131960: d8002785 stb zero,158(sp) +81131964: 10008a1e bne r2,zero,81131b90 <___vfprintf_internal_r+0x1ae0> +81131968: d9402d17 ldw r5,180(sp) +8113196c: d8c02917 ldw r3,164(sp) +81131970: 002d883a mov r22,zero +81131974: 28800104 addi r2,r5,4 +81131978: 2cc00017 ldw r19,0(r5) +8113197c: 183e4b0e bge r3,zero,811312ac <__reset+0xfb1112ac> +81131980: 9d86b03a or r3,r19,r22 +81131984: d8802d15 stw r2,180(sp) +81131988: 183e4c1e bne r3,zero,811312bc <__reset+0xfb1112bc> +8113198c: 0039883a mov fp,zero +81131990: 0005883a mov r2,zero +81131994: 003d4006 br 81130e98 <__reset+0xfb110e98> +81131998: 01604574 movhi r5,33045 +8113199c: 297ed584 addi r5,r5,-1194 +811319a0: d9402b15 stw r5,172(sp) +811319a4: d9402b17 ldw r5,172(sp) +811319a8: 1c47883a add r3,r3,r17 +811319ac: 10800044 addi r2,r2,1 +811319b0: 41400015 stw r5,0(r8) +811319b4: 44400115 stw r17,4(r8) +811319b8: d8c02015 stw r3,128(sp) +811319bc: d8801f15 stw r2,124(sp) +811319c0: 010001c4 movi r4,7 +811319c4: 20bec816 blt r4,r2,811314e8 <__reset+0xfb1114e8> +811319c8: 42000204 addi r8,r8,8 +811319cc: 003ecd06 br 81131504 <__reset+0xfb111504> +811319d0: d9002917 ldw r4,164(sp) +811319d4: d8002785 stb zero,158(sp) +811319d8: 203d2d16 blt r4,zero,81130e90 <__reset+0xfb110e90> +811319dc: 00bfdfc4 movi r2,-129 +811319e0: 90a4703a and r18,r18,r2 +811319e4: 003a9106 br 8113042c <__reset+0xfb11042c> +811319e8: 01204574 movhi r4,33045 +811319ec: 213ed584 addi r4,r4,-1194 +811319f0: d9002b15 stw r4,172(sp) +811319f4: 003c0c06 br 81130a28 <__reset+0xfb110a28> +811319f8: d9002c17 ldw r4,176(sp) +811319fc: d9801e04 addi r6,sp,120 +81131a00: b80b883a mov r5,r23 +81131a04: 113be080 call 8113be08 <__sprint_r> +81131a08: 103aab1e bne r2,zero,811304b8 <__reset+0xfb1104b8> +81131a0c: d8c02017 ldw r3,128(sp) +81131a10: da000404 addi r8,sp,16 +81131a14: 003d4106 br 81130f1c <__reset+0xfb110f1c> +81131a18: d8801f17 ldw r2,124(sp) +81131a1c: 01604574 movhi r5,33045 +81131a20: 01000044 movi r4,1 +81131a24: 18c00044 addi r3,r3,1 +81131a28: 10800044 addi r2,r2,1 +81131a2c: 297ecd04 addi r5,r5,-1228 +81131a30: 41000115 stw r4,4(r8) +81131a34: 41400015 stw r5,0(r8) +81131a38: d8c02015 stw r3,128(sp) +81131a3c: d8801f15 stw r2,124(sp) +81131a40: 010001c4 movi r4,7 +81131a44: 20805c16 blt r4,r2,81131bb8 <___vfprintf_internal_r+0x1b08> +81131a48: 42000204 addi r8,r8,8 +81131a4c: 8800041e bne r17,zero,81131a60 <___vfprintf_internal_r+0x19b0> +81131a50: d8803317 ldw r2,204(sp) +81131a54: 1000021e bne r2,zero,81131a60 <___vfprintf_internal_r+0x19b0> +81131a58: 9080004c andi r2,r18,1 +81131a5c: 103c0926 beq r2,zero,81130a84 <__reset+0xfb110a84> +81131a60: d9003717 ldw r4,220(sp) +81131a64: d8801f17 ldw r2,124(sp) +81131a68: d9403417 ldw r5,208(sp) +81131a6c: 20c7883a add r3,r4,r3 +81131a70: 10800044 addi r2,r2,1 +81131a74: 41000115 stw r4,4(r8) +81131a78: 41400015 stw r5,0(r8) +81131a7c: d8c02015 stw r3,128(sp) +81131a80: d8801f15 stw r2,124(sp) +81131a84: 010001c4 movi r4,7 +81131a88: 20812116 blt r4,r2,81131f10 <___vfprintf_internal_r+0x1e60> +81131a8c: 42000204 addi r8,r8,8 +81131a90: 0463c83a sub r17,zero,r17 +81131a94: 0440730e bge zero,r17,81131c64 <___vfprintf_internal_r+0x1bb4> +81131a98: 05800404 movi r22,16 +81131a9c: b440860e bge r22,r17,81131cb8 <___vfprintf_internal_r+0x1c08> +81131aa0: 01604574 movhi r5,33045 +81131aa4: 297ed584 addi r5,r5,-1194 +81131aa8: d9402b15 stw r5,172(sp) +81131aac: 070001c4 movi fp,7 +81131ab0: dcc02c17 ldw r19,176(sp) +81131ab4: 00000306 br 81131ac4 <___vfprintf_internal_r+0x1a14> +81131ab8: 42000204 addi r8,r8,8 +81131abc: 8c7ffc04 addi r17,r17,-16 +81131ac0: b440800e bge r22,r17,81131cc4 <___vfprintf_internal_r+0x1c14> +81131ac4: 18c00404 addi r3,r3,16 +81131ac8: 10800044 addi r2,r2,1 +81131acc: 45000015 stw r20,0(r8) +81131ad0: 45800115 stw r22,4(r8) +81131ad4: d8c02015 stw r3,128(sp) +81131ad8: d8801f15 stw r2,124(sp) +81131adc: e0bff60e bge fp,r2,81131ab8 <__reset+0xfb111ab8> +81131ae0: d9801e04 addi r6,sp,120 +81131ae4: b80b883a mov r5,r23 +81131ae8: 9809883a mov r4,r19 +81131aec: 113be080 call 8113be08 <__sprint_r> +81131af0: 103a711e bne r2,zero,811304b8 <__reset+0xfb1104b8> +81131af4: d8c02017 ldw r3,128(sp) +81131af8: d8801f17 ldw r2,124(sp) +81131afc: da000404 addi r8,sp,16 +81131b00: 003fee06 br 81131abc <__reset+0xfb111abc> +81131b04: 00bfffc4 movi r2,-1 +81131b08: 003a6f06 br 811304c8 <__reset+0xfb1104c8> +81131b0c: 008011c4 movi r2,71 +81131b10: 1440b816 blt r2,r17,81131df4 <___vfprintf_internal_r+0x1d44> +81131b14: 04204574 movhi r16,33045 +81131b18: 843ebf04 addi r16,r16,-1284 +81131b1c: 00c000c4 movi r3,3 +81131b20: 00bfdfc4 movi r2,-129 +81131b24: d8c02a15 stw r3,168(sp) +81131b28: 90a4703a and r18,r18,r2 +81131b2c: df002783 ldbu fp,158(sp) +81131b30: d8c02e15 stw r3,184(sp) +81131b34: d8002915 stw zero,164(sp) +81131b38: d8003215 stw zero,200(sp) +81131b3c: 003b2906 br 811307e4 <__reset+0xfb1107e4> +81131b40: d9002d17 ldw r4,180(sp) +81131b44: 24c00017 ldw r19,0(r4) +81131b48: 21000104 addi r4,r4,4 +81131b4c: d9002d15 stw r4,180(sp) +81131b50: 982dd7fa srai r22,r19,31 +81131b54: b005883a mov r2,r22 +81131b58: 003a9f06 br 811305d8 <__reset+0xfb1105d8> +81131b5c: d9402d17 ldw r5,180(sp) +81131b60: d8c02f17 ldw r3,188(sp) +81131b64: 28800017 ldw r2,0(r5) +81131b68: 29400104 addi r5,r5,4 +81131b6c: d9402d15 stw r5,180(sp) +81131b70: 10c00015 stw r3,0(r2) +81131b74: 00398606 br 81130190 <__reset+0xfb110190> +81131b78: d9402d17 ldw r5,180(sp) +81131b7c: 002d883a mov r22,zero +81131b80: 2cc00017 ldw r19,0(r5) +81131b84: 29400104 addi r5,r5,4 +81131b88: d9402d15 stw r5,180(sp) +81131b8c: 003c2306 br 81130c1c <__reset+0xfb110c1c> +81131b90: d8c02d17 ldw r3,180(sp) +81131b94: d9002917 ldw r4,164(sp) +81131b98: 002d883a mov r22,zero +81131b9c: 18800104 addi r2,r3,4 +81131ba0: 1cc0000b ldhu r19,0(r3) +81131ba4: 203dc10e bge r4,zero,811312ac <__reset+0xfb1112ac> +81131ba8: 003f7506 br 81131980 <__reset+0xfb111980> +81131bac: 04204574 movhi r16,33045 +81131bb0: 843ebd04 addi r16,r16,-1292 +81131bb4: 003acc06 br 811306e8 <__reset+0xfb1106e8> +81131bb8: d9002c17 ldw r4,176(sp) +81131bbc: d9801e04 addi r6,sp,120 +81131bc0: b80b883a mov r5,r23 +81131bc4: 113be080 call 8113be08 <__sprint_r> +81131bc8: 103a3b1e bne r2,zero,811304b8 <__reset+0xfb1104b8> +81131bcc: dc402617 ldw r17,152(sp) +81131bd0: d8c02017 ldw r3,128(sp) +81131bd4: da000404 addi r8,sp,16 +81131bd8: 003f9c06 br 81131a4c <__reset+0xfb111a4c> +81131bdc: ac400043 ldbu r17,1(r21) +81131be0: 94800814 ori r18,r18,32 +81131be4: ad400044 addi r21,r21,1 +81131be8: 8c403fcc andi r17,r17,255 +81131bec: 8c40201c xori r17,r17,128 +81131bf0: 8c7fe004 addi r17,r17,-128 +81131bf4: 00398f06 br 81130234 <__reset+0xfb110234> +81131bf8: d8c02d15 stw r3,180(sp) +81131bfc: 0039883a mov fp,zero +81131c00: 003e3506 br 811314d8 <__reset+0xfb1114d8> 81131c04: d9002c17 ldw r4,176(sp) 81131c08: d9801e04 addi r6,sp,120 81131c0c: b80b883a mov r5,r23 -81131c10: 113bdc80 call 8113bdc8 <__sprint_r> -81131c14: 103a181e bne r2,zero,81130478 <__reset+0xfb110478> +81131c10: 113be080 call 8113be08 <__sprint_r> +81131c14: 103a281e bne r2,zero,811304b8 <__reset+0xfb1104b8> 81131c18: d8c02017 ldw r3,128(sp) -81131c1c: d8801f17 ldw r2,124(sp) -81131c20: da000404 addi r8,sp,16 -81131c24: d9403317 ldw r5,204(sp) -81131c28: 10800044 addi r2,r2,1 -81131c2c: 44000015 stw r16,0(r8) -81131c30: 28c7883a add r3,r5,r3 -81131c34: 003b7d06 br 81130a2c <__reset+0xfb110a2c> -81131c38: 01204574 movhi r4,33045 -81131c3c: 213ec884 addi r4,r4,-1246 -81131c40: d9003515 stw r4,212(sp) -81131c44: 003b1406 br 81130898 <__reset+0xfb110898> -81131c48: 013fffc4 movi r4,-1 -81131c4c: 003a3506 br 81130524 <__reset+0xfb110524> -81131c50: 0023883a mov r17,zero -81131c54: 003d9d06 br 811312cc <__reset+0xfb1112cc> -81131c58: d9002c17 ldw r4,176(sp) -81131c5c: d9801e04 addi r6,sp,120 -81131c60: b80b883a mov r5,r23 -81131c64: 113bdc80 call 8113bdc8 <__sprint_r> -81131c68: 103a031e bne r2,zero,81130478 <__reset+0xfb110478> -81131c6c: d8c02017 ldw r3,128(sp) -81131c70: da000404 addi r8,sp,16 -81131c74: 003d9406 br 811312c8 <__reset+0xfb1112c8> +81131c1c: da000404 addi r8,sp,16 +81131c20: 003cd006 br 81130f64 <__reset+0xfb110f64> +81131c24: 8009883a mov r4,r16 +81131c28: da003d15 stw r8,244(sp) +81131c2c: 112d8ac0 call 8112d8ac +81131c30: d8802e15 stw r2,184(sp) +81131c34: da003d17 ldw r8,244(sp) +81131c38: 103c340e bge r2,zero,81130d0c <__reset+0xfb110d0c> +81131c3c: 0005883a mov r2,zero +81131c40: 003c3206 br 81130d0c <__reset+0xfb110d0c> +81131c44: d9002c17 ldw r4,176(sp) +81131c48: d9801e04 addi r6,sp,120 +81131c4c: b80b883a mov r5,r23 +81131c50: 113be080 call 8113be08 <__sprint_r> +81131c54: 103a181e bne r2,zero,811304b8 <__reset+0xfb1104b8> +81131c58: d8c02017 ldw r3,128(sp) +81131c5c: d8801f17 ldw r2,124(sp) +81131c60: da000404 addi r8,sp,16 +81131c64: d9403317 ldw r5,204(sp) +81131c68: 10800044 addi r2,r2,1 +81131c6c: 44000015 stw r16,0(r8) +81131c70: 28c7883a add r3,r5,r3 +81131c74: 003b7d06 br 81130a6c <__reset+0xfb110a6c> 81131c78: 01204574 movhi r4,33045 -81131c7c: 213ec484 addi r4,r4,-1262 -81131c80: d9002b15 stw r4,172(sp) -81131c84: d9002b17 ldw r4,172(sp) -81131c88: 1c47883a add r3,r3,r17 -81131c8c: 10800044 addi r2,r2,1 -81131c90: 41000015 stw r4,0(r8) -81131c94: 44400115 stw r17,4(r8) -81131c98: d8c02015 stw r3,128(sp) -81131c9c: d8801f15 stw r2,124(sp) -81131ca0: 010001c4 movi r4,7 -81131ca4: 20bfd716 blt r4,r2,81131c04 <__reset+0xfb111c04> -81131ca8: 42000204 addi r8,r8,8 -81131cac: 003fdd06 br 81131c24 <__reset+0xfb111c24> -81131cb0: d9002c17 ldw r4,176(sp) -81131cb4: d9801e04 addi r6,sp,120 -81131cb8: b80b883a mov r5,r23 -81131cbc: 113bdc80 call 8113bdc8 <__sprint_r> -81131cc0: 1039ed1e bne r2,zero,81130478 <__reset+0xfb110478> -81131cc4: d8802617 ldw r2,152(sp) -81131cc8: d8c02017 ldw r3,128(sp) -81131ccc: da000404 addi r8,sp,16 -81131cd0: 003e1006 br 81131514 <__reset+0xfb111514> -81131cd4: 00800044 movi r2,1 -81131cd8: 10803fcc andi r2,r2,255 -81131cdc: 00c00044 movi r3,1 -81131ce0: 10fa3526 beq r2,r3,811305b8 <__reset+0xfb1105b8> -81131ce4: 00c00084 movi r3,2 -81131ce8: 10fbcb26 beq r2,r3,81130c18 <__reset+0xfb110c18> -81131cec: 003a8f06 br 8113072c <__reset+0xfb11072c> -81131cf0: 01204574 movhi r4,33045 -81131cf4: 213ec884 addi r4,r4,-1246 -81131cf8: d9003515 stw r4,212(sp) -81131cfc: 003b7606 br 81130ad8 <__reset+0xfb110ad8> -81131d00: d8802917 ldw r2,164(sp) -81131d04: 00c00184 movi r3,6 -81131d08: 1880012e bgeu r3,r2,81131d10 <___vfprintf_internal_r+0x1ca0> -81131d0c: 1805883a mov r2,r3 -81131d10: d8802e15 stw r2,184(sp) -81131d14: 1000ef16 blt r2,zero,811320d4 <___vfprintf_internal_r+0x2064> -81131d18: 04204574 movhi r16,33045 -81131d1c: d8802a15 stw r2,168(sp) -81131d20: dcc02d15 stw r19,180(sp) -81131d24: d8002915 stw zero,164(sp) -81131d28: d8003215 stw zero,200(sp) -81131d2c: 843eba04 addi r16,r16,-1304 -81131d30: 0039883a mov fp,zero -81131d34: 003aa206 br 811307c0 <__reset+0xfb1107c0> -81131d38: 0021883a mov r16,zero -81131d3c: 003e0706 br 8113155c <__reset+0xfb11155c> -81131d40: d9002c17 ldw r4,176(sp) -81131d44: d9801e04 addi r6,sp,120 -81131d48: b80b883a mov r5,r23 -81131d4c: 113bdc80 call 8113bdc8 <__sprint_r> -81131d50: 1039c91e bne r2,zero,81130478 <__reset+0xfb110478> -81131d54: d8802617 ldw r2,152(sp) -81131d58: d9403317 ldw r5,204(sp) -81131d5c: d8c02017 ldw r3,128(sp) -81131d60: da000404 addi r8,sp,16 -81131d64: 2885c83a sub r2,r5,r2 -81131d68: 003dfb06 br 81131558 <__reset+0xfb111558> -81131d6c: 9080004c andi r2,r18,1 -81131d70: 103e8f1e bne r2,zero,811317b0 <__reset+0xfb1117b0> -81131d74: d8802117 ldw r2,132(sp) -81131d78: 003e9e06 br 811317f4 <__reset+0xfb1117f4> -81131d7c: 1025883a mov r18,r2 -81131d80: 0039883a mov fp,zero -81131d84: 00800084 movi r2,2 -81131d88: 003fd306 br 81131cd8 <__reset+0xfb111cd8> -81131d8c: 07000b44 movi fp,45 -81131d90: df002785 stb fp,158(sp) -81131d94: 003a4006 br 81130698 <__reset+0xfb110698> -81131d98: 00c00b44 movi r3,45 -81131d9c: d8c02785 stb r3,158(sp) -81131da0: d8802a15 stw r2,168(sp) -81131da4: dc802b17 ldw r18,172(sp) -81131da8: d8002915 stw zero,164(sp) -81131dac: 07000b44 movi fp,45 -81131db0: 003a8006 br 811307b4 <__reset+0xfb1107b4> -81131db4: 04204574 movhi r16,33045 -81131db8: 843eaf04 addi r16,r16,-1348 -81131dbc: 003f4706 br 81131adc <__reset+0xfb111adc> -81131dc0: d8c02d17 ldw r3,180(sp) -81131dc4: d9002f17 ldw r4,188(sp) -81131dc8: 18800017 ldw r2,0(r3) -81131dcc: 18c00104 addi r3,r3,4 -81131dd0: d8c02d15 stw r3,180(sp) -81131dd4: 11000015 stw r4,0(r2) -81131dd8: 0038dd06 br 81130150 <__reset+0xfb110150> -81131ddc: dd802617 ldw r22,152(sp) -81131de0: 00bfff44 movi r2,-3 -81131de4: b0801c16 blt r22,r2,81131e58 <___vfprintf_internal_r+0x1de8> -81131de8: d9402917 ldw r5,164(sp) -81131dec: 2d801a16 blt r5,r22,81131e58 <___vfprintf_internal_r+0x1de8> -81131df0: dd803215 stw r22,200(sp) -81131df4: 003e8906 br 8113181c <__reset+0xfb11181c> -81131df8: 01204574 movhi r4,33045 -81131dfc: 213ec484 addi r4,r4,-1262 -81131e00: d9002b15 stw r4,172(sp) -81131e04: 003c9106 br 8113104c <__reset+0xfb11104c> -81131e08: e005883a mov r2,fp -81131e0c: 003e7906 br 811317f4 <__reset+0xfb1117f4> -81131e10: d9402917 ldw r5,164(sp) -81131e14: df002783 ldbu fp,158(sp) -81131e18: dcc02d15 stw r19,180(sp) -81131e1c: d9402a15 stw r5,168(sp) -81131e20: d9402e15 stw r5,184(sp) -81131e24: d8002915 stw zero,164(sp) -81131e28: d8003215 stw zero,200(sp) -81131e2c: 003a5d06 br 811307a4 <__reset+0xfb1107a4> -81131e30: 9080004c andi r2,r18,1 -81131e34: 0039883a mov fp,zero -81131e38: 10000426 beq r2,zero,81131e4c <___vfprintf_internal_r+0x1ddc> -81131e3c: 00800c04 movi r2,48 -81131e40: dc001dc4 addi r16,sp,119 -81131e44: d8801dc5 stb r2,119(sp) -81131e48: 003b8006 br 81130c4c <__reset+0xfb110c4c> -81131e4c: d8002e15 stw zero,184(sp) -81131e50: dc001e04 addi r16,sp,120 -81131e54: 003a4d06 br 8113078c <__reset+0xfb11078c> -81131e58: 8c7fff84 addi r17,r17,-2 -81131e5c: b5bfffc4 addi r22,r22,-1 -81131e60: dd802615 stw r22,152(sp) -81131e64: dc4022c5 stb r17,139(sp) -81131e68: b000bf16 blt r22,zero,81132168 <___vfprintf_internal_r+0x20f8> -81131e6c: 00800ac4 movi r2,43 -81131e70: d8802305 stb r2,140(sp) -81131e74: 00800244 movi r2,9 -81131e78: 15807016 blt r2,r22,8113203c <___vfprintf_internal_r+0x1fcc> +81131c7c: 213ed984 addi r4,r4,-1178 +81131c80: d9003515 stw r4,212(sp) +81131c84: 003b1406 br 811308d8 <__reset+0xfb1108d8> +81131c88: 013fffc4 movi r4,-1 +81131c8c: 003a3506 br 81130564 <__reset+0xfb110564> +81131c90: 0023883a mov r17,zero +81131c94: 003d9d06 br 8113130c <__reset+0xfb11130c> +81131c98: d9002c17 ldw r4,176(sp) +81131c9c: d9801e04 addi r6,sp,120 +81131ca0: b80b883a mov r5,r23 +81131ca4: 113be080 call 8113be08 <__sprint_r> +81131ca8: 103a031e bne r2,zero,811304b8 <__reset+0xfb1104b8> +81131cac: d8c02017 ldw r3,128(sp) +81131cb0: da000404 addi r8,sp,16 +81131cb4: 003d9406 br 81131308 <__reset+0xfb111308> +81131cb8: 01204574 movhi r4,33045 +81131cbc: 213ed584 addi r4,r4,-1194 +81131cc0: d9002b15 stw r4,172(sp) +81131cc4: d9002b17 ldw r4,172(sp) +81131cc8: 1c47883a add r3,r3,r17 +81131ccc: 10800044 addi r2,r2,1 +81131cd0: 41000015 stw r4,0(r8) +81131cd4: 44400115 stw r17,4(r8) +81131cd8: d8c02015 stw r3,128(sp) +81131cdc: d8801f15 stw r2,124(sp) +81131ce0: 010001c4 movi r4,7 +81131ce4: 20bfd716 blt r4,r2,81131c44 <__reset+0xfb111c44> +81131ce8: 42000204 addi r8,r8,8 +81131cec: 003fdd06 br 81131c64 <__reset+0xfb111c64> +81131cf0: d9002c17 ldw r4,176(sp) +81131cf4: d9801e04 addi r6,sp,120 +81131cf8: b80b883a mov r5,r23 +81131cfc: 113be080 call 8113be08 <__sprint_r> +81131d00: 1039ed1e bne r2,zero,811304b8 <__reset+0xfb1104b8> +81131d04: d8802617 ldw r2,152(sp) +81131d08: d8c02017 ldw r3,128(sp) +81131d0c: da000404 addi r8,sp,16 +81131d10: 003e1006 br 81131554 <__reset+0xfb111554> +81131d14: 00800044 movi r2,1 +81131d18: 10803fcc andi r2,r2,255 +81131d1c: 00c00044 movi r3,1 +81131d20: 10fa3526 beq r2,r3,811305f8 <__reset+0xfb1105f8> +81131d24: 00c00084 movi r3,2 +81131d28: 10fbcb26 beq r2,r3,81130c58 <__reset+0xfb110c58> +81131d2c: 003a8f06 br 8113076c <__reset+0xfb11076c> +81131d30: 01204574 movhi r4,33045 +81131d34: 213ed984 addi r4,r4,-1178 +81131d38: d9003515 stw r4,212(sp) +81131d3c: 003b7606 br 81130b18 <__reset+0xfb110b18> +81131d40: d8802917 ldw r2,164(sp) +81131d44: 00c00184 movi r3,6 +81131d48: 1880012e bgeu r3,r2,81131d50 <___vfprintf_internal_r+0x1ca0> +81131d4c: 1805883a mov r2,r3 +81131d50: d8802e15 stw r2,184(sp) +81131d54: 1000ef16 blt r2,zero,81132114 <___vfprintf_internal_r+0x2064> +81131d58: 04204574 movhi r16,33045 +81131d5c: d8802a15 stw r2,168(sp) +81131d60: dcc02d15 stw r19,180(sp) +81131d64: d8002915 stw zero,164(sp) +81131d68: d8003215 stw zero,200(sp) +81131d6c: 843ecb04 addi r16,r16,-1236 +81131d70: 0039883a mov fp,zero +81131d74: 003aa206 br 81130800 <__reset+0xfb110800> +81131d78: 0021883a mov r16,zero +81131d7c: 003e0706 br 8113159c <__reset+0xfb11159c> +81131d80: d9002c17 ldw r4,176(sp) +81131d84: d9801e04 addi r6,sp,120 +81131d88: b80b883a mov r5,r23 +81131d8c: 113be080 call 8113be08 <__sprint_r> +81131d90: 1039c91e bne r2,zero,811304b8 <__reset+0xfb1104b8> +81131d94: d8802617 ldw r2,152(sp) +81131d98: d9403317 ldw r5,204(sp) +81131d9c: d8c02017 ldw r3,128(sp) +81131da0: da000404 addi r8,sp,16 +81131da4: 2885c83a sub r2,r5,r2 +81131da8: 003dfb06 br 81131598 <__reset+0xfb111598> +81131dac: 9080004c andi r2,r18,1 +81131db0: 103e8f1e bne r2,zero,811317f0 <__reset+0xfb1117f0> +81131db4: d8802117 ldw r2,132(sp) +81131db8: 003e9e06 br 81131834 <__reset+0xfb111834> +81131dbc: 1025883a mov r18,r2 +81131dc0: 0039883a mov fp,zero +81131dc4: 00800084 movi r2,2 +81131dc8: 003fd306 br 81131d18 <__reset+0xfb111d18> +81131dcc: 07000b44 movi fp,45 +81131dd0: df002785 stb fp,158(sp) +81131dd4: 003a4006 br 811306d8 <__reset+0xfb1106d8> +81131dd8: 00c00b44 movi r3,45 +81131ddc: d8c02785 stb r3,158(sp) +81131de0: d8802a15 stw r2,168(sp) +81131de4: dc802b17 ldw r18,172(sp) +81131de8: d8002915 stw zero,164(sp) +81131dec: 07000b44 movi fp,45 +81131df0: 003a8006 br 811307f4 <__reset+0xfb1107f4> +81131df4: 04204574 movhi r16,33045 +81131df8: 843ec004 addi r16,r16,-1280 +81131dfc: 003f4706 br 81131b1c <__reset+0xfb111b1c> +81131e00: d8c02d17 ldw r3,180(sp) +81131e04: d9002f17 ldw r4,188(sp) +81131e08: 18800017 ldw r2,0(r3) +81131e0c: 18c00104 addi r3,r3,4 +81131e10: d8c02d15 stw r3,180(sp) +81131e14: 11000015 stw r4,0(r2) +81131e18: 0038dd06 br 81130190 <__reset+0xfb110190> +81131e1c: dd802617 ldw r22,152(sp) +81131e20: 00bfff44 movi r2,-3 +81131e24: b0801c16 blt r22,r2,81131e98 <___vfprintf_internal_r+0x1de8> +81131e28: d9402917 ldw r5,164(sp) +81131e2c: 2d801a16 blt r5,r22,81131e98 <___vfprintf_internal_r+0x1de8> +81131e30: dd803215 stw r22,200(sp) +81131e34: 003e8906 br 8113185c <__reset+0xfb11185c> +81131e38: 01204574 movhi r4,33045 +81131e3c: 213ed584 addi r4,r4,-1194 +81131e40: d9002b15 stw r4,172(sp) +81131e44: 003c9106 br 8113108c <__reset+0xfb11108c> +81131e48: e005883a mov r2,fp +81131e4c: 003e7906 br 81131834 <__reset+0xfb111834> +81131e50: d9402917 ldw r5,164(sp) +81131e54: df002783 ldbu fp,158(sp) +81131e58: dcc02d15 stw r19,180(sp) +81131e5c: d9402a15 stw r5,168(sp) +81131e60: d9402e15 stw r5,184(sp) +81131e64: d8002915 stw zero,164(sp) +81131e68: d8003215 stw zero,200(sp) +81131e6c: 003a5d06 br 811307e4 <__reset+0xfb1107e4> +81131e70: 9080004c andi r2,r18,1 +81131e74: 0039883a mov fp,zero +81131e78: 10000426 beq r2,zero,81131e8c <___vfprintf_internal_r+0x1ddc> 81131e7c: 00800c04 movi r2,48 -81131e80: b5800c04 addi r22,r22,48 -81131e84: d8802345 stb r2,141(sp) -81131e88: dd802385 stb r22,142(sp) -81131e8c: d88023c4 addi r2,sp,143 -81131e90: df0022c4 addi fp,sp,139 -81131e94: d8c03317 ldw r3,204(sp) -81131e98: 1739c83a sub fp,r2,fp -81131e9c: d9003317 ldw r4,204(sp) -81131ea0: e0c7883a add r3,fp,r3 -81131ea4: df003a15 stw fp,232(sp) -81131ea8: d8c02e15 stw r3,184(sp) -81131eac: 00800044 movi r2,1 -81131eb0: 1100b30e bge r2,r4,81132180 <___vfprintf_internal_r+0x2110> -81131eb4: d8c02e17 ldw r3,184(sp) -81131eb8: 18c00044 addi r3,r3,1 -81131ebc: d8c02e15 stw r3,184(sp) -81131ec0: 1805883a mov r2,r3 -81131ec4: 1800ac16 blt r3,zero,81132178 <___vfprintf_internal_r+0x2108> -81131ec8: d8003215 stw zero,200(sp) -81131ecc: 003e5d06 br 81131844 <__reset+0xfb111844> -81131ed0: d9002c17 ldw r4,176(sp) -81131ed4: d9801e04 addi r6,sp,120 -81131ed8: b80b883a mov r5,r23 -81131edc: 113bdc80 call 8113bdc8 <__sprint_r> -81131ee0: 1039651e bne r2,zero,81130478 <__reset+0xfb110478> -81131ee4: dc402617 ldw r17,152(sp) -81131ee8: d8c02017 ldw r3,128(sp) -81131eec: d8801f17 ldw r2,124(sp) -81131ef0: da000404 addi r8,sp,16 -81131ef4: 003ed606 br 81131a50 <__reset+0xfb111a50> -81131ef8: 582b883a mov r21,r11 -81131efc: d8002915 stw zero,164(sp) -81131f00: 0038bd06 br 811301f8 <__reset+0xfb1101f8> -81131f04: d8802917 ldw r2,164(sp) -81131f08: 103e071e bne r2,zero,81131728 <__reset+0xfb111728> -81131f0c: dc002915 stw r16,164(sp) -81131f10: 003e0506 br 81131728 <__reset+0xfb111728> -81131f14: d9002917 ldw r4,164(sp) -81131f18: 20c00044 addi r3,r4,1 -81131f1c: 003e0f06 br 8113175c <__reset+0xfb11175c> -81131f20: 01400184 movi r5,6 -81131f24: d9402915 stw r5,164(sp) -81131f28: 003dff06 br 81131728 <__reset+0xfb111728> -81131f2c: d8802104 addi r2,sp,132 -81131f30: d8800315 stw r2,12(sp) -81131f34: d8802504 addi r2,sp,148 -81131f38: d8800215 stw r2,8(sp) -81131f3c: d8802604 addi r2,sp,152 -81131f40: d8800115 stw r2,4(sp) +81131e80: dc001dc4 addi r16,sp,119 +81131e84: d8801dc5 stb r2,119(sp) +81131e88: 003b8006 br 81130c8c <__reset+0xfb110c8c> +81131e8c: d8002e15 stw zero,184(sp) +81131e90: dc001e04 addi r16,sp,120 +81131e94: 003a4d06 br 811307cc <__reset+0xfb1107cc> +81131e98: 8c7fff84 addi r17,r17,-2 +81131e9c: b5bfffc4 addi r22,r22,-1 +81131ea0: dd802615 stw r22,152(sp) +81131ea4: dc4022c5 stb r17,139(sp) +81131ea8: b000bf16 blt r22,zero,811321a8 <___vfprintf_internal_r+0x20f8> +81131eac: 00800ac4 movi r2,43 +81131eb0: d8802305 stb r2,140(sp) +81131eb4: 00800244 movi r2,9 +81131eb8: 15807016 blt r2,r22,8113207c <___vfprintf_internal_r+0x1fcc> +81131ebc: 00800c04 movi r2,48 +81131ec0: b5800c04 addi r22,r22,48 +81131ec4: d8802345 stb r2,141(sp) +81131ec8: dd802385 stb r22,142(sp) +81131ecc: d88023c4 addi r2,sp,143 +81131ed0: df0022c4 addi fp,sp,139 +81131ed4: d8c03317 ldw r3,204(sp) +81131ed8: 1739c83a sub fp,r2,fp +81131edc: d9003317 ldw r4,204(sp) +81131ee0: e0c7883a add r3,fp,r3 +81131ee4: df003a15 stw fp,232(sp) +81131ee8: d8c02e15 stw r3,184(sp) +81131eec: 00800044 movi r2,1 +81131ef0: 1100b30e bge r2,r4,811321c0 <___vfprintf_internal_r+0x2110> +81131ef4: d8c02e17 ldw r3,184(sp) +81131ef8: 18c00044 addi r3,r3,1 +81131efc: d8c02e15 stw r3,184(sp) +81131f00: 1805883a mov r2,r3 +81131f04: 1800ac16 blt r3,zero,811321b8 <___vfprintf_internal_r+0x2108> +81131f08: d8003215 stw zero,200(sp) +81131f0c: 003e5d06 br 81131884 <__reset+0xfb111884> +81131f10: d9002c17 ldw r4,176(sp) +81131f14: d9801e04 addi r6,sp,120 +81131f18: b80b883a mov r5,r23 +81131f1c: 113be080 call 8113be08 <__sprint_r> +81131f20: 1039651e bne r2,zero,811304b8 <__reset+0xfb1104b8> +81131f24: dc402617 ldw r17,152(sp) +81131f28: d8c02017 ldw r3,128(sp) +81131f2c: d8801f17 ldw r2,124(sp) +81131f30: da000404 addi r8,sp,16 +81131f34: 003ed606 br 81131a90 <__reset+0xfb111a90> +81131f38: 582b883a mov r21,r11 +81131f3c: d8002915 stw zero,164(sp) +81131f40: 0038bd06 br 81130238 <__reset+0xfb110238> 81131f44: d8802917 ldw r2,164(sp) -81131f48: d9403617 ldw r5,216(sp) -81131f4c: d9002c17 ldw r4,176(sp) -81131f50: d8800015 stw r2,0(sp) -81131f54: 01c000c4 movi r7,3 -81131f58: 980d883a mov r6,r19 -81131f5c: da003d15 stw r8,244(sp) -81131f60: 113412c0 call 8113412c <_dtoa_r> -81131f64: d8c02917 ldw r3,164(sp) -81131f68: da003d17 ldw r8,244(sp) -81131f6c: 1021883a mov r16,r2 -81131f70: 10f9883a add fp,r2,r3 -81131f74: 81000007 ldb r4,0(r16) -81131f78: 00800c04 movi r2,48 -81131f7c: 20805e26 beq r4,r2,811320f8 <___vfprintf_internal_r+0x2088> -81131f80: d8c02617 ldw r3,152(sp) -81131f84: e0f9883a add fp,fp,r3 -81131f88: 003e0a06 br 811317b4 <__reset+0xfb1117b4> -81131f8c: 00c00b44 movi r3,45 -81131f90: 24e0003c xorhi r19,r4,32768 -81131f94: d8c02a05 stb r3,168(sp) -81131f98: 003de906 br 81131740 <__reset+0xfb111740> -81131f9c: d8c03217 ldw r3,200(sp) -81131fa0: 00c07a0e bge zero,r3,8113218c <___vfprintf_internal_r+0x211c> -81131fa4: 00800044 movi r2,1 -81131fa8: d9003317 ldw r4,204(sp) -81131fac: 1105883a add r2,r2,r4 -81131fb0: d8802e15 stw r2,184(sp) -81131fb4: 10004e16 blt r2,zero,811320f0 <___vfprintf_internal_r+0x2080> -81131fb8: 044019c4 movi r17,103 -81131fbc: 003e2106 br 81131844 <__reset+0xfb111844> -81131fc0: d9002917 ldw r4,164(sp) -81131fc4: d8802104 addi r2,sp,132 -81131fc8: d8800315 stw r2,12(sp) -81131fcc: d9000015 stw r4,0(sp) -81131fd0: d8802504 addi r2,sp,148 -81131fd4: d9403617 ldw r5,216(sp) -81131fd8: d9002c17 ldw r4,176(sp) -81131fdc: d8800215 stw r2,8(sp) -81131fe0: d8802604 addi r2,sp,152 -81131fe4: d8800115 stw r2,4(sp) -81131fe8: 01c000c4 movi r7,3 -81131fec: 980d883a mov r6,r19 -81131ff0: da003d15 stw r8,244(sp) -81131ff4: 113412c0 call 8113412c <_dtoa_r> -81131ff8: d8c02917 ldw r3,164(sp) -81131ffc: da003d17 ldw r8,244(sp) -81132000: 1021883a mov r16,r2 -81132004: 00801184 movi r2,70 -81132008: 80f9883a add fp,r16,r3 -8113200c: 88bfd926 beq r17,r2,81131f74 <__reset+0xfb111f74> -81132010: 003de806 br 811317b4 <__reset+0xfb1117b4> -81132014: d9002917 ldw r4,164(sp) -81132018: 00c04d0e bge zero,r3,81132150 <___vfprintf_internal_r+0x20e0> -8113201c: 2000441e bne r4,zero,81132130 <___vfprintf_internal_r+0x20c0> -81132020: 9480004c andi r18,r18,1 -81132024: 9000421e bne r18,zero,81132130 <___vfprintf_internal_r+0x20c0> -81132028: 1805883a mov r2,r3 -8113202c: 18007016 blt r3,zero,811321f0 <___vfprintf_internal_r+0x2180> -81132030: d8c03217 ldw r3,200(sp) -81132034: d8c02e15 stw r3,184(sp) -81132038: 003e0206 br 81131844 <__reset+0xfb111844> -8113203c: df0022c4 addi fp,sp,139 -81132040: dc002915 stw r16,164(sp) -81132044: 4027883a mov r19,r8 -81132048: e021883a mov r16,fp -8113204c: b009883a mov r4,r22 -81132050: 01400284 movi r5,10 -81132054: 112b2d80 call 8112b2d8 <__modsi3> -81132058: 10800c04 addi r2,r2,48 -8113205c: 843fffc4 addi r16,r16,-1 -81132060: b009883a mov r4,r22 -81132064: 01400284 movi r5,10 -81132068: 80800005 stb r2,0(r16) -8113206c: 112b2540 call 8112b254 <__divsi3> -81132070: 102d883a mov r22,r2 -81132074: 00800244 movi r2,9 -81132078: 15bff416 blt r2,r22,8113204c <__reset+0xfb11204c> -8113207c: 9811883a mov r8,r19 -81132080: b0800c04 addi r2,r22,48 -81132084: 8027883a mov r19,r16 -81132088: 997fffc4 addi r5,r19,-1 -8113208c: 98bfffc5 stb r2,-1(r19) -81132090: dc002917 ldw r16,164(sp) -81132094: 2f006a2e bgeu r5,fp,81132240 <___vfprintf_internal_r+0x21d0> -81132098: d9c02384 addi r7,sp,142 -8113209c: 3ccfc83a sub r7,r7,r19 -811320a0: d9002344 addi r4,sp,141 -811320a4: e1cf883a add r7,fp,r7 -811320a8: 00000106 br 811320b0 <___vfprintf_internal_r+0x2040> -811320ac: 28800003 ldbu r2,0(r5) -811320b0: 20800005 stb r2,0(r4) -811320b4: 21000044 addi r4,r4,1 -811320b8: 29400044 addi r5,r5,1 -811320bc: 393ffb1e bne r7,r4,811320ac <__reset+0xfb1120ac> -811320c0: d8802304 addi r2,sp,140 -811320c4: 14c5c83a sub r2,r2,r19 -811320c8: d8c02344 addi r3,sp,141 -811320cc: 1885883a add r2,r3,r2 -811320d0: 003f7006 br 81131e94 <__reset+0xfb111e94> -811320d4: 0005883a mov r2,zero -811320d8: 003f0f06 br 81131d18 <__reset+0xfb111d18> -811320dc: d8c03217 ldw r3,200(sp) -811320e0: 18c00044 addi r3,r3,1 -811320e4: d8c02e15 stw r3,184(sp) -811320e8: 1805883a mov r2,r3 -811320ec: 183fb20e bge r3,zero,81131fb8 <__reset+0xfb111fb8> -811320f0: 0005883a mov r2,zero -811320f4: 003fb006 br 81131fb8 <__reset+0xfb111fb8> -811320f8: d9003617 ldw r4,216(sp) -811320fc: 000d883a mov r6,zero -81132100: 000f883a mov r7,zero -81132104: 980b883a mov r5,r19 -81132108: d8c03c15 stw r3,240(sp) -8113210c: da003d15 stw r8,244(sp) -81132110: 113f0a00 call 8113f0a0 <__eqdf2> -81132114: d8c03c17 ldw r3,240(sp) -81132118: da003d17 ldw r8,244(sp) -8113211c: 103f9826 beq r2,zero,81131f80 <__reset+0xfb111f80> -81132120: 00800044 movi r2,1 -81132124: 10c7c83a sub r3,r2,r3 -81132128: d8c02615 stw r3,152(sp) -8113212c: 003f9506 br 81131f84 <__reset+0xfb111f84> -81132130: d9002917 ldw r4,164(sp) -81132134: d8c03217 ldw r3,200(sp) -81132138: 20800044 addi r2,r4,1 -8113213c: 1885883a add r2,r3,r2 -81132140: d8802e15 stw r2,184(sp) -81132144: 103dbf0e bge r2,zero,81131844 <__reset+0xfb111844> -81132148: 0005883a mov r2,zero -8113214c: 003dbd06 br 81131844 <__reset+0xfb111844> -81132150: 2000211e bne r4,zero,811321d8 <___vfprintf_internal_r+0x2168> -81132154: 9480004c andi r18,r18,1 -81132158: 90001f1e bne r18,zero,811321d8 <___vfprintf_internal_r+0x2168> -8113215c: 00800044 movi r2,1 -81132160: d8802e15 stw r2,184(sp) -81132164: 003db706 br 81131844 <__reset+0xfb111844> -81132168: 00800b44 movi r2,45 -8113216c: 05adc83a sub r22,zero,r22 -81132170: d8802305 stb r2,140(sp) -81132174: 003f3f06 br 81131e74 <__reset+0xfb111e74> -81132178: 0005883a mov r2,zero -8113217c: 003f5206 br 81131ec8 <__reset+0xfb111ec8> -81132180: 90a4703a and r18,r18,r2 -81132184: 903f4e26 beq r18,zero,81131ec0 <__reset+0xfb111ec0> -81132188: 003f4a06 br 81131eb4 <__reset+0xfb111eb4> -8113218c: 00800084 movi r2,2 -81132190: 10c5c83a sub r2,r2,r3 -81132194: 003f8406 br 81131fa8 <__reset+0xfb111fa8> -81132198: d8802d17 ldw r2,180(sp) -8113219c: d9002d17 ldw r4,180(sp) -811321a0: ac400043 ldbu r17,1(r21) -811321a4: 10800017 ldw r2,0(r2) -811321a8: 582b883a mov r21,r11 -811321ac: d8802915 stw r2,164(sp) -811321b0: 20800104 addi r2,r4,4 -811321b4: d9002917 ldw r4,164(sp) -811321b8: d8802d15 stw r2,180(sp) -811321bc: 203e7a0e bge r4,zero,81131ba8 <__reset+0xfb111ba8> -811321c0: 8c403fcc andi r17,r17,255 -811321c4: 00bfffc4 movi r2,-1 -811321c8: 8c40201c xori r17,r17,128 -811321cc: d8802915 stw r2,164(sp) -811321d0: 8c7fe004 addi r17,r17,-128 -811321d4: 00380706 br 811301f4 <__reset+0xfb1101f4> -811321d8: d8c02917 ldw r3,164(sp) -811321dc: 18c00084 addi r3,r3,2 -811321e0: d8c02e15 stw r3,184(sp) -811321e4: 1805883a mov r2,r3 -811321e8: 183d960e bge r3,zero,81131844 <__reset+0xfb111844> -811321ec: 003fd606 br 81132148 <__reset+0xfb112148> -811321f0: 0005883a mov r2,zero -811321f4: 003f8e06 br 81132030 <__reset+0xfb112030> -811321f8: 9080004c andi r2,r18,1 -811321fc: 103f811e bne r2,zero,81132004 <__reset+0xfb112004> -81132200: d8802117 ldw r2,132(sp) -81132204: 1405c83a sub r2,r2,r16 -81132208: d8803315 stw r2,204(sp) -8113220c: b47ef326 beq r22,r17,81131ddc <__reset+0xfb111ddc> -81132210: dd802617 ldw r22,152(sp) -81132214: 003f1106 br 81131e5c <__reset+0xfb111e5c> -81132218: d9c02785 stb r7,158(sp) -8113221c: 00390406 br 81130630 <__reset+0xfb110630> -81132220: d9c02785 stb r7,158(sp) -81132224: 0038d306 br 81130574 <__reset+0xfb110574> -81132228: d9c02785 stb r7,158(sp) -8113222c: 003a6106 br 81130bb4 <__reset+0xfb110bb4> -81132230: d9c02785 stb r7,158(sp) -81132234: 003af806 br 81130e18 <__reset+0xfb110e18> -81132238: 0005883a mov r2,zero -8113223c: 003d7e06 br 81131838 <__reset+0xfb111838> -81132240: d8802344 addi r2,sp,141 -81132244: 003f1306 br 81131e94 <__reset+0xfb111e94> -81132248: d9c02785 stb r7,158(sp) -8113224c: 00392306 br 811306dc <__reset+0xfb1106dc> -81132250: d9c02785 stb r7,158(sp) -81132254: 003aa906 br 81130cfc <__reset+0xfb110cfc> +81131f48: 103e071e bne r2,zero,81131768 <__reset+0xfb111768> +81131f4c: dc002915 stw r16,164(sp) +81131f50: 003e0506 br 81131768 <__reset+0xfb111768> +81131f54: d9002917 ldw r4,164(sp) +81131f58: 20c00044 addi r3,r4,1 +81131f5c: 003e0f06 br 8113179c <__reset+0xfb11179c> +81131f60: 01400184 movi r5,6 +81131f64: d9402915 stw r5,164(sp) +81131f68: 003dff06 br 81131768 <__reset+0xfb111768> +81131f6c: d8802104 addi r2,sp,132 +81131f70: d8800315 stw r2,12(sp) +81131f74: d8802504 addi r2,sp,148 +81131f78: d8800215 stw r2,8(sp) +81131f7c: d8802604 addi r2,sp,152 +81131f80: d8800115 stw r2,4(sp) +81131f84: d8802917 ldw r2,164(sp) +81131f88: d9403617 ldw r5,216(sp) +81131f8c: d9002c17 ldw r4,176(sp) +81131f90: d8800015 stw r2,0(sp) +81131f94: 01c000c4 movi r7,3 +81131f98: 980d883a mov r6,r19 +81131f9c: da003d15 stw r8,244(sp) +81131fa0: 113416c0 call 8113416c <_dtoa_r> +81131fa4: d8c02917 ldw r3,164(sp) +81131fa8: da003d17 ldw r8,244(sp) +81131fac: 1021883a mov r16,r2 +81131fb0: 10f9883a add fp,r2,r3 +81131fb4: 81000007 ldb r4,0(r16) +81131fb8: 00800c04 movi r2,48 +81131fbc: 20805e26 beq r4,r2,81132138 <___vfprintf_internal_r+0x2088> +81131fc0: d8c02617 ldw r3,152(sp) +81131fc4: e0f9883a add fp,fp,r3 +81131fc8: 003e0a06 br 811317f4 <__reset+0xfb1117f4> +81131fcc: 00c00b44 movi r3,45 +81131fd0: 24e0003c xorhi r19,r4,32768 +81131fd4: d8c02a05 stb r3,168(sp) +81131fd8: 003de906 br 81131780 <__reset+0xfb111780> +81131fdc: d8c03217 ldw r3,200(sp) +81131fe0: 00c07a0e bge zero,r3,811321cc <___vfprintf_internal_r+0x211c> +81131fe4: 00800044 movi r2,1 +81131fe8: d9003317 ldw r4,204(sp) +81131fec: 1105883a add r2,r2,r4 +81131ff0: d8802e15 stw r2,184(sp) +81131ff4: 10004e16 blt r2,zero,81132130 <___vfprintf_internal_r+0x2080> +81131ff8: 044019c4 movi r17,103 +81131ffc: 003e2106 br 81131884 <__reset+0xfb111884> +81132000: d9002917 ldw r4,164(sp) +81132004: d8802104 addi r2,sp,132 +81132008: d8800315 stw r2,12(sp) +8113200c: d9000015 stw r4,0(sp) +81132010: d8802504 addi r2,sp,148 +81132014: d9403617 ldw r5,216(sp) +81132018: d9002c17 ldw r4,176(sp) +8113201c: d8800215 stw r2,8(sp) +81132020: d8802604 addi r2,sp,152 +81132024: d8800115 stw r2,4(sp) +81132028: 01c000c4 movi r7,3 +8113202c: 980d883a mov r6,r19 +81132030: da003d15 stw r8,244(sp) +81132034: 113416c0 call 8113416c <_dtoa_r> +81132038: d8c02917 ldw r3,164(sp) +8113203c: da003d17 ldw r8,244(sp) +81132040: 1021883a mov r16,r2 +81132044: 00801184 movi r2,70 +81132048: 80f9883a add fp,r16,r3 +8113204c: 88bfd926 beq r17,r2,81131fb4 <__reset+0xfb111fb4> +81132050: 003de806 br 811317f4 <__reset+0xfb1117f4> +81132054: d9002917 ldw r4,164(sp) +81132058: 00c04d0e bge zero,r3,81132190 <___vfprintf_internal_r+0x20e0> +8113205c: 2000441e bne r4,zero,81132170 <___vfprintf_internal_r+0x20c0> +81132060: 9480004c andi r18,r18,1 +81132064: 9000421e bne r18,zero,81132170 <___vfprintf_internal_r+0x20c0> +81132068: 1805883a mov r2,r3 +8113206c: 18007016 blt r3,zero,81132230 <___vfprintf_internal_r+0x2180> +81132070: d8c03217 ldw r3,200(sp) +81132074: d8c02e15 stw r3,184(sp) +81132078: 003e0206 br 81131884 <__reset+0xfb111884> +8113207c: df0022c4 addi fp,sp,139 +81132080: dc002915 stw r16,164(sp) +81132084: 4027883a mov r19,r8 +81132088: e021883a mov r16,fp +8113208c: b009883a mov r4,r22 +81132090: 01400284 movi r5,10 +81132094: 112b3180 call 8112b318 <__modsi3> +81132098: 10800c04 addi r2,r2,48 +8113209c: 843fffc4 addi r16,r16,-1 +811320a0: b009883a mov r4,r22 +811320a4: 01400284 movi r5,10 +811320a8: 80800005 stb r2,0(r16) +811320ac: 112b2940 call 8112b294 <__divsi3> +811320b0: 102d883a mov r22,r2 +811320b4: 00800244 movi r2,9 +811320b8: 15bff416 blt r2,r22,8113208c <__reset+0xfb11208c> +811320bc: 9811883a mov r8,r19 +811320c0: b0800c04 addi r2,r22,48 +811320c4: 8027883a mov r19,r16 +811320c8: 997fffc4 addi r5,r19,-1 +811320cc: 98bfffc5 stb r2,-1(r19) +811320d0: dc002917 ldw r16,164(sp) +811320d4: 2f006a2e bgeu r5,fp,81132280 <___vfprintf_internal_r+0x21d0> +811320d8: d9c02384 addi r7,sp,142 +811320dc: 3ccfc83a sub r7,r7,r19 +811320e0: d9002344 addi r4,sp,141 +811320e4: e1cf883a add r7,fp,r7 +811320e8: 00000106 br 811320f0 <___vfprintf_internal_r+0x2040> +811320ec: 28800003 ldbu r2,0(r5) +811320f0: 20800005 stb r2,0(r4) +811320f4: 21000044 addi r4,r4,1 +811320f8: 29400044 addi r5,r5,1 +811320fc: 393ffb1e bne r7,r4,811320ec <__reset+0xfb1120ec> +81132100: d8802304 addi r2,sp,140 +81132104: 14c5c83a sub r2,r2,r19 +81132108: d8c02344 addi r3,sp,141 +8113210c: 1885883a add r2,r3,r2 +81132110: 003f7006 br 81131ed4 <__reset+0xfb111ed4> +81132114: 0005883a mov r2,zero +81132118: 003f0f06 br 81131d58 <__reset+0xfb111d58> +8113211c: d8c03217 ldw r3,200(sp) +81132120: 18c00044 addi r3,r3,1 +81132124: d8c02e15 stw r3,184(sp) +81132128: 1805883a mov r2,r3 +8113212c: 183fb20e bge r3,zero,81131ff8 <__reset+0xfb111ff8> +81132130: 0005883a mov r2,zero +81132134: 003fb006 br 81131ff8 <__reset+0xfb111ff8> +81132138: d9003617 ldw r4,216(sp) +8113213c: 000d883a mov r6,zero +81132140: 000f883a mov r7,zero +81132144: 980b883a mov r5,r19 +81132148: d8c03c15 stw r3,240(sp) +8113214c: da003d15 stw r8,244(sp) +81132150: 113f0e00 call 8113f0e0 <__eqdf2> +81132154: d8c03c17 ldw r3,240(sp) +81132158: da003d17 ldw r8,244(sp) +8113215c: 103f9826 beq r2,zero,81131fc0 <__reset+0xfb111fc0> +81132160: 00800044 movi r2,1 +81132164: 10c7c83a sub r3,r2,r3 +81132168: d8c02615 stw r3,152(sp) +8113216c: 003f9506 br 81131fc4 <__reset+0xfb111fc4> +81132170: d9002917 ldw r4,164(sp) +81132174: d8c03217 ldw r3,200(sp) +81132178: 20800044 addi r2,r4,1 +8113217c: 1885883a add r2,r3,r2 +81132180: d8802e15 stw r2,184(sp) +81132184: 103dbf0e bge r2,zero,81131884 <__reset+0xfb111884> +81132188: 0005883a mov r2,zero +8113218c: 003dbd06 br 81131884 <__reset+0xfb111884> +81132190: 2000211e bne r4,zero,81132218 <___vfprintf_internal_r+0x2168> +81132194: 9480004c andi r18,r18,1 +81132198: 90001f1e bne r18,zero,81132218 <___vfprintf_internal_r+0x2168> +8113219c: 00800044 movi r2,1 +811321a0: d8802e15 stw r2,184(sp) +811321a4: 003db706 br 81131884 <__reset+0xfb111884> +811321a8: 00800b44 movi r2,45 +811321ac: 05adc83a sub r22,zero,r22 +811321b0: d8802305 stb r2,140(sp) +811321b4: 003f3f06 br 81131eb4 <__reset+0xfb111eb4> +811321b8: 0005883a mov r2,zero +811321bc: 003f5206 br 81131f08 <__reset+0xfb111f08> +811321c0: 90a4703a and r18,r18,r2 +811321c4: 903f4e26 beq r18,zero,81131f00 <__reset+0xfb111f00> +811321c8: 003f4a06 br 81131ef4 <__reset+0xfb111ef4> +811321cc: 00800084 movi r2,2 +811321d0: 10c5c83a sub r2,r2,r3 +811321d4: 003f8406 br 81131fe8 <__reset+0xfb111fe8> +811321d8: d8802d17 ldw r2,180(sp) +811321dc: d9002d17 ldw r4,180(sp) +811321e0: ac400043 ldbu r17,1(r21) +811321e4: 10800017 ldw r2,0(r2) +811321e8: 582b883a mov r21,r11 +811321ec: d8802915 stw r2,164(sp) +811321f0: 20800104 addi r2,r4,4 +811321f4: d9002917 ldw r4,164(sp) +811321f8: d8802d15 stw r2,180(sp) +811321fc: 203e7a0e bge r4,zero,81131be8 <__reset+0xfb111be8> +81132200: 8c403fcc andi r17,r17,255 +81132204: 00bfffc4 movi r2,-1 +81132208: 8c40201c xori r17,r17,128 +8113220c: d8802915 stw r2,164(sp) +81132210: 8c7fe004 addi r17,r17,-128 +81132214: 00380706 br 81130234 <__reset+0xfb110234> +81132218: d8c02917 ldw r3,164(sp) +8113221c: 18c00084 addi r3,r3,2 +81132220: d8c02e15 stw r3,184(sp) +81132224: 1805883a mov r2,r3 +81132228: 183d960e bge r3,zero,81131884 <__reset+0xfb111884> +8113222c: 003fd606 br 81132188 <__reset+0xfb112188> +81132230: 0005883a mov r2,zero +81132234: 003f8e06 br 81132070 <__reset+0xfb112070> +81132238: 9080004c andi r2,r18,1 +8113223c: 103f811e bne r2,zero,81132044 <__reset+0xfb112044> +81132240: d8802117 ldw r2,132(sp) +81132244: 1405c83a sub r2,r2,r16 +81132248: d8803315 stw r2,204(sp) +8113224c: b47ef326 beq r22,r17,81131e1c <__reset+0xfb111e1c> +81132250: dd802617 ldw r22,152(sp) +81132254: 003f1106 br 81131e9c <__reset+0xfb111e9c> 81132258: d9c02785 stb r7,158(sp) -8113225c: 003a3d06 br 81130b54 <__reset+0xfb110b54> +8113225c: 00390406 br 81130670 <__reset+0xfb110670> 81132260: d9c02785 stb r7,158(sp) -81132264: 003aca06 br 81130d90 <__reset+0xfb110d90> - -81132268 <__vfprintf_internal>: -81132268: 00a04574 movhi r2,33045 -8113226c: 1086e204 addi r2,r2,7048 -81132270: 300f883a mov r7,r6 -81132274: 280d883a mov r6,r5 -81132278: 200b883a mov r5,r4 -8113227c: 11000017 ldw r4,0(r2) -81132280: 11300701 jmpi 81130070 <___vfprintf_internal_r> - -81132284 <__sbprintf>: -81132284: 2880030b ldhu r2,12(r5) -81132288: 2ac01917 ldw r11,100(r5) -8113228c: 2a80038b ldhu r10,14(r5) -81132290: 2a400717 ldw r9,28(r5) -81132294: 2a000917 ldw r8,36(r5) -81132298: defee204 addi sp,sp,-1144 -8113229c: 00c10004 movi r3,1024 -811322a0: dc011a15 stw r16,1128(sp) -811322a4: 10bfff4c andi r2,r2,65533 -811322a8: 2821883a mov r16,r5 -811322ac: d8cb883a add r5,sp,r3 -811322b0: dc811c15 stw r18,1136(sp) -811322b4: dc411b15 stw r17,1132(sp) -811322b8: dfc11d15 stw ra,1140(sp) -811322bc: 2025883a mov r18,r4 -811322c0: d881030d sth r2,1036(sp) -811322c4: dac11915 stw r11,1124(sp) -811322c8: da81038d sth r10,1038(sp) -811322cc: da410715 stw r9,1052(sp) -811322d0: da010915 stw r8,1060(sp) -811322d4: dec10015 stw sp,1024(sp) -811322d8: dec10415 stw sp,1040(sp) -811322dc: d8c10215 stw r3,1032(sp) -811322e0: d8c10515 stw r3,1044(sp) -811322e4: d8010615 stw zero,1048(sp) -811322e8: 11300700 call 81130070 <___vfprintf_internal_r> -811322ec: 1023883a mov r17,r2 -811322f0: 10000416 blt r2,zero,81132304 <__sbprintf+0x80> -811322f4: d9410004 addi r5,sp,1024 -811322f8: 9009883a mov r4,r18 -811322fc: 11359d00 call 811359d0 <_fflush_r> -81132300: 10000d1e bne r2,zero,81132338 <__sbprintf+0xb4> -81132304: d881030b ldhu r2,1036(sp) -81132308: 1080100c andi r2,r2,64 -8113230c: 10000326 beq r2,zero,8113231c <__sbprintf+0x98> -81132310: 8080030b ldhu r2,12(r16) -81132314: 10801014 ori r2,r2,64 -81132318: 8080030d sth r2,12(r16) -8113231c: 8805883a mov r2,r17 -81132320: dfc11d17 ldw ra,1140(sp) -81132324: dc811c17 ldw r18,1136(sp) -81132328: dc411b17 ldw r17,1132(sp) -8113232c: dc011a17 ldw r16,1128(sp) -81132330: dec11e04 addi sp,sp,1144 -81132334: f800283a ret -81132338: 047fffc4 movi r17,-1 -8113233c: 003ff106 br 81132304 <__reset+0xfb112304> - -81132340 <__svfscanf_r>: -81132340: 2880030b ldhu r2,12(r5) -81132344: deff4b04 addi sp,sp,-724 -81132348: df00b315 stw fp,716(sp) -8113234c: dd80b115 stw r22,708(sp) -81132350: dfc0b415 stw ra,720(sp) -81132354: ddc0b215 stw r23,712(sp) -81132358: dd40b015 stw r21,704(sp) -8113235c: dd00af15 stw r20,700(sp) -81132360: dcc0ae15 stw r19,696(sp) -81132364: dc80ad15 stw r18,692(sp) -81132368: dc40ac15 stw r17,688(sp) -8113236c: dc00ab15 stw r16,684(sp) -81132370: 10c8000c andi r3,r2,8192 -81132374: d9c09c15 stw r7,624(sp) -81132378: 2839883a mov fp,r5 -8113237c: 202d883a mov r22,r4 -81132380: 1800061e bne r3,zero,8113239c <__svfscanf_r+0x5c> -81132384: 29001917 ldw r4,100(r5) -81132388: 00f7ffc4 movi r3,-8193 -8113238c: 10880014 ori r2,r2,8192 -81132390: 20c6703a and r3,r4,r3 -81132394: 2880030d sth r2,12(r5) -81132398: 28c01915 stw r3,100(r5) -8113239c: 30800003 ldbu r2,0(r6) -811323a0: 0021883a mov r16,zero -811323a4: 05e04574 movhi r23,33045 -811323a8: d800a115 stw zero,644(sp) -811323ac: d8009e15 stw zero,632(sp) -811323b0: d800a015 stw zero,640(sp) -811323b4: d8809b15 stw r2,620(sp) -811323b8: bdc6e004 addi r23,r23,7040 -811323bc: 8025883a mov r18,r16 -811323c0: 35000044 addi r20,r6,1 -811323c4: 10001e26 beq r2,zero,81132440 <__svfscanf_r+0x100> -811323c8: b9c00017 ldw r7,0(r23) -811323cc: 3887883a add r3,r7,r2 -811323d0: 18c00043 ldbu r3,1(r3) -811323d4: 18c0020c andi r3,r3,8 -811323d8: 18001b26 beq r3,zero,81132448 <__svfscanf_r+0x108> -811323dc: e0800117 ldw r2,4(fp) -811323e0: 00800e0e bge zero,r2,8113241c <__svfscanf_r+0xdc> -811323e4: e0c00017 ldw r3,0(fp) -811323e8: b9000017 ldw r4,0(r23) -811323ec: 18800003 ldbu r2,0(r3) -811323f0: 2085883a add r2,r4,r2 -811323f4: 10800043 ldbu r2,1(r2) -811323f8: 1080020c andi r2,r2,8 -811323fc: 10000b26 beq r2,zero,8113242c <__svfscanf_r+0xec> -81132400: e0800117 ldw r2,4(fp) -81132404: 18c00044 addi r3,r3,1 -81132408: e0c00015 stw r3,0(fp) -8113240c: 10bfffc4 addi r2,r2,-1 -81132410: e0800115 stw r2,4(fp) -81132414: 94800044 addi r18,r18,1 -81132418: 00bff216 blt zero,r2,811323e4 <__reset+0xfb1123e4> -8113241c: e00b883a mov r5,fp -81132420: b009883a mov r4,r22 -81132424: 112d38c0 call 8112d38c <__srefill_r> -81132428: 103fee26 beq r2,zero,811323e4 <__reset+0xfb1123e4> -8113242c: a00d883a mov r6,r20 -81132430: 30800003 ldbu r2,0(r6) -81132434: 35000044 addi r20,r6,1 -81132438: d8809b15 stw r2,620(sp) -8113243c: 103fe21e bne r2,zero,811323c8 <__reset+0xfb1123c8> -81132440: d880a017 ldw r2,640(sp) -81132444: 00009906 br 811326ac <__svfscanf_r+0x36c> -81132448: 00c00944 movi r3,37 -8113244c: 10c0881e bne r2,r3,81132670 <__svfscanf_r+0x330> -81132450: 30c00043 ldbu r3,1(r6) -81132454: 0023883a mov r17,zero -81132458: 0027883a mov r19,zero -8113245c: 01001e04 movi r4,120 -81132460: 01401b04 movi r5,108 -81132464: a1800044 addi r6,r20,1 -81132468: 20c0a236 bltu r4,r3,811326f4 <__svfscanf_r+0x3b4> -8113246c: 180490ba slli r2,r3,2 -81132470: 022044f4 movhi r8,33043 -81132474: 42092104 addi r8,r8,9348 -81132478: 1205883a add r2,r2,r8 -8113247c: 10800017 ldw r2,0(r2) -81132480: 1000683a jmp r2 -81132484: 811326a8 cmpgeui r4,r16,19610 -81132488: 811326f4 orhi r4,r16,19611 -8113248c: 811326f4 orhi r4,r16,19611 -81132490: 811326f4 orhi r4,r16,19611 -81132494: 811326f4 orhi r4,r16,19611 -81132498: 811326f4 orhi r4,r16,19611 -8113249c: 811326f4 orhi r4,r16,19611 -811324a0: 811326f4 orhi r4,r16,19611 -811324a4: 811326f4 orhi r4,r16,19611 -811324a8: 811326f4 orhi r4,r16,19611 -811324ac: 811326f4 orhi r4,r16,19611 -811324b0: 811326f4 orhi r4,r16,19611 -811324b4: 811326f4 orhi r4,r16,19611 -811324b8: 811326f4 orhi r4,r16,19611 -811324bc: 811326f4 orhi r4,r16,19611 -811324c0: 811326f4 orhi r4,r16,19611 -811324c4: 811326f4 orhi r4,r16,19611 -811324c8: 811326f4 orhi r4,r16,19611 -811324cc: 811326f4 orhi r4,r16,19611 -811324d0: 811326f4 orhi r4,r16,19611 -811324d4: 811326f4 orhi r4,r16,19611 -811324d8: 811326f4 orhi r4,r16,19611 -811324dc: 811326f4 orhi r4,r16,19611 -811324e0: 811326f4 orhi r4,r16,19611 -811324e4: 811326f4 orhi r4,r16,19611 -811324e8: 811326f4 orhi r4,r16,19611 -811324ec: 811326f4 orhi r4,r16,19611 -811324f0: 811326f4 orhi r4,r16,19611 -811324f4: 811326f4 orhi r4,r16,19611 -811324f8: 811326f4 orhi r4,r16,19611 -811324fc: 811326f4 orhi r4,r16,19611 -81132500: 811326f4 orhi r4,r16,19611 -81132504: 811326f4 orhi r4,r16,19611 -81132508: 811326f4 orhi r4,r16,19611 -8113250c: 811326f4 orhi r4,r16,19611 -81132510: 811326f4 orhi r4,r16,19611 -81132514: 811326f4 orhi r4,r16,19611 -81132518: 81132668 cmpgeui r4,r16,19609 -8113251c: 811326f4 orhi r4,r16,19611 -81132520: 811326f4 orhi r4,r16,19611 -81132524: 811326f4 orhi r4,r16,19611 -81132528: 811326f4 orhi r4,r16,19611 -8113252c: 811326dc xori r4,r16,19611 -81132530: 811326f4 orhi r4,r16,19611 -81132534: 811326f4 orhi r4,r16,19611 -81132538: 811326f4 orhi r4,r16,19611 -8113253c: 811326f4 orhi r4,r16,19611 -81132540: 811326f4 orhi r4,r16,19611 -81132544: 81132810 cmplti r4,r16,19616 -81132548: 81132810 cmplti r4,r16,19616 -8113254c: 81132810 cmplti r4,r16,19616 -81132550: 81132810 cmplti r4,r16,19616 -81132554: 81132810 cmplti r4,r16,19616 -81132558: 81132810 cmplti r4,r16,19616 -8113255c: 81132810 cmplti r4,r16,19616 -81132560: 81132810 cmplti r4,r16,19616 -81132564: 81132810 cmplti r4,r16,19616 -81132568: 81132810 cmplti r4,r16,19616 -8113256c: 811326f4 orhi r4,r16,19611 -81132570: 811326f4 orhi r4,r16,19611 -81132574: 811326f4 orhi r4,r16,19611 -81132578: 811326f4 orhi r4,r16,19611 -8113257c: 811326f4 orhi r4,r16,19611 -81132580: 811326f4 orhi r4,r16,19611 -81132584: 811326f4 orhi r4,r16,19611 -81132588: 811326f4 orhi r4,r16,19611 -8113258c: 811326f4 orhi r4,r16,19611 -81132590: 811326f4 orhi r4,r16,19611 -81132594: 811327e4 muli r4,r16,19615 -81132598: 81132894 ori r4,r16,19618 -8113259c: 811326f4 orhi r4,r16,19611 -811325a0: 81132894 ori r4,r16,19618 -811325a4: 811326f4 orhi r4,r16,19611 -811325a8: 811326f4 orhi r4,r16,19611 -811325ac: 811326f4 orhi r4,r16,19611 -811325b0: 811326f4 orhi r4,r16,19611 -811325b4: 81132880 call 88113288 <__reset+0x20f3288> -811325b8: 811326f4 orhi r4,r16,19611 -811325bc: 811326f4 orhi r4,r16,19611 -811325c0: 81132854 ori r4,r16,19617 -811325c4: 811326f4 orhi r4,r16,19611 -811325c8: 811326f4 orhi r4,r16,19611 -811325cc: 811326f4 orhi r4,r16,19611 -811325d0: 811326f4 orhi r4,r16,19611 -811325d4: 811326f4 orhi r4,r16,19611 -811325d8: 811326f4 orhi r4,r16,19611 -811325dc: 811326f4 orhi r4,r16,19611 -811325e0: 811326f4 orhi r4,r16,19611 -811325e4: 8113282c andhi r4,r16,19616 -811325e8: 811326f4 orhi r4,r16,19611 -811325ec: 811326f4 orhi r4,r16,19611 -811325f0: 811329c8 cmpgei r4,r16,19623 -811325f4: 811326f4 orhi r4,r16,19611 -811325f8: 811326f4 orhi r4,r16,19611 -811325fc: 811326f4 orhi r4,r16,19611 -81132600: 811326f4 orhi r4,r16,19611 -81132604: 811326f4 orhi r4,r16,19611 -81132608: 811326f4 orhi r4,r16,19611 -8113260c: 811326f4 orhi r4,r16,19611 -81132610: 8113294c andi r4,r16,19621 -81132614: 81132924 muli r4,r16,19620 -81132618: 81132894 ori r4,r16,19618 -8113261c: 81132894 ori r4,r16,19618 -81132620: 81132894 ori r4,r16,19618 -81132624: 81132910 cmplti r4,r16,19620 -81132628: 81132a54 ori r4,r16,19625 -8113262c: 811326f4 orhi r4,r16,19611 -81132630: 811326f4 orhi r4,r16,19611 -81132634: 811328fc xorhi r4,r16,19619 -81132638: 811326f4 orhi r4,r16,19611 -8113263c: 811328cc andi r4,r16,19619 -81132640: 811328a8 cmpgeui r4,r16,19618 -81132644: 811327b8 rdprs r4,r16,19614 -81132648: 811326f4 orhi r4,r16,19611 -8113264c: 811326f4 orhi r4,r16,19611 -81132650: 811327a4 muli r4,r16,19614 -81132654: 811326f4 orhi r4,r16,19611 -81132658: 8113272c andhi r4,r16,19612 -8113265c: 811326f4 orhi r4,r16,19611 -81132660: 811326f4 orhi r4,r16,19611 -81132664: 8113282c andhi r4,r16,19616 -81132668: d9809d15 stw r6,628(sp) -8113266c: 3029883a mov r20,r6 -81132670: e0800117 ldw r2,4(fp) -81132674: 0081aa0e bge zero,r2,81132d20 <__svfscanf_r+0x9e0> -81132678: e0800017 ldw r2,0(fp) -8113267c: a0ffffc3 ldbu r3,-1(r20) -81132680: 11000003 ldbu r4,0(r2) -81132684: 20ff6e1e bne r4,r3,81132440 <__reset+0xfb112440> -81132688: e0c00117 ldw r3,4(fp) -8113268c: 10800044 addi r2,r2,1 -81132690: e0800015 stw r2,0(fp) -81132694: 18bfffc4 addi r2,r3,-1 -81132698: e0800115 stw r2,4(fp) -8113269c: 94800044 addi r18,r18,1 -811326a0: a00d883a mov r6,r20 -811326a4: 003f6206 br 81132430 <__reset+0xfb112430> -811326a8: 00bfffc4 movi r2,-1 -811326ac: dfc0b417 ldw ra,720(sp) -811326b0: df00b317 ldw fp,716(sp) -811326b4: ddc0b217 ldw r23,712(sp) -811326b8: dd80b117 ldw r22,708(sp) -811326bc: dd40b017 ldw r21,704(sp) -811326c0: dd00af17 ldw r20,700(sp) -811326c4: dcc0ae17 ldw r19,696(sp) -811326c8: dc80ad17 ldw r18,692(sp) -811326cc: dc40ac17 ldw r17,688(sp) -811326d0: dc00ab17 ldw r16,684(sp) -811326d4: dec0b504 addi sp,sp,724 -811326d8: f800283a ret -811326dc: a0800043 ldbu r2,1(r20) -811326e0: 3029883a mov r20,r6 -811326e4: 8c400414 ori r17,r17,16 -811326e8: 10c03fcc andi r3,r2,255 -811326ec: a1800044 addi r6,r20,1 -811326f0: 20ff5e2e bgeu r4,r3,8113246c <__reset+0xfb11246c> -811326f4: 38c7883a add r3,r7,r3 -811326f8: 18800043 ldbu r2,1(r3) -811326fc: d9809d15 stw r6,628(sp) -81132700: 00c00044 movi r3,1 -81132704: 108000cc andi r2,r2,3 -81132708: 10c18f26 beq r2,r3,81132d48 <__svfscanf_r+0xa08> -8113270c: e0800117 ldw r2,4(fp) -81132710: 00808716 blt zero,r2,81132930 <__svfscanf_r+0x5f0> -81132714: e00b883a mov r5,fp -81132718: b009883a mov r4,r22 -8113271c: 112d38c0 call 8112d38c <__srefill_r> -81132720: 1001431e bne r2,zero,81132c30 <__svfscanf_r+0x8f0> -81132724: b9c00017 ldw r7,0(r23) -81132728: 00008106 br 81132930 <__svfscanf_r+0x5f0> -8113272c: e0800117 ldw r2,4(fp) -81132730: d9809d15 stw r6,628(sp) -81132734: 0081a30e bge zero,r2,81132dc4 <__svfscanf_r+0xa84> -81132738: 00a04534 movhi r2,33044 -8113273c: 10a84004 addi r2,r2,-24320 -81132740: 02000284 movi r8,10 -81132744: d880a115 stw r2,644(sp) -81132748: da009e15 stw r8,632(sp) -8113274c: 050000c4 movi r20,3 -81132750: e0c00017 ldw r3,0(fp) -81132754: 00000206 br 81132760 <__svfscanf_r+0x420> -81132758: 18c00044 addi r3,r3,1 -8113275c: e0c00015 stw r3,0(fp) -81132760: 19000003 ldbu r4,0(r3) -81132764: 20803fcc andi r2,r4,255 -81132768: 3885883a add r2,r7,r2 -8113276c: 10800043 ldbu r2,1(r2) -81132770: 1140020c andi r5,r2,8 -81132774: 2801ab26 beq r5,zero,81132e24 <__svfscanf_r+0xae4> -81132778: e0800117 ldw r2,4(fp) -8113277c: 94800044 addi r18,r18,1 -81132780: 10bfffc4 addi r2,r2,-1 -81132784: e0800115 stw r2,4(fp) -81132788: 00bff316 blt zero,r2,81132758 <__reset+0xfb112758> -8113278c: e00b883a mov r5,fp -81132790: b009883a mov r4,r22 -81132794: 112d38c0 call 8112d38c <__srefill_r> -81132798: 1001251e bne r2,zero,81132c30 <__svfscanf_r+0x8f0> -8113279c: b9c00017 ldw r7,0(r23) -811327a0: 003feb06 br 81132750 <__reset+0xfb112750> -811327a4: e0800117 ldw r2,4(fp) -811327a8: d9809d15 stw r6,628(sp) -811327ac: 00818b0e bge zero,r2,81132ddc <__svfscanf_r+0xa9c> -811327b0: 05000084 movi r20,2 -811327b4: 003fe606 br 81132750 <__reset+0xfb112750> +81132264: 0038d306 br 811305b4 <__reset+0xfb1105b4> +81132268: d9c02785 stb r7,158(sp) +8113226c: 003a6106 br 81130bf4 <__reset+0xfb110bf4> +81132270: d9c02785 stb r7,158(sp) +81132274: 003af806 br 81130e58 <__reset+0xfb110e58> +81132278: 0005883a mov r2,zero +8113227c: 003d7e06 br 81131878 <__reset+0xfb111878> +81132280: d8802344 addi r2,sp,141 +81132284: 003f1306 br 81131ed4 <__reset+0xfb111ed4> +81132288: d9c02785 stb r7,158(sp) +8113228c: 00392306 br 8113071c <__reset+0xfb11071c> +81132290: d9c02785 stb r7,158(sp) +81132294: 003aa906 br 81130d3c <__reset+0xfb110d3c> +81132298: d9c02785 stb r7,158(sp) +8113229c: 003a3d06 br 81130b94 <__reset+0xfb110b94> +811322a0: d9c02785 stb r7,158(sp) +811322a4: 003aca06 br 81130dd0 <__reset+0xfb110dd0> + +811322a8 <__vfprintf_internal>: +811322a8: 00a04574 movhi r2,33045 +811322ac: 1086f304 addi r2,r2,7116 +811322b0: 300f883a mov r7,r6 +811322b4: 280d883a mov r6,r5 +811322b8: 200b883a mov r5,r4 +811322bc: 11000017 ldw r4,0(r2) +811322c0: 11300b01 jmpi 811300b0 <___vfprintf_internal_r> + +811322c4 <__sbprintf>: +811322c4: 2880030b ldhu r2,12(r5) +811322c8: 2ac01917 ldw r11,100(r5) +811322cc: 2a80038b ldhu r10,14(r5) +811322d0: 2a400717 ldw r9,28(r5) +811322d4: 2a000917 ldw r8,36(r5) +811322d8: defee204 addi sp,sp,-1144 +811322dc: 00c10004 movi r3,1024 +811322e0: dc011a15 stw r16,1128(sp) +811322e4: 10bfff4c andi r2,r2,65533 +811322e8: 2821883a mov r16,r5 +811322ec: d8cb883a add r5,sp,r3 +811322f0: dc811c15 stw r18,1136(sp) +811322f4: dc411b15 stw r17,1132(sp) +811322f8: dfc11d15 stw ra,1140(sp) +811322fc: 2025883a mov r18,r4 +81132300: d881030d sth r2,1036(sp) +81132304: dac11915 stw r11,1124(sp) +81132308: da81038d sth r10,1038(sp) +8113230c: da410715 stw r9,1052(sp) +81132310: da010915 stw r8,1060(sp) +81132314: dec10015 stw sp,1024(sp) +81132318: dec10415 stw sp,1040(sp) +8113231c: d8c10215 stw r3,1032(sp) +81132320: d8c10515 stw r3,1044(sp) +81132324: d8010615 stw zero,1048(sp) +81132328: 11300b00 call 811300b0 <___vfprintf_internal_r> +8113232c: 1023883a mov r17,r2 +81132330: 10000416 blt r2,zero,81132344 <__sbprintf+0x80> +81132334: d9410004 addi r5,sp,1024 +81132338: 9009883a mov r4,r18 +8113233c: 1135a100 call 81135a10 <_fflush_r> +81132340: 10000d1e bne r2,zero,81132378 <__sbprintf+0xb4> +81132344: d881030b ldhu r2,1036(sp) +81132348: 1080100c andi r2,r2,64 +8113234c: 10000326 beq r2,zero,8113235c <__sbprintf+0x98> +81132350: 8080030b ldhu r2,12(r16) +81132354: 10801014 ori r2,r2,64 +81132358: 8080030d sth r2,12(r16) +8113235c: 8805883a mov r2,r17 +81132360: dfc11d17 ldw ra,1140(sp) +81132364: dc811c17 ldw r18,1136(sp) +81132368: dc411b17 ldw r17,1132(sp) +8113236c: dc011a17 ldw r16,1128(sp) +81132370: dec11e04 addi sp,sp,1144 +81132374: f800283a ret +81132378: 047fffc4 movi r17,-1 +8113237c: 003ff106 br 81132344 <__reset+0xfb112344> + +81132380 <__svfscanf_r>: +81132380: 2880030b ldhu r2,12(r5) +81132384: deff4b04 addi sp,sp,-724 +81132388: df00b315 stw fp,716(sp) +8113238c: dd80b115 stw r22,708(sp) +81132390: dfc0b415 stw ra,720(sp) +81132394: ddc0b215 stw r23,712(sp) +81132398: dd40b015 stw r21,704(sp) +8113239c: dd00af15 stw r20,700(sp) +811323a0: dcc0ae15 stw r19,696(sp) +811323a4: dc80ad15 stw r18,692(sp) +811323a8: dc40ac15 stw r17,688(sp) +811323ac: dc00ab15 stw r16,684(sp) +811323b0: 10c8000c andi r3,r2,8192 +811323b4: d9c09c15 stw r7,624(sp) +811323b8: 2839883a mov fp,r5 +811323bc: 202d883a mov r22,r4 +811323c0: 1800061e bne r3,zero,811323dc <__svfscanf_r+0x5c> +811323c4: 29001917 ldw r4,100(r5) +811323c8: 00f7ffc4 movi r3,-8193 +811323cc: 10880014 ori r2,r2,8192 +811323d0: 20c6703a and r3,r4,r3 +811323d4: 2880030d sth r2,12(r5) +811323d8: 28c01915 stw r3,100(r5) +811323dc: 30800003 ldbu r2,0(r6) +811323e0: 0021883a mov r16,zero +811323e4: 05e04574 movhi r23,33045 +811323e8: d800a115 stw zero,644(sp) +811323ec: d8009e15 stw zero,632(sp) +811323f0: d800a015 stw zero,640(sp) +811323f4: d8809b15 stw r2,620(sp) +811323f8: bdc6f104 addi r23,r23,7108 +811323fc: 8025883a mov r18,r16 +81132400: 35000044 addi r20,r6,1 +81132404: 10001e26 beq r2,zero,81132480 <__svfscanf_r+0x100> +81132408: b9c00017 ldw r7,0(r23) +8113240c: 3887883a add r3,r7,r2 +81132410: 18c00043 ldbu r3,1(r3) +81132414: 18c0020c andi r3,r3,8 +81132418: 18001b26 beq r3,zero,81132488 <__svfscanf_r+0x108> +8113241c: e0800117 ldw r2,4(fp) +81132420: 00800e0e bge zero,r2,8113245c <__svfscanf_r+0xdc> +81132424: e0c00017 ldw r3,0(fp) +81132428: b9000017 ldw r4,0(r23) +8113242c: 18800003 ldbu r2,0(r3) +81132430: 2085883a add r2,r4,r2 +81132434: 10800043 ldbu r2,1(r2) +81132438: 1080020c andi r2,r2,8 +8113243c: 10000b26 beq r2,zero,8113246c <__svfscanf_r+0xec> +81132440: e0800117 ldw r2,4(fp) +81132444: 18c00044 addi r3,r3,1 +81132448: e0c00015 stw r3,0(fp) +8113244c: 10bfffc4 addi r2,r2,-1 +81132450: e0800115 stw r2,4(fp) +81132454: 94800044 addi r18,r18,1 +81132458: 00bff216 blt zero,r2,81132424 <__reset+0xfb112424> +8113245c: e00b883a mov r5,fp +81132460: b009883a mov r4,r22 +81132464: 112d3cc0 call 8112d3cc <__srefill_r> +81132468: 103fee26 beq r2,zero,81132424 <__reset+0xfb112424> +8113246c: a00d883a mov r6,r20 +81132470: 30800003 ldbu r2,0(r6) +81132474: 35000044 addi r20,r6,1 +81132478: d8809b15 stw r2,620(sp) +8113247c: 103fe21e bne r2,zero,81132408 <__reset+0xfb112408> +81132480: d880a017 ldw r2,640(sp) +81132484: 00009906 br 811326ec <__svfscanf_r+0x36c> +81132488: 00c00944 movi r3,37 +8113248c: 10c0881e bne r2,r3,811326b0 <__svfscanf_r+0x330> +81132490: 30c00043 ldbu r3,1(r6) +81132494: 0023883a mov r17,zero +81132498: 0027883a mov r19,zero +8113249c: 01001e04 movi r4,120 +811324a0: 01401b04 movi r5,108 +811324a4: a1800044 addi r6,r20,1 +811324a8: 20c0a236 bltu r4,r3,81132734 <__svfscanf_r+0x3b4> +811324ac: 180490ba slli r2,r3,2 +811324b0: 022044f4 movhi r8,33043 +811324b4: 42093104 addi r8,r8,9412 +811324b8: 1205883a add r2,r2,r8 +811324bc: 10800017 ldw r2,0(r2) +811324c0: 1000683a jmp r2 +811324c4: 811326e8 cmpgeui r4,r16,19611 +811324c8: 81132734 orhi r4,r16,19612 +811324cc: 81132734 orhi r4,r16,19612 +811324d0: 81132734 orhi r4,r16,19612 +811324d4: 81132734 orhi r4,r16,19612 +811324d8: 81132734 orhi r4,r16,19612 +811324dc: 81132734 orhi r4,r16,19612 +811324e0: 81132734 orhi r4,r16,19612 +811324e4: 81132734 orhi r4,r16,19612 +811324e8: 81132734 orhi r4,r16,19612 +811324ec: 81132734 orhi r4,r16,19612 +811324f0: 81132734 orhi r4,r16,19612 +811324f4: 81132734 orhi r4,r16,19612 +811324f8: 81132734 orhi r4,r16,19612 +811324fc: 81132734 orhi r4,r16,19612 +81132500: 81132734 orhi r4,r16,19612 +81132504: 81132734 orhi r4,r16,19612 +81132508: 81132734 orhi r4,r16,19612 +8113250c: 81132734 orhi r4,r16,19612 +81132510: 81132734 orhi r4,r16,19612 +81132514: 81132734 orhi r4,r16,19612 +81132518: 81132734 orhi r4,r16,19612 +8113251c: 81132734 orhi r4,r16,19612 +81132520: 81132734 orhi r4,r16,19612 +81132524: 81132734 orhi r4,r16,19612 +81132528: 81132734 orhi r4,r16,19612 +8113252c: 81132734 orhi r4,r16,19612 +81132530: 81132734 orhi r4,r16,19612 +81132534: 81132734 orhi r4,r16,19612 +81132538: 81132734 orhi r4,r16,19612 +8113253c: 81132734 orhi r4,r16,19612 +81132540: 81132734 orhi r4,r16,19612 +81132544: 81132734 orhi r4,r16,19612 +81132548: 81132734 orhi r4,r16,19612 +8113254c: 81132734 orhi r4,r16,19612 +81132550: 81132734 orhi r4,r16,19612 +81132554: 81132734 orhi r4,r16,19612 +81132558: 811326a8 cmpgeui r4,r16,19610 +8113255c: 81132734 orhi r4,r16,19612 +81132560: 81132734 orhi r4,r16,19612 +81132564: 81132734 orhi r4,r16,19612 +81132568: 81132734 orhi r4,r16,19612 +8113256c: 8113271c xori r4,r16,19612 +81132570: 81132734 orhi r4,r16,19612 +81132574: 81132734 orhi r4,r16,19612 +81132578: 81132734 orhi r4,r16,19612 +8113257c: 81132734 orhi r4,r16,19612 +81132580: 81132734 orhi r4,r16,19612 +81132584: 81132850 cmplti r4,r16,19617 +81132588: 81132850 cmplti r4,r16,19617 +8113258c: 81132850 cmplti r4,r16,19617 +81132590: 81132850 cmplti r4,r16,19617 +81132594: 81132850 cmplti r4,r16,19617 +81132598: 81132850 cmplti r4,r16,19617 +8113259c: 81132850 cmplti r4,r16,19617 +811325a0: 81132850 cmplti r4,r16,19617 +811325a4: 81132850 cmplti r4,r16,19617 +811325a8: 81132850 cmplti r4,r16,19617 +811325ac: 81132734 orhi r4,r16,19612 +811325b0: 81132734 orhi r4,r16,19612 +811325b4: 81132734 orhi r4,r16,19612 +811325b8: 81132734 orhi r4,r16,19612 +811325bc: 81132734 orhi r4,r16,19612 +811325c0: 81132734 orhi r4,r16,19612 +811325c4: 81132734 orhi r4,r16,19612 +811325c8: 81132734 orhi r4,r16,19612 +811325cc: 81132734 orhi r4,r16,19612 +811325d0: 81132734 orhi r4,r16,19612 +811325d4: 81132824 muli r4,r16,19616 +811325d8: 811328d4 ori r4,r16,19619 +811325dc: 81132734 orhi r4,r16,19612 +811325e0: 811328d4 ori r4,r16,19619 +811325e4: 81132734 orhi r4,r16,19612 +811325e8: 81132734 orhi r4,r16,19612 +811325ec: 81132734 orhi r4,r16,19612 +811325f0: 81132734 orhi r4,r16,19612 +811325f4: 811328c0 call 8811328c <__reset+0x20f328c> +811325f8: 81132734 orhi r4,r16,19612 +811325fc: 81132734 orhi r4,r16,19612 +81132600: 81132894 ori r4,r16,19618 +81132604: 81132734 orhi r4,r16,19612 +81132608: 81132734 orhi r4,r16,19612 +8113260c: 81132734 orhi r4,r16,19612 +81132610: 81132734 orhi r4,r16,19612 +81132614: 81132734 orhi r4,r16,19612 +81132618: 81132734 orhi r4,r16,19612 +8113261c: 81132734 orhi r4,r16,19612 +81132620: 81132734 orhi r4,r16,19612 +81132624: 8113286c andhi r4,r16,19617 +81132628: 81132734 orhi r4,r16,19612 +8113262c: 81132734 orhi r4,r16,19612 +81132630: 81132a08 cmpgei r4,r16,19624 +81132634: 81132734 orhi r4,r16,19612 +81132638: 81132734 orhi r4,r16,19612 +8113263c: 81132734 orhi r4,r16,19612 +81132640: 81132734 orhi r4,r16,19612 +81132644: 81132734 orhi r4,r16,19612 +81132648: 81132734 orhi r4,r16,19612 +8113264c: 81132734 orhi r4,r16,19612 +81132650: 8113298c andi r4,r16,19622 +81132654: 81132964 muli r4,r16,19621 +81132658: 811328d4 ori r4,r16,19619 +8113265c: 811328d4 ori r4,r16,19619 +81132660: 811328d4 ori r4,r16,19619 +81132664: 81132950 cmplti r4,r16,19621 +81132668: 81132a94 ori r4,r16,19626 +8113266c: 81132734 orhi r4,r16,19612 +81132670: 81132734 orhi r4,r16,19612 +81132674: 8113293c xorhi r4,r16,19620 +81132678: 81132734 orhi r4,r16,19612 +8113267c: 8113290c andi r4,r16,19620 +81132680: 811328e8 cmpgeui r4,r16,19619 +81132684: 811327f8 rdprs r4,r16,19615 +81132688: 81132734 orhi r4,r16,19612 +8113268c: 81132734 orhi r4,r16,19612 +81132690: 811327e4 muli r4,r16,19615 +81132694: 81132734 orhi r4,r16,19612 +81132698: 8113276c andhi r4,r16,19613 +8113269c: 81132734 orhi r4,r16,19612 +811326a0: 81132734 orhi r4,r16,19612 +811326a4: 8113286c andhi r4,r16,19617 +811326a8: d9809d15 stw r6,628(sp) +811326ac: 3029883a mov r20,r6 +811326b0: e0800117 ldw r2,4(fp) +811326b4: 0081aa0e bge zero,r2,81132d60 <__svfscanf_r+0x9e0> +811326b8: e0800017 ldw r2,0(fp) +811326bc: a0ffffc3 ldbu r3,-1(r20) +811326c0: 11000003 ldbu r4,0(r2) +811326c4: 20ff6e1e bne r4,r3,81132480 <__reset+0xfb112480> +811326c8: e0c00117 ldw r3,4(fp) +811326cc: 10800044 addi r2,r2,1 +811326d0: e0800015 stw r2,0(fp) +811326d4: 18bfffc4 addi r2,r3,-1 +811326d8: e0800115 stw r2,4(fp) +811326dc: 94800044 addi r18,r18,1 +811326e0: a00d883a mov r6,r20 +811326e4: 003f6206 br 81132470 <__reset+0xfb112470> +811326e8: 00bfffc4 movi r2,-1 +811326ec: dfc0b417 ldw ra,720(sp) +811326f0: df00b317 ldw fp,716(sp) +811326f4: ddc0b217 ldw r23,712(sp) +811326f8: dd80b117 ldw r22,708(sp) +811326fc: dd40b017 ldw r21,704(sp) +81132700: dd00af17 ldw r20,700(sp) +81132704: dcc0ae17 ldw r19,696(sp) +81132708: dc80ad17 ldw r18,692(sp) +8113270c: dc40ac17 ldw r17,688(sp) +81132710: dc00ab17 ldw r16,684(sp) +81132714: dec0b504 addi sp,sp,724 +81132718: f800283a ret +8113271c: a0800043 ldbu r2,1(r20) +81132720: 3029883a mov r20,r6 +81132724: 8c400414 ori r17,r17,16 +81132728: 10c03fcc andi r3,r2,255 +8113272c: a1800044 addi r6,r20,1 +81132730: 20ff5e2e bgeu r4,r3,811324ac <__reset+0xfb1124ac> +81132734: 38c7883a add r3,r7,r3 +81132738: 18800043 ldbu r2,1(r3) +8113273c: d9809d15 stw r6,628(sp) +81132740: 00c00044 movi r3,1 +81132744: 108000cc andi r2,r2,3 +81132748: 10c18f26 beq r2,r3,81132d88 <__svfscanf_r+0xa08> +8113274c: e0800117 ldw r2,4(fp) +81132750: 00808716 blt zero,r2,81132970 <__svfscanf_r+0x5f0> +81132754: e00b883a mov r5,fp +81132758: b009883a mov r4,r22 +8113275c: 112d3cc0 call 8112d3cc <__srefill_r> +81132760: 1001431e bne r2,zero,81132c70 <__svfscanf_r+0x8f0> +81132764: b9c00017 ldw r7,0(r23) +81132768: 00008106 br 81132970 <__svfscanf_r+0x5f0> +8113276c: e0800117 ldw r2,4(fp) +81132770: d9809d15 stw r6,628(sp) +81132774: 0081a30e bge zero,r2,81132e04 <__svfscanf_r+0xa84> +81132778: 00a04534 movhi r2,33044 +8113277c: 10a85004 addi r2,r2,-24256 +81132780: 02000284 movi r8,10 +81132784: d880a115 stw r2,644(sp) +81132788: da009e15 stw r8,632(sp) +8113278c: 050000c4 movi r20,3 +81132790: e0c00017 ldw r3,0(fp) +81132794: 00000206 br 811327a0 <__svfscanf_r+0x420> +81132798: 18c00044 addi r3,r3,1 +8113279c: e0c00015 stw r3,0(fp) +811327a0: 19000003 ldbu r4,0(r3) +811327a4: 20803fcc andi r2,r4,255 +811327a8: 3885883a add r2,r7,r2 +811327ac: 10800043 ldbu r2,1(r2) +811327b0: 1140020c andi r5,r2,8 +811327b4: 2801ab26 beq r5,zero,81132e64 <__svfscanf_r+0xae4> 811327b8: e0800117 ldw r2,4(fp) -811327bc: d9809d15 stw r6,628(sp) -811327c0: 8c408814 ori r17,r17,544 -811327c4: 00801d0e bge zero,r2,8113283c <__svfscanf_r+0x4fc> -811327c8: 00a04534 movhi r2,33044 -811327cc: 10a84004 addi r2,r2,-24320 -811327d0: 02000404 movi r8,16 -811327d4: d880a115 stw r2,644(sp) -811327d8: da009e15 stw r8,632(sp) -811327dc: 050000c4 movi r20,3 -811327e0: 003fdb06 br 81132750 <__reset+0xfb112750> +811327bc: 94800044 addi r18,r18,1 +811327c0: 10bfffc4 addi r2,r2,-1 +811327c4: e0800115 stw r2,4(fp) +811327c8: 00bff316 blt zero,r2,81132798 <__reset+0xfb112798> +811327cc: e00b883a mov r5,fp +811327d0: b009883a mov r4,r22 +811327d4: 112d3cc0 call 8112d3cc <__srefill_r> +811327d8: 1001251e bne r2,zero,81132c70 <__svfscanf_r+0x8f0> +811327dc: b9c00017 ldw r7,0(r23) +811327e0: 003feb06 br 81132790 <__reset+0xfb112790> 811327e4: e0800117 ldw r2,4(fp) 811327e8: d9809d15 stw r6,628(sp) -811327ec: 8c400054 ori r17,r17,1 -811327f0: 00bfc80e bge zero,r2,81132714 <__reset+0xfb112714> -811327f4: 00e044f4 movhi r3,33043 -811327f8: 18f65204 addi r3,r3,-9912 -811327fc: 02000284 movi r8,10 -81132800: d8c0a115 stw r3,644(sp) -81132804: da009e15 stw r8,632(sp) -81132808: 050000c4 movi r20,3 -8113280c: 003fd006 br 81132750 <__reset+0xfb112750> -81132810: 9cc002a4 muli r19,r19,10 -81132814: a0800043 ldbu r2,1(r20) -81132818: 3029883a mov r20,r6 -8113281c: 98e7883a add r19,r19,r3 -81132820: 9cfff404 addi r19,r19,-48 -81132824: 10c03fcc andi r3,r2,255 -81132828: 003f0e06 br 81132464 <__reset+0xfb112464> -8113282c: e0800117 ldw r2,4(fp) -81132830: d9809d15 stw r6,628(sp) -81132834: 8c408014 ori r17,r17,512 -81132838: 00bfe316 blt zero,r2,811327c8 <__reset+0xfb1127c8> -8113283c: e00b883a mov r5,fp -81132840: b009883a mov r4,r22 -81132844: 112d38c0 call 8112d38c <__srefill_r> -81132848: 1000f91e bne r2,zero,81132c30 <__svfscanf_r+0x8f0> -8113284c: b9c00017 ldw r7,0(r23) -81132850: 003fdd06 br 811327c8 <__reset+0xfb1127c8> -81132854: e0800117 ldw r2,4(fp) -81132858: d9809d15 stw r6,628(sp) -8113285c: 8c400054 ori r17,r17,1 -81132860: 0080140e bge zero,r2,811328b4 <__svfscanf_r+0x574> -81132864: 00a04534 movhi r2,33044 -81132868: 10a84004 addi r2,r2,-24320 -8113286c: 02000204 movi r8,8 -81132870: d880a115 stw r2,644(sp) -81132874: da009e15 stw r8,632(sp) -81132878: 050000c4 movi r20,3 -8113287c: 003fb406 br 81132750 <__reset+0xfb112750> -81132880: a0800043 ldbu r2,1(r20) -81132884: 8c400094 ori r17,r17,2 -81132888: 3029883a mov r20,r6 -8113288c: 10c03fcc andi r3,r2,255 -81132890: 003ef406 br 81132464 <__reset+0xfb112464> +811327ec: 00818b0e bge zero,r2,81132e1c <__svfscanf_r+0xa9c> +811327f0: 05000084 movi r20,2 +811327f4: 003fe606 br 81132790 <__reset+0xfb112790> +811327f8: e0800117 ldw r2,4(fp) +811327fc: d9809d15 stw r6,628(sp) +81132800: 8c408814 ori r17,r17,544 +81132804: 00801d0e bge zero,r2,8113287c <__svfscanf_r+0x4fc> +81132808: 00a04534 movhi r2,33044 +8113280c: 10a85004 addi r2,r2,-24256 +81132810: 02000404 movi r8,16 +81132814: d880a115 stw r2,644(sp) +81132818: da009e15 stw r8,632(sp) +8113281c: 050000c4 movi r20,3 +81132820: 003fdb06 br 81132790 <__reset+0xfb112790> +81132824: e0800117 ldw r2,4(fp) +81132828: d9809d15 stw r6,628(sp) +8113282c: 8c400054 ori r17,r17,1 +81132830: 00bfc80e bge zero,r2,81132754 <__reset+0xfb112754> +81132834: 00e044f4 movhi r3,33043 +81132838: 18f66204 addi r3,r3,-9848 +8113283c: 02000284 movi r8,10 +81132840: d8c0a115 stw r3,644(sp) +81132844: da009e15 stw r8,632(sp) +81132848: 050000c4 movi r20,3 +8113284c: 003fd006 br 81132790 <__reset+0xfb112790> +81132850: 9cc002a4 muli r19,r19,10 +81132854: a0800043 ldbu r2,1(r20) +81132858: 3029883a mov r20,r6 +8113285c: 98e7883a add r19,r19,r3 +81132860: 9cfff404 addi r19,r19,-48 +81132864: 10c03fcc andi r3,r2,255 +81132868: 003f0e06 br 811324a4 <__reset+0xfb1124a4> +8113286c: e0800117 ldw r2,4(fp) +81132870: d9809d15 stw r6,628(sp) +81132874: 8c408014 ori r17,r17,512 +81132878: 00bfe316 blt zero,r2,81132808 <__reset+0xfb112808> +8113287c: e00b883a mov r5,fp +81132880: b009883a mov r4,r22 +81132884: 112d3cc0 call 8112d3cc <__srefill_r> +81132888: 1000f91e bne r2,zero,81132c70 <__svfscanf_r+0x8f0> +8113288c: b9c00017 ldw r7,0(r23) +81132890: 003fdd06 br 81132808 <__reset+0xfb112808> 81132894: e0800117 ldw r2,4(fp) 81132898: d9809d15 stw r6,628(sp) -8113289c: 0081420e bge zero,r2,81132da8 <__svfscanf_r+0xa68> -811328a0: 05000104 movi r20,4 -811328a4: 003faa06 br 81132750 <__reset+0xfb112750> -811328a8: e0800117 ldw r2,4(fp) -811328ac: d9809d15 stw r6,628(sp) -811328b0: 00bfec16 blt zero,r2,81132864 <__reset+0xfb112864> -811328b4: e00b883a mov r5,fp -811328b8: b009883a mov r4,r22 -811328bc: 112d38c0 call 8112d38c <__srefill_r> -811328c0: 1000db1e bne r2,zero,81132c30 <__svfscanf_r+0x8f0> -811328c4: b9c00017 ldw r7,0(r23) -811328c8: 003fe606 br 81132864 <__reset+0xfb112864> -811328cc: d9809d15 stw r6,628(sp) -811328d0: 8880040c andi r2,r17,16 -811328d4: 10009c1e bne r2,zero,81132b48 <__svfscanf_r+0x808> -811328d8: 8880010c andi r2,r17,4 -811328dc: 10011e26 beq r2,zero,81132d58 <__svfscanf_r+0xa18> -811328e0: da009c17 ldw r8,624(sp) -811328e4: 3029883a mov r20,r6 -811328e8: 40800017 ldw r2,0(r8) -811328ec: 42000104 addi r8,r8,4 -811328f0: da009c15 stw r8,624(sp) -811328f4: 1480000d sth r18,0(r2) -811328f8: 003ecc06 br 8113242c <__reset+0xfb11242c> -811328fc: a0c00043 ldbu r3,1(r20) -81132900: 19410c26 beq r3,r5,81132d34 <__svfscanf_r+0x9f4> -81132904: 8c400054 ori r17,r17,1 -81132908: 3029883a mov r20,r6 -8113290c: 003ed506 br 81132464 <__reset+0xfb112464> -81132910: a0800043 ldbu r2,1(r20) -81132914: 8c400114 ori r17,r17,4 -81132918: 3029883a mov r20,r6 -8113291c: 10c03fcc andi r3,r2,255 -81132920: 003ed006 br 81132464 <__reset+0xfb112464> -81132924: e0800117 ldw r2,4(fp) -81132928: d9809d15 stw r6,628(sp) -8113292c: 00bf790e bge zero,r2,81132714 <__reset+0xfb112714> -81132930: 00a044f4 movhi r2,33043 -81132934: 10b65204 addi r2,r2,-9912 -81132938: 02000284 movi r8,10 -8113293c: d880a115 stw r2,644(sp) -81132940: da009e15 stw r8,632(sp) -81132944: 050000c4 movi r20,3 -81132948: 003f8106 br 81132750 <__reset+0xfb112750> -8113294c: e0800117 ldw r2,4(fp) -81132950: d9809d15 stw r6,628(sp) -81132954: 0080c40e bge zero,r2,81132c68 <__svfscanf_r+0x928> -81132958: 9800011e bne r19,zero,81132960 <__svfscanf_r+0x620> -8113295c: 04c00044 movi r19,1 -81132960: 8880004c andi r2,r17,1 -81132964: 1000441e bne r2,zero,81132a78 <__svfscanf_r+0x738> -81132968: 8c40040c andi r17,r17,16 -8113296c: 8800da26 beq r17,zero,81132cd8 <__svfscanf_r+0x998> -81132970: 0021883a mov r16,zero -81132974: 00000806 br 81132998 <__svfscanf_r+0x658> -81132978: 1887883a add r3,r3,r2 -8113297c: e00b883a mov r5,fp -81132980: b009883a mov r4,r22 -81132984: e0c00015 stw r3,0(fp) -81132988: 80a1883a add r16,r16,r2 -8113298c: 98a7c83a sub r19,r19,r2 -81132990: 112d38c0 call 8112d38c <__srefill_r> -81132994: 1000ca1e bne r2,zero,81132cc0 <__svfscanf_r+0x980> -81132998: e0800117 ldw r2,4(fp) -8113299c: e0c00017 ldw r3,0(fp) -811329a0: 14fff516 blt r2,r19,81132978 <__reset+0xfb112978> -811329a4: 14c5c83a sub r2,r2,r19 -811329a8: 1cd5883a add r10,r3,r19 -811329ac: 84e1883a add r16,r16,r19 -811329b0: e0800115 stw r2,4(fp) -811329b4: e2800015 stw r10,0(fp) -811329b8: dd009d17 ldw r20,628(sp) -811329bc: 9425883a add r18,r18,r16 -811329c0: a00d883a mov r6,r20 -811329c4: 003e9a06 br 81132430 <__reset+0xfb112430> -811329c8: 300b883a mov r5,r6 -811329cc: d9005884 addi r4,sp,354 -811329d0: d9809d15 stw r6,628(sp) -811329d4: 11387d80 call 811387d8 <__sccl> -811329d8: 1029883a mov r20,r2 -811329dc: e0800117 ldw r2,4(fp) -811329e0: 0081050e bge zero,r2,81132df8 <__svfscanf_r+0xab8> -811329e4: e1000017 ldw r4,0(fp) -811329e8: 20800003 ldbu r2,0(r4) -811329ec: 98005926 beq r19,zero,81132b54 <__svfscanf_r+0x814> -811329f0: 8c40040c andi r17,r17,16 -811329f4: 88005a26 beq r17,zero,81132b60 <__svfscanf_r+0x820> -811329f8: 9823883a mov r17,r19 -811329fc: 0021883a mov r16,zero -81132a00: 00000106 br 81132a08 <__svfscanf_r+0x6c8> -81132a04: 20800003 ldbu r2,0(r4) -81132a08: 10803fcc andi r2,r2,255 -81132a0c: d8c05884 addi r3,sp,354 -81132a10: 1885883a add r2,r3,r2 -81132a14: 10800007 ldb r2,0(r2) -81132a18: 1000ad26 beq r2,zero,81132cd0 <__svfscanf_r+0x990> +8113289c: 8c400054 ori r17,r17,1 +811328a0: 0080140e bge zero,r2,811328f4 <__svfscanf_r+0x574> +811328a4: 00a04534 movhi r2,33044 +811328a8: 10a85004 addi r2,r2,-24256 +811328ac: 02000204 movi r8,8 +811328b0: d880a115 stw r2,644(sp) +811328b4: da009e15 stw r8,632(sp) +811328b8: 050000c4 movi r20,3 +811328bc: 003fb406 br 81132790 <__reset+0xfb112790> +811328c0: a0800043 ldbu r2,1(r20) +811328c4: 8c400094 ori r17,r17,2 +811328c8: 3029883a mov r20,r6 +811328cc: 10c03fcc andi r3,r2,255 +811328d0: 003ef406 br 811324a4 <__reset+0xfb1124a4> +811328d4: e0800117 ldw r2,4(fp) +811328d8: d9809d15 stw r6,628(sp) +811328dc: 0081420e bge zero,r2,81132de8 <__svfscanf_r+0xa68> +811328e0: 05000104 movi r20,4 +811328e4: 003faa06 br 81132790 <__reset+0xfb112790> +811328e8: e0800117 ldw r2,4(fp) +811328ec: d9809d15 stw r6,628(sp) +811328f0: 00bfec16 blt zero,r2,811328a4 <__reset+0xfb1128a4> +811328f4: e00b883a mov r5,fp +811328f8: b009883a mov r4,r22 +811328fc: 112d3cc0 call 8112d3cc <__srefill_r> +81132900: 1000db1e bne r2,zero,81132c70 <__svfscanf_r+0x8f0> +81132904: b9c00017 ldw r7,0(r23) +81132908: 003fe606 br 811328a4 <__reset+0xfb1128a4> +8113290c: d9809d15 stw r6,628(sp) +81132910: 8880040c andi r2,r17,16 +81132914: 10009c1e bne r2,zero,81132b88 <__svfscanf_r+0x808> +81132918: 8880010c andi r2,r17,4 +8113291c: 10011e26 beq r2,zero,81132d98 <__svfscanf_r+0xa18> +81132920: da009c17 ldw r8,624(sp) +81132924: 3029883a mov r20,r6 +81132928: 40800017 ldw r2,0(r8) +8113292c: 42000104 addi r8,r8,4 +81132930: da009c15 stw r8,624(sp) +81132934: 1480000d sth r18,0(r2) +81132938: 003ecc06 br 8113246c <__reset+0xfb11246c> +8113293c: a0c00043 ldbu r3,1(r20) +81132940: 19410c26 beq r3,r5,81132d74 <__svfscanf_r+0x9f4> +81132944: 8c400054 ori r17,r17,1 +81132948: 3029883a mov r20,r6 +8113294c: 003ed506 br 811324a4 <__reset+0xfb1124a4> +81132950: a0800043 ldbu r2,1(r20) +81132954: 8c400114 ori r17,r17,4 +81132958: 3029883a mov r20,r6 +8113295c: 10c03fcc andi r3,r2,255 +81132960: 003ed006 br 811324a4 <__reset+0xfb1124a4> +81132964: e0800117 ldw r2,4(fp) +81132968: d9809d15 stw r6,628(sp) +8113296c: 00bf790e bge zero,r2,81132754 <__reset+0xfb112754> +81132970: 00a044f4 movhi r2,33043 +81132974: 10b66204 addi r2,r2,-9848 +81132978: 02000284 movi r8,10 +8113297c: d880a115 stw r2,644(sp) +81132980: da009e15 stw r8,632(sp) +81132984: 050000c4 movi r20,3 +81132988: 003f8106 br 81132790 <__reset+0xfb112790> +8113298c: e0800117 ldw r2,4(fp) +81132990: d9809d15 stw r6,628(sp) +81132994: 0080c40e bge zero,r2,81132ca8 <__svfscanf_r+0x928> +81132998: 9800011e bne r19,zero,811329a0 <__svfscanf_r+0x620> +8113299c: 04c00044 movi r19,1 +811329a0: 8880004c andi r2,r17,1 +811329a4: 1000441e bne r2,zero,81132ab8 <__svfscanf_r+0x738> +811329a8: 8c40040c andi r17,r17,16 +811329ac: 8800da26 beq r17,zero,81132d18 <__svfscanf_r+0x998> +811329b0: 0021883a mov r16,zero +811329b4: 00000806 br 811329d8 <__svfscanf_r+0x658> +811329b8: 1887883a add r3,r3,r2 +811329bc: e00b883a mov r5,fp +811329c0: b009883a mov r4,r22 +811329c4: e0c00015 stw r3,0(fp) +811329c8: 80a1883a add r16,r16,r2 +811329cc: 98a7c83a sub r19,r19,r2 +811329d0: 112d3cc0 call 8112d3cc <__srefill_r> +811329d4: 1000ca1e bne r2,zero,81132d00 <__svfscanf_r+0x980> +811329d8: e0800117 ldw r2,4(fp) +811329dc: e0c00017 ldw r3,0(fp) +811329e0: 14fff516 blt r2,r19,811329b8 <__reset+0xfb1129b8> +811329e4: 14c5c83a sub r2,r2,r19 +811329e8: 1cd5883a add r10,r3,r19 +811329ec: 84e1883a add r16,r16,r19 +811329f0: e0800115 stw r2,4(fp) +811329f4: e2800015 stw r10,0(fp) +811329f8: dd009d17 ldw r20,628(sp) +811329fc: 9425883a add r18,r18,r16 +81132a00: a00d883a mov r6,r20 +81132a04: 003e9a06 br 81132470 <__reset+0xfb112470> +81132a08: 300b883a mov r5,r6 +81132a0c: d9005884 addi r4,sp,354 +81132a10: d9809d15 stw r6,628(sp) +81132a14: 11388180 call 81138818 <__sccl> +81132a18: 1029883a mov r20,r2 81132a1c: e0800117 ldw r2,4(fp) -81132a20: 21000044 addi r4,r4,1 -81132a24: 84000044 addi r16,r16,1 -81132a28: 10bfffc4 addi r2,r2,-1 -81132a2c: e0800115 stw r2,4(fp) -81132a30: e1000015 stw r4,0(fp) -81132a34: 84c0a026 beq r16,r19,81132cb8 <__svfscanf_r+0x978> -81132a38: 00bff216 blt zero,r2,81132a04 <__reset+0xfb112a04> -81132a3c: e00b883a mov r5,fp -81132a40: b009883a mov r4,r22 -81132a44: 112d38c0 call 8112d38c <__srefill_r> -81132a48: 10009a1e bne r2,zero,81132cb4 <__svfscanf_r+0x974> -81132a4c: e1000017 ldw r4,0(fp) -81132a50: 003fec06 br 81132a04 <__reset+0xfb112a04> -81132a54: e0800117 ldw r2,4(fp) -81132a58: d9809d15 stw r6,628(sp) -81132a5c: 0080eb0e bge zero,r2,81132e0c <__svfscanf_r+0xacc> -81132a60: 00a044f4 movhi r2,33043 -81132a64: 10b65204 addi r2,r2,-9912 -81132a68: d880a115 stw r2,644(sp) -81132a6c: d8009e15 stw zero,632(sp) -81132a70: 050000c4 movi r20,3 -81132a74: 003f3606 br 81132750 <__reset+0xfb112750> -81132a78: dd409904 addi r21,sp,612 -81132a7c: 8c40040c andi r17,r17,16 -81132a80: 01800204 movi r6,8 -81132a84: 000b883a mov r5,zero -81132a88: a809883a mov r4,r21 -81132a8c: dc409f15 stw r17,636(sp) -81132a90: 112c7900 call 8112c790 -81132a94: dc409f17 ldw r17,636(sp) -81132a98: 88006e26 beq r17,zero,81132c54 <__svfscanf_r+0x914> -81132a9c: 0029883a mov r20,zero -81132aa0: 0023883a mov r17,zero -81132aa4: 1136c8c0 call 81136c8c <__locale_mb_cur_max> -81132aa8: 14406126 beq r2,r17,81132c30 <__svfscanf_r+0x8f0> -81132aac: e0800017 ldw r2,0(fp) -81132ab0: e0c00117 ldw r3,4(fp) -81132ab4: d9000104 addi r4,sp,4 -81132ab8: 12000003 ldbu r8,0(r2) -81132abc: 18ffffc4 addi r3,r3,-1 -81132ac0: 10800044 addi r2,r2,1 -81132ac4: e0c00115 stw r3,4(fp) -81132ac8: e0800015 stw r2,0(fp) -81132acc: 8c000044 addi r16,r17,1 -81132ad0: dd400015 stw r21,0(sp) -81132ad4: 2463883a add r17,r4,r17 -81132ad8: 200d883a mov r6,r4 -81132adc: a00b883a mov r5,r20 -81132ae0: 800f883a mov r7,r16 -81132ae4: b009883a mov r4,r22 -81132ae8: 8a000005 stb r8,0(r17) -81132aec: 1136d400 call 81136d40 <_mbrtowc_r> -81132af0: 017fffc4 movi r5,-1 -81132af4: 11404e26 beq r2,r5,81132c30 <__svfscanf_r+0x8f0> -81132af8: 1000601e bne r2,zero,81132c7c <__svfscanf_r+0x93c> -81132afc: da009f17 ldw r8,636(sp) -81132b00: 4000641e bne r8,zero,81132c94 <__svfscanf_r+0x954> -81132b04: a0000015 stw zero,0(r20) -81132b08: 9425883a add r18,r18,r16 -81132b0c: 9967883a add r19,r19,r5 -81132b10: a5000104 addi r20,r20,4 -81132b14: e0800117 ldw r2,4(fp) -81132b18: 0023883a mov r17,zero -81132b1c: 00804b16 blt zero,r2,81132c4c <__svfscanf_r+0x90c> -81132b20: e00b883a mov r5,fp +81132a20: 0081050e bge zero,r2,81132e38 <__svfscanf_r+0xab8> +81132a24: e1000017 ldw r4,0(fp) +81132a28: 20800003 ldbu r2,0(r4) +81132a2c: 98005926 beq r19,zero,81132b94 <__svfscanf_r+0x814> +81132a30: 8c40040c andi r17,r17,16 +81132a34: 88005a26 beq r17,zero,81132ba0 <__svfscanf_r+0x820> +81132a38: 9823883a mov r17,r19 +81132a3c: 0021883a mov r16,zero +81132a40: 00000106 br 81132a48 <__svfscanf_r+0x6c8> +81132a44: 20800003 ldbu r2,0(r4) +81132a48: 10803fcc andi r2,r2,255 +81132a4c: d8c05884 addi r3,sp,354 +81132a50: 1885883a add r2,r3,r2 +81132a54: 10800007 ldb r2,0(r2) +81132a58: 1000ad26 beq r2,zero,81132d10 <__svfscanf_r+0x990> +81132a5c: e0800117 ldw r2,4(fp) +81132a60: 21000044 addi r4,r4,1 +81132a64: 84000044 addi r16,r16,1 +81132a68: 10bfffc4 addi r2,r2,-1 +81132a6c: e0800115 stw r2,4(fp) +81132a70: e1000015 stw r4,0(fp) +81132a74: 84c0a026 beq r16,r19,81132cf8 <__svfscanf_r+0x978> +81132a78: 00bff216 blt zero,r2,81132a44 <__reset+0xfb112a44> +81132a7c: e00b883a mov r5,fp +81132a80: b009883a mov r4,r22 +81132a84: 112d3cc0 call 8112d3cc <__srefill_r> +81132a88: 10009a1e bne r2,zero,81132cf4 <__svfscanf_r+0x974> +81132a8c: e1000017 ldw r4,0(fp) +81132a90: 003fec06 br 81132a44 <__reset+0xfb112a44> +81132a94: e0800117 ldw r2,4(fp) +81132a98: d9809d15 stw r6,628(sp) +81132a9c: 0080eb0e bge zero,r2,81132e4c <__svfscanf_r+0xacc> +81132aa0: 00a044f4 movhi r2,33043 +81132aa4: 10b66204 addi r2,r2,-9848 +81132aa8: d880a115 stw r2,644(sp) +81132aac: d8009e15 stw zero,632(sp) +81132ab0: 050000c4 movi r20,3 +81132ab4: 003f3606 br 81132790 <__reset+0xfb112790> +81132ab8: dd409904 addi r21,sp,612 +81132abc: 8c40040c andi r17,r17,16 +81132ac0: 01800204 movi r6,8 +81132ac4: 000b883a mov r5,zero +81132ac8: a809883a mov r4,r21 +81132acc: dc409f15 stw r17,636(sp) +81132ad0: 112c7d00 call 8112c7d0 +81132ad4: dc409f17 ldw r17,636(sp) +81132ad8: 88006e26 beq r17,zero,81132c94 <__svfscanf_r+0x914> +81132adc: 0029883a mov r20,zero +81132ae0: 0023883a mov r17,zero +81132ae4: 1136ccc0 call 81136ccc <__locale_mb_cur_max> +81132ae8: 14406126 beq r2,r17,81132c70 <__svfscanf_r+0x8f0> +81132aec: e0800017 ldw r2,0(fp) +81132af0: e0c00117 ldw r3,4(fp) +81132af4: d9000104 addi r4,sp,4 +81132af8: 12000003 ldbu r8,0(r2) +81132afc: 18ffffc4 addi r3,r3,-1 +81132b00: 10800044 addi r2,r2,1 +81132b04: e0c00115 stw r3,4(fp) +81132b08: e0800015 stw r2,0(fp) +81132b0c: 8c000044 addi r16,r17,1 +81132b10: dd400015 stw r21,0(sp) +81132b14: 2463883a add r17,r4,r17 +81132b18: 200d883a mov r6,r4 +81132b1c: a00b883a mov r5,r20 +81132b20: 800f883a mov r7,r16 81132b24: b009883a mov r4,r22 -81132b28: 112d38c0 call 8112d38c <__srefill_r> -81132b2c: 10004726 beq r2,zero,81132c4c <__svfscanf_r+0x90c> -81132b30: 88003f1e bne r17,zero,81132c30 <__svfscanf_r+0x8f0> -81132b34: d8c09f17 ldw r3,636(sp) -81132b38: 1800031e bne r3,zero,81132b48 <__svfscanf_r+0x808> -81132b3c: da00a017 ldw r8,640(sp) -81132b40: 42000044 addi r8,r8,1 -81132b44: da00a015 stw r8,640(sp) -81132b48: dd009d17 ldw r20,628(sp) -81132b4c: a00d883a mov r6,r20 -81132b50: 003e3706 br 81132430 <__reset+0xfb112430> -81132b54: 8c40040c andi r17,r17,16 -81132b58: 04ffffc4 movi r19,-1 -81132b5c: 883fa61e bne r17,zero,811329f8 <__reset+0xfb1129f8> -81132b60: da009c17 ldw r8,624(sp) -81132b64: 9abfffc4 addi r10,r19,-1 -81132b68: 44000017 ldw r16,0(r8) -81132b6c: 44400104 addi r17,r8,4 -81132b70: 8007883a mov r3,r16 -81132b74: 82ab883a add r21,r16,r10 -81132b78: 00000306 br 81132b88 <__svfscanf_r+0x848> -81132b7c: e1000017 ldw r4,0(fp) -81132b80: 9807883a mov r3,r19 -81132b84: 20800003 ldbu r2,0(r4) -81132b88: 10803fcc andi r2,r2,255 -81132b8c: d9405884 addi r5,sp,354 -81132b90: 2885883a add r2,r5,r2 -81132b94: 10800007 ldb r2,0(r2) -81132b98: 10004b26 beq r2,zero,81132cc8 <__svfscanf_r+0x988> -81132b9c: e0800117 ldw r2,4(fp) -81132ba0: 21400044 addi r5,r4,1 -81132ba4: e1400015 stw r5,0(fp) -81132ba8: 10bfffc4 addi r2,r2,-1 -81132bac: e0800115 stw r2,4(fp) -81132bb0: 20800003 ldbu r2,0(r4) -81132bb4: 1cc00044 addi r19,r3,1 -81132bb8: 18800005 stb r2,0(r3) -81132bbc: 1d400726 beq r3,r21,81132bdc <__svfscanf_r+0x89c> -81132bc0: e0800117 ldw r2,4(fp) -81132bc4: 00bfed16 blt zero,r2,81132b7c <__reset+0xfb112b7c> -81132bc8: e00b883a mov r5,fp -81132bcc: b009883a mov r4,r22 -81132bd0: 112d38c0 call 8112d38c <__srefill_r> -81132bd4: 103fe926 beq r2,zero,81132b7c <__reset+0xfb112b7c> -81132bd8: 84c01526 beq r16,r19,81132c30 <__svfscanf_r+0x8f0> -81132bdc: 9c21c83a sub r16,r19,r16 -81132be0: 803e1726 beq r16,zero,81132440 <__reset+0xfb112440> -81132be4: da00a017 ldw r8,640(sp) -81132be8: 98000005 stb zero,0(r19) -81132bec: dc409c15 stw r17,624(sp) -81132bf0: 42000044 addi r8,r8,1 -81132bf4: da00a015 stw r8,640(sp) -81132bf8: 9425883a add r18,r18,r16 -81132bfc: a00d883a mov r6,r20 -81132c00: 003e0b06 br 81132430 <__reset+0xfb112430> -81132c04: 017fff84 movi r5,-2 -81132c08: 11439b1e bne r2,r5,81133a78 <__svfscanf_r+0x1738> -81132c0c: 8821883a mov r16,r17 -81132c10: e0800117 ldw r2,4(fp) -81132c14: 0082e516 blt zero,r2,811337ac <__svfscanf_r+0x146c> -81132c18: e00b883a mov r5,fp -81132c1c: b009883a mov r4,r22 -81132c20: 112d38c0 call 8112d38c <__srefill_r> -81132c24: 1002e126 beq r2,zero,811337ac <__svfscanf_r+0x146c> -81132c28: dc409f17 ldw r17,636(sp) -81132c2c: 8002e826 beq r16,zero,811337d0 <__svfscanf_r+0x1490> -81132c30: da00a017 ldw r8,640(sp) -81132c34: 403e9c26 beq r8,zero,811326a8 <__reset+0xfb1126a8> -81132c38: e080030b ldhu r2,12(fp) -81132c3c: 1080100c andi r2,r2,64 -81132c40: 103e991e bne r2,zero,811326a8 <__reset+0xfb1126a8> -81132c44: 4005883a mov r2,r8 -81132c48: 003e9806 br 811326ac <__reset+0xfb1126ac> -81132c4c: 983f951e bne r19,zero,81132aa4 <__reset+0xfb112aa4> -81132c50: 003fb806 br 81132b34 <__reset+0xfb112b34> -81132c54: da009c17 ldw r8,624(sp) -81132c58: 45000017 ldw r20,0(r8) -81132c5c: 42000104 addi r8,r8,4 -81132c60: da009c15 stw r8,624(sp) -81132c64: 003f8e06 br 81132aa0 <__reset+0xfb112aa0> -81132c68: e00b883a mov r5,fp -81132c6c: b009883a mov r4,r22 -81132c70: 112d38c0 call 8112d38c <__srefill_r> -81132c74: 103f3826 beq r2,zero,81132958 <__reset+0xfb112958> -81132c78: 003fed06 br 81132c30 <__reset+0xfb112c30> -81132c7c: 00ffff84 movi r3,-2 -81132c80: 10c0071e bne r2,r3,81132ca0 <__svfscanf_r+0x960> -81132c84: e0800117 ldw r2,4(fp) -81132c88: 8023883a mov r17,r16 -81132c8c: 00bf8516 blt zero,r2,81132aa4 <__reset+0xfb112aa4> -81132c90: 003fa306 br 81132b20 <__reset+0xfb112b20> -81132c94: 9425883a add r18,r18,r16 -81132c98: 9cffffc4 addi r19,r19,-1 -81132c9c: 003f9d06 br 81132b14 <__reset+0xfb112b14> -81132ca0: da009f17 ldw r8,636(sp) -81132ca4: 9425883a add r18,r18,r16 -81132ca8: 9cffffc4 addi r19,r19,-1 -81132cac: 403f9826 beq r8,zero,81132b10 <__reset+0xfb112b10> -81132cb0: 003f9806 br 81132b14 <__reset+0xfb112b14> -81132cb4: 8023883a mov r17,r16 -81132cb8: 8821883a mov r16,r17 -81132cbc: 003fce06 br 81132bf8 <__reset+0xfb112bf8> -81132cc0: 803f3d1e bne r16,zero,811329b8 <__reset+0xfb1129b8> -81132cc4: 003fda06 br 81132c30 <__reset+0xfb112c30> -81132cc8: 1827883a mov r19,r3 -81132ccc: 003fc306 br 81132bdc <__reset+0xfb112bdc> -81132cd0: 803fc91e bne r16,zero,81132bf8 <__reset+0xfb112bf8> -81132cd4: 003dda06 br 81132440 <__reset+0xfb112440> -81132cd8: da009c17 ldw r8,624(sp) -81132cdc: df000015 stw fp,0(sp) -81132ce0: 980f883a mov r7,r19 -81132ce4: 41400017 ldw r5,0(r8) -81132ce8: 01800044 movi r6,1 -81132cec: b009883a mov r4,r22 -81132cf0: 1135ea80 call 81135ea8 <_fread_r> -81132cf4: da009c17 ldw r8,624(sp) -81132cf8: 40c00104 addi r3,r8,4 -81132cfc: 103fcc26 beq r2,zero,81132c30 <__reset+0xfb112c30> -81132d00: da00a017 ldw r8,640(sp) -81132d04: dd009d17 ldw r20,628(sp) -81132d08: 90a5883a add r18,r18,r2 -81132d0c: 42000044 addi r8,r8,1 -81132d10: da00a015 stw r8,640(sp) -81132d14: d8c09c15 stw r3,624(sp) -81132d18: a00d883a mov r6,r20 -81132d1c: 003dc406 br 81132430 <__reset+0xfb112430> -81132d20: e00b883a mov r5,fp -81132d24: b009883a mov r4,r22 -81132d28: 112d38c0 call 8112d38c <__srefill_r> -81132d2c: 103e5226 beq r2,zero,81132678 <__reset+0xfb112678> -81132d30: 003fbf06 br 81132c30 <__reset+0xfb112c30> -81132d34: a0800083 ldbu r2,2(r20) -81132d38: 8c400094 ori r17,r17,2 -81132d3c: a5000084 addi r20,r20,2 -81132d40: 10c03fcc andi r3,r2,255 -81132d44: 003dc706 br 81132464 <__reset+0xfb112464> -81132d48: e0800117 ldw r2,4(fp) -81132d4c: 88e2b03a or r17,r17,r3 -81132d50: 00bef716 blt zero,r2,81132930 <__reset+0xfb112930> -81132d54: 003e6f06 br 81132714 <__reset+0xfb112714> -81132d58: 8880004c andi r2,r17,1 -81132d5c: 10000b1e bne r2,zero,81132d8c <__svfscanf_r+0xa4c> -81132d60: 8c40008c andi r17,r17,2 -81132d64: 88000926 beq r17,zero,81132d8c <__svfscanf_r+0xa4c> -81132d68: da009c17 ldw r8,624(sp) -81132d6c: 9007d7fa srai r3,r18,31 -81132d70: dd009d17 ldw r20,628(sp) -81132d74: 40800017 ldw r2,0(r8) -81132d78: 42000104 addi r8,r8,4 -81132d7c: da009c15 stw r8,624(sp) -81132d80: 14800015 stw r18,0(r2) -81132d84: 10c00115 stw r3,4(r2) -81132d88: 003da806 br 8113242c <__reset+0xfb11242c> -81132d8c: da009c17 ldw r8,624(sp) -81132d90: dd009d17 ldw r20,628(sp) -81132d94: 40800017 ldw r2,0(r8) -81132d98: 42000104 addi r8,r8,4 -81132d9c: da009c15 stw r8,624(sp) -81132da0: 14800015 stw r18,0(r2) -81132da4: 003da106 br 8113242c <__reset+0xfb11242c> -81132da8: e00b883a mov r5,fp -81132dac: b009883a mov r4,r22 -81132db0: 112d38c0 call 8112d38c <__srefill_r> -81132db4: 103f9e1e bne r2,zero,81132c30 <__reset+0xfb112c30> -81132db8: b9c00017 ldw r7,0(r23) -81132dbc: 05000104 movi r20,4 -81132dc0: 003e6306 br 81132750 <__reset+0xfb112750> -81132dc4: e00b883a mov r5,fp -81132dc8: b009883a mov r4,r22 -81132dcc: 112d38c0 call 8112d38c <__srefill_r> -81132dd0: 103f971e bne r2,zero,81132c30 <__reset+0xfb112c30> -81132dd4: b9c00017 ldw r7,0(r23) -81132dd8: 003e5706 br 81132738 <__reset+0xfb112738> -81132ddc: e00b883a mov r5,fp -81132de0: b009883a mov r4,r22 -81132de4: 112d38c0 call 8112d38c <__srefill_r> -81132de8: 103f911e bne r2,zero,81132c30 <__reset+0xfb112c30> -81132dec: b9c00017 ldw r7,0(r23) -81132df0: 05000084 movi r20,2 -81132df4: 003e5606 br 81132750 <__reset+0xfb112750> -81132df8: e00b883a mov r5,fp -81132dfc: b009883a mov r4,r22 -81132e00: 112d38c0 call 8112d38c <__srefill_r> -81132e04: 103ef726 beq r2,zero,811329e4 <__reset+0xfb1129e4> -81132e08: 003f8906 br 81132c30 <__reset+0xfb112c30> -81132e0c: e00b883a mov r5,fp -81132e10: b009883a mov r4,r22 -81132e14: 112d38c0 call 8112d38c <__srefill_r> -81132e18: 103f851e bne r2,zero,81132c30 <__reset+0xfb112c30> -81132e1c: b9c00017 ldw r7,0(r23) -81132e20: 003f0f06 br 81132a60 <__reset+0xfb112a60> -81132e24: 014000c4 movi r5,3 -81132e28: a1414a26 beq r20,r5,81133354 <__svfscanf_r+0x1014> -81132e2c: 01000104 movi r4,4 -81132e30: a101f11e bne r20,r4,811335f8 <__svfscanf_r+0x12b8> -81132e34: b009883a mov r4,r22 -81132e38: 1136cb00 call 81136cb0 <_localeconv_r> -81132e3c: 10800017 ldw r2,0(r2) -81132e40: 98ffffc4 addi r3,r19,-1 -81132e44: d880a315 stw r2,652(sp) -81132e48: 00805704 movi r2,348 -81132e4c: 10c21e2e bgeu r2,r3,811336c8 <__svfscanf_r+0x1388> -81132e50: 9cffa8c4 addi r19,r19,-349 -81132e54: dcc0a215 stw r19,648(sp) -81132e58: 04c05744 movi r19,349 -81132e5c: 8d01e014 ori r20,r17,1920 -81132e60: 0023883a mov r17,zero -81132e64: dc000104 addi r16,sp,4 -81132e68: 8805883a mov r2,r17 -81132e6c: 0019883a mov r12,zero -81132e70: 8023883a mov r17,r16 -81132e74: b007883a mov r3,r22 -81132e78: 9821883a mov r16,r19 -81132e7c: 002b883a mov r21,zero -81132e80: d800a515 stw zero,660(sp) -81132e84: d800a415 stw zero,656(sp) -81132e88: d8009f15 stw zero,636(sp) -81132e8c: 01c01384 movi r7,78 -81132e90: 602d883a mov r22,r12 -81132e94: 1027883a mov r19,r2 -81132e98: e1000017 ldw r4,0(fp) -81132e9c: 21400003 ldbu r5,0(r4) -81132ea0: 28bff544 addi r2,r5,-43 -81132ea4: 10803fcc andi r2,r2,255 -81132ea8: 38810e36 bltu r7,r2,811332e4 <__svfscanf_r+0xfa4> -81132eac: 100490ba slli r2,r2,2 -81132eb0: 01a044f4 movhi r6,33043 -81132eb4: 318bb104 addi r6,r6,11972 -81132eb8: 1185883a add r2,r2,r6 -81132ebc: 10800017 ldw r2,0(r2) -81132ec0: 1000683a jmp r2 -81132ec4: 811331bc xorhi r4,r16,19654 -81132ec8: 811332e4 muli r4,r16,19659 -81132ecc: 811331bc xorhi r4,r16,19654 -81132ed0: 811332e4 muli r4,r16,19659 -81132ed4: 811332e4 muli r4,r16,19659 -81132ed8: 81133190 cmplti r4,r16,19654 -81132edc: 81133000 call 88113300 <__reset+0x20f3300> -81132ee0: 81133000 call 88113300 <__reset+0x20f3300> -81132ee4: 81133000 call 88113300 <__reset+0x20f3300> -81132ee8: 81133000 call 88113300 <__reset+0x20f3300> -81132eec: 81133000 call 88113300 <__reset+0x20f3300> -81132ef0: 81133000 call 88113300 <__reset+0x20f3300> -81132ef4: 81133000 call 88113300 <__reset+0x20f3300> -81132ef8: 81133000 call 88113300 <__reset+0x20f3300> -81132efc: 81133000 call 88113300 <__reset+0x20f3300> -81132f00: 811332e4 muli r4,r16,19659 -81132f04: 811332e4 muli r4,r16,19659 -81132f08: 811332e4 muli r4,r16,19659 -81132f0c: 811332e4 muli r4,r16,19659 -81132f10: 811332e4 muli r4,r16,19659 -81132f14: 811332e4 muli r4,r16,19659 -81132f18: 811332e4 muli r4,r16,19659 -81132f1c: 811332d4 ori r4,r16,19659 -81132f20: 811332e4 muli r4,r16,19659 -81132f24: 811332e4 muli r4,r16,19659 -81132f28: 811332e4 muli r4,r16,19659 -81132f2c: 81133290 cmplti r4,r16,19658 -81132f30: 81133274 orhi r4,r16,19657 -81132f34: 811332e4 muli r4,r16,19659 -81132f38: 811332e4 muli r4,r16,19659 -81132f3c: 81133240 call 88113324 <__reset+0x20f3324> -81132f40: 811332e4 muli r4,r16,19659 -81132f44: 811332e4 muli r4,r16,19659 -81132f48: 811332e4 muli r4,r16,19659 -81132f4c: 811332e4 muli r4,r16,19659 -81132f50: 81133208 cmpgei r4,r16,19656 -81132f54: 811332e4 muli r4,r16,19659 -81132f58: 811332e4 muli r4,r16,19659 -81132f5c: 811332e4 muli r4,r16,19659 -81132f60: 811332e4 muli r4,r16,19659 -81132f64: 811332e4 muli r4,r16,19659 -81132f68: 811331ec andhi r4,r16,19655 -81132f6c: 811332e4 muli r4,r16,19659 -81132f70: 811332e4 muli r4,r16,19659 -81132f74: 811332e4 muli r4,r16,19659 -81132f78: 811332e4 muli r4,r16,19659 -81132f7c: 811331d0 cmplti r4,r16,19655 -81132f80: 811332e4 muli r4,r16,19659 -81132f84: 811332e4 muli r4,r16,19659 -81132f88: 811332e4 muli r4,r16,19659 -81132f8c: 811332e4 muli r4,r16,19659 -81132f90: 811332e4 muli r4,r16,19659 -81132f94: 811332e4 muli r4,r16,19659 -81132f98: 811332e4 muli r4,r16,19659 -81132f9c: 811332d4 ori r4,r16,19659 -81132fa0: 811332e4 muli r4,r16,19659 -81132fa4: 811332e4 muli r4,r16,19659 -81132fa8: 811332e4 muli r4,r16,19659 -81132fac: 81133290 cmplti r4,r16,19658 -81132fb0: 81133274 orhi r4,r16,19657 -81132fb4: 811332e4 muli r4,r16,19659 -81132fb8: 811332e4 muli r4,r16,19659 -81132fbc: 81133240 call 88113324 <__reset+0x20f3324> -81132fc0: 811332e4 muli r4,r16,19659 -81132fc4: 811332e4 muli r4,r16,19659 -81132fc8: 811332e4 muli r4,r16,19659 -81132fcc: 811332e4 muli r4,r16,19659 -81132fd0: 81133208 cmpgei r4,r16,19656 -81132fd4: 811332e4 muli r4,r16,19659 -81132fd8: 811332e4 muli r4,r16,19659 -81132fdc: 811332e4 muli r4,r16,19659 -81132fe0: 811332e4 muli r4,r16,19659 -81132fe4: 811332e4 muli r4,r16,19659 -81132fe8: 811331ec andhi r4,r16,19655 -81132fec: 811332e4 muli r4,r16,19659 -81132ff0: 811332e4 muli r4,r16,19659 -81132ff4: 811332e4 muli r4,r16,19659 -81132ff8: 811332e4 muli r4,r16,19659 -81132ffc: 811331d0 cmplti r4,r16,19655 -81133000: a8803fcc andi r2,r21,255 -81133004: 1080201c xori r2,r2,128 -81133008: 10bfe004 addi r2,r2,-128 -8113300c: b085883a add r2,r22,r2 -81133010: 10000d1e bne r2,zero,81133048 <__svfscanf_r+0xd08> -81133014: 00bf9fc4 movi r2,-385 -81133018: a0a8703a and r20,r20,r2 -8113301c: 89400005 stb r5,0(r17) -81133020: 8c400044 addi r17,r17,1 -81133024: e0800117 ldw r2,4(fp) -81133028: 843fffc4 addi r16,r16,-1 -8113302c: 94800044 addi r18,r18,1 -81133030: 10bfffc4 addi r2,r2,-1 -81133034: e0800115 stw r2,4(fp) -81133038: 0080b40e bge zero,r2,8113330c <__svfscanf_r+0xfcc> -8113303c: 21000044 addi r4,r4,1 -81133040: e1000015 stw r4,0(fp) -81133044: 803f941e bne r16,zero,81132e98 <__reset+0xfb112e98> -81133048: 8821883a mov r16,r17 -8113304c: b019883a mov r12,r22 -81133050: 9823883a mov r17,r19 -81133054: 182d883a mov r22,r3 -81133058: 9801961e bne r19,zero,811336b4 <__svfscanf_r+0x1374> -8113305c: 633fffc4 addi r12,r12,-1 -81133060: 00800044 movi r2,1 -81133064: 1302882e bgeu r2,r12,81133a88 <__svfscanf_r+0x1748> -81133068: a8803fcc andi r2,r21,255 -8113306c: 1080201c xori r2,r2,128 -81133070: 10bfe004 addi r2,r2,-128 -81133074: 113fffc4 addi r4,r2,-1 -81133078: 01400184 movi r5,6 -8113307c: 29001136 bltu r5,r4,811330c4 <__svfscanf_r+0xd84> -81133080: 01000084 movi r4,2 -81133084: 2082900e bge r4,r2,81133ac8 <__svfscanf_r+0x1788> -81133088: 00c000c4 movi r3,3 -8113308c: 10c00d26 beq r2,r3,811330c4 <__svfscanf_r+0xd84> -81133090: 9425c83a sub r18,r18,r16 -81133094: 1827883a mov r19,r3 -81133098: 843fffc4 addi r16,r16,-1 -8113309c: 81400007 ldb r5,0(r16) -811330a0: e00d883a mov r6,fp -811330a4: b009883a mov r4,r22 -811330a8: 113bb200 call 8113bb20 <_ungetc_r> -811330ac: a8bfffc4 addi r2,r21,-1 -811330b0: 10c03fcc andi r3,r2,255 -811330b4: 8493883a add r9,r16,r18 -811330b8: 102b883a mov r21,r2 -811330bc: 98fff636 bltu r19,r3,81133098 <__reset+0xfb113098> -811330c0: 4825883a mov r18,r9 -811330c4: a080400c andi r2,r20,256 -811330c8: 10001426 beq r2,zero,8113311c <__svfscanf_r+0xddc> -811330cc: a081000c andi r2,r20,1024 -811330d0: 1002571e bne r2,zero,81133a30 <__svfscanf_r+0x16f0> -811330d4: 817fffc7 ldb r5,-1(r16) -811330d8: 00801944 movi r2,101 -811330dc: 84ffffc4 addi r19,r16,-1 -811330e0: 957fffc4 addi r21,r18,-1 -811330e4: 28800826 beq r5,r2,81133108 <__svfscanf_r+0xdc8> -811330e8: 00801144 movi r2,69 -811330ec: 28800626 beq r5,r2,81133108 <__svfscanf_r+0xdc8> -811330f0: e00d883a mov r6,fp -811330f4: b009883a mov r4,r22 -811330f8: 113bb200 call 8113bb20 <_ungetc_r> -811330fc: 817fff87 ldb r5,-2(r16) -81133100: 84ffff84 addi r19,r16,-2 -81133104: 957fff84 addi r21,r18,-2 -81133108: e00d883a mov r6,fp -8113310c: b009883a mov r4,r22 -81133110: 113bb200 call 8113bb20 <_ungetc_r> -81133114: a825883a mov r18,r21 -81133118: 9821883a mov r16,r19 -8113311c: a080040c andi r2,r20,16 -81133120: 103e891e bne r2,zero,81132b48 <__reset+0xfb112b48> -81133124: 80000005 stb zero,0(r16) -81133128: a081800c andi r2,r20,1536 -8113312c: 01010004 movi r4,1024 -81133130: 1101dd26 beq r2,r4,811338a8 <__svfscanf_r+0x1568> -81133134: da00a417 ldw r8,656(sp) -81133138: 4001e71e bne r8,zero,811338d8 <__svfscanf_r+0x1598> -8113313c: 000d883a mov r6,zero -81133140: d9400104 addi r5,sp,4 -81133144: b009883a mov r4,r22 -81133148: 11389f00 call 811389f0 <_strtod_r> -8113314c: 1021883a mov r16,r2 -81133150: a080004c andi r2,r20,1 -81133154: 1000021e bne r2,zero,81133160 <__svfscanf_r+0xe20> -81133158: a2c0008c andi r11,r20,2 -8113315c: 5801e826 beq r11,zero,81133900 <__svfscanf_r+0x15c0> -81133160: da009c17 ldw r8,624(sp) -81133164: 40800017 ldw r2,0(r8) -81133168: 42000104 addi r8,r8,4 -8113316c: da009c15 stw r8,624(sp) -81133170: 14000015 stw r16,0(r2) -81133174: 10c00115 stw r3,4(r2) -81133178: da00a017 ldw r8,640(sp) -8113317c: dd009d17 ldw r20,628(sp) -81133180: 42000044 addi r8,r8,1 -81133184: da00a015 stw r8,640(sp) -81133188: a00d883a mov r6,r20 -8113318c: 003ca806 br 81132430 <__reset+0xfb112430> -81133190: a080400c andi r2,r20,256 -81133194: 103f9a26 beq r2,zero,81133000 <__reset+0xfb113000> -81133198: da00a217 ldw r8,648(sp) -8113319c: 00bfdfc4 movi r2,-129 -811331a0: a0a8703a and r20,r20,r2 -811331a4: 9cc00044 addi r19,r19,1 -811331a8: 403f9e26 beq r8,zero,81133024 <__reset+0xfb113024> -811331ac: 423fffc4 addi r8,r8,-1 -811331b0: da00a215 stw r8,648(sp) -811331b4: 84000044 addi r16,r16,1 -811331b8: 003f9a06 br 81133024 <__reset+0xfb113024> -811331bc: a080200c andi r2,r20,128 -811331c0: 103fa126 beq r2,zero,81133048 <__reset+0xfb113048> -811331c4: 00bfdfc4 movi r2,-129 -811331c8: a0a8703a and r20,r20,r2 -811331cc: 003f9306 br 8113301c <__reset+0xfb11301c> -811331d0: a8803fcc andi r2,r21,255 -811331d4: 1080201c xori r2,r2,128 -811331d8: 10bfe004 addi r2,r2,-128 -811331dc: 020001c4 movi r8,7 -811331e0: 123f991e bne r2,r8,81133048 <__reset+0xfb113048> -811331e4: 05400204 movi r21,8 -811331e8: 003f8c06 br 8113301c <__reset+0xfb11301c> -811331ec: a8803fcc andi r2,r21,255 -811331f0: 1080201c xori r2,r2,128 -811331f4: 10bfe004 addi r2,r2,-128 -811331f8: 01800184 movi r6,6 -811331fc: 11bf921e bne r2,r6,81133048 <__reset+0xfb113048> -81133200: 054001c4 movi r21,7 -81133204: 003f8506 br 8113301c <__reset+0xfb11301c> -81133208: b000491e bne r22,zero,81133330 <__svfscanf_r+0xff0> -8113320c: 9800031e bne r19,zero,8113321c <__svfscanf_r+0xedc> -81133210: a081c00c andi r2,r20,1792 -81133214: 0181c004 movi r6,1792 -81133218: 11819f26 beq r2,r6,81133898 <__svfscanf_r+0x1558> -8113321c: a8803fcc andi r2,r21,255 -81133220: 1080201c xori r2,r2,128 -81133224: 10bfe004 addi r2,r2,-128 -81133228: 01800044 movi r6,1 -8113322c: 11800226 beq r2,r6,81133238 <__svfscanf_r+0xef8> -81133230: 01800104 movi r6,4 -81133234: 11bf841e bne r2,r6,81133048 <__reset+0xfb113048> -81133238: ad400044 addi r21,r21,1 -8113323c: 003f7706 br 8113301c <__reset+0xfb11301c> -81133240: a8803fcc andi r2,r21,255 -81133244: 1080201c xori r2,r2,128 -81133248: 10bfe004 addi r2,r2,-128 -8113324c: 10003c1e bne r2,zero,81133340 <__svfscanf_r+0x1000> -81133250: 9801141e bne r19,zero,811336a4 <__svfscanf_r+0x1364> -81133254: a081c00c andi r2,r20,1792 -81133258: 0181c004 movi r6,1792 -8113325c: 11818226 beq r2,r6,81133868 <__svfscanf_r+0x1528> -81133260: 8821883a mov r16,r17 -81133264: b019883a mov r12,r22 -81133268: 9823883a mov r17,r19 -8113326c: 182d883a mov r22,r3 -81133270: 003f7a06 br 8113305c <__reset+0xfb11305c> -81133274: a8803fcc andi r2,r21,255 -81133278: 1080201c xori r2,r2,128 -8113327c: 10bfe004 addi r2,r2,-128 -81133280: 01800084 movi r6,2 -81133284: 11bf701e bne r2,r6,81133048 <__reset+0xfb113048> -81133288: 054000c4 movi r21,3 -8113328c: 003f6306 br 8113301c <__reset+0xfb11301c> -81133290: a081400c andi r2,r20,1280 -81133294: 01810004 movi r6,1024 -81133298: 11800326 beq r2,r6,811332a8 <__svfscanf_r+0xf68> -8113329c: a184703a and r2,r20,r6 -811332a0: 103f6926 beq r2,zero,81133048 <__reset+0xfb113048> -811332a4: 983fee26 beq r19,zero,81133260 <__reset+0xfb113260> -811332a8: a080800c andi r2,r20,512 -811332ac: 1000041e bne r2,zero,811332c0 <__svfscanf_r+0xf80> -811332b0: da009f17 ldw r8,636(sp) -811332b4: dc40a515 stw r17,660(sp) -811332b8: 9a27c83a sub r19,r19,r8 -811332bc: dcc0a415 stw r19,656(sp) -811332c0: 00be1fc4 movi r2,-1921 -811332c4: a0a8703a and r20,r20,r2 -811332c8: a5006014 ori r20,r20,384 -811332cc: 0027883a mov r19,zero -811332d0: 003f5206 br 8113301c <__reset+0xfb11301c> -811332d4: 00800044 movi r2,1 -811332d8: b0bf5b1e bne r22,r2,81133048 <__reset+0xfb113048> -811332dc: 05800084 movi r22,2 -811332e0: 003f4e06 br 8113301c <__reset+0xfb11301c> -811332e4: d980a317 ldw r6,652(sp) -811332e8: 30800003 ldbu r2,0(r6) -811332ec: 29803fcc andi r6,r5,255 -811332f0: 30bf551e bne r6,r2,81133048 <__reset+0xfb113048> -811332f4: a080800c andi r2,r20,512 -811332f8: 103f5326 beq r2,zero,81133048 <__reset+0xfb113048> -811332fc: 023f5fc4 movi r8,-641 -81133300: a228703a and r20,r20,r8 -81133304: dcc09f15 stw r19,636(sp) -81133308: 003f4406 br 8113301c <__reset+0xfb11301c> -8113330c: 1809883a mov r4,r3 -81133310: e00b883a mov r5,fp -81133314: d8c0a615 stw r3,664(sp) -81133318: d9c0aa15 stw r7,680(sp) -8113331c: 112d38c0 call 8112d38c <__srefill_r> -81133320: d8c0a617 ldw r3,664(sp) -81133324: d9c0aa17 ldw r7,680(sp) -81133328: 103f4626 beq r2,zero,81133044 <__reset+0xfb113044> -8113332c: 003f4606 br 81133048 <__reset+0xfb113048> -81133330: 00800084 movi r2,2 -81133334: b0bfb91e bne r22,r2,8113321c <__reset+0xfb11321c> -81133338: 058000c4 movi r22,3 -8113333c: 003f3706 br 8113301c <__reset+0xfb11301c> -81133340: 018000c4 movi r6,3 -81133344: 11bfbc26 beq r2,r6,81133238 <__reset+0xfb113238> -81133348: 01800144 movi r6,5 -8113334c: 11bfba26 beq r2,r6,81133238 <__reset+0xfb113238> -81133350: 003f3d06 br 81133048 <__reset+0xfb113048> -81133354: 98bfffc4 addi r2,r19,-1 -81133358: 01405704 movi r5,348 -8113335c: 2880d82e bgeu r5,r2,811336c0 <__svfscanf_r+0x1380> -81133360: 99ffa8c4 addi r7,r19,-349 -81133364: 04c05744 movi r19,349 -81133368: 8c436014 ori r17,r17,3456 -8113336c: 9013883a mov r9,r18 -81133370: 8825883a mov r18,r17 -81133374: dc409e17 ldw r17,632(sp) -81133378: 0029883a mov r20,zero -8113337c: dc000104 addi r16,sp,4 -81133380: 05401344 movi r21,77 -81133384: 02c08004 movi r11,512 -81133388: 01bf7fc4 movi r6,-513 -8113338c: 023fdfc4 movi r8,-129 -81133390: 20bff544 addi r2,r4,-43 -81133394: 10803fcc andi r2,r2,255 -81133398: a8807236 bltu r21,r2,81133564 <__svfscanf_r+0x1224> -8113339c: 100490ba slli r2,r2,2 -811333a0: 016044f4 movhi r5,33043 -811333a4: 294ced04 addi r5,r5,13236 -811333a8: 1145883a add r2,r2,r5 -811333ac: 10800017 ldw r2,0(r2) -811333b0: 1000683a jmp r2 -811333b4: 811335e8 cmpgeui r4,r16,19671 -811333b8: 81133564 muli r4,r16,19669 -811333bc: 811335e8 cmpgeui r4,r16,19671 -811333c0: 81133564 muli r4,r16,19669 -811333c4: 81133564 muli r4,r16,19669 -811333c8: 811335c0 call 8811335c <__reset+0x20f335c> -811333cc: 811335a0 cmpeqi r4,r16,19670 -811333d0: 811335a0 cmpeqi r4,r16,19670 -811333d4: 811335a0 cmpeqi r4,r16,19670 -811333d8: 811335a0 cmpeqi r4,r16,19670 -811333dc: 811335a0 cmpeqi r4,r16,19670 -811333e0: 811335a0 cmpeqi r4,r16,19670 -811333e4: 811335a0 cmpeqi r4,r16,19670 -811333e8: 81133548 cmpgei r4,r16,19669 -811333ec: 81133548 cmpgei r4,r16,19669 -811333f0: 81133564 muli r4,r16,19669 -811333f4: 81133564 muli r4,r16,19669 -811333f8: 81133564 muli r4,r16,19669 -811333fc: 81133564 muli r4,r16,19669 -81133400: 81133564 muli r4,r16,19669 -81133404: 81133564 muli r4,r16,19669 -81133408: 81133564 muli r4,r16,19669 -8113340c: 81133534 orhi r4,r16,19668 -81133410: 81133534 orhi r4,r16,19668 -81133414: 81133534 orhi r4,r16,19668 -81133418: 81133534 orhi r4,r16,19668 -8113341c: 81133534 orhi r4,r16,19668 -81133420: 81133534 orhi r4,r16,19668 -81133424: 81133564 muli r4,r16,19669 -81133428: 81133564 muli r4,r16,19669 -8113342c: 81133564 muli r4,r16,19669 -81133430: 81133564 muli r4,r16,19669 -81133434: 81133564 muli r4,r16,19669 -81133438: 81133564 muli r4,r16,19669 -8113343c: 81133564 muli r4,r16,19669 -81133440: 81133564 muli r4,r16,19669 -81133444: 81133564 muli r4,r16,19669 -81133448: 81133564 muli r4,r16,19669 -8113344c: 81133564 muli r4,r16,19669 -81133450: 81133564 muli r4,r16,19669 -81133454: 81133564 muli r4,r16,19669 -81133458: 81133564 muli r4,r16,19669 -8113345c: 81133564 muli r4,r16,19669 -81133460: 81133564 muli r4,r16,19669 -81133464: 81133564 muli r4,r16,19669 -81133468: 811334ec andhi r4,r16,19667 -8113346c: 81133564 muli r4,r16,19669 -81133470: 81133564 muli r4,r16,19669 -81133474: 81133564 muli r4,r16,19669 -81133478: 81133564 muli r4,r16,19669 -8113347c: 81133564 muli r4,r16,19669 -81133480: 81133564 muli r4,r16,19669 -81133484: 81133564 muli r4,r16,19669 -81133488: 81133564 muli r4,r16,19669 -8113348c: 81133534 orhi r4,r16,19668 -81133490: 81133534 orhi r4,r16,19668 -81133494: 81133534 orhi r4,r16,19668 -81133498: 81133534 orhi r4,r16,19668 -8113349c: 81133534 orhi r4,r16,19668 -811334a0: 81133534 orhi r4,r16,19668 -811334a4: 81133564 muli r4,r16,19669 -811334a8: 81133564 muli r4,r16,19669 -811334ac: 81133564 muli r4,r16,19669 -811334b0: 81133564 muli r4,r16,19669 -811334b4: 81133564 muli r4,r16,19669 -811334b8: 81133564 muli r4,r16,19669 -811334bc: 81133564 muli r4,r16,19669 -811334c0: 81133564 muli r4,r16,19669 -811334c4: 81133564 muli r4,r16,19669 -811334c8: 81133564 muli r4,r16,19669 -811334cc: 81133564 muli r4,r16,19669 -811334d0: 81133564 muli r4,r16,19669 -811334d4: 81133564 muli r4,r16,19669 -811334d8: 81133564 muli r4,r16,19669 -811334dc: 81133564 muli r4,r16,19669 -811334e0: 81133564 muli r4,r16,19669 -811334e4: 81133564 muli r4,r16,19669 -811334e8: 811334ec andhi r4,r16,19667 -811334ec: 9081800c andi r2,r18,1536 -811334f0: 12c01c1e bne r2,r11,81133564 <__svfscanf_r+0x1224> -811334f4: 91a4703a and r18,r18,r6 -811334f8: 94814014 ori r18,r18,1280 -811334fc: 04400404 movi r17,16 -81133500: 81000005 stb r4,0(r16) -81133504: 84000044 addi r16,r16,1 -81133508: e0800117 ldw r2,4(fp) -8113350c: 10bfffc4 addi r2,r2,-1 -81133510: e0800115 stw r2,4(fp) -81133514: 0080540e bge zero,r2,81133668 <__svfscanf_r+0x1328> -81133518: 18c00044 addi r3,r3,1 -8113351c: e0c00015 stw r3,0(fp) -81133520: 9cffffc4 addi r19,r19,-1 -81133524: 98000f26 beq r19,zero,81133564 <__svfscanf_r+0x1224> -81133528: e0c00017 ldw r3,0(fp) -8113352c: 19000003 ldbu r4,0(r3) -81133530: 003f9706 br 81133390 <__reset+0xfb113390> -81133534: 00800284 movi r2,10 -81133538: 14400a0e bge r2,r17,81133564 <__svfscanf_r+0x1224> -8113353c: 00bd1fc4 movi r2,-2945 -81133540: 90a4703a and r18,r18,r2 -81133544: 003fee06 br 81133500 <__reset+0xfb113500> -81133548: 01604574 movhi r5,33045 -8113354c: 8c63883a add r17,r17,r17 -81133550: 297ece84 addi r5,r5,-1222 -81133554: 2c45883a add r2,r5,r17 -81133558: 1440000f ldh r17,0(r2) -8113355c: 00800204 movi r2,8 -81133560: 147ff616 blt r2,r17,8113353c <__reset+0xfb11353c> -81133564: dc409e15 stw r17,632(sp) -81133568: 9023883a mov r17,r18 -8113356c: 8880400c andi r2,r17,256 -81133570: 4825883a mov r18,r9 -81133574: 10000426 beq r2,zero,81133588 <__svfscanf_r+0x1248> -81133578: d9800104 addi r6,sp,4 -8113357c: 3400be36 bltu r6,r16,81133878 <__svfscanf_r+0x1538> -81133580: da000104 addi r8,sp,4 -81133584: 443bae26 beq r8,r16,81132440 <__reset+0xfb112440> -81133588: 8880040c andi r2,r17,16 -8113358c: 10009326 beq r2,zero,811337dc <__svfscanf_r+0x149c> -81133590: d8800104 addi r2,sp,4 -81133594: 80a1c83a sub r16,r16,r2 -81133598: 8521883a add r16,r16,r20 -8113359c: 003d0606 br 811329b8 <__reset+0xfb1129b8> -811335a0: 01604574 movhi r5,33045 -811335a4: 8c63883a add r17,r17,r17 -811335a8: 297ece84 addi r5,r5,-1222 -811335ac: 2c45883a add r2,r5,r17 -811335b0: 1440000f ldh r17,0(r2) -811335b4: 00bd1fc4 movi r2,-2945 -811335b8: 90a4703a and r18,r18,r2 -811335bc: 003fd006 br 81133500 <__reset+0xfb113500> -811335c0: 9082000c andi r2,r18,2048 -811335c4: 103fce26 beq r2,zero,81133500 <__reset+0xfb113500> -811335c8: 8800021e bne r17,zero,811335d4 <__svfscanf_r+0x1294> -811335cc: 94808014 ori r18,r18,512 -811335d0: 04400204 movi r17,8 -811335d4: 9081000c andi r2,r18,1024 -811335d8: 10009426 beq r2,zero,8113382c <__svfscanf_r+0x14ec> -811335dc: 00be9fc4 movi r2,-1409 -811335e0: 90a4703a and r18,r18,r2 -811335e4: 003fc606 br 81133500 <__reset+0xfb113500> -811335e8: 9080200c andi r2,r18,128 -811335ec: 103fdd26 beq r2,zero,81133564 <__reset+0xfb113564> -811335f0: 9224703a and r18,r18,r8 -811335f4: 003fc206 br 81133500 <__reset+0xfb113500> -811335f8: 9800011e bne r19,zero,81133600 <__svfscanf_r+0x12c0> -811335fc: 04ffffc4 movi r19,-1 -81133600: 8900004c andi r4,r17,1 -81133604: 20005b1e bne r4,zero,81133774 <__svfscanf_r+0x1434> -81133608: 8c40040c andi r17,r17,16 -8113360c: 88003026 beq r17,zero,811336d0 <__svfscanf_r+0x1390> -81133610: 0021883a mov r16,zero -81133614: 00000306 br 81133624 <__svfscanf_r+0x12e4> -81133618: 18800003 ldbu r2,0(r3) -8113361c: 3885883a add r2,r7,r2 -81133620: 10800043 ldbu r2,1(r2) -81133624: 1080020c andi r2,r2,8 -81133628: 103ce31e bne r2,zero,811329b8 <__reset+0xfb1129b8> -8113362c: e0800117 ldw r2,4(fp) -81133630: 18c00044 addi r3,r3,1 -81133634: 84000044 addi r16,r16,1 -81133638: 10bfffc4 addi r2,r2,-1 -8113363c: e0800115 stw r2,4(fp) -81133640: e0c00015 stw r3,0(fp) -81133644: 84fcdc26 beq r16,r19,811329b8 <__reset+0xfb1129b8> -81133648: 00bff316 blt zero,r2,81133618 <__reset+0xfb113618> -8113364c: e00b883a mov r5,fp -81133650: b009883a mov r4,r22 -81133654: 112d38c0 call 8112d38c <__srefill_r> -81133658: 103cd71e bne r2,zero,811329b8 <__reset+0xfb1129b8> -8113365c: b9c00017 ldw r7,0(r23) -81133660: e0c00017 ldw r3,0(fp) -81133664: 003fec06 br 81133618 <__reset+0xfb113618> -81133668: e00b883a mov r5,fp -8113366c: b009883a mov r4,r22 -81133670: d980a715 stw r6,668(sp) -81133674: d9c0aa15 stw r7,680(sp) -81133678: da00a815 stw r8,672(sp) -8113367c: da40a915 stw r9,676(sp) -81133680: dac0a615 stw r11,664(sp) -81133684: 112d38c0 call 8112d38c <__srefill_r> -81133688: d980a717 ldw r6,668(sp) -8113368c: d9c0aa17 ldw r7,680(sp) -81133690: da00a817 ldw r8,672(sp) -81133694: da40a917 ldw r9,676(sp) -81133698: dac0a617 ldw r11,664(sp) -8113369c: 103fa026 beq r2,zero,81133520 <__reset+0xfb113520> -811336a0: 003fb006 br 81133564 <__reset+0xfb113564> -811336a4: 8821883a mov r16,r17 -811336a8: b019883a mov r12,r22 -811336ac: 9823883a mov r17,r19 -811336b0: 182d883a mov r22,r3 -811336b4: 00bfbfc4 movi r2,-257 -811336b8: a0a8703a and r20,r20,r2 -811336bc: 003e6706 br 8113305c <__reset+0xfb11305c> -811336c0: 000f883a mov r7,zero -811336c4: 003f2806 br 81133368 <__reset+0xfb113368> -811336c8: d800a215 stw zero,648(sp) -811336cc: 003de306 br 81132e5c <__reset+0xfb112e5c> -811336d0: da009c17 ldw r8,624(sp) -811336d4: 9abfffc4 addi r10,r19,-1 -811336d8: 44400017 ldw r17,0(r8) -811336dc: 44000104 addi r16,r8,4 -811336e0: 880b883a mov r5,r17 -811336e4: 8aa9883a add r20,r17,r10 -811336e8: 00000606 br 81133704 <__svfscanf_r+0x13c4> -811336ec: e0c00017 ldw r3,0(fp) -811336f0: b9000017 ldw r4,0(r23) -811336f4: a80b883a mov r5,r21 -811336f8: 18800003 ldbu r2,0(r3) -811336fc: 2085883a add r2,r4,r2 -81133700: 10800043 ldbu r2,1(r2) -81133704: 1080020c andi r2,r2,8 -81133708: 1000551e bne r2,zero,81133860 <__svfscanf_r+0x1520> -8113370c: e0800117 ldw r2,4(fp) -81133710: 19000044 addi r4,r3,1 -81133714: e1000015 stw r4,0(fp) -81133718: 10bfffc4 addi r2,r2,-1 -8113371c: e0800115 stw r2,4(fp) -81133720: 18800003 ldbu r2,0(r3) -81133724: 2d400044 addi r21,r5,1 -81133728: a827883a mov r19,r21 -8113372c: 28800005 stb r2,0(r5) -81133730: 2d000626 beq r5,r20,8113374c <__svfscanf_r+0x140c> -81133734: e0800117 ldw r2,4(fp) -81133738: 00bfec16 blt zero,r2,811336ec <__reset+0xfb1136ec> -8113373c: e00b883a mov r5,fp -81133740: b009883a mov r4,r22 -81133744: 112d38c0 call 8112d38c <__srefill_r> -81133748: 103fe826 beq r2,zero,811336ec <__reset+0xfb1136ec> -8113374c: da00a017 ldw r8,640(sp) -81133750: dd009d17 ldw r20,628(sp) -81133754: 9c63c83a sub r17,r19,r17 -81133758: 42000044 addi r8,r8,1 -8113375c: 98000005 stb zero,0(r19) -81133760: 9465883a add r18,r18,r17 -81133764: da00a015 stw r8,640(sp) -81133768: dc009c15 stw r16,624(sp) -8113376c: a00d883a mov r6,r20 -81133770: 003b2f06 br 81132430 <__reset+0xfb112430> -81133774: dd409904 addi r21,sp,612 -81133778: 8c40040c andi r17,r17,16 -8113377c: 01800204 movi r6,8 -81133780: 000b883a mov r5,zero -81133784: a809883a mov r4,r21 -81133788: 112c7900 call 8112c790 -8113378c: 8800401e bne r17,zero,81133890 <__svfscanf_r+0x1550> -81133790: da009c17 ldw r8,624(sp) -81133794: 45000017 ldw r20,0(r8) -81133798: 42000104 addi r8,r8,4 -8113379c: da009c15 stw r8,624(sp) -811337a0: 000d883a mov r6,zero -811337a4: 3021883a mov r16,r6 -811337a8: dc409f15 stw r17,636(sp) -811337ac: e0800017 ldw r2,0(fp) -811337b0: b8c00017 ldw r3,0(r23) -811337b4: 10800003 ldbu r2,0(r2) -811337b8: 1885883a add r2,r3,r2 -811337bc: 10800043 ldbu r2,1(r2) -811337c0: 1080020c andi r2,r2,8 -811337c4: 1000011e bne r2,zero,811337cc <__svfscanf_r+0x148c> -811337c8: 9800701e bne r19,zero,8113398c <__svfscanf_r+0x164c> -811337cc: dc409f17 ldw r17,636(sp) -811337d0: 883cdd1e bne r17,zero,81132b48 <__reset+0xfb112b48> -811337d4: a0000015 stw zero,0(r20) -811337d8: 003cd806 br 81132b3c <__reset+0xfb112b3c> -811337dc: d9c09e17 ldw r7,632(sp) -811337e0: da00a117 ldw r8,644(sp) -811337e4: 000d883a mov r6,zero -811337e8: d9400104 addi r5,sp,4 -811337ec: b009883a mov r4,r22 -811337f0: 80000005 stb zero,0(r16) -811337f4: 403ee83a callr r8 -811337f8: 88c0080c andi r3,r17,32 -811337fc: 1800121e bne r3,zero,81133848 <__svfscanf_r+0x1508> -81133800: 88c0010c andi r3,r17,4 -81133804: 18004d26 beq r3,zero,8113393c <__svfscanf_r+0x15fc> -81133808: da009c17 ldw r8,624(sp) -8113380c: 40c00017 ldw r3,0(r8) -81133810: 42000104 addi r8,r8,4 -81133814: da009c15 stw r8,624(sp) -81133818: 1880000d sth r2,0(r3) -8113381c: da00a017 ldw r8,640(sp) -81133820: 42000044 addi r8,r8,1 -81133824: da00a015 stw r8,640(sp) -81133828: 003f5906 br 81133590 <__reset+0xfb113590> -8113382c: 00bf1fc4 movi r2,-897 -81133830: 90a4703a and r18,r18,r2 -81133834: 38000226 beq r7,zero,81133840 <__svfscanf_r+0x1500> -81133838: 39ffffc4 addi r7,r7,-1 -8113383c: 9cc00044 addi r19,r19,1 -81133840: a5000044 addi r20,r20,1 -81133844: 003f3006 br 81133508 <__reset+0xfb113508> +81132b28: 8a000005 stb r8,0(r17) +81132b2c: 1136d800 call 81136d80 <_mbrtowc_r> +81132b30: 017fffc4 movi r5,-1 +81132b34: 11404e26 beq r2,r5,81132c70 <__svfscanf_r+0x8f0> +81132b38: 1000601e bne r2,zero,81132cbc <__svfscanf_r+0x93c> +81132b3c: da009f17 ldw r8,636(sp) +81132b40: 4000641e bne r8,zero,81132cd4 <__svfscanf_r+0x954> +81132b44: a0000015 stw zero,0(r20) +81132b48: 9425883a add r18,r18,r16 +81132b4c: 9967883a add r19,r19,r5 +81132b50: a5000104 addi r20,r20,4 +81132b54: e0800117 ldw r2,4(fp) +81132b58: 0023883a mov r17,zero +81132b5c: 00804b16 blt zero,r2,81132c8c <__svfscanf_r+0x90c> +81132b60: e00b883a mov r5,fp +81132b64: b009883a mov r4,r22 +81132b68: 112d3cc0 call 8112d3cc <__srefill_r> +81132b6c: 10004726 beq r2,zero,81132c8c <__svfscanf_r+0x90c> +81132b70: 88003f1e bne r17,zero,81132c70 <__svfscanf_r+0x8f0> +81132b74: d8c09f17 ldw r3,636(sp) +81132b78: 1800031e bne r3,zero,81132b88 <__svfscanf_r+0x808> +81132b7c: da00a017 ldw r8,640(sp) +81132b80: 42000044 addi r8,r8,1 +81132b84: da00a015 stw r8,640(sp) +81132b88: dd009d17 ldw r20,628(sp) +81132b8c: a00d883a mov r6,r20 +81132b90: 003e3706 br 81132470 <__reset+0xfb112470> +81132b94: 8c40040c andi r17,r17,16 +81132b98: 04ffffc4 movi r19,-1 +81132b9c: 883fa61e bne r17,zero,81132a38 <__reset+0xfb112a38> +81132ba0: da009c17 ldw r8,624(sp) +81132ba4: 9abfffc4 addi r10,r19,-1 +81132ba8: 44000017 ldw r16,0(r8) +81132bac: 44400104 addi r17,r8,4 +81132bb0: 8007883a mov r3,r16 +81132bb4: 82ab883a add r21,r16,r10 +81132bb8: 00000306 br 81132bc8 <__svfscanf_r+0x848> +81132bbc: e1000017 ldw r4,0(fp) +81132bc0: 9807883a mov r3,r19 +81132bc4: 20800003 ldbu r2,0(r4) +81132bc8: 10803fcc andi r2,r2,255 +81132bcc: d9405884 addi r5,sp,354 +81132bd0: 2885883a add r2,r5,r2 +81132bd4: 10800007 ldb r2,0(r2) +81132bd8: 10004b26 beq r2,zero,81132d08 <__svfscanf_r+0x988> +81132bdc: e0800117 ldw r2,4(fp) +81132be0: 21400044 addi r5,r4,1 +81132be4: e1400015 stw r5,0(fp) +81132be8: 10bfffc4 addi r2,r2,-1 +81132bec: e0800115 stw r2,4(fp) +81132bf0: 20800003 ldbu r2,0(r4) +81132bf4: 1cc00044 addi r19,r3,1 +81132bf8: 18800005 stb r2,0(r3) +81132bfc: 1d400726 beq r3,r21,81132c1c <__svfscanf_r+0x89c> +81132c00: e0800117 ldw r2,4(fp) +81132c04: 00bfed16 blt zero,r2,81132bbc <__reset+0xfb112bbc> +81132c08: e00b883a mov r5,fp +81132c0c: b009883a mov r4,r22 +81132c10: 112d3cc0 call 8112d3cc <__srefill_r> +81132c14: 103fe926 beq r2,zero,81132bbc <__reset+0xfb112bbc> +81132c18: 84c01526 beq r16,r19,81132c70 <__svfscanf_r+0x8f0> +81132c1c: 9c21c83a sub r16,r19,r16 +81132c20: 803e1726 beq r16,zero,81132480 <__reset+0xfb112480> +81132c24: da00a017 ldw r8,640(sp) +81132c28: 98000005 stb zero,0(r19) +81132c2c: dc409c15 stw r17,624(sp) +81132c30: 42000044 addi r8,r8,1 +81132c34: da00a015 stw r8,640(sp) +81132c38: 9425883a add r18,r18,r16 +81132c3c: a00d883a mov r6,r20 +81132c40: 003e0b06 br 81132470 <__reset+0xfb112470> +81132c44: 017fff84 movi r5,-2 +81132c48: 11439b1e bne r2,r5,81133ab8 <__svfscanf_r+0x1738> +81132c4c: 8821883a mov r16,r17 +81132c50: e0800117 ldw r2,4(fp) +81132c54: 0082e516 blt zero,r2,811337ec <__svfscanf_r+0x146c> +81132c58: e00b883a mov r5,fp +81132c5c: b009883a mov r4,r22 +81132c60: 112d3cc0 call 8112d3cc <__srefill_r> +81132c64: 1002e126 beq r2,zero,811337ec <__svfscanf_r+0x146c> +81132c68: dc409f17 ldw r17,636(sp) +81132c6c: 8002e826 beq r16,zero,81133810 <__svfscanf_r+0x1490> +81132c70: da00a017 ldw r8,640(sp) +81132c74: 403e9c26 beq r8,zero,811326e8 <__reset+0xfb1126e8> +81132c78: e080030b ldhu r2,12(fp) +81132c7c: 1080100c andi r2,r2,64 +81132c80: 103e991e bne r2,zero,811326e8 <__reset+0xfb1126e8> +81132c84: 4005883a mov r2,r8 +81132c88: 003e9806 br 811326ec <__reset+0xfb1126ec> +81132c8c: 983f951e bne r19,zero,81132ae4 <__reset+0xfb112ae4> +81132c90: 003fb806 br 81132b74 <__reset+0xfb112b74> +81132c94: da009c17 ldw r8,624(sp) +81132c98: 45000017 ldw r20,0(r8) +81132c9c: 42000104 addi r8,r8,4 +81132ca0: da009c15 stw r8,624(sp) +81132ca4: 003f8e06 br 81132ae0 <__reset+0xfb112ae0> +81132ca8: e00b883a mov r5,fp +81132cac: b009883a mov r4,r22 +81132cb0: 112d3cc0 call 8112d3cc <__srefill_r> +81132cb4: 103f3826 beq r2,zero,81132998 <__reset+0xfb112998> +81132cb8: 003fed06 br 81132c70 <__reset+0xfb112c70> +81132cbc: 00ffff84 movi r3,-2 +81132cc0: 10c0071e bne r2,r3,81132ce0 <__svfscanf_r+0x960> +81132cc4: e0800117 ldw r2,4(fp) +81132cc8: 8023883a mov r17,r16 +81132ccc: 00bf8516 blt zero,r2,81132ae4 <__reset+0xfb112ae4> +81132cd0: 003fa306 br 81132b60 <__reset+0xfb112b60> +81132cd4: 9425883a add r18,r18,r16 +81132cd8: 9cffffc4 addi r19,r19,-1 +81132cdc: 003f9d06 br 81132b54 <__reset+0xfb112b54> +81132ce0: da009f17 ldw r8,636(sp) +81132ce4: 9425883a add r18,r18,r16 +81132ce8: 9cffffc4 addi r19,r19,-1 +81132cec: 403f9826 beq r8,zero,81132b50 <__reset+0xfb112b50> +81132cf0: 003f9806 br 81132b54 <__reset+0xfb112b54> +81132cf4: 8023883a mov r17,r16 +81132cf8: 8821883a mov r16,r17 +81132cfc: 003fce06 br 81132c38 <__reset+0xfb112c38> +81132d00: 803f3d1e bne r16,zero,811329f8 <__reset+0xfb1129f8> +81132d04: 003fda06 br 81132c70 <__reset+0xfb112c70> +81132d08: 1827883a mov r19,r3 +81132d0c: 003fc306 br 81132c1c <__reset+0xfb112c1c> +81132d10: 803fc91e bne r16,zero,81132c38 <__reset+0xfb112c38> +81132d14: 003dda06 br 81132480 <__reset+0xfb112480> +81132d18: da009c17 ldw r8,624(sp) +81132d1c: df000015 stw fp,0(sp) +81132d20: 980f883a mov r7,r19 +81132d24: 41400017 ldw r5,0(r8) +81132d28: 01800044 movi r6,1 +81132d2c: b009883a mov r4,r22 +81132d30: 1135ee80 call 81135ee8 <_fread_r> +81132d34: da009c17 ldw r8,624(sp) +81132d38: 40c00104 addi r3,r8,4 +81132d3c: 103fcc26 beq r2,zero,81132c70 <__reset+0xfb112c70> +81132d40: da00a017 ldw r8,640(sp) +81132d44: dd009d17 ldw r20,628(sp) +81132d48: 90a5883a add r18,r18,r2 +81132d4c: 42000044 addi r8,r8,1 +81132d50: da00a015 stw r8,640(sp) +81132d54: d8c09c15 stw r3,624(sp) +81132d58: a00d883a mov r6,r20 +81132d5c: 003dc406 br 81132470 <__reset+0xfb112470> +81132d60: e00b883a mov r5,fp +81132d64: b009883a mov r4,r22 +81132d68: 112d3cc0 call 8112d3cc <__srefill_r> +81132d6c: 103e5226 beq r2,zero,811326b8 <__reset+0xfb1126b8> +81132d70: 003fbf06 br 81132c70 <__reset+0xfb112c70> +81132d74: a0800083 ldbu r2,2(r20) +81132d78: 8c400094 ori r17,r17,2 +81132d7c: a5000084 addi r20,r20,2 +81132d80: 10c03fcc andi r3,r2,255 +81132d84: 003dc706 br 811324a4 <__reset+0xfb1124a4> +81132d88: e0800117 ldw r2,4(fp) +81132d8c: 88e2b03a or r17,r17,r3 +81132d90: 00bef716 blt zero,r2,81132970 <__reset+0xfb112970> +81132d94: 003e6f06 br 81132754 <__reset+0xfb112754> +81132d98: 8880004c andi r2,r17,1 +81132d9c: 10000b1e bne r2,zero,81132dcc <__svfscanf_r+0xa4c> +81132da0: 8c40008c andi r17,r17,2 +81132da4: 88000926 beq r17,zero,81132dcc <__svfscanf_r+0xa4c> +81132da8: da009c17 ldw r8,624(sp) +81132dac: 9007d7fa srai r3,r18,31 +81132db0: dd009d17 ldw r20,628(sp) +81132db4: 40800017 ldw r2,0(r8) +81132db8: 42000104 addi r8,r8,4 +81132dbc: da009c15 stw r8,624(sp) +81132dc0: 14800015 stw r18,0(r2) +81132dc4: 10c00115 stw r3,4(r2) +81132dc8: 003da806 br 8113246c <__reset+0xfb11246c> +81132dcc: da009c17 ldw r8,624(sp) +81132dd0: dd009d17 ldw r20,628(sp) +81132dd4: 40800017 ldw r2,0(r8) +81132dd8: 42000104 addi r8,r8,4 +81132ddc: da009c15 stw r8,624(sp) +81132de0: 14800015 stw r18,0(r2) +81132de4: 003da106 br 8113246c <__reset+0xfb11246c> +81132de8: e00b883a mov r5,fp +81132dec: b009883a mov r4,r22 +81132df0: 112d3cc0 call 8112d3cc <__srefill_r> +81132df4: 103f9e1e bne r2,zero,81132c70 <__reset+0xfb112c70> +81132df8: b9c00017 ldw r7,0(r23) +81132dfc: 05000104 movi r20,4 +81132e00: 003e6306 br 81132790 <__reset+0xfb112790> +81132e04: e00b883a mov r5,fp +81132e08: b009883a mov r4,r22 +81132e0c: 112d3cc0 call 8112d3cc <__srefill_r> +81132e10: 103f971e bne r2,zero,81132c70 <__reset+0xfb112c70> +81132e14: b9c00017 ldw r7,0(r23) +81132e18: 003e5706 br 81132778 <__reset+0xfb112778> +81132e1c: e00b883a mov r5,fp +81132e20: b009883a mov r4,r22 +81132e24: 112d3cc0 call 8112d3cc <__srefill_r> +81132e28: 103f911e bne r2,zero,81132c70 <__reset+0xfb112c70> +81132e2c: b9c00017 ldw r7,0(r23) +81132e30: 05000084 movi r20,2 +81132e34: 003e5606 br 81132790 <__reset+0xfb112790> +81132e38: e00b883a mov r5,fp +81132e3c: b009883a mov r4,r22 +81132e40: 112d3cc0 call 8112d3cc <__srefill_r> +81132e44: 103ef726 beq r2,zero,81132a24 <__reset+0xfb112a24> +81132e48: 003f8906 br 81132c70 <__reset+0xfb112c70> +81132e4c: e00b883a mov r5,fp +81132e50: b009883a mov r4,r22 +81132e54: 112d3cc0 call 8112d3cc <__srefill_r> +81132e58: 103f851e bne r2,zero,81132c70 <__reset+0xfb112c70> +81132e5c: b9c00017 ldw r7,0(r23) +81132e60: 003f0f06 br 81132aa0 <__reset+0xfb112aa0> +81132e64: 014000c4 movi r5,3 +81132e68: a1414a26 beq r20,r5,81133394 <__svfscanf_r+0x1014> +81132e6c: 01000104 movi r4,4 +81132e70: a101f11e bne r20,r4,81133638 <__svfscanf_r+0x12b8> +81132e74: b009883a mov r4,r22 +81132e78: 1136cf00 call 81136cf0 <_localeconv_r> +81132e7c: 10800017 ldw r2,0(r2) +81132e80: 98ffffc4 addi r3,r19,-1 +81132e84: d880a315 stw r2,652(sp) +81132e88: 00805704 movi r2,348 +81132e8c: 10c21e2e bgeu r2,r3,81133708 <__svfscanf_r+0x1388> +81132e90: 9cffa8c4 addi r19,r19,-349 +81132e94: dcc0a215 stw r19,648(sp) +81132e98: 04c05744 movi r19,349 +81132e9c: 8d01e014 ori r20,r17,1920 +81132ea0: 0023883a mov r17,zero +81132ea4: dc000104 addi r16,sp,4 +81132ea8: 8805883a mov r2,r17 +81132eac: 0019883a mov r12,zero +81132eb0: 8023883a mov r17,r16 +81132eb4: b007883a mov r3,r22 +81132eb8: 9821883a mov r16,r19 +81132ebc: 002b883a mov r21,zero +81132ec0: d800a515 stw zero,660(sp) +81132ec4: d800a415 stw zero,656(sp) +81132ec8: d8009f15 stw zero,636(sp) +81132ecc: 01c01384 movi r7,78 +81132ed0: 602d883a mov r22,r12 +81132ed4: 1027883a mov r19,r2 +81132ed8: e1000017 ldw r4,0(fp) +81132edc: 21400003 ldbu r5,0(r4) +81132ee0: 28bff544 addi r2,r5,-43 +81132ee4: 10803fcc andi r2,r2,255 +81132ee8: 38810e36 bltu r7,r2,81133324 <__svfscanf_r+0xfa4> +81132eec: 100490ba slli r2,r2,2 +81132ef0: 01a044f4 movhi r6,33043 +81132ef4: 318bc104 addi r6,r6,12036 +81132ef8: 1185883a add r2,r2,r6 +81132efc: 10800017 ldw r2,0(r2) +81132f00: 1000683a jmp r2 +81132f04: 811331fc xorhi r4,r16,19655 +81132f08: 81133324 muli r4,r16,19660 +81132f0c: 811331fc xorhi r4,r16,19655 +81132f10: 81133324 muli r4,r16,19660 +81132f14: 81133324 muli r4,r16,19660 +81132f18: 811331d0 cmplti r4,r16,19655 +81132f1c: 81133040 call 88113304 <__reset+0x20f3304> +81132f20: 81133040 call 88113304 <__reset+0x20f3304> +81132f24: 81133040 call 88113304 <__reset+0x20f3304> +81132f28: 81133040 call 88113304 <__reset+0x20f3304> +81132f2c: 81133040 call 88113304 <__reset+0x20f3304> +81132f30: 81133040 call 88113304 <__reset+0x20f3304> +81132f34: 81133040 call 88113304 <__reset+0x20f3304> +81132f38: 81133040 call 88113304 <__reset+0x20f3304> +81132f3c: 81133040 call 88113304 <__reset+0x20f3304> +81132f40: 81133324 muli r4,r16,19660 +81132f44: 81133324 muli r4,r16,19660 +81132f48: 81133324 muli r4,r16,19660 +81132f4c: 81133324 muli r4,r16,19660 +81132f50: 81133324 muli r4,r16,19660 +81132f54: 81133324 muli r4,r16,19660 +81132f58: 81133324 muli r4,r16,19660 +81132f5c: 81133314 ori r4,r16,19660 +81132f60: 81133324 muli r4,r16,19660 +81132f64: 81133324 muli r4,r16,19660 +81132f68: 81133324 muli r4,r16,19660 +81132f6c: 811332d0 cmplti r4,r16,19659 +81132f70: 811332b4 orhi r4,r16,19658 +81132f74: 81133324 muli r4,r16,19660 +81132f78: 81133324 muli r4,r16,19660 +81132f7c: 81133280 call 88113328 <__reset+0x20f3328> +81132f80: 81133324 muli r4,r16,19660 +81132f84: 81133324 muli r4,r16,19660 +81132f88: 81133324 muli r4,r16,19660 +81132f8c: 81133324 muli r4,r16,19660 +81132f90: 81133248 cmpgei r4,r16,19657 +81132f94: 81133324 muli r4,r16,19660 +81132f98: 81133324 muli r4,r16,19660 +81132f9c: 81133324 muli r4,r16,19660 +81132fa0: 81133324 muli r4,r16,19660 +81132fa4: 81133324 muli r4,r16,19660 +81132fa8: 8113322c andhi r4,r16,19656 +81132fac: 81133324 muli r4,r16,19660 +81132fb0: 81133324 muli r4,r16,19660 +81132fb4: 81133324 muli r4,r16,19660 +81132fb8: 81133324 muli r4,r16,19660 +81132fbc: 81133210 cmplti r4,r16,19656 +81132fc0: 81133324 muli r4,r16,19660 +81132fc4: 81133324 muli r4,r16,19660 +81132fc8: 81133324 muli r4,r16,19660 +81132fcc: 81133324 muli r4,r16,19660 +81132fd0: 81133324 muli r4,r16,19660 +81132fd4: 81133324 muli r4,r16,19660 +81132fd8: 81133324 muli r4,r16,19660 +81132fdc: 81133314 ori r4,r16,19660 +81132fe0: 81133324 muli r4,r16,19660 +81132fe4: 81133324 muli r4,r16,19660 +81132fe8: 81133324 muli r4,r16,19660 +81132fec: 811332d0 cmplti r4,r16,19659 +81132ff0: 811332b4 orhi r4,r16,19658 +81132ff4: 81133324 muli r4,r16,19660 +81132ff8: 81133324 muli r4,r16,19660 +81132ffc: 81133280 call 88113328 <__reset+0x20f3328> +81133000: 81133324 muli r4,r16,19660 +81133004: 81133324 muli r4,r16,19660 +81133008: 81133324 muli r4,r16,19660 +8113300c: 81133324 muli r4,r16,19660 +81133010: 81133248 cmpgei r4,r16,19657 +81133014: 81133324 muli r4,r16,19660 +81133018: 81133324 muli r4,r16,19660 +8113301c: 81133324 muli r4,r16,19660 +81133020: 81133324 muli r4,r16,19660 +81133024: 81133324 muli r4,r16,19660 +81133028: 8113322c andhi r4,r16,19656 +8113302c: 81133324 muli r4,r16,19660 +81133030: 81133324 muli r4,r16,19660 +81133034: 81133324 muli r4,r16,19660 +81133038: 81133324 muli r4,r16,19660 +8113303c: 81133210 cmplti r4,r16,19656 +81133040: a8803fcc andi r2,r21,255 +81133044: 1080201c xori r2,r2,128 +81133048: 10bfe004 addi r2,r2,-128 +8113304c: b085883a add r2,r22,r2 +81133050: 10000d1e bne r2,zero,81133088 <__svfscanf_r+0xd08> +81133054: 00bf9fc4 movi r2,-385 +81133058: a0a8703a and r20,r20,r2 +8113305c: 89400005 stb r5,0(r17) +81133060: 8c400044 addi r17,r17,1 +81133064: e0800117 ldw r2,4(fp) +81133068: 843fffc4 addi r16,r16,-1 +8113306c: 94800044 addi r18,r18,1 +81133070: 10bfffc4 addi r2,r2,-1 +81133074: e0800115 stw r2,4(fp) +81133078: 0080b40e bge zero,r2,8113334c <__svfscanf_r+0xfcc> +8113307c: 21000044 addi r4,r4,1 +81133080: e1000015 stw r4,0(fp) +81133084: 803f941e bne r16,zero,81132ed8 <__reset+0xfb112ed8> +81133088: 8821883a mov r16,r17 +8113308c: b019883a mov r12,r22 +81133090: 9823883a mov r17,r19 +81133094: 182d883a mov r22,r3 +81133098: 9801961e bne r19,zero,811336f4 <__svfscanf_r+0x1374> +8113309c: 633fffc4 addi r12,r12,-1 +811330a0: 00800044 movi r2,1 +811330a4: 1302882e bgeu r2,r12,81133ac8 <__svfscanf_r+0x1748> +811330a8: a8803fcc andi r2,r21,255 +811330ac: 1080201c xori r2,r2,128 +811330b0: 10bfe004 addi r2,r2,-128 +811330b4: 113fffc4 addi r4,r2,-1 +811330b8: 01400184 movi r5,6 +811330bc: 29001136 bltu r5,r4,81133104 <__svfscanf_r+0xd84> +811330c0: 01000084 movi r4,2 +811330c4: 2082900e bge r4,r2,81133b08 <__svfscanf_r+0x1788> +811330c8: 00c000c4 movi r3,3 +811330cc: 10c00d26 beq r2,r3,81133104 <__svfscanf_r+0xd84> +811330d0: 9425c83a sub r18,r18,r16 +811330d4: 1827883a mov r19,r3 +811330d8: 843fffc4 addi r16,r16,-1 +811330dc: 81400007 ldb r5,0(r16) +811330e0: e00d883a mov r6,fp +811330e4: b009883a mov r4,r22 +811330e8: 113bb600 call 8113bb60 <_ungetc_r> +811330ec: a8bfffc4 addi r2,r21,-1 +811330f0: 10c03fcc andi r3,r2,255 +811330f4: 8493883a add r9,r16,r18 +811330f8: 102b883a mov r21,r2 +811330fc: 98fff636 bltu r19,r3,811330d8 <__reset+0xfb1130d8> +81133100: 4825883a mov r18,r9 +81133104: a080400c andi r2,r20,256 +81133108: 10001426 beq r2,zero,8113315c <__svfscanf_r+0xddc> +8113310c: a081000c andi r2,r20,1024 +81133110: 1002571e bne r2,zero,81133a70 <__svfscanf_r+0x16f0> +81133114: 817fffc7 ldb r5,-1(r16) +81133118: 00801944 movi r2,101 +8113311c: 84ffffc4 addi r19,r16,-1 +81133120: 957fffc4 addi r21,r18,-1 +81133124: 28800826 beq r5,r2,81133148 <__svfscanf_r+0xdc8> +81133128: 00801144 movi r2,69 +8113312c: 28800626 beq r5,r2,81133148 <__svfscanf_r+0xdc8> +81133130: e00d883a mov r6,fp +81133134: b009883a mov r4,r22 +81133138: 113bb600 call 8113bb60 <_ungetc_r> +8113313c: 817fff87 ldb r5,-2(r16) +81133140: 84ffff84 addi r19,r16,-2 +81133144: 957fff84 addi r21,r18,-2 +81133148: e00d883a mov r6,fp +8113314c: b009883a mov r4,r22 +81133150: 113bb600 call 8113bb60 <_ungetc_r> +81133154: a825883a mov r18,r21 +81133158: 9821883a mov r16,r19 +8113315c: a080040c andi r2,r20,16 +81133160: 103e891e bne r2,zero,81132b88 <__reset+0xfb112b88> +81133164: 80000005 stb zero,0(r16) +81133168: a081800c andi r2,r20,1536 +8113316c: 01010004 movi r4,1024 +81133170: 1101dd26 beq r2,r4,811338e8 <__svfscanf_r+0x1568> +81133174: da00a417 ldw r8,656(sp) +81133178: 4001e71e bne r8,zero,81133918 <__svfscanf_r+0x1598> +8113317c: 000d883a mov r6,zero +81133180: d9400104 addi r5,sp,4 +81133184: b009883a mov r4,r22 +81133188: 1138a300 call 81138a30 <_strtod_r> +8113318c: 1021883a mov r16,r2 +81133190: a080004c andi r2,r20,1 +81133194: 1000021e bne r2,zero,811331a0 <__svfscanf_r+0xe20> +81133198: a2c0008c andi r11,r20,2 +8113319c: 5801e826 beq r11,zero,81133940 <__svfscanf_r+0x15c0> +811331a0: da009c17 ldw r8,624(sp) +811331a4: 40800017 ldw r2,0(r8) +811331a8: 42000104 addi r8,r8,4 +811331ac: da009c15 stw r8,624(sp) +811331b0: 14000015 stw r16,0(r2) +811331b4: 10c00115 stw r3,4(r2) +811331b8: da00a017 ldw r8,640(sp) +811331bc: dd009d17 ldw r20,628(sp) +811331c0: 42000044 addi r8,r8,1 +811331c4: da00a015 stw r8,640(sp) +811331c8: a00d883a mov r6,r20 +811331cc: 003ca806 br 81132470 <__reset+0xfb112470> +811331d0: a080400c andi r2,r20,256 +811331d4: 103f9a26 beq r2,zero,81133040 <__reset+0xfb113040> +811331d8: da00a217 ldw r8,648(sp) +811331dc: 00bfdfc4 movi r2,-129 +811331e0: a0a8703a and r20,r20,r2 +811331e4: 9cc00044 addi r19,r19,1 +811331e8: 403f9e26 beq r8,zero,81133064 <__reset+0xfb113064> +811331ec: 423fffc4 addi r8,r8,-1 +811331f0: da00a215 stw r8,648(sp) +811331f4: 84000044 addi r16,r16,1 +811331f8: 003f9a06 br 81133064 <__reset+0xfb113064> +811331fc: a080200c andi r2,r20,128 +81133200: 103fa126 beq r2,zero,81133088 <__reset+0xfb113088> +81133204: 00bfdfc4 movi r2,-129 +81133208: a0a8703a and r20,r20,r2 +8113320c: 003f9306 br 8113305c <__reset+0xfb11305c> +81133210: a8803fcc andi r2,r21,255 +81133214: 1080201c xori r2,r2,128 +81133218: 10bfe004 addi r2,r2,-128 +8113321c: 020001c4 movi r8,7 +81133220: 123f991e bne r2,r8,81133088 <__reset+0xfb113088> +81133224: 05400204 movi r21,8 +81133228: 003f8c06 br 8113305c <__reset+0xfb11305c> +8113322c: a8803fcc andi r2,r21,255 +81133230: 1080201c xori r2,r2,128 +81133234: 10bfe004 addi r2,r2,-128 +81133238: 01800184 movi r6,6 +8113323c: 11bf921e bne r2,r6,81133088 <__reset+0xfb113088> +81133240: 054001c4 movi r21,7 +81133244: 003f8506 br 8113305c <__reset+0xfb11305c> +81133248: b000491e bne r22,zero,81133370 <__svfscanf_r+0xff0> +8113324c: 9800031e bne r19,zero,8113325c <__svfscanf_r+0xedc> +81133250: a081c00c andi r2,r20,1792 +81133254: 0181c004 movi r6,1792 +81133258: 11819f26 beq r2,r6,811338d8 <__svfscanf_r+0x1558> +8113325c: a8803fcc andi r2,r21,255 +81133260: 1080201c xori r2,r2,128 +81133264: 10bfe004 addi r2,r2,-128 +81133268: 01800044 movi r6,1 +8113326c: 11800226 beq r2,r6,81133278 <__svfscanf_r+0xef8> +81133270: 01800104 movi r6,4 +81133274: 11bf841e bne r2,r6,81133088 <__reset+0xfb113088> +81133278: ad400044 addi r21,r21,1 +8113327c: 003f7706 br 8113305c <__reset+0xfb11305c> +81133280: a8803fcc andi r2,r21,255 +81133284: 1080201c xori r2,r2,128 +81133288: 10bfe004 addi r2,r2,-128 +8113328c: 10003c1e bne r2,zero,81133380 <__svfscanf_r+0x1000> +81133290: 9801141e bne r19,zero,811336e4 <__svfscanf_r+0x1364> +81133294: a081c00c andi r2,r20,1792 +81133298: 0181c004 movi r6,1792 +8113329c: 11818226 beq r2,r6,811338a8 <__svfscanf_r+0x1528> +811332a0: 8821883a mov r16,r17 +811332a4: b019883a mov r12,r22 +811332a8: 9823883a mov r17,r19 +811332ac: 182d883a mov r22,r3 +811332b0: 003f7a06 br 8113309c <__reset+0xfb11309c> +811332b4: a8803fcc andi r2,r21,255 +811332b8: 1080201c xori r2,r2,128 +811332bc: 10bfe004 addi r2,r2,-128 +811332c0: 01800084 movi r6,2 +811332c4: 11bf701e bne r2,r6,81133088 <__reset+0xfb113088> +811332c8: 054000c4 movi r21,3 +811332cc: 003f6306 br 8113305c <__reset+0xfb11305c> +811332d0: a081400c andi r2,r20,1280 +811332d4: 01810004 movi r6,1024 +811332d8: 11800326 beq r2,r6,811332e8 <__svfscanf_r+0xf68> +811332dc: a184703a and r2,r20,r6 +811332e0: 103f6926 beq r2,zero,81133088 <__reset+0xfb113088> +811332e4: 983fee26 beq r19,zero,811332a0 <__reset+0xfb1132a0> +811332e8: a080800c andi r2,r20,512 +811332ec: 1000041e bne r2,zero,81133300 <__svfscanf_r+0xf80> +811332f0: da009f17 ldw r8,636(sp) +811332f4: dc40a515 stw r17,660(sp) +811332f8: 9a27c83a sub r19,r19,r8 +811332fc: dcc0a415 stw r19,656(sp) +81133300: 00be1fc4 movi r2,-1921 +81133304: a0a8703a and r20,r20,r2 +81133308: a5006014 ori r20,r20,384 +8113330c: 0027883a mov r19,zero +81133310: 003f5206 br 8113305c <__reset+0xfb11305c> +81133314: 00800044 movi r2,1 +81133318: b0bf5b1e bne r22,r2,81133088 <__reset+0xfb113088> +8113331c: 05800084 movi r22,2 +81133320: 003f4e06 br 8113305c <__reset+0xfb11305c> +81133324: d980a317 ldw r6,652(sp) +81133328: 30800003 ldbu r2,0(r6) +8113332c: 29803fcc andi r6,r5,255 +81133330: 30bf551e bne r6,r2,81133088 <__reset+0xfb113088> +81133334: a080800c andi r2,r20,512 +81133338: 103f5326 beq r2,zero,81133088 <__reset+0xfb113088> +8113333c: 023f5fc4 movi r8,-641 +81133340: a228703a and r20,r20,r8 +81133344: dcc09f15 stw r19,636(sp) +81133348: 003f4406 br 8113305c <__reset+0xfb11305c> +8113334c: 1809883a mov r4,r3 +81133350: e00b883a mov r5,fp +81133354: d8c0a615 stw r3,664(sp) +81133358: d9c0aa15 stw r7,680(sp) +8113335c: 112d3cc0 call 8112d3cc <__srefill_r> +81133360: d8c0a617 ldw r3,664(sp) +81133364: d9c0aa17 ldw r7,680(sp) +81133368: 103f4626 beq r2,zero,81133084 <__reset+0xfb113084> +8113336c: 003f4606 br 81133088 <__reset+0xfb113088> +81133370: 00800084 movi r2,2 +81133374: b0bfb91e bne r22,r2,8113325c <__reset+0xfb11325c> +81133378: 058000c4 movi r22,3 +8113337c: 003f3706 br 8113305c <__reset+0xfb11305c> +81133380: 018000c4 movi r6,3 +81133384: 11bfbc26 beq r2,r6,81133278 <__reset+0xfb113278> +81133388: 01800144 movi r6,5 +8113338c: 11bfba26 beq r2,r6,81133278 <__reset+0xfb113278> +81133390: 003f3d06 br 81133088 <__reset+0xfb113088> +81133394: 98bfffc4 addi r2,r19,-1 +81133398: 01405704 movi r5,348 +8113339c: 2880d82e bgeu r5,r2,81133700 <__svfscanf_r+0x1380> +811333a0: 99ffa8c4 addi r7,r19,-349 +811333a4: 04c05744 movi r19,349 +811333a8: 8c436014 ori r17,r17,3456 +811333ac: 9013883a mov r9,r18 +811333b0: 8825883a mov r18,r17 +811333b4: dc409e17 ldw r17,632(sp) +811333b8: 0029883a mov r20,zero +811333bc: dc000104 addi r16,sp,4 +811333c0: 05401344 movi r21,77 +811333c4: 02c08004 movi r11,512 +811333c8: 01bf7fc4 movi r6,-513 +811333cc: 023fdfc4 movi r8,-129 +811333d0: 20bff544 addi r2,r4,-43 +811333d4: 10803fcc andi r2,r2,255 +811333d8: a8807236 bltu r21,r2,811335a4 <__svfscanf_r+0x1224> +811333dc: 100490ba slli r2,r2,2 +811333e0: 016044f4 movhi r5,33043 +811333e4: 294cfd04 addi r5,r5,13300 +811333e8: 1145883a add r2,r2,r5 +811333ec: 10800017 ldw r2,0(r2) +811333f0: 1000683a jmp r2 +811333f4: 81133628 cmpgeui r4,r16,19672 +811333f8: 811335a4 muli r4,r16,19670 +811333fc: 81133628 cmpgeui r4,r16,19672 +81133400: 811335a4 muli r4,r16,19670 +81133404: 811335a4 muli r4,r16,19670 +81133408: 81133600 call 88113360 <__reset+0x20f3360> +8113340c: 811335e0 cmpeqi r4,r16,19671 +81133410: 811335e0 cmpeqi r4,r16,19671 +81133414: 811335e0 cmpeqi r4,r16,19671 +81133418: 811335e0 cmpeqi r4,r16,19671 +8113341c: 811335e0 cmpeqi r4,r16,19671 +81133420: 811335e0 cmpeqi r4,r16,19671 +81133424: 811335e0 cmpeqi r4,r16,19671 +81133428: 81133588 cmpgei r4,r16,19670 +8113342c: 81133588 cmpgei r4,r16,19670 +81133430: 811335a4 muli r4,r16,19670 +81133434: 811335a4 muli r4,r16,19670 +81133438: 811335a4 muli r4,r16,19670 +8113343c: 811335a4 muli r4,r16,19670 +81133440: 811335a4 muli r4,r16,19670 +81133444: 811335a4 muli r4,r16,19670 +81133448: 811335a4 muli r4,r16,19670 +8113344c: 81133574 orhi r4,r16,19669 +81133450: 81133574 orhi r4,r16,19669 +81133454: 81133574 orhi r4,r16,19669 +81133458: 81133574 orhi r4,r16,19669 +8113345c: 81133574 orhi r4,r16,19669 +81133460: 81133574 orhi r4,r16,19669 +81133464: 811335a4 muli r4,r16,19670 +81133468: 811335a4 muli r4,r16,19670 +8113346c: 811335a4 muli r4,r16,19670 +81133470: 811335a4 muli r4,r16,19670 +81133474: 811335a4 muli r4,r16,19670 +81133478: 811335a4 muli r4,r16,19670 +8113347c: 811335a4 muli r4,r16,19670 +81133480: 811335a4 muli r4,r16,19670 +81133484: 811335a4 muli r4,r16,19670 +81133488: 811335a4 muli r4,r16,19670 +8113348c: 811335a4 muli r4,r16,19670 +81133490: 811335a4 muli r4,r16,19670 +81133494: 811335a4 muli r4,r16,19670 +81133498: 811335a4 muli r4,r16,19670 +8113349c: 811335a4 muli r4,r16,19670 +811334a0: 811335a4 muli r4,r16,19670 +811334a4: 811335a4 muli r4,r16,19670 +811334a8: 8113352c andhi r4,r16,19668 +811334ac: 811335a4 muli r4,r16,19670 +811334b0: 811335a4 muli r4,r16,19670 +811334b4: 811335a4 muli r4,r16,19670 +811334b8: 811335a4 muli r4,r16,19670 +811334bc: 811335a4 muli r4,r16,19670 +811334c0: 811335a4 muli r4,r16,19670 +811334c4: 811335a4 muli r4,r16,19670 +811334c8: 811335a4 muli r4,r16,19670 +811334cc: 81133574 orhi r4,r16,19669 +811334d0: 81133574 orhi r4,r16,19669 +811334d4: 81133574 orhi r4,r16,19669 +811334d8: 81133574 orhi r4,r16,19669 +811334dc: 81133574 orhi r4,r16,19669 +811334e0: 81133574 orhi r4,r16,19669 +811334e4: 811335a4 muli r4,r16,19670 +811334e8: 811335a4 muli r4,r16,19670 +811334ec: 811335a4 muli r4,r16,19670 +811334f0: 811335a4 muli r4,r16,19670 +811334f4: 811335a4 muli r4,r16,19670 +811334f8: 811335a4 muli r4,r16,19670 +811334fc: 811335a4 muli r4,r16,19670 +81133500: 811335a4 muli r4,r16,19670 +81133504: 811335a4 muli r4,r16,19670 +81133508: 811335a4 muli r4,r16,19670 +8113350c: 811335a4 muli r4,r16,19670 +81133510: 811335a4 muli r4,r16,19670 +81133514: 811335a4 muli r4,r16,19670 +81133518: 811335a4 muli r4,r16,19670 +8113351c: 811335a4 muli r4,r16,19670 +81133520: 811335a4 muli r4,r16,19670 +81133524: 811335a4 muli r4,r16,19670 +81133528: 8113352c andhi r4,r16,19668 +8113352c: 9081800c andi r2,r18,1536 +81133530: 12c01c1e bne r2,r11,811335a4 <__svfscanf_r+0x1224> +81133534: 91a4703a and r18,r18,r6 +81133538: 94814014 ori r18,r18,1280 +8113353c: 04400404 movi r17,16 +81133540: 81000005 stb r4,0(r16) +81133544: 84000044 addi r16,r16,1 +81133548: e0800117 ldw r2,4(fp) +8113354c: 10bfffc4 addi r2,r2,-1 +81133550: e0800115 stw r2,4(fp) +81133554: 0080540e bge zero,r2,811336a8 <__svfscanf_r+0x1328> +81133558: 18c00044 addi r3,r3,1 +8113355c: e0c00015 stw r3,0(fp) +81133560: 9cffffc4 addi r19,r19,-1 +81133564: 98000f26 beq r19,zero,811335a4 <__svfscanf_r+0x1224> +81133568: e0c00017 ldw r3,0(fp) +8113356c: 19000003 ldbu r4,0(r3) +81133570: 003f9706 br 811333d0 <__reset+0xfb1133d0> +81133574: 00800284 movi r2,10 +81133578: 14400a0e bge r2,r17,811335a4 <__svfscanf_r+0x1224> +8113357c: 00bd1fc4 movi r2,-2945 +81133580: 90a4703a and r18,r18,r2 +81133584: 003fee06 br 81133540 <__reset+0xfb113540> +81133588: 01604574 movhi r5,33045 +8113358c: 8c63883a add r17,r17,r17 +81133590: 297edf84 addi r5,r5,-1154 +81133594: 2c45883a add r2,r5,r17 +81133598: 1440000f ldh r17,0(r2) +8113359c: 00800204 movi r2,8 +811335a0: 147ff616 blt r2,r17,8113357c <__reset+0xfb11357c> +811335a4: dc409e15 stw r17,632(sp) +811335a8: 9023883a mov r17,r18 +811335ac: 8880400c andi r2,r17,256 +811335b0: 4825883a mov r18,r9 +811335b4: 10000426 beq r2,zero,811335c8 <__svfscanf_r+0x1248> +811335b8: d9800104 addi r6,sp,4 +811335bc: 3400be36 bltu r6,r16,811338b8 <__svfscanf_r+0x1538> +811335c0: da000104 addi r8,sp,4 +811335c4: 443bae26 beq r8,r16,81132480 <__reset+0xfb112480> +811335c8: 8880040c andi r2,r17,16 +811335cc: 10009326 beq r2,zero,8113381c <__svfscanf_r+0x149c> +811335d0: d8800104 addi r2,sp,4 +811335d4: 80a1c83a sub r16,r16,r2 +811335d8: 8521883a add r16,r16,r20 +811335dc: 003d0606 br 811329f8 <__reset+0xfb1129f8> +811335e0: 01604574 movhi r5,33045 +811335e4: 8c63883a add r17,r17,r17 +811335e8: 297edf84 addi r5,r5,-1154 +811335ec: 2c45883a add r2,r5,r17 +811335f0: 1440000f ldh r17,0(r2) +811335f4: 00bd1fc4 movi r2,-2945 +811335f8: 90a4703a and r18,r18,r2 +811335fc: 003fd006 br 81133540 <__reset+0xfb113540> +81133600: 9082000c andi r2,r18,2048 +81133604: 103fce26 beq r2,zero,81133540 <__reset+0xfb113540> +81133608: 8800021e bne r17,zero,81133614 <__svfscanf_r+0x1294> +8113360c: 94808014 ori r18,r18,512 +81133610: 04400204 movi r17,8 +81133614: 9081000c andi r2,r18,1024 +81133618: 10009426 beq r2,zero,8113386c <__svfscanf_r+0x14ec> +8113361c: 00be9fc4 movi r2,-1409 +81133620: 90a4703a and r18,r18,r2 +81133624: 003fc606 br 81133540 <__reset+0xfb113540> +81133628: 9080200c andi r2,r18,128 +8113362c: 103fdd26 beq r2,zero,811335a4 <__reset+0xfb1135a4> +81133630: 9224703a and r18,r18,r8 +81133634: 003fc206 br 81133540 <__reset+0xfb113540> +81133638: 9800011e bne r19,zero,81133640 <__svfscanf_r+0x12c0> +8113363c: 04ffffc4 movi r19,-1 +81133640: 8900004c andi r4,r17,1 +81133644: 20005b1e bne r4,zero,811337b4 <__svfscanf_r+0x1434> +81133648: 8c40040c andi r17,r17,16 +8113364c: 88003026 beq r17,zero,81133710 <__svfscanf_r+0x1390> +81133650: 0021883a mov r16,zero +81133654: 00000306 br 81133664 <__svfscanf_r+0x12e4> +81133658: 18800003 ldbu r2,0(r3) +8113365c: 3885883a add r2,r7,r2 +81133660: 10800043 ldbu r2,1(r2) +81133664: 1080020c andi r2,r2,8 +81133668: 103ce31e bne r2,zero,811329f8 <__reset+0xfb1129f8> +8113366c: e0800117 ldw r2,4(fp) +81133670: 18c00044 addi r3,r3,1 +81133674: 84000044 addi r16,r16,1 +81133678: 10bfffc4 addi r2,r2,-1 +8113367c: e0800115 stw r2,4(fp) +81133680: e0c00015 stw r3,0(fp) +81133684: 84fcdc26 beq r16,r19,811329f8 <__reset+0xfb1129f8> +81133688: 00bff316 blt zero,r2,81133658 <__reset+0xfb113658> +8113368c: e00b883a mov r5,fp +81133690: b009883a mov r4,r22 +81133694: 112d3cc0 call 8112d3cc <__srefill_r> +81133698: 103cd71e bne r2,zero,811329f8 <__reset+0xfb1129f8> +8113369c: b9c00017 ldw r7,0(r23) +811336a0: e0c00017 ldw r3,0(fp) +811336a4: 003fec06 br 81133658 <__reset+0xfb113658> +811336a8: e00b883a mov r5,fp +811336ac: b009883a mov r4,r22 +811336b0: d980a715 stw r6,668(sp) +811336b4: d9c0aa15 stw r7,680(sp) +811336b8: da00a815 stw r8,672(sp) +811336bc: da40a915 stw r9,676(sp) +811336c0: dac0a615 stw r11,664(sp) +811336c4: 112d3cc0 call 8112d3cc <__srefill_r> +811336c8: d980a717 ldw r6,668(sp) +811336cc: d9c0aa17 ldw r7,680(sp) +811336d0: da00a817 ldw r8,672(sp) +811336d4: da40a917 ldw r9,676(sp) +811336d8: dac0a617 ldw r11,664(sp) +811336dc: 103fa026 beq r2,zero,81133560 <__reset+0xfb113560> +811336e0: 003fb006 br 811335a4 <__reset+0xfb1135a4> +811336e4: 8821883a mov r16,r17 +811336e8: b019883a mov r12,r22 +811336ec: 9823883a mov r17,r19 +811336f0: 182d883a mov r22,r3 +811336f4: 00bfbfc4 movi r2,-257 +811336f8: a0a8703a and r20,r20,r2 +811336fc: 003e6706 br 8113309c <__reset+0xfb11309c> +81133700: 000f883a mov r7,zero +81133704: 003f2806 br 811333a8 <__reset+0xfb1133a8> +81133708: d800a215 stw zero,648(sp) +8113370c: 003de306 br 81132e9c <__reset+0xfb112e9c> +81133710: da009c17 ldw r8,624(sp) +81133714: 9abfffc4 addi r10,r19,-1 +81133718: 44400017 ldw r17,0(r8) +8113371c: 44000104 addi r16,r8,4 +81133720: 880b883a mov r5,r17 +81133724: 8aa9883a add r20,r17,r10 +81133728: 00000606 br 81133744 <__svfscanf_r+0x13c4> +8113372c: e0c00017 ldw r3,0(fp) +81133730: b9000017 ldw r4,0(r23) +81133734: a80b883a mov r5,r21 +81133738: 18800003 ldbu r2,0(r3) +8113373c: 2085883a add r2,r4,r2 +81133740: 10800043 ldbu r2,1(r2) +81133744: 1080020c andi r2,r2,8 +81133748: 1000551e bne r2,zero,811338a0 <__svfscanf_r+0x1520> +8113374c: e0800117 ldw r2,4(fp) +81133750: 19000044 addi r4,r3,1 +81133754: e1000015 stw r4,0(fp) +81133758: 10bfffc4 addi r2,r2,-1 +8113375c: e0800115 stw r2,4(fp) +81133760: 18800003 ldbu r2,0(r3) +81133764: 2d400044 addi r21,r5,1 +81133768: a827883a mov r19,r21 +8113376c: 28800005 stb r2,0(r5) +81133770: 2d000626 beq r5,r20,8113378c <__svfscanf_r+0x140c> +81133774: e0800117 ldw r2,4(fp) +81133778: 00bfec16 blt zero,r2,8113372c <__reset+0xfb11372c> +8113377c: e00b883a mov r5,fp +81133780: b009883a mov r4,r22 +81133784: 112d3cc0 call 8112d3cc <__srefill_r> +81133788: 103fe826 beq r2,zero,8113372c <__reset+0xfb11372c> +8113378c: da00a017 ldw r8,640(sp) +81133790: dd009d17 ldw r20,628(sp) +81133794: 9c63c83a sub r17,r19,r17 +81133798: 42000044 addi r8,r8,1 +8113379c: 98000005 stb zero,0(r19) +811337a0: 9465883a add r18,r18,r17 +811337a4: da00a015 stw r8,640(sp) +811337a8: dc009c15 stw r16,624(sp) +811337ac: a00d883a mov r6,r20 +811337b0: 003b2f06 br 81132470 <__reset+0xfb112470> +811337b4: dd409904 addi r21,sp,612 +811337b8: 8c40040c andi r17,r17,16 +811337bc: 01800204 movi r6,8 +811337c0: 000b883a mov r5,zero +811337c4: a809883a mov r4,r21 +811337c8: 112c7d00 call 8112c7d0 +811337cc: 8800401e bne r17,zero,811338d0 <__svfscanf_r+0x1550> +811337d0: da009c17 ldw r8,624(sp) +811337d4: 45000017 ldw r20,0(r8) +811337d8: 42000104 addi r8,r8,4 +811337dc: da009c15 stw r8,624(sp) +811337e0: 000d883a mov r6,zero +811337e4: 3021883a mov r16,r6 +811337e8: dc409f15 stw r17,636(sp) +811337ec: e0800017 ldw r2,0(fp) +811337f0: b8c00017 ldw r3,0(r23) +811337f4: 10800003 ldbu r2,0(r2) +811337f8: 1885883a add r2,r3,r2 +811337fc: 10800043 ldbu r2,1(r2) +81133800: 1080020c andi r2,r2,8 +81133804: 1000011e bne r2,zero,8113380c <__svfscanf_r+0x148c> +81133808: 9800701e bne r19,zero,811339cc <__svfscanf_r+0x164c> +8113380c: dc409f17 ldw r17,636(sp) +81133810: 883cdd1e bne r17,zero,81132b88 <__reset+0xfb112b88> +81133814: a0000015 stw zero,0(r20) +81133818: 003cd806 br 81132b7c <__reset+0xfb112b7c> +8113381c: d9c09e17 ldw r7,632(sp) +81133820: da00a117 ldw r8,644(sp) +81133824: 000d883a mov r6,zero +81133828: d9400104 addi r5,sp,4 +8113382c: b009883a mov r4,r22 +81133830: 80000005 stb zero,0(r16) +81133834: 403ee83a callr r8 +81133838: 88c0080c andi r3,r17,32 +8113383c: 1800121e bne r3,zero,81133888 <__svfscanf_r+0x1508> +81133840: 88c0010c andi r3,r17,4 +81133844: 18004d26 beq r3,zero,8113397c <__svfscanf_r+0x15fc> 81133848: da009c17 ldw r8,624(sp) 8113384c: 40c00017 ldw r3,0(r8) 81133850: 42000104 addi r8,r8,4 81133854: da009c15 stw r8,624(sp) -81133858: 18800015 stw r2,0(r3) -8113385c: 003fef06 br 8113381c <__reset+0xfb11381c> -81133860: 2827883a mov r19,r5 -81133864: 003fb906 br 8113374c <__reset+0xfb11374c> -81133868: 01be1fc4 movi r6,-1921 -8113386c: a1a8703a and r20,r20,r6 -81133870: 05400044 movi r21,1 -81133874: 003de906 br 8113301c <__reset+0xfb11301c> -81133878: 817fffc7 ldb r5,-1(r16) -8113387c: e00d883a mov r6,fp -81133880: b009883a mov r4,r22 -81133884: 843fffc4 addi r16,r16,-1 -81133888: 113bb200 call 8113bb20 <_ungetc_r> -8113388c: 003f3c06 br 81133580 <__reset+0xfb113580> -81133890: dd009b04 addi r20,sp,620 -81133894: 003fc206 br 811337a0 <__reset+0xfb1137a0> -81133898: 00be1fc4 movi r2,-1921 -8113389c: a0a8703a and r20,r20,r2 -811338a0: 05800044 movi r22,1 -811338a4: 003ddd06 br 8113301c <__reset+0xfb11301c> -811338a8: d8c09f17 ldw r3,636(sp) -811338ac: 88e3c83a sub r17,r17,r3 -811338b0: 044dc83a sub r6,zero,r17 -811338b4: 883e2126 beq r17,zero,8113313c <__reset+0xfb11313c> -811338b8: d88055c4 addi r2,sp,343 -811338bc: 80800136 bltu r16,r2,811338c4 <__svfscanf_r+0x1584> -811338c0: dc005584 addi r16,sp,342 -811338c4: 01604574 movhi r5,33045 -811338c8: 297ecd04 addi r5,r5,-1228 -811338cc: 8009883a mov r4,r16 -811338d0: 112d6780 call 8112d678 -811338d4: 003e1906 br 8113313c <__reset+0xfb11313c> -811338d8: d8c0a517 ldw r3,660(sp) -811338dc: 000d883a mov r6,zero -811338e0: 01c00284 movi r7,10 -811338e4: 19400044 addi r5,r3,1 -811338e8: b009883a mov r4,r22 -811338ec: 112d9480 call 8112d948 <_strtol_r> -811338f0: da00a417 ldw r8,656(sp) -811338f4: dc00a517 ldw r16,660(sp) -811338f8: 120dc83a sub r6,r2,r8 -811338fc: 003fee06 br 811338b8 <__reset+0xfb1138b8> -81133900: da009c17 ldw r8,624(sp) -81133904: 180b883a mov r5,r3 -81133908: 8009883a mov r4,r16 -8113390c: 45000017 ldw r20,0(r8) -81133910: 44400104 addi r17,r8,4 -81133914: d8c0a615 stw r3,664(sp) -81133918: 11387640 call 81138764 <__fpclassifyd> -8113391c: d8c0a617 ldw r3,664(sp) -81133920: 10006426 beq r2,zero,81133ab4 <__svfscanf_r+0x1774> -81133924: 8009883a mov r4,r16 -81133928: 180b883a mov r5,r3 -8113392c: 112b0480 call 8112b048 <__truncdfsf2> -81133930: a0800015 stw r2,0(r20) -81133934: dc409c15 stw r17,624(sp) -81133938: 003e0f06 br 81133178 <__reset+0xfb113178> -8113393c: 88c0004c andi r3,r17,1 -81133940: 183fc11e bne r3,zero,81133848 <__reset+0xfb113848> -81133944: 8c40008c andi r17,r17,2 -81133948: 883fbf26 beq r17,zero,81133848 <__reset+0xfb113848> -8113394c: da00a117 ldw r8,644(sp) -81133950: 00a04534 movhi r2,33044 -81133954: 10a84004 addi r2,r2,-24320 -81133958: d9c09e17 ldw r7,632(sp) -8113395c: 000d883a mov r6,zero -81133960: d9400104 addi r5,sp,4 -81133964: b009883a mov r4,r22 -81133968: 40806226 beq r8,r2,81133af4 <__svfscanf_r+0x17b4> -8113396c: 112dbb80 call 8112dbb8 <_strtoll_r> -81133970: da009c17 ldw r8,624(sp) -81133974: 41000017 ldw r4,0(r8) -81133978: 42000104 addi r8,r8,4 -8113397c: da009c15 stw r8,624(sp) -81133980: 20800015 stw r2,0(r4) -81133984: 20c00115 stw r3,4(r4) -81133988: 003fa406 br 8113381c <__reset+0xfb11381c> -8113398c: 1136c8c0 call 81136c8c <__locale_mb_cur_max> -81133990: 80bca726 beq r16,r2,81132c30 <__reset+0xfb112c30> -81133994: e0800017 ldw r2,0(fp) -81133998: e1000117 ldw r4,4(fp) -8113399c: d8c00104 addi r3,sp,4 -811339a0: 12000003 ldbu r8,0(r2) -811339a4: 213fffc4 addi r4,r4,-1 -811339a8: 10800044 addi r2,r2,1 -811339ac: e1000115 stw r4,4(fp) -811339b0: e0800015 stw r2,0(fp) -811339b4: 84400044 addi r17,r16,1 -811339b8: dd400015 stw r21,0(sp) -811339bc: 1c21883a add r16,r3,r16 -811339c0: b009883a mov r4,r22 -811339c4: 880f883a mov r7,r17 -811339c8: 180d883a mov r6,r3 -811339cc: a00b883a mov r5,r20 -811339d0: 82000005 stb r8,0(r16) -811339d4: 1136d400 call 81136d40 <_mbrtowc_r> -811339d8: 013fffc4 movi r4,-1 -811339dc: 113c9426 beq r2,r4,81132c30 <__reset+0xfb112c30> -811339e0: 103c881e bne r2,zero,81132c04 <__reset+0xfb112c04> -811339e4: a0000015 stw zero,0(r20) -811339e8: 0009883a mov r4,zero -811339ec: 1136bd40 call 81136bd4 -811339f0: 10001a26 beq r2,zero,81133a5c <__svfscanf_r+0x171c> -811339f4: 8821883a mov r16,r17 -811339f8: dc409f17 ldw r17,636(sp) -811339fc: 803f7426 beq r16,zero,811337d0 <__reset+0xfb1137d0> -81133a00: 843fffc4 addi r16,r16,-1 -81133a04: d9800104 addi r6,sp,4 -81133a08: 342b883a add r21,r6,r16 -81133a0c: 00000106 br 81133a14 <__svfscanf_r+0x16d4> -81133a10: 843fffc4 addi r16,r16,-1 -81133a14: a9400003 ldbu r5,0(r21) -81133a18: e00d883a mov r6,fp -81133a1c: b009883a mov r4,r22 -81133a20: 113bb200 call 8113bb20 <_ungetc_r> -81133a24: ad7fffc4 addi r21,r21,-1 -81133a28: 803ff91e bne r16,zero,81133a10 <__reset+0xfb113a10> -81133a2c: 003f6806 br 811337d0 <__reset+0xfb1137d0> -81133a30: d9800104 addi r6,sp,4 -81133a34: 802b883a mov r21,r16 -81133a38: 343a812e bgeu r6,r16,81132440 <__reset+0xfb112440> -81133a3c: ad7fffc4 addi r21,r21,-1 -81133a40: a9400007 ldb r5,0(r21) -81133a44: e00d883a mov r6,fp -81133a48: b009883a mov r4,r22 -81133a4c: 113bb200 call 8113bb20 <_ungetc_r> -81133a50: da000104 addi r8,sp,4 -81133a54: 457ff91e bne r8,r21,81133a3c <__reset+0xfb113a3c> -81133a58: 003a7906 br 81132440 <__reset+0xfb112440> -81133a5c: da009f17 ldw r8,636(sp) -81133a60: 9465883a add r18,r18,r17 -81133a64: 9cffffc4 addi r19,r19,-1 -81133a68: 4000051e bne r8,zero,81133a80 <__svfscanf_r+0x1740> -81133a6c: a5000104 addi r20,r20,4 -81133a70: 0021883a mov r16,zero -81133a74: 003c6606 br 81132c10 <__reset+0xfb112c10> -81133a78: a1000017 ldw r4,0(r20) -81133a7c: 003fdb06 br 811339ec <__reset+0xfb1139ec> -81133a80: 0021883a mov r16,zero -81133a84: 003c6206 br 81132c10 <__reset+0xfb112c10> -81133a88: d8800104 addi r2,sp,4 -81133a8c: 802b883a mov r21,r16 -81133a90: 143a6b2e bgeu r2,r16,81132440 <__reset+0xfb112440> -81133a94: ad7fffc4 addi r21,r21,-1 -81133a98: a9400007 ldb r5,0(r21) -81133a9c: e00d883a mov r6,fp -81133aa0: b009883a mov r4,r22 -81133aa4: 113bb200 call 8113bb20 <_ungetc_r> -81133aa8: d8c00104 addi r3,sp,4 -81133aac: 1d7ff91e bne r3,r21,81133a94 <__reset+0xfb113a94> -81133ab0: 003a6306 br 81132440 <__reset+0xfb112440> -81133ab4: 0009883a mov r4,zero -81133ab8: 11388a80 call 811388a8 -81133abc: a0800015 stw r2,0(r20) -81133ac0: dc409c15 stw r17,624(sp) -81133ac4: 003dac06 br 81133178 <__reset+0xfb113178> -81133ac8: d9000104 addi r4,sp,4 +81133858: 1880000d sth r2,0(r3) +8113385c: da00a017 ldw r8,640(sp) +81133860: 42000044 addi r8,r8,1 +81133864: da00a015 stw r8,640(sp) +81133868: 003f5906 br 811335d0 <__reset+0xfb1135d0> +8113386c: 00bf1fc4 movi r2,-897 +81133870: 90a4703a and r18,r18,r2 +81133874: 38000226 beq r7,zero,81133880 <__svfscanf_r+0x1500> +81133878: 39ffffc4 addi r7,r7,-1 +8113387c: 9cc00044 addi r19,r19,1 +81133880: a5000044 addi r20,r20,1 +81133884: 003f3006 br 81133548 <__reset+0xfb113548> +81133888: da009c17 ldw r8,624(sp) +8113388c: 40c00017 ldw r3,0(r8) +81133890: 42000104 addi r8,r8,4 +81133894: da009c15 stw r8,624(sp) +81133898: 18800015 stw r2,0(r3) +8113389c: 003fef06 br 8113385c <__reset+0xfb11385c> +811338a0: 2827883a mov r19,r5 +811338a4: 003fb906 br 8113378c <__reset+0xfb11378c> +811338a8: 01be1fc4 movi r6,-1921 +811338ac: a1a8703a and r20,r20,r6 +811338b0: 05400044 movi r21,1 +811338b4: 003de906 br 8113305c <__reset+0xfb11305c> +811338b8: 817fffc7 ldb r5,-1(r16) +811338bc: e00d883a mov r6,fp +811338c0: b009883a mov r4,r22 +811338c4: 843fffc4 addi r16,r16,-1 +811338c8: 113bb600 call 8113bb60 <_ungetc_r> +811338cc: 003f3c06 br 811335c0 <__reset+0xfb1135c0> +811338d0: dd009b04 addi r20,sp,620 +811338d4: 003fc206 br 811337e0 <__reset+0xfb1137e0> +811338d8: 00be1fc4 movi r2,-1921 +811338dc: a0a8703a and r20,r20,r2 +811338e0: 05800044 movi r22,1 +811338e4: 003ddd06 br 8113305c <__reset+0xfb11305c> +811338e8: d8c09f17 ldw r3,636(sp) +811338ec: 88e3c83a sub r17,r17,r3 +811338f0: 044dc83a sub r6,zero,r17 +811338f4: 883e2126 beq r17,zero,8113317c <__reset+0xfb11317c> +811338f8: d88055c4 addi r2,sp,343 +811338fc: 80800136 bltu r16,r2,81133904 <__svfscanf_r+0x1584> +81133900: dc005584 addi r16,sp,342 +81133904: 01604574 movhi r5,33045 +81133908: 297ede04 addi r5,r5,-1160 +8113390c: 8009883a mov r4,r16 +81133910: 112d6b80 call 8112d6b8 +81133914: 003e1906 br 8113317c <__reset+0xfb11317c> +81133918: d8c0a517 ldw r3,660(sp) +8113391c: 000d883a mov r6,zero +81133920: 01c00284 movi r7,10 +81133924: 19400044 addi r5,r3,1 +81133928: b009883a mov r4,r22 +8113392c: 112d9880 call 8112d988 <_strtol_r> +81133930: da00a417 ldw r8,656(sp) +81133934: dc00a517 ldw r16,660(sp) +81133938: 120dc83a sub r6,r2,r8 +8113393c: 003fee06 br 811338f8 <__reset+0xfb1138f8> +81133940: da009c17 ldw r8,624(sp) +81133944: 180b883a mov r5,r3 +81133948: 8009883a mov r4,r16 +8113394c: 45000017 ldw r20,0(r8) +81133950: 44400104 addi r17,r8,4 +81133954: d8c0a615 stw r3,664(sp) +81133958: 11387a40 call 811387a4 <__fpclassifyd> +8113395c: d8c0a617 ldw r3,664(sp) +81133960: 10006426 beq r2,zero,81133af4 <__svfscanf_r+0x1774> +81133964: 8009883a mov r4,r16 +81133968: 180b883a mov r5,r3 +8113396c: 112b0880 call 8112b088 <__truncdfsf2> +81133970: a0800015 stw r2,0(r20) +81133974: dc409c15 stw r17,624(sp) +81133978: 003e0f06 br 811331b8 <__reset+0xfb1131b8> +8113397c: 88c0004c andi r3,r17,1 +81133980: 183fc11e bne r3,zero,81133888 <__reset+0xfb113888> +81133984: 8c40008c andi r17,r17,2 +81133988: 883fbf26 beq r17,zero,81133888 <__reset+0xfb113888> +8113398c: da00a117 ldw r8,644(sp) +81133990: 00a04534 movhi r2,33044 +81133994: 10a85004 addi r2,r2,-24256 +81133998: d9c09e17 ldw r7,632(sp) +8113399c: 000d883a mov r6,zero +811339a0: d9400104 addi r5,sp,4 +811339a4: b009883a mov r4,r22 +811339a8: 40806226 beq r8,r2,81133b34 <__svfscanf_r+0x17b4> +811339ac: 112dbf80 call 8112dbf8 <_strtoll_r> +811339b0: da009c17 ldw r8,624(sp) +811339b4: 41000017 ldw r4,0(r8) +811339b8: 42000104 addi r8,r8,4 +811339bc: da009c15 stw r8,624(sp) +811339c0: 20800015 stw r2,0(r4) +811339c4: 20c00115 stw r3,4(r4) +811339c8: 003fa406 br 8113385c <__reset+0xfb11385c> +811339cc: 1136ccc0 call 81136ccc <__locale_mb_cur_max> +811339d0: 80bca726 beq r16,r2,81132c70 <__reset+0xfb112c70> +811339d4: e0800017 ldw r2,0(fp) +811339d8: e1000117 ldw r4,4(fp) +811339dc: d8c00104 addi r3,sp,4 +811339e0: 12000003 ldbu r8,0(r2) +811339e4: 213fffc4 addi r4,r4,-1 +811339e8: 10800044 addi r2,r2,1 +811339ec: e1000115 stw r4,4(fp) +811339f0: e0800015 stw r2,0(fp) +811339f4: 84400044 addi r17,r16,1 +811339f8: dd400015 stw r21,0(sp) +811339fc: 1c21883a add r16,r3,r16 +81133a00: b009883a mov r4,r22 +81133a04: 880f883a mov r7,r17 +81133a08: 180d883a mov r6,r3 +81133a0c: a00b883a mov r5,r20 +81133a10: 82000005 stb r8,0(r16) +81133a14: 1136d800 call 81136d80 <_mbrtowc_r> +81133a18: 013fffc4 movi r4,-1 +81133a1c: 113c9426 beq r2,r4,81132c70 <__reset+0xfb112c70> +81133a20: 103c881e bne r2,zero,81132c44 <__reset+0xfb112c44> +81133a24: a0000015 stw zero,0(r20) +81133a28: 0009883a mov r4,zero +81133a2c: 1136c140 call 81136c14 +81133a30: 10001a26 beq r2,zero,81133a9c <__svfscanf_r+0x171c> +81133a34: 8821883a mov r16,r17 +81133a38: dc409f17 ldw r17,636(sp) +81133a3c: 803f7426 beq r16,zero,81133810 <__reset+0xfb113810> +81133a40: 843fffc4 addi r16,r16,-1 +81133a44: d9800104 addi r6,sp,4 +81133a48: 342b883a add r21,r6,r16 +81133a4c: 00000106 br 81133a54 <__svfscanf_r+0x16d4> +81133a50: 843fffc4 addi r16,r16,-1 +81133a54: a9400003 ldbu r5,0(r21) +81133a58: e00d883a mov r6,fp +81133a5c: b009883a mov r4,r22 +81133a60: 113bb600 call 8113bb60 <_ungetc_r> +81133a64: ad7fffc4 addi r21,r21,-1 +81133a68: 803ff91e bne r16,zero,81133a50 <__reset+0xfb113a50> +81133a6c: 003f6806 br 81133810 <__reset+0xfb113810> +81133a70: d9800104 addi r6,sp,4 +81133a74: 802b883a mov r21,r16 +81133a78: 343a812e bgeu r6,r16,81132480 <__reset+0xfb112480> +81133a7c: ad7fffc4 addi r21,r21,-1 +81133a80: a9400007 ldb r5,0(r21) +81133a84: e00d883a mov r6,fp +81133a88: b009883a mov r4,r22 +81133a8c: 113bb600 call 8113bb60 <_ungetc_r> +81133a90: da000104 addi r8,sp,4 +81133a94: 457ff91e bne r8,r21,81133a7c <__reset+0xfb113a7c> +81133a98: 003a7906 br 81132480 <__reset+0xfb112480> +81133a9c: da009f17 ldw r8,636(sp) +81133aa0: 9465883a add r18,r18,r17 +81133aa4: 9cffffc4 addi r19,r19,-1 +81133aa8: 4000051e bne r8,zero,81133ac0 <__svfscanf_r+0x1740> +81133aac: a5000104 addi r20,r20,4 +81133ab0: 0021883a mov r16,zero +81133ab4: 003c6606 br 81132c50 <__reset+0xfb112c50> +81133ab8: a1000017 ldw r4,0(r20) +81133abc: 003fdb06 br 81133a2c <__reset+0xfb113a2c> +81133ac0: 0021883a mov r16,zero +81133ac4: 003c6206 br 81132c50 <__reset+0xfb112c50> +81133ac8: d8800104 addi r2,sp,4 81133acc: 802b883a mov r21,r16 -81133ad0: 243a5b2e bgeu r4,r16,81132440 <__reset+0xfb112440> +81133ad0: 143a6b2e bgeu r2,r16,81132480 <__reset+0xfb112480> 81133ad4: ad7fffc4 addi r21,r21,-1 81133ad8: a9400007 ldb r5,0(r21) 81133adc: e00d883a mov r6,fp 81133ae0: b009883a mov r4,r22 -81133ae4: 113bb200 call 8113bb20 <_ungetc_r> -81133ae8: d9400104 addi r5,sp,4 -81133aec: 2d7ff91e bne r5,r21,81133ad4 <__reset+0xfb113ad4> -81133af0: 003a5306 br 81132440 <__reset+0xfb112440> -81133af4: 113a3680 call 8113a368 <_strtoull_r> -81133af8: 003f9d06 br 81133970 <__reset+0xfb113970> - -81133afc : -81133afc: 00a04574 movhi r2,33045 -81133b00: defffb04 addi sp,sp,-20 -81133b04: 1086e204 addi r2,r2,7048 -81133b08: dc000215 stw r16,8(sp) -81133b0c: 14000017 ldw r16,0(r2) -81133b10: dc400315 stw r17,12(sp) -81133b14: dfc00415 stw ra,16(sp) -81133b18: 2023883a mov r17,r4 -81133b1c: 80000826 beq r16,zero,81133b40 -81133b20: 80800e17 ldw r2,56(r16) -81133b24: 1000061e bne r2,zero,81133b40 -81133b28: 8009883a mov r4,r16 -81133b2c: d9400015 stw r5,0(sp) -81133b30: d9800115 stw r6,4(sp) -81133b34: 1135dac0 call 81135dac <__sinit> -81133b38: d9800117 ldw r6,4(sp) -81133b3c: d9400017 ldw r5,0(sp) -81133b40: 300f883a mov r7,r6 -81133b44: 8009883a mov r4,r16 -81133b48: 280d883a mov r6,r5 -81133b4c: 880b883a mov r5,r17 -81133b50: dfc00417 ldw ra,16(sp) -81133b54: dc400317 ldw r17,12(sp) -81133b58: dc000217 ldw r16,8(sp) -81133b5c: dec00504 addi sp,sp,20 -81133b60: 11323401 jmpi 81132340 <__svfscanf_r> - -81133b64 <__svfscanf>: -81133b64: 00a04574 movhi r2,33045 -81133b68: 1086e204 addi r2,r2,7048 -81133b6c: 300f883a mov r7,r6 -81133b70: 280d883a mov r6,r5 -81133b74: 200b883a mov r5,r4 -81133b78: 11000017 ldw r4,0(r2) -81133b7c: 11323401 jmpi 81132340 <__svfscanf_r> - -81133b80 <_vfscanf_r>: -81133b80: defffb04 addi sp,sp,-20 -81133b84: dc000315 stw r16,12(sp) -81133b88: dfc00415 stw ra,16(sp) -81133b8c: 2021883a mov r16,r4 -81133b90: 20000926 beq r4,zero,81133bb8 <_vfscanf_r+0x38> -81133b94: 20800e17 ldw r2,56(r4) -81133b98: 1000071e bne r2,zero,81133bb8 <_vfscanf_r+0x38> -81133b9c: d9400015 stw r5,0(sp) -81133ba0: d9800115 stw r6,4(sp) -81133ba4: d9c00215 stw r7,8(sp) -81133ba8: 1135dac0 call 81135dac <__sinit> -81133bac: d9c00217 ldw r7,8(sp) -81133bb0: d9800117 ldw r6,4(sp) -81133bb4: d9400017 ldw r5,0(sp) -81133bb8: 8009883a mov r4,r16 -81133bbc: dfc00417 ldw ra,16(sp) -81133bc0: dc000317 ldw r16,12(sp) -81133bc4: dec00504 addi sp,sp,20 -81133bc8: 11323401 jmpi 81132340 <__svfscanf_r> - -81133bcc <__swbuf_r>: -81133bcc: defffb04 addi sp,sp,-20 -81133bd0: dcc00315 stw r19,12(sp) -81133bd4: dc800215 stw r18,8(sp) -81133bd8: dc000015 stw r16,0(sp) -81133bdc: dfc00415 stw ra,16(sp) -81133be0: dc400115 stw r17,4(sp) -81133be4: 2025883a mov r18,r4 -81133be8: 2827883a mov r19,r5 -81133bec: 3021883a mov r16,r6 -81133bf0: 20000226 beq r4,zero,81133bfc <__swbuf_r+0x30> -81133bf4: 20800e17 ldw r2,56(r4) -81133bf8: 10004226 beq r2,zero,81133d04 <__swbuf_r+0x138> -81133bfc: 80800617 ldw r2,24(r16) -81133c00: 8100030b ldhu r4,12(r16) -81133c04: 80800215 stw r2,8(r16) -81133c08: 2080020c andi r2,r4,8 -81133c0c: 10003626 beq r2,zero,81133ce8 <__swbuf_r+0x11c> -81133c10: 80c00417 ldw r3,16(r16) -81133c14: 18003426 beq r3,zero,81133ce8 <__swbuf_r+0x11c> -81133c18: 2088000c andi r2,r4,8192 -81133c1c: 9c403fcc andi r17,r19,255 -81133c20: 10001a26 beq r2,zero,81133c8c <__swbuf_r+0xc0> -81133c24: 80800017 ldw r2,0(r16) -81133c28: 81000517 ldw r4,20(r16) -81133c2c: 10c7c83a sub r3,r2,r3 -81133c30: 1900200e bge r3,r4,81133cb4 <__swbuf_r+0xe8> -81133c34: 18c00044 addi r3,r3,1 -81133c38: 81000217 ldw r4,8(r16) -81133c3c: 11400044 addi r5,r2,1 -81133c40: 81400015 stw r5,0(r16) -81133c44: 213fffc4 addi r4,r4,-1 -81133c48: 81000215 stw r4,8(r16) -81133c4c: 14c00005 stb r19,0(r2) -81133c50: 80800517 ldw r2,20(r16) -81133c54: 10c01e26 beq r2,r3,81133cd0 <__swbuf_r+0x104> -81133c58: 8080030b ldhu r2,12(r16) -81133c5c: 1080004c andi r2,r2,1 -81133c60: 10000226 beq r2,zero,81133c6c <__swbuf_r+0xa0> -81133c64: 00800284 movi r2,10 -81133c68: 88801926 beq r17,r2,81133cd0 <__swbuf_r+0x104> -81133c6c: 8805883a mov r2,r17 -81133c70: dfc00417 ldw ra,16(sp) -81133c74: dcc00317 ldw r19,12(sp) -81133c78: dc800217 ldw r18,8(sp) -81133c7c: dc400117 ldw r17,4(sp) -81133c80: dc000017 ldw r16,0(sp) -81133c84: dec00504 addi sp,sp,20 -81133c88: f800283a ret -81133c8c: 81401917 ldw r5,100(r16) -81133c90: 00b7ffc4 movi r2,-8193 -81133c94: 21080014 ori r4,r4,8192 -81133c98: 2884703a and r2,r5,r2 -81133c9c: 80801915 stw r2,100(r16) -81133ca0: 80800017 ldw r2,0(r16) -81133ca4: 8100030d sth r4,12(r16) -81133ca8: 81000517 ldw r4,20(r16) -81133cac: 10c7c83a sub r3,r2,r3 -81133cb0: 193fe016 blt r3,r4,81133c34 <__reset+0xfb113c34> -81133cb4: 800b883a mov r5,r16 -81133cb8: 9009883a mov r4,r18 -81133cbc: 11359d00 call 811359d0 <_fflush_r> -81133cc0: 1000071e bne r2,zero,81133ce0 <__swbuf_r+0x114> -81133cc4: 80800017 ldw r2,0(r16) -81133cc8: 00c00044 movi r3,1 -81133ccc: 003fda06 br 81133c38 <__reset+0xfb113c38> -81133cd0: 800b883a mov r5,r16 -81133cd4: 9009883a mov r4,r18 -81133cd8: 11359d00 call 811359d0 <_fflush_r> -81133cdc: 103fe326 beq r2,zero,81133c6c <__reset+0xfb113c6c> -81133ce0: 00bfffc4 movi r2,-1 -81133ce4: 003fe206 br 81133c70 <__reset+0xfb113c70> -81133ce8: 800b883a mov r5,r16 -81133cec: 9009883a mov r4,r18 -81133cf0: 1133d840 call 81133d84 <__swsetup_r> -81133cf4: 103ffa1e bne r2,zero,81133ce0 <__reset+0xfb113ce0> -81133cf8: 8100030b ldhu r4,12(r16) -81133cfc: 80c00417 ldw r3,16(r16) -81133d00: 003fc506 br 81133c18 <__reset+0xfb113c18> -81133d04: 1135dac0 call 81135dac <__sinit> -81133d08: 003fbc06 br 81133bfc <__reset+0xfb113bfc> - -81133d0c <__swbuf>: -81133d0c: 00a04574 movhi r2,33045 -81133d10: 1086e204 addi r2,r2,7048 -81133d14: 280d883a mov r6,r5 -81133d18: 200b883a mov r5,r4 -81133d1c: 11000017 ldw r4,0(r2) -81133d20: 1133bcc1 jmpi 81133bcc <__swbuf_r> - -81133d24 <_write_r>: -81133d24: defffd04 addi sp,sp,-12 -81133d28: 2805883a mov r2,r5 -81133d2c: dc000015 stw r16,0(sp) -81133d30: 04204574 movhi r16,33045 -81133d34: dc400115 stw r17,4(sp) -81133d38: 300b883a mov r5,r6 -81133d3c: 84076904 addi r16,r16,7588 -81133d40: 2023883a mov r17,r4 -81133d44: 380d883a mov r6,r7 -81133d48: 1009883a mov r4,r2 -81133d4c: dfc00215 stw ra,8(sp) -81133d50: 80000015 stw zero,0(r16) -81133d54: 113fa7c0 call 8113fa7c -81133d58: 00ffffc4 movi r3,-1 -81133d5c: 10c00526 beq r2,r3,81133d74 <_write_r+0x50> -81133d60: dfc00217 ldw ra,8(sp) -81133d64: dc400117 ldw r17,4(sp) -81133d68: dc000017 ldw r16,0(sp) -81133d6c: dec00304 addi sp,sp,12 -81133d70: f800283a ret -81133d74: 80c00017 ldw r3,0(r16) -81133d78: 183ff926 beq r3,zero,81133d60 <__reset+0xfb113d60> -81133d7c: 88c00015 stw r3,0(r17) -81133d80: 003ff706 br 81133d60 <__reset+0xfb113d60> - -81133d84 <__swsetup_r>: -81133d84: 00a04574 movhi r2,33045 -81133d88: defffd04 addi sp,sp,-12 -81133d8c: 1086e204 addi r2,r2,7048 -81133d90: dc400115 stw r17,4(sp) -81133d94: 2023883a mov r17,r4 -81133d98: 11000017 ldw r4,0(r2) -81133d9c: dc000015 stw r16,0(sp) -81133da0: dfc00215 stw ra,8(sp) -81133da4: 2821883a mov r16,r5 -81133da8: 20000226 beq r4,zero,81133db4 <__swsetup_r+0x30> -81133dac: 20800e17 ldw r2,56(r4) -81133db0: 10003126 beq r2,zero,81133e78 <__swsetup_r+0xf4> -81133db4: 8080030b ldhu r2,12(r16) -81133db8: 10c0020c andi r3,r2,8 -81133dbc: 1009883a mov r4,r2 -81133dc0: 18000f26 beq r3,zero,81133e00 <__swsetup_r+0x7c> -81133dc4: 80c00417 ldw r3,16(r16) -81133dc8: 18001526 beq r3,zero,81133e20 <__swsetup_r+0x9c> -81133dcc: 1100004c andi r4,r2,1 -81133dd0: 20001c1e bne r4,zero,81133e44 <__swsetup_r+0xc0> -81133dd4: 1080008c andi r2,r2,2 -81133dd8: 1000291e bne r2,zero,81133e80 <__swsetup_r+0xfc> -81133ddc: 80800517 ldw r2,20(r16) -81133de0: 80800215 stw r2,8(r16) -81133de4: 18001c26 beq r3,zero,81133e58 <__swsetup_r+0xd4> -81133de8: 0005883a mov r2,zero -81133dec: dfc00217 ldw ra,8(sp) -81133df0: dc400117 ldw r17,4(sp) -81133df4: dc000017 ldw r16,0(sp) -81133df8: dec00304 addi sp,sp,12 -81133dfc: f800283a ret -81133e00: 2080040c andi r2,r4,16 -81133e04: 10002e26 beq r2,zero,81133ec0 <__swsetup_r+0x13c> -81133e08: 2080010c andi r2,r4,4 -81133e0c: 10001e1e bne r2,zero,81133e88 <__swsetup_r+0x104> -81133e10: 80c00417 ldw r3,16(r16) -81133e14: 20800214 ori r2,r4,8 -81133e18: 8080030d sth r2,12(r16) -81133e1c: 183feb1e bne r3,zero,81133dcc <__reset+0xfb113dcc> -81133e20: 1100a00c andi r4,r2,640 -81133e24: 01408004 movi r5,512 -81133e28: 217fe826 beq r4,r5,81133dcc <__reset+0xfb113dcc> -81133e2c: 800b883a mov r5,r16 -81133e30: 8809883a mov r4,r17 -81133e34: 112bc800 call 8112bc80 <__smakebuf_r> -81133e38: 8080030b ldhu r2,12(r16) -81133e3c: 80c00417 ldw r3,16(r16) -81133e40: 003fe206 br 81133dcc <__reset+0xfb113dcc> -81133e44: 80800517 ldw r2,20(r16) -81133e48: 80000215 stw zero,8(r16) -81133e4c: 0085c83a sub r2,zero,r2 -81133e50: 80800615 stw r2,24(r16) -81133e54: 183fe41e bne r3,zero,81133de8 <__reset+0xfb113de8> -81133e58: 80c0030b ldhu r3,12(r16) -81133e5c: 0005883a mov r2,zero -81133e60: 1900200c andi r4,r3,128 -81133e64: 203fe126 beq r4,zero,81133dec <__reset+0xfb113dec> -81133e68: 18c01014 ori r3,r3,64 -81133e6c: 80c0030d sth r3,12(r16) -81133e70: 00bfffc4 movi r2,-1 -81133e74: 003fdd06 br 81133dec <__reset+0xfb113dec> -81133e78: 1135dac0 call 81135dac <__sinit> -81133e7c: 003fcd06 br 81133db4 <__reset+0xfb113db4> -81133e80: 0005883a mov r2,zero -81133e84: 003fd606 br 81133de0 <__reset+0xfb113de0> -81133e88: 81400c17 ldw r5,48(r16) -81133e8c: 28000626 beq r5,zero,81133ea8 <__swsetup_r+0x124> -81133e90: 80801004 addi r2,r16,64 -81133e94: 28800326 beq r5,r2,81133ea4 <__swsetup_r+0x120> -81133e98: 8809883a mov r4,r17 -81133e9c: 113622c0 call 8113622c <_free_r> -81133ea0: 8100030b ldhu r4,12(r16) -81133ea4: 80000c15 stw zero,48(r16) -81133ea8: 80c00417 ldw r3,16(r16) -81133eac: 00bff6c4 movi r2,-37 -81133eb0: 1108703a and r4,r2,r4 -81133eb4: 80000115 stw zero,4(r16) -81133eb8: 80c00015 stw r3,0(r16) -81133ebc: 003fd506 br 81133e14 <__reset+0xfb113e14> -81133ec0: 00800244 movi r2,9 -81133ec4: 88800015 stw r2,0(r17) -81133ec8: 20801014 ori r2,r4,64 -81133ecc: 8080030d sth r2,12(r16) -81133ed0: 00bfffc4 movi r2,-1 -81133ed4: 003fc506 br 81133dec <__reset+0xfb113dec> - -81133ed8 <_close_r>: -81133ed8: defffd04 addi sp,sp,-12 -81133edc: dc000015 stw r16,0(sp) -81133ee0: 04204574 movhi r16,33045 -81133ee4: dc400115 stw r17,4(sp) -81133ee8: 84076904 addi r16,r16,7588 -81133eec: 2023883a mov r17,r4 -81133ef0: 2809883a mov r4,r5 -81133ef4: dfc00215 stw ra,8(sp) -81133ef8: 80000015 stw zero,0(r16) -81133efc: 113f27c0 call 8113f27c -81133f00: 00ffffc4 movi r3,-1 -81133f04: 10c00526 beq r2,r3,81133f1c <_close_r+0x44> -81133f08: dfc00217 ldw ra,8(sp) -81133f0c: dc400117 ldw r17,4(sp) -81133f10: dc000017 ldw r16,0(sp) -81133f14: dec00304 addi sp,sp,12 -81133f18: f800283a ret -81133f1c: 80c00017 ldw r3,0(r16) -81133f20: 183ff926 beq r3,zero,81133f08 <__reset+0xfb113f08> -81133f24: 88c00015 stw r3,0(r17) -81133f28: 003ff706 br 81133f08 <__reset+0xfb113f08> - -81133f2c : -81133f2c: defff704 addi sp,sp,-36 -81133f30: dc800215 stw r18,8(sp) -81133f34: 20800417 ldw r2,16(r4) -81133f38: 2c800417 ldw r18,16(r5) -81133f3c: dfc00815 stw ra,32(sp) -81133f40: ddc00715 stw r23,28(sp) -81133f44: dd800615 stw r22,24(sp) -81133f48: dd400515 stw r21,20(sp) -81133f4c: dd000415 stw r20,16(sp) -81133f50: dcc00315 stw r19,12(sp) -81133f54: dc400115 stw r17,4(sp) -81133f58: dc000015 stw r16,0(sp) -81133f5c: 14807116 blt r2,r18,81134124 -81133f60: 94bfffc4 addi r18,r18,-1 -81133f64: 94ad883a add r22,r18,r18 -81133f68: b5ad883a add r22,r22,r22 -81133f6c: 2c400504 addi r17,r5,20 -81133f70: 8da9883a add r20,r17,r22 -81133f74: 25400504 addi r21,r4,20 -81133f78: 282f883a mov r23,r5 -81133f7c: adad883a add r22,r21,r22 -81133f80: a1400017 ldw r5,0(r20) -81133f84: 2021883a mov r16,r4 -81133f88: b1000017 ldw r4,0(r22) -81133f8c: 29400044 addi r5,r5,1 -81133f90: 112b34c0 call 8112b34c <__udivsi3> -81133f94: 1027883a mov r19,r2 -81133f98: 10002c26 beq r2,zero,8113404c -81133f9c: a813883a mov r9,r21 -81133fa0: 880b883a mov r5,r17 -81133fa4: 0009883a mov r4,zero -81133fa8: 000d883a mov r6,zero -81133fac: 2a000017 ldw r8,0(r5) -81133fb0: 49c00017 ldw r7,0(r9) -81133fb4: 29400104 addi r5,r5,4 -81133fb8: 40bfffcc andi r2,r8,65535 -81133fbc: 14c5383a mul r2,r2,r19 -81133fc0: 4010d43a srli r8,r8,16 -81133fc4: 38ffffcc andi r3,r7,65535 -81133fc8: 1105883a add r2,r2,r4 -81133fcc: 1008d43a srli r4,r2,16 -81133fd0: 44d1383a mul r8,r8,r19 -81133fd4: 198d883a add r6,r3,r6 -81133fd8: 10ffffcc andi r3,r2,65535 -81133fdc: 30c7c83a sub r3,r6,r3 -81133fe0: 380ed43a srli r7,r7,16 -81133fe4: 4105883a add r2,r8,r4 -81133fe8: 180dd43a srai r6,r3,16 -81133fec: 113fffcc andi r4,r2,65535 -81133ff0: 390fc83a sub r7,r7,r4 -81133ff4: 398d883a add r6,r7,r6 -81133ff8: 300e943a slli r7,r6,16 -81133ffc: 18ffffcc andi r3,r3,65535 -81134000: 1008d43a srli r4,r2,16 -81134004: 38ceb03a or r7,r7,r3 -81134008: 49c00015 stw r7,0(r9) -8113400c: 300dd43a srai r6,r6,16 -81134010: 4a400104 addi r9,r9,4 -81134014: a17fe52e bgeu r20,r5,81133fac <__reset+0xfb113fac> -81134018: b0800017 ldw r2,0(r22) -8113401c: 10000b1e bne r2,zero,8113404c -81134020: b0bfff04 addi r2,r22,-4 -81134024: a880082e bgeu r21,r2,81134048 -81134028: b0ffff17 ldw r3,-4(r22) -8113402c: 18000326 beq r3,zero,8113403c -81134030: 00000506 br 81134048 -81134034: 10c00017 ldw r3,0(r2) -81134038: 1800031e bne r3,zero,81134048 -8113403c: 10bfff04 addi r2,r2,-4 -81134040: 94bfffc4 addi r18,r18,-1 -81134044: a8bffb36 bltu r21,r2,81134034 <__reset+0xfb114034> -81134048: 84800415 stw r18,16(r16) -8113404c: b80b883a mov r5,r23 -81134050: 8009883a mov r4,r16 -81134054: 1137a6c0 call 81137a6c <__mcmp> -81134058: 10002616 blt r2,zero,811340f4 -8113405c: 9cc00044 addi r19,r19,1 -81134060: a805883a mov r2,r21 -81134064: 000b883a mov r5,zero -81134068: 11000017 ldw r4,0(r2) -8113406c: 89800017 ldw r6,0(r17) -81134070: 10800104 addi r2,r2,4 -81134074: 20ffffcc andi r3,r4,65535 -81134078: 194b883a add r5,r3,r5 -8113407c: 30ffffcc andi r3,r6,65535 -81134080: 28c7c83a sub r3,r5,r3 -81134084: 300cd43a srli r6,r6,16 -81134088: 2008d43a srli r4,r4,16 -8113408c: 180bd43a srai r5,r3,16 -81134090: 18ffffcc andi r3,r3,65535 -81134094: 2189c83a sub r4,r4,r6 -81134098: 2149883a add r4,r4,r5 -8113409c: 200c943a slli r6,r4,16 -811340a0: 8c400104 addi r17,r17,4 -811340a4: 200bd43a srai r5,r4,16 -811340a8: 30c6b03a or r3,r6,r3 -811340ac: 10ffff15 stw r3,-4(r2) -811340b0: a47fed2e bgeu r20,r17,81134068 <__reset+0xfb114068> -811340b4: 9485883a add r2,r18,r18 -811340b8: 1085883a add r2,r2,r2 -811340bc: a887883a add r3,r21,r2 -811340c0: 18800017 ldw r2,0(r3) -811340c4: 10000b1e bne r2,zero,811340f4 -811340c8: 18bfff04 addi r2,r3,-4 -811340cc: a880082e bgeu r21,r2,811340f0 -811340d0: 18ffff17 ldw r3,-4(r3) -811340d4: 18000326 beq r3,zero,811340e4 -811340d8: 00000506 br 811340f0 -811340dc: 10c00017 ldw r3,0(r2) -811340e0: 1800031e bne r3,zero,811340f0 -811340e4: 10bfff04 addi r2,r2,-4 -811340e8: 94bfffc4 addi r18,r18,-1 -811340ec: a8bffb36 bltu r21,r2,811340dc <__reset+0xfb1140dc> -811340f0: 84800415 stw r18,16(r16) -811340f4: 9805883a mov r2,r19 -811340f8: dfc00817 ldw ra,32(sp) -811340fc: ddc00717 ldw r23,28(sp) -81134100: dd800617 ldw r22,24(sp) -81134104: dd400517 ldw r21,20(sp) -81134108: dd000417 ldw r20,16(sp) -8113410c: dcc00317 ldw r19,12(sp) -81134110: dc800217 ldw r18,8(sp) -81134114: dc400117 ldw r17,4(sp) -81134118: dc000017 ldw r16,0(sp) -8113411c: dec00904 addi sp,sp,36 -81134120: f800283a ret -81134124: 0005883a mov r2,zero -81134128: 003ff306 br 811340f8 <__reset+0xfb1140f8> - -8113412c <_dtoa_r>: -8113412c: 20801017 ldw r2,64(r4) -81134130: deffde04 addi sp,sp,-136 -81134134: df002015 stw fp,128(sp) -81134138: dcc01b15 stw r19,108(sp) -8113413c: dc801a15 stw r18,104(sp) -81134140: dc401915 stw r17,100(sp) -81134144: dc001815 stw r16,96(sp) -81134148: dfc02115 stw ra,132(sp) -8113414c: ddc01f15 stw r23,124(sp) -81134150: dd801e15 stw r22,120(sp) -81134154: dd401d15 stw r21,116(sp) -81134158: dd001c15 stw r20,112(sp) -8113415c: d9c00315 stw r7,12(sp) -81134160: 2039883a mov fp,r4 -81134164: 3023883a mov r17,r6 -81134168: 2825883a mov r18,r5 -8113416c: dc002417 ldw r16,144(sp) -81134170: 3027883a mov r19,r6 -81134174: 10000826 beq r2,zero,81134198 <_dtoa_r+0x6c> -81134178: 21801117 ldw r6,68(r4) -8113417c: 00c00044 movi r3,1 -81134180: 100b883a mov r5,r2 -81134184: 1986983a sll r3,r3,r6 -81134188: 11800115 stw r6,4(r2) -8113418c: 10c00215 stw r3,8(r2) -81134190: 113724c0 call 8113724c <_Bfree> -81134194: e0001015 stw zero,64(fp) -81134198: 88002e16 blt r17,zero,81134254 <_dtoa_r+0x128> -8113419c: 80000015 stw zero,0(r16) -811341a0: 889ffc2c andhi r2,r17,32752 -811341a4: 00dffc34 movhi r3,32752 -811341a8: 10c01c26 beq r2,r3,8113421c <_dtoa_r+0xf0> -811341ac: 000d883a mov r6,zero -811341b0: 000f883a mov r7,zero -811341b4: 9009883a mov r4,r18 -811341b8: 980b883a mov r5,r19 -811341bc: 113f0a00 call 8113f0a0 <__eqdf2> -811341c0: 10002b1e bne r2,zero,81134270 <_dtoa_r+0x144> -811341c4: d9c02317 ldw r7,140(sp) -811341c8: 00800044 movi r2,1 -811341cc: 38800015 stw r2,0(r7) -811341d0: d8802517 ldw r2,148(sp) -811341d4: 10019e26 beq r2,zero,81134850 <_dtoa_r+0x724> -811341d8: d8c02517 ldw r3,148(sp) -811341dc: 00a04574 movhi r2,33045 -811341e0: 10bebc44 addi r2,r2,-1295 -811341e4: 18800015 stw r2,0(r3) -811341e8: 10bfffc4 addi r2,r2,-1 -811341ec: dfc02117 ldw ra,132(sp) -811341f0: df002017 ldw fp,128(sp) -811341f4: ddc01f17 ldw r23,124(sp) -811341f8: dd801e17 ldw r22,120(sp) -811341fc: dd401d17 ldw r21,116(sp) -81134200: dd001c17 ldw r20,112(sp) -81134204: dcc01b17 ldw r19,108(sp) -81134208: dc801a17 ldw r18,104(sp) -8113420c: dc401917 ldw r17,100(sp) -81134210: dc001817 ldw r16,96(sp) -81134214: dec02204 addi sp,sp,136 -81134218: f800283a ret -8113421c: d8c02317 ldw r3,140(sp) -81134220: 0089c3c4 movi r2,9999 +81133ae4: 113bb600 call 8113bb60 <_ungetc_r> +81133ae8: d8c00104 addi r3,sp,4 +81133aec: 1d7ff91e bne r3,r21,81133ad4 <__reset+0xfb113ad4> +81133af0: 003a6306 br 81132480 <__reset+0xfb112480> +81133af4: 0009883a mov r4,zero +81133af8: 11388e80 call 811388e8 +81133afc: a0800015 stw r2,0(r20) +81133b00: dc409c15 stw r17,624(sp) +81133b04: 003dac06 br 811331b8 <__reset+0xfb1131b8> +81133b08: d9000104 addi r4,sp,4 +81133b0c: 802b883a mov r21,r16 +81133b10: 243a5b2e bgeu r4,r16,81132480 <__reset+0xfb112480> +81133b14: ad7fffc4 addi r21,r21,-1 +81133b18: a9400007 ldb r5,0(r21) +81133b1c: e00d883a mov r6,fp +81133b20: b009883a mov r4,r22 +81133b24: 113bb600 call 8113bb60 <_ungetc_r> +81133b28: d9400104 addi r5,sp,4 +81133b2c: 2d7ff91e bne r5,r21,81133b14 <__reset+0xfb113b14> +81133b30: 003a5306 br 81132480 <__reset+0xfb112480> +81133b34: 113a3a80 call 8113a3a8 <_strtoull_r> +81133b38: 003f9d06 br 811339b0 <__reset+0xfb1139b0> + +81133b3c : +81133b3c: 00a04574 movhi r2,33045 +81133b40: defffb04 addi sp,sp,-20 +81133b44: 1086f304 addi r2,r2,7116 +81133b48: dc000215 stw r16,8(sp) +81133b4c: 14000017 ldw r16,0(r2) +81133b50: dc400315 stw r17,12(sp) +81133b54: dfc00415 stw ra,16(sp) +81133b58: 2023883a mov r17,r4 +81133b5c: 80000826 beq r16,zero,81133b80 +81133b60: 80800e17 ldw r2,56(r16) +81133b64: 1000061e bne r2,zero,81133b80 +81133b68: 8009883a mov r4,r16 +81133b6c: d9400015 stw r5,0(sp) +81133b70: d9800115 stw r6,4(sp) +81133b74: 1135dec0 call 81135dec <__sinit> +81133b78: d9800117 ldw r6,4(sp) +81133b7c: d9400017 ldw r5,0(sp) +81133b80: 300f883a mov r7,r6 +81133b84: 8009883a mov r4,r16 +81133b88: 280d883a mov r6,r5 +81133b8c: 880b883a mov r5,r17 +81133b90: dfc00417 ldw ra,16(sp) +81133b94: dc400317 ldw r17,12(sp) +81133b98: dc000217 ldw r16,8(sp) +81133b9c: dec00504 addi sp,sp,20 +81133ba0: 11323801 jmpi 81132380 <__svfscanf_r> + +81133ba4 <__svfscanf>: +81133ba4: 00a04574 movhi r2,33045 +81133ba8: 1086f304 addi r2,r2,7116 +81133bac: 300f883a mov r7,r6 +81133bb0: 280d883a mov r6,r5 +81133bb4: 200b883a mov r5,r4 +81133bb8: 11000017 ldw r4,0(r2) +81133bbc: 11323801 jmpi 81132380 <__svfscanf_r> + +81133bc0 <_vfscanf_r>: +81133bc0: defffb04 addi sp,sp,-20 +81133bc4: dc000315 stw r16,12(sp) +81133bc8: dfc00415 stw ra,16(sp) +81133bcc: 2021883a mov r16,r4 +81133bd0: 20000926 beq r4,zero,81133bf8 <_vfscanf_r+0x38> +81133bd4: 20800e17 ldw r2,56(r4) +81133bd8: 1000071e bne r2,zero,81133bf8 <_vfscanf_r+0x38> +81133bdc: d9400015 stw r5,0(sp) +81133be0: d9800115 stw r6,4(sp) +81133be4: d9c00215 stw r7,8(sp) +81133be8: 1135dec0 call 81135dec <__sinit> +81133bec: d9c00217 ldw r7,8(sp) +81133bf0: d9800117 ldw r6,4(sp) +81133bf4: d9400017 ldw r5,0(sp) +81133bf8: 8009883a mov r4,r16 +81133bfc: dfc00417 ldw ra,16(sp) +81133c00: dc000317 ldw r16,12(sp) +81133c04: dec00504 addi sp,sp,20 +81133c08: 11323801 jmpi 81132380 <__svfscanf_r> + +81133c0c <__swbuf_r>: +81133c0c: defffb04 addi sp,sp,-20 +81133c10: dcc00315 stw r19,12(sp) +81133c14: dc800215 stw r18,8(sp) +81133c18: dc000015 stw r16,0(sp) +81133c1c: dfc00415 stw ra,16(sp) +81133c20: dc400115 stw r17,4(sp) +81133c24: 2025883a mov r18,r4 +81133c28: 2827883a mov r19,r5 +81133c2c: 3021883a mov r16,r6 +81133c30: 20000226 beq r4,zero,81133c3c <__swbuf_r+0x30> +81133c34: 20800e17 ldw r2,56(r4) +81133c38: 10004226 beq r2,zero,81133d44 <__swbuf_r+0x138> +81133c3c: 80800617 ldw r2,24(r16) +81133c40: 8100030b ldhu r4,12(r16) +81133c44: 80800215 stw r2,8(r16) +81133c48: 2080020c andi r2,r4,8 +81133c4c: 10003626 beq r2,zero,81133d28 <__swbuf_r+0x11c> +81133c50: 80c00417 ldw r3,16(r16) +81133c54: 18003426 beq r3,zero,81133d28 <__swbuf_r+0x11c> +81133c58: 2088000c andi r2,r4,8192 +81133c5c: 9c403fcc andi r17,r19,255 +81133c60: 10001a26 beq r2,zero,81133ccc <__swbuf_r+0xc0> +81133c64: 80800017 ldw r2,0(r16) +81133c68: 81000517 ldw r4,20(r16) +81133c6c: 10c7c83a sub r3,r2,r3 +81133c70: 1900200e bge r3,r4,81133cf4 <__swbuf_r+0xe8> +81133c74: 18c00044 addi r3,r3,1 +81133c78: 81000217 ldw r4,8(r16) +81133c7c: 11400044 addi r5,r2,1 +81133c80: 81400015 stw r5,0(r16) +81133c84: 213fffc4 addi r4,r4,-1 +81133c88: 81000215 stw r4,8(r16) +81133c8c: 14c00005 stb r19,0(r2) +81133c90: 80800517 ldw r2,20(r16) +81133c94: 10c01e26 beq r2,r3,81133d10 <__swbuf_r+0x104> +81133c98: 8080030b ldhu r2,12(r16) +81133c9c: 1080004c andi r2,r2,1 +81133ca0: 10000226 beq r2,zero,81133cac <__swbuf_r+0xa0> +81133ca4: 00800284 movi r2,10 +81133ca8: 88801926 beq r17,r2,81133d10 <__swbuf_r+0x104> +81133cac: 8805883a mov r2,r17 +81133cb0: dfc00417 ldw ra,16(sp) +81133cb4: dcc00317 ldw r19,12(sp) +81133cb8: dc800217 ldw r18,8(sp) +81133cbc: dc400117 ldw r17,4(sp) +81133cc0: dc000017 ldw r16,0(sp) +81133cc4: dec00504 addi sp,sp,20 +81133cc8: f800283a ret +81133ccc: 81401917 ldw r5,100(r16) +81133cd0: 00b7ffc4 movi r2,-8193 +81133cd4: 21080014 ori r4,r4,8192 +81133cd8: 2884703a and r2,r5,r2 +81133cdc: 80801915 stw r2,100(r16) +81133ce0: 80800017 ldw r2,0(r16) +81133ce4: 8100030d sth r4,12(r16) +81133ce8: 81000517 ldw r4,20(r16) +81133cec: 10c7c83a sub r3,r2,r3 +81133cf0: 193fe016 blt r3,r4,81133c74 <__reset+0xfb113c74> +81133cf4: 800b883a mov r5,r16 +81133cf8: 9009883a mov r4,r18 +81133cfc: 1135a100 call 81135a10 <_fflush_r> +81133d00: 1000071e bne r2,zero,81133d20 <__swbuf_r+0x114> +81133d04: 80800017 ldw r2,0(r16) +81133d08: 00c00044 movi r3,1 +81133d0c: 003fda06 br 81133c78 <__reset+0xfb113c78> +81133d10: 800b883a mov r5,r16 +81133d14: 9009883a mov r4,r18 +81133d18: 1135a100 call 81135a10 <_fflush_r> +81133d1c: 103fe326 beq r2,zero,81133cac <__reset+0xfb113cac> +81133d20: 00bfffc4 movi r2,-1 +81133d24: 003fe206 br 81133cb0 <__reset+0xfb113cb0> +81133d28: 800b883a mov r5,r16 +81133d2c: 9009883a mov r4,r18 +81133d30: 1133dc40 call 81133dc4 <__swsetup_r> +81133d34: 103ffa1e bne r2,zero,81133d20 <__reset+0xfb113d20> +81133d38: 8100030b ldhu r4,12(r16) +81133d3c: 80c00417 ldw r3,16(r16) +81133d40: 003fc506 br 81133c58 <__reset+0xfb113c58> +81133d44: 1135dec0 call 81135dec <__sinit> +81133d48: 003fbc06 br 81133c3c <__reset+0xfb113c3c> + +81133d4c <__swbuf>: +81133d4c: 00a04574 movhi r2,33045 +81133d50: 1086f304 addi r2,r2,7116 +81133d54: 280d883a mov r6,r5 +81133d58: 200b883a mov r5,r4 +81133d5c: 11000017 ldw r4,0(r2) +81133d60: 1133c0c1 jmpi 81133c0c <__swbuf_r> + +81133d64 <_write_r>: +81133d64: defffd04 addi sp,sp,-12 +81133d68: 2805883a mov r2,r5 +81133d6c: dc000015 stw r16,0(sp) +81133d70: 04204574 movhi r16,33045 +81133d74: dc400115 stw r17,4(sp) +81133d78: 300b883a mov r5,r6 +81133d7c: 84077a04 addi r16,r16,7656 +81133d80: 2023883a mov r17,r4 +81133d84: 380d883a mov r6,r7 +81133d88: 1009883a mov r4,r2 +81133d8c: dfc00215 stw ra,8(sp) +81133d90: 80000015 stw zero,0(r16) +81133d94: 113fabc0 call 8113fabc +81133d98: 00ffffc4 movi r3,-1 +81133d9c: 10c00526 beq r2,r3,81133db4 <_write_r+0x50> +81133da0: dfc00217 ldw ra,8(sp) +81133da4: dc400117 ldw r17,4(sp) +81133da8: dc000017 ldw r16,0(sp) +81133dac: dec00304 addi sp,sp,12 +81133db0: f800283a ret +81133db4: 80c00017 ldw r3,0(r16) +81133db8: 183ff926 beq r3,zero,81133da0 <__reset+0xfb113da0> +81133dbc: 88c00015 stw r3,0(r17) +81133dc0: 003ff706 br 81133da0 <__reset+0xfb113da0> + +81133dc4 <__swsetup_r>: +81133dc4: 00a04574 movhi r2,33045 +81133dc8: defffd04 addi sp,sp,-12 +81133dcc: 1086f304 addi r2,r2,7116 +81133dd0: dc400115 stw r17,4(sp) +81133dd4: 2023883a mov r17,r4 +81133dd8: 11000017 ldw r4,0(r2) +81133ddc: dc000015 stw r16,0(sp) +81133de0: dfc00215 stw ra,8(sp) +81133de4: 2821883a mov r16,r5 +81133de8: 20000226 beq r4,zero,81133df4 <__swsetup_r+0x30> +81133dec: 20800e17 ldw r2,56(r4) +81133df0: 10003126 beq r2,zero,81133eb8 <__swsetup_r+0xf4> +81133df4: 8080030b ldhu r2,12(r16) +81133df8: 10c0020c andi r3,r2,8 +81133dfc: 1009883a mov r4,r2 +81133e00: 18000f26 beq r3,zero,81133e40 <__swsetup_r+0x7c> +81133e04: 80c00417 ldw r3,16(r16) +81133e08: 18001526 beq r3,zero,81133e60 <__swsetup_r+0x9c> +81133e0c: 1100004c andi r4,r2,1 +81133e10: 20001c1e bne r4,zero,81133e84 <__swsetup_r+0xc0> +81133e14: 1080008c andi r2,r2,2 +81133e18: 1000291e bne r2,zero,81133ec0 <__swsetup_r+0xfc> +81133e1c: 80800517 ldw r2,20(r16) +81133e20: 80800215 stw r2,8(r16) +81133e24: 18001c26 beq r3,zero,81133e98 <__swsetup_r+0xd4> +81133e28: 0005883a mov r2,zero +81133e2c: dfc00217 ldw ra,8(sp) +81133e30: dc400117 ldw r17,4(sp) +81133e34: dc000017 ldw r16,0(sp) +81133e38: dec00304 addi sp,sp,12 +81133e3c: f800283a ret +81133e40: 2080040c andi r2,r4,16 +81133e44: 10002e26 beq r2,zero,81133f00 <__swsetup_r+0x13c> +81133e48: 2080010c andi r2,r4,4 +81133e4c: 10001e1e bne r2,zero,81133ec8 <__swsetup_r+0x104> +81133e50: 80c00417 ldw r3,16(r16) +81133e54: 20800214 ori r2,r4,8 +81133e58: 8080030d sth r2,12(r16) +81133e5c: 183feb1e bne r3,zero,81133e0c <__reset+0xfb113e0c> +81133e60: 1100a00c andi r4,r2,640 +81133e64: 01408004 movi r5,512 +81133e68: 217fe826 beq r4,r5,81133e0c <__reset+0xfb113e0c> +81133e6c: 800b883a mov r5,r16 +81133e70: 8809883a mov r4,r17 +81133e74: 112bcc00 call 8112bcc0 <__smakebuf_r> +81133e78: 8080030b ldhu r2,12(r16) +81133e7c: 80c00417 ldw r3,16(r16) +81133e80: 003fe206 br 81133e0c <__reset+0xfb113e0c> +81133e84: 80800517 ldw r2,20(r16) +81133e88: 80000215 stw zero,8(r16) +81133e8c: 0085c83a sub r2,zero,r2 +81133e90: 80800615 stw r2,24(r16) +81133e94: 183fe41e bne r3,zero,81133e28 <__reset+0xfb113e28> +81133e98: 80c0030b ldhu r3,12(r16) +81133e9c: 0005883a mov r2,zero +81133ea0: 1900200c andi r4,r3,128 +81133ea4: 203fe126 beq r4,zero,81133e2c <__reset+0xfb113e2c> +81133ea8: 18c01014 ori r3,r3,64 +81133eac: 80c0030d sth r3,12(r16) +81133eb0: 00bfffc4 movi r2,-1 +81133eb4: 003fdd06 br 81133e2c <__reset+0xfb113e2c> +81133eb8: 1135dec0 call 81135dec <__sinit> +81133ebc: 003fcd06 br 81133df4 <__reset+0xfb113df4> +81133ec0: 0005883a mov r2,zero +81133ec4: 003fd606 br 81133e20 <__reset+0xfb113e20> +81133ec8: 81400c17 ldw r5,48(r16) +81133ecc: 28000626 beq r5,zero,81133ee8 <__swsetup_r+0x124> +81133ed0: 80801004 addi r2,r16,64 +81133ed4: 28800326 beq r5,r2,81133ee4 <__swsetup_r+0x120> +81133ed8: 8809883a mov r4,r17 +81133edc: 113626c0 call 8113626c <_free_r> +81133ee0: 8100030b ldhu r4,12(r16) +81133ee4: 80000c15 stw zero,48(r16) +81133ee8: 80c00417 ldw r3,16(r16) +81133eec: 00bff6c4 movi r2,-37 +81133ef0: 1108703a and r4,r2,r4 +81133ef4: 80000115 stw zero,4(r16) +81133ef8: 80c00015 stw r3,0(r16) +81133efc: 003fd506 br 81133e54 <__reset+0xfb113e54> +81133f00: 00800244 movi r2,9 +81133f04: 88800015 stw r2,0(r17) +81133f08: 20801014 ori r2,r4,64 +81133f0c: 8080030d sth r2,12(r16) +81133f10: 00bfffc4 movi r2,-1 +81133f14: 003fc506 br 81133e2c <__reset+0xfb113e2c> + +81133f18 <_close_r>: +81133f18: defffd04 addi sp,sp,-12 +81133f1c: dc000015 stw r16,0(sp) +81133f20: 04204574 movhi r16,33045 +81133f24: dc400115 stw r17,4(sp) +81133f28: 84077a04 addi r16,r16,7656 +81133f2c: 2023883a mov r17,r4 +81133f30: 2809883a mov r4,r5 +81133f34: dfc00215 stw ra,8(sp) +81133f38: 80000015 stw zero,0(r16) +81133f3c: 113f2bc0 call 8113f2bc +81133f40: 00ffffc4 movi r3,-1 +81133f44: 10c00526 beq r2,r3,81133f5c <_close_r+0x44> +81133f48: dfc00217 ldw ra,8(sp) +81133f4c: dc400117 ldw r17,4(sp) +81133f50: dc000017 ldw r16,0(sp) +81133f54: dec00304 addi sp,sp,12 +81133f58: f800283a ret +81133f5c: 80c00017 ldw r3,0(r16) +81133f60: 183ff926 beq r3,zero,81133f48 <__reset+0xfb113f48> +81133f64: 88c00015 stw r3,0(r17) +81133f68: 003ff706 br 81133f48 <__reset+0xfb113f48> + +81133f6c : +81133f6c: defff704 addi sp,sp,-36 +81133f70: dc800215 stw r18,8(sp) +81133f74: 20800417 ldw r2,16(r4) +81133f78: 2c800417 ldw r18,16(r5) +81133f7c: dfc00815 stw ra,32(sp) +81133f80: ddc00715 stw r23,28(sp) +81133f84: dd800615 stw r22,24(sp) +81133f88: dd400515 stw r21,20(sp) +81133f8c: dd000415 stw r20,16(sp) +81133f90: dcc00315 stw r19,12(sp) +81133f94: dc400115 stw r17,4(sp) +81133f98: dc000015 stw r16,0(sp) +81133f9c: 14807116 blt r2,r18,81134164 +81133fa0: 94bfffc4 addi r18,r18,-1 +81133fa4: 94ad883a add r22,r18,r18 +81133fa8: b5ad883a add r22,r22,r22 +81133fac: 2c400504 addi r17,r5,20 +81133fb0: 8da9883a add r20,r17,r22 +81133fb4: 25400504 addi r21,r4,20 +81133fb8: 282f883a mov r23,r5 +81133fbc: adad883a add r22,r21,r22 +81133fc0: a1400017 ldw r5,0(r20) +81133fc4: 2021883a mov r16,r4 +81133fc8: b1000017 ldw r4,0(r22) +81133fcc: 29400044 addi r5,r5,1 +81133fd0: 112b38c0 call 8112b38c <__udivsi3> +81133fd4: 1027883a mov r19,r2 +81133fd8: 10002c26 beq r2,zero,8113408c +81133fdc: a813883a mov r9,r21 +81133fe0: 880b883a mov r5,r17 +81133fe4: 0009883a mov r4,zero +81133fe8: 000d883a mov r6,zero +81133fec: 2a000017 ldw r8,0(r5) +81133ff0: 49c00017 ldw r7,0(r9) +81133ff4: 29400104 addi r5,r5,4 +81133ff8: 40bfffcc andi r2,r8,65535 +81133ffc: 14c5383a mul r2,r2,r19 +81134000: 4010d43a srli r8,r8,16 +81134004: 38ffffcc andi r3,r7,65535 +81134008: 1105883a add r2,r2,r4 +8113400c: 1008d43a srli r4,r2,16 +81134010: 44d1383a mul r8,r8,r19 +81134014: 198d883a add r6,r3,r6 +81134018: 10ffffcc andi r3,r2,65535 +8113401c: 30c7c83a sub r3,r6,r3 +81134020: 380ed43a srli r7,r7,16 +81134024: 4105883a add r2,r8,r4 +81134028: 180dd43a srai r6,r3,16 +8113402c: 113fffcc andi r4,r2,65535 +81134030: 390fc83a sub r7,r7,r4 +81134034: 398d883a add r6,r7,r6 +81134038: 300e943a slli r7,r6,16 +8113403c: 18ffffcc andi r3,r3,65535 +81134040: 1008d43a srli r4,r2,16 +81134044: 38ceb03a or r7,r7,r3 +81134048: 49c00015 stw r7,0(r9) +8113404c: 300dd43a srai r6,r6,16 +81134050: 4a400104 addi r9,r9,4 +81134054: a17fe52e bgeu r20,r5,81133fec <__reset+0xfb113fec> +81134058: b0800017 ldw r2,0(r22) +8113405c: 10000b1e bne r2,zero,8113408c +81134060: b0bfff04 addi r2,r22,-4 +81134064: a880082e bgeu r21,r2,81134088 +81134068: b0ffff17 ldw r3,-4(r22) +8113406c: 18000326 beq r3,zero,8113407c +81134070: 00000506 br 81134088 +81134074: 10c00017 ldw r3,0(r2) +81134078: 1800031e bne r3,zero,81134088 +8113407c: 10bfff04 addi r2,r2,-4 +81134080: 94bfffc4 addi r18,r18,-1 +81134084: a8bffb36 bltu r21,r2,81134074 <__reset+0xfb114074> +81134088: 84800415 stw r18,16(r16) +8113408c: b80b883a mov r5,r23 +81134090: 8009883a mov r4,r16 +81134094: 1137aac0 call 81137aac <__mcmp> +81134098: 10002616 blt r2,zero,81134134 +8113409c: 9cc00044 addi r19,r19,1 +811340a0: a805883a mov r2,r21 +811340a4: 000b883a mov r5,zero +811340a8: 11000017 ldw r4,0(r2) +811340ac: 89800017 ldw r6,0(r17) +811340b0: 10800104 addi r2,r2,4 +811340b4: 20ffffcc andi r3,r4,65535 +811340b8: 194b883a add r5,r3,r5 +811340bc: 30ffffcc andi r3,r6,65535 +811340c0: 28c7c83a sub r3,r5,r3 +811340c4: 300cd43a srli r6,r6,16 +811340c8: 2008d43a srli r4,r4,16 +811340cc: 180bd43a srai r5,r3,16 +811340d0: 18ffffcc andi r3,r3,65535 +811340d4: 2189c83a sub r4,r4,r6 +811340d8: 2149883a add r4,r4,r5 +811340dc: 200c943a slli r6,r4,16 +811340e0: 8c400104 addi r17,r17,4 +811340e4: 200bd43a srai r5,r4,16 +811340e8: 30c6b03a or r3,r6,r3 +811340ec: 10ffff15 stw r3,-4(r2) +811340f0: a47fed2e bgeu r20,r17,811340a8 <__reset+0xfb1140a8> +811340f4: 9485883a add r2,r18,r18 +811340f8: 1085883a add r2,r2,r2 +811340fc: a887883a add r3,r21,r2 +81134100: 18800017 ldw r2,0(r3) +81134104: 10000b1e bne r2,zero,81134134 +81134108: 18bfff04 addi r2,r3,-4 +8113410c: a880082e bgeu r21,r2,81134130 +81134110: 18ffff17 ldw r3,-4(r3) +81134114: 18000326 beq r3,zero,81134124 +81134118: 00000506 br 81134130 +8113411c: 10c00017 ldw r3,0(r2) +81134120: 1800031e bne r3,zero,81134130 +81134124: 10bfff04 addi r2,r2,-4 +81134128: 94bfffc4 addi r18,r18,-1 +8113412c: a8bffb36 bltu r21,r2,8113411c <__reset+0xfb11411c> +81134130: 84800415 stw r18,16(r16) +81134134: 9805883a mov r2,r19 +81134138: dfc00817 ldw ra,32(sp) +8113413c: ddc00717 ldw r23,28(sp) +81134140: dd800617 ldw r22,24(sp) +81134144: dd400517 ldw r21,20(sp) +81134148: dd000417 ldw r20,16(sp) +8113414c: dcc00317 ldw r19,12(sp) +81134150: dc800217 ldw r18,8(sp) +81134154: dc400117 ldw r17,4(sp) +81134158: dc000017 ldw r16,0(sp) +8113415c: dec00904 addi sp,sp,36 +81134160: f800283a ret +81134164: 0005883a mov r2,zero +81134168: 003ff306 br 81134138 <__reset+0xfb114138> + +8113416c <_dtoa_r>: +8113416c: 20801017 ldw r2,64(r4) +81134170: deffde04 addi sp,sp,-136 +81134174: df002015 stw fp,128(sp) +81134178: dcc01b15 stw r19,108(sp) +8113417c: dc801a15 stw r18,104(sp) +81134180: dc401915 stw r17,100(sp) +81134184: dc001815 stw r16,96(sp) +81134188: dfc02115 stw ra,132(sp) +8113418c: ddc01f15 stw r23,124(sp) +81134190: dd801e15 stw r22,120(sp) +81134194: dd401d15 stw r21,116(sp) +81134198: dd001c15 stw r20,112(sp) +8113419c: d9c00315 stw r7,12(sp) +811341a0: 2039883a mov fp,r4 +811341a4: 3023883a mov r17,r6 +811341a8: 2825883a mov r18,r5 +811341ac: dc002417 ldw r16,144(sp) +811341b0: 3027883a mov r19,r6 +811341b4: 10000826 beq r2,zero,811341d8 <_dtoa_r+0x6c> +811341b8: 21801117 ldw r6,68(r4) +811341bc: 00c00044 movi r3,1 +811341c0: 100b883a mov r5,r2 +811341c4: 1986983a sll r3,r3,r6 +811341c8: 11800115 stw r6,4(r2) +811341cc: 10c00215 stw r3,8(r2) +811341d0: 113728c0 call 8113728c <_Bfree> +811341d4: e0001015 stw zero,64(fp) +811341d8: 88002e16 blt r17,zero,81134294 <_dtoa_r+0x128> +811341dc: 80000015 stw zero,0(r16) +811341e0: 889ffc2c andhi r2,r17,32752 +811341e4: 00dffc34 movhi r3,32752 +811341e8: 10c01c26 beq r2,r3,8113425c <_dtoa_r+0xf0> +811341ec: 000d883a mov r6,zero +811341f0: 000f883a mov r7,zero +811341f4: 9009883a mov r4,r18 +811341f8: 980b883a mov r5,r19 +811341fc: 113f0e00 call 8113f0e0 <__eqdf2> +81134200: 10002b1e bne r2,zero,811342b0 <_dtoa_r+0x144> +81134204: d9c02317 ldw r7,140(sp) +81134208: 00800044 movi r2,1 +8113420c: 38800015 stw r2,0(r7) +81134210: d8802517 ldw r2,148(sp) +81134214: 10019e26 beq r2,zero,81134890 <_dtoa_r+0x724> +81134218: d8c02517 ldw r3,148(sp) +8113421c: 00a04574 movhi r2,33045 +81134220: 10becd44 addi r2,r2,-1227 81134224: 18800015 stw r2,0(r3) -81134228: 90017726 beq r18,zero,81134808 <_dtoa_r+0x6dc> -8113422c: 00a04574 movhi r2,33045 -81134230: 10beda04 addi r2,r2,-1176 -81134234: d9002517 ldw r4,148(sp) -81134238: 203fec26 beq r4,zero,811341ec <__reset+0xfb1141ec> -8113423c: 10c000c7 ldb r3,3(r2) -81134240: 1801781e bne r3,zero,81134824 <_dtoa_r+0x6f8> -81134244: 10c000c4 addi r3,r2,3 -81134248: d9802517 ldw r6,148(sp) -8113424c: 30c00015 stw r3,0(r6) -81134250: 003fe606 br 811341ec <__reset+0xfb1141ec> -81134254: 04e00034 movhi r19,32768 -81134258: 9cffffc4 addi r19,r19,-1 -8113425c: 00800044 movi r2,1 -81134260: 8ce6703a and r19,r17,r19 -81134264: 80800015 stw r2,0(r16) -81134268: 9823883a mov r17,r19 -8113426c: 003fcc06 br 811341a0 <__reset+0xfb1141a0> -81134270: d8800204 addi r2,sp,8 -81134274: d8800015 stw r2,0(sp) -81134278: d9c00104 addi r7,sp,4 -8113427c: 900b883a mov r5,r18 -81134280: 980d883a mov r6,r19 -81134284: e009883a mov r4,fp -81134288: 8820d53a srli r16,r17,20 -8113428c: 1137e380 call 81137e38 <__d2b> -81134290: d8800915 stw r2,36(sp) -81134294: 8001651e bne r16,zero,8113482c <_dtoa_r+0x700> -81134298: dd800217 ldw r22,8(sp) -8113429c: dc000117 ldw r16,4(sp) -811342a0: 00800804 movi r2,32 -811342a4: b421883a add r16,r22,r16 -811342a8: 80c10c84 addi r3,r16,1074 -811342ac: 10c2d10e bge r2,r3,81134df4 <_dtoa_r+0xcc8> -811342b0: 00801004 movi r2,64 -811342b4: 81010484 addi r4,r16,1042 -811342b8: 10c7c83a sub r3,r2,r3 -811342bc: 9108d83a srl r4,r18,r4 -811342c0: 88e2983a sll r17,r17,r3 -811342c4: 2448b03a or r4,r4,r17 -811342c8: 112ae900 call 8112ae90 <__floatunsidf> -811342cc: 017f8434 movhi r5,65040 -811342d0: 01800044 movi r6,1 -811342d4: 1009883a mov r4,r2 -811342d8: 194b883a add r5,r3,r5 -811342dc: 843fffc4 addi r16,r16,-1 -811342e0: d9801115 stw r6,68(sp) -811342e4: 000d883a mov r6,zero -811342e8: 01cffe34 movhi r7,16376 -811342ec: 112a4380 call 8112a438 <__subdf3> -811342f0: 0198dbf4 movhi r6,25455 -811342f4: 01cff4f4 movhi r7,16339 -811342f8: 3190d844 addi r6,r6,17249 -811342fc: 39e1e9c4 addi r7,r7,-30809 -81134300: 1009883a mov r4,r2 -81134304: 180b883a mov r5,r3 -81134308: 1129d200 call 81129d20 <__muldf3> -8113430c: 01a2d874 movhi r6,35681 -81134310: 01cff1f4 movhi r7,16327 -81134314: 31b22cc4 addi r6,r6,-14157 -81134318: 39e28a04 addi r7,r7,-30168 -8113431c: 180b883a mov r5,r3 -81134320: 1009883a mov r4,r2 -81134324: 1128ab00 call 81128ab0 <__adddf3> -81134328: 8009883a mov r4,r16 -8113432c: 1029883a mov r20,r2 -81134330: 1823883a mov r17,r3 -81134334: 112adb40 call 8112adb4 <__floatsidf> -81134338: 019427f4 movhi r6,20639 -8113433c: 01cff4f4 movhi r7,16339 -81134340: 319e7ec4 addi r6,r6,31227 -81134344: 39d104c4 addi r7,r7,17427 -81134348: 1009883a mov r4,r2 -8113434c: 180b883a mov r5,r3 -81134350: 1129d200 call 81129d20 <__muldf3> -81134354: 100d883a mov r6,r2 -81134358: 180f883a mov r7,r3 -8113435c: a009883a mov r4,r20 -81134360: 880b883a mov r5,r17 -81134364: 1128ab00 call 81128ab0 <__adddf3> -81134368: 1009883a mov r4,r2 -8113436c: 180b883a mov r5,r3 -81134370: 1029883a mov r20,r2 -81134374: 1823883a mov r17,r3 -81134378: 112ad340 call 8112ad34 <__fixdfsi> -8113437c: 000d883a mov r6,zero -81134380: 000f883a mov r7,zero -81134384: a009883a mov r4,r20 -81134388: 880b883a mov r5,r17 -8113438c: d8800515 stw r2,20(sp) -81134390: 113f1280 call 8113f128 <__ledf2> -81134394: 10028716 blt r2,zero,81134db4 <_dtoa_r+0xc88> -81134398: d8c00517 ldw r3,20(sp) -8113439c: 00800584 movi r2,22 -811343a0: 10c27536 bltu r2,r3,81134d78 <_dtoa_r+0xc4c> -811343a4: 180490fa slli r2,r3,3 -811343a8: 00e04574 movhi r3,33045 -811343ac: 18fef504 addi r3,r3,-1068 -811343b0: 1885883a add r2,r3,r2 -811343b4: 11000017 ldw r4,0(r2) -811343b8: 11400117 ldw r5,4(r2) -811343bc: 900d883a mov r6,r18 -811343c0: 980f883a mov r7,r19 -811343c4: 1129c440 call 81129c44 <__gedf2> -811343c8: 00828d0e bge zero,r2,81134e00 <_dtoa_r+0xcd4> -811343cc: d9000517 ldw r4,20(sp) -811343d0: d8000e15 stw zero,56(sp) -811343d4: 213fffc4 addi r4,r4,-1 -811343d8: d9000515 stw r4,20(sp) -811343dc: b42dc83a sub r22,r22,r16 -811343e0: b5bfffc4 addi r22,r22,-1 -811343e4: b0026f16 blt r22,zero,81134da4 <_dtoa_r+0xc78> -811343e8: d8000815 stw zero,32(sp) -811343ec: d9c00517 ldw r7,20(sp) -811343f0: 38026416 blt r7,zero,81134d84 <_dtoa_r+0xc58> -811343f4: b1ed883a add r22,r22,r7 -811343f8: d9c00d15 stw r7,52(sp) -811343fc: d8000a15 stw zero,40(sp) -81134400: d9800317 ldw r6,12(sp) -81134404: 00800244 movi r2,9 -81134408: 11811436 bltu r2,r6,8113485c <_dtoa_r+0x730> -8113440c: 00800144 movi r2,5 -81134410: 1184e10e bge r2,r6,81135798 <_dtoa_r+0x166c> -81134414: 31bfff04 addi r6,r6,-4 -81134418: d9800315 stw r6,12(sp) -8113441c: 0023883a mov r17,zero -81134420: d9800317 ldw r6,12(sp) -81134424: 008000c4 movi r2,3 -81134428: 30836726 beq r6,r2,811351c8 <_dtoa_r+0x109c> -8113442c: 1183410e bge r2,r6,81135134 <_dtoa_r+0x1008> -81134430: d9c00317 ldw r7,12(sp) -81134434: 00800104 movi r2,4 -81134438: 38827c26 beq r7,r2,81134e2c <_dtoa_r+0xd00> -8113443c: 00800144 movi r2,5 -81134440: 3884c41e bne r7,r2,81135754 <_dtoa_r+0x1628> -81134444: 00800044 movi r2,1 -81134448: d8800b15 stw r2,44(sp) -8113444c: d8c00517 ldw r3,20(sp) -81134450: d9002217 ldw r4,136(sp) -81134454: 1907883a add r3,r3,r4 -81134458: 19800044 addi r6,r3,1 -8113445c: d8c00c15 stw r3,48(sp) -81134460: d9800615 stw r6,24(sp) -81134464: 0183a40e bge zero,r6,811352f8 <_dtoa_r+0x11cc> -81134468: d9800617 ldw r6,24(sp) -8113446c: 3021883a mov r16,r6 -81134470: e0001115 stw zero,68(fp) -81134474: 008005c4 movi r2,23 -81134478: 1184c92e bgeu r2,r6,811357a0 <_dtoa_r+0x1674> -8113447c: 00c00044 movi r3,1 -81134480: 00800104 movi r2,4 -81134484: 1085883a add r2,r2,r2 -81134488: 11000504 addi r4,r2,20 -8113448c: 180b883a mov r5,r3 -81134490: 18c00044 addi r3,r3,1 -81134494: 313ffb2e bgeu r6,r4,81134484 <__reset+0xfb114484> -81134498: e1401115 stw r5,68(fp) -8113449c: e009883a mov r4,fp -811344a0: 11371a40 call 811371a4 <_Balloc> -811344a4: d8800715 stw r2,28(sp) -811344a8: e0801015 stw r2,64(fp) -811344ac: 00800384 movi r2,14 -811344b0: 1400f736 bltu r2,r16,81134890 <_dtoa_r+0x764> -811344b4: 8800f626 beq r17,zero,81134890 <_dtoa_r+0x764> -811344b8: d9c00517 ldw r7,20(sp) -811344bc: 01c39a0e bge zero,r7,81135328 <_dtoa_r+0x11fc> -811344c0: 388003cc andi r2,r7,15 -811344c4: 100490fa slli r2,r2,3 -811344c8: 382bd13a srai r21,r7,4 -811344cc: 00e04574 movhi r3,33045 -811344d0: 18fef504 addi r3,r3,-1068 -811344d4: 1885883a add r2,r3,r2 -811344d8: a8c0040c andi r3,r21,16 -811344dc: 12400017 ldw r9,0(r2) -811344e0: 12000117 ldw r8,4(r2) -811344e4: 18037926 beq r3,zero,811352cc <_dtoa_r+0x11a0> -811344e8: 00a04574 movhi r2,33045 -811344ec: 10beeb04 addi r2,r2,-1108 -811344f0: 11800817 ldw r6,32(r2) -811344f4: 11c00917 ldw r7,36(r2) -811344f8: 9009883a mov r4,r18 -811344fc: 980b883a mov r5,r19 -81134500: da001715 stw r8,92(sp) -81134504: da401615 stw r9,88(sp) -81134508: 112935c0 call 8112935c <__divdf3> -8113450c: da001717 ldw r8,92(sp) -81134510: da401617 ldw r9,88(sp) -81134514: ad4003cc andi r21,r21,15 -81134518: 040000c4 movi r16,3 -8113451c: 1023883a mov r17,r2 -81134520: 1829883a mov r20,r3 -81134524: a8001126 beq r21,zero,8113456c <_dtoa_r+0x440> -81134528: 05e04574 movhi r23,33045 -8113452c: bdfeeb04 addi r23,r23,-1108 -81134530: 4805883a mov r2,r9 -81134534: 4007883a mov r3,r8 -81134538: a980004c andi r6,r21,1 -8113453c: 1009883a mov r4,r2 -81134540: a82bd07a srai r21,r21,1 -81134544: 180b883a mov r5,r3 -81134548: 30000426 beq r6,zero,8113455c <_dtoa_r+0x430> -8113454c: b9800017 ldw r6,0(r23) -81134550: b9c00117 ldw r7,4(r23) -81134554: 84000044 addi r16,r16,1 -81134558: 1129d200 call 81129d20 <__muldf3> -8113455c: bdc00204 addi r23,r23,8 -81134560: a83ff51e bne r21,zero,81134538 <__reset+0xfb114538> -81134564: 1013883a mov r9,r2 -81134568: 1811883a mov r8,r3 -8113456c: 480d883a mov r6,r9 -81134570: 400f883a mov r7,r8 -81134574: 8809883a mov r4,r17 -81134578: a00b883a mov r5,r20 -8113457c: 112935c0 call 8112935c <__divdf3> -81134580: d8800f15 stw r2,60(sp) -81134584: d8c01015 stw r3,64(sp) -81134588: d8c00e17 ldw r3,56(sp) -8113458c: 18000626 beq r3,zero,811345a8 <_dtoa_r+0x47c> -81134590: d9000f17 ldw r4,60(sp) -81134594: d9401017 ldw r5,64(sp) -81134598: 000d883a mov r6,zero -8113459c: 01cffc34 movhi r7,16368 -811345a0: 113f1280 call 8113f128 <__ledf2> -811345a4: 10040b16 blt r2,zero,811355d4 <_dtoa_r+0x14a8> -811345a8: 8009883a mov r4,r16 -811345ac: 112adb40 call 8112adb4 <__floatsidf> -811345b0: d9800f17 ldw r6,60(sp) -811345b4: d9c01017 ldw r7,64(sp) -811345b8: 1009883a mov r4,r2 -811345bc: 180b883a mov r5,r3 -811345c0: 1129d200 call 81129d20 <__muldf3> -811345c4: 000d883a mov r6,zero -811345c8: 01d00734 movhi r7,16412 -811345cc: 1009883a mov r4,r2 -811345d0: 180b883a mov r5,r3 -811345d4: 1128ab00 call 81128ab0 <__adddf3> -811345d8: 1021883a mov r16,r2 -811345dc: d8800617 ldw r2,24(sp) -811345e0: 047f3034 movhi r17,64704 -811345e4: 1c63883a add r17,r3,r17 -811345e8: 10031826 beq r2,zero,8113524c <_dtoa_r+0x1120> -811345ec: d8c00517 ldw r3,20(sp) -811345f0: db000617 ldw r12,24(sp) -811345f4: d8c01315 stw r3,76(sp) -811345f8: d9000b17 ldw r4,44(sp) -811345fc: 20038f26 beq r4,zero,8113543c <_dtoa_r+0x1310> -81134600: 60bfffc4 addi r2,r12,-1 -81134604: 100490fa slli r2,r2,3 -81134608: 00e04574 movhi r3,33045 -8113460c: 18fef504 addi r3,r3,-1068 -81134610: 1885883a add r2,r3,r2 -81134614: 11800017 ldw r6,0(r2) -81134618: 11c00117 ldw r7,4(r2) -8113461c: d8800717 ldw r2,28(sp) -81134620: 0009883a mov r4,zero -81134624: 014ff834 movhi r5,16352 -81134628: db001615 stw r12,88(sp) -8113462c: 15c00044 addi r23,r2,1 -81134630: 112935c0 call 8112935c <__divdf3> -81134634: 800d883a mov r6,r16 -81134638: 880f883a mov r7,r17 -8113463c: 1009883a mov r4,r2 -81134640: 180b883a mov r5,r3 -81134644: 112a4380 call 8112a438 <__subdf3> -81134648: d9401017 ldw r5,64(sp) -8113464c: d9000f17 ldw r4,60(sp) -81134650: 102b883a mov r21,r2 -81134654: d8c01215 stw r3,72(sp) -81134658: 112ad340 call 8112ad34 <__fixdfsi> -8113465c: 1009883a mov r4,r2 -81134660: 1029883a mov r20,r2 -81134664: 112adb40 call 8112adb4 <__floatsidf> -81134668: d9000f17 ldw r4,60(sp) -8113466c: d9401017 ldw r5,64(sp) -81134670: 100d883a mov r6,r2 -81134674: 180f883a mov r7,r3 -81134678: 112a4380 call 8112a438 <__subdf3> -8113467c: 1823883a mov r17,r3 -81134680: d8c00717 ldw r3,28(sp) -81134684: d9401217 ldw r5,72(sp) -81134688: a2000c04 addi r8,r20,48 -8113468c: 1021883a mov r16,r2 -81134690: 1a000005 stb r8,0(r3) -81134694: 800d883a mov r6,r16 -81134698: 880f883a mov r7,r17 -8113469c: a809883a mov r4,r21 -811346a0: 4029883a mov r20,r8 -811346a4: 1129c440 call 81129c44 <__gedf2> -811346a8: 00841d16 blt zero,r2,81135720 <_dtoa_r+0x15f4> -811346ac: 800d883a mov r6,r16 -811346b0: 880f883a mov r7,r17 -811346b4: 0009883a mov r4,zero -811346b8: 014ffc34 movhi r5,16368 -811346bc: 112a4380 call 8112a438 <__subdf3> -811346c0: d9401217 ldw r5,72(sp) -811346c4: 100d883a mov r6,r2 -811346c8: 180f883a mov r7,r3 -811346cc: a809883a mov r4,r21 -811346d0: 1129c440 call 81129c44 <__gedf2> -811346d4: db001617 ldw r12,88(sp) -811346d8: 00840e16 blt zero,r2,81135714 <_dtoa_r+0x15e8> -811346dc: 00800044 movi r2,1 -811346e0: 13006b0e bge r2,r12,81134890 <_dtoa_r+0x764> -811346e4: d9000717 ldw r4,28(sp) -811346e8: dd800f15 stw r22,60(sp) -811346ec: dcc01015 stw r19,64(sp) -811346f0: 2319883a add r12,r4,r12 -811346f4: dcc01217 ldw r19,72(sp) -811346f8: 602d883a mov r22,r12 -811346fc: dc801215 stw r18,72(sp) -81134700: b825883a mov r18,r23 -81134704: 00000906 br 8113472c <_dtoa_r+0x600> -81134708: 112a4380 call 8112a438 <__subdf3> -8113470c: a80d883a mov r6,r21 -81134710: 980f883a mov r7,r19 -81134714: 1009883a mov r4,r2 -81134718: 180b883a mov r5,r3 -8113471c: 113f1280 call 8113f128 <__ledf2> -81134720: 1003e816 blt r2,zero,811356c4 <_dtoa_r+0x1598> -81134724: b825883a mov r18,r23 -81134728: bd83e926 beq r23,r22,811356d0 <_dtoa_r+0x15a4> -8113472c: a809883a mov r4,r21 -81134730: 980b883a mov r5,r19 -81134734: 000d883a mov r6,zero -81134738: 01d00934 movhi r7,16420 -8113473c: 1129d200 call 81129d20 <__muldf3> -81134740: 000d883a mov r6,zero -81134744: 01d00934 movhi r7,16420 -81134748: 8009883a mov r4,r16 -8113474c: 880b883a mov r5,r17 -81134750: 102b883a mov r21,r2 -81134754: 1827883a mov r19,r3 -81134758: 1129d200 call 81129d20 <__muldf3> -8113475c: 180b883a mov r5,r3 -81134760: 1009883a mov r4,r2 -81134764: 1821883a mov r16,r3 -81134768: 1023883a mov r17,r2 -8113476c: 112ad340 call 8112ad34 <__fixdfsi> -81134770: 1009883a mov r4,r2 -81134774: 1029883a mov r20,r2 -81134778: 112adb40 call 8112adb4 <__floatsidf> -8113477c: 8809883a mov r4,r17 -81134780: 800b883a mov r5,r16 -81134784: 100d883a mov r6,r2 -81134788: 180f883a mov r7,r3 -8113478c: 112a4380 call 8112a438 <__subdf3> -81134790: a5000c04 addi r20,r20,48 -81134794: a80d883a mov r6,r21 -81134798: 980f883a mov r7,r19 -8113479c: 1009883a mov r4,r2 -811347a0: 180b883a mov r5,r3 -811347a4: 95000005 stb r20,0(r18) -811347a8: 1021883a mov r16,r2 -811347ac: 1823883a mov r17,r3 -811347b0: 113f1280 call 8113f128 <__ledf2> -811347b4: bdc00044 addi r23,r23,1 -811347b8: 800d883a mov r6,r16 -811347bc: 880f883a mov r7,r17 -811347c0: 0009883a mov r4,zero -811347c4: 014ffc34 movhi r5,16368 -811347c8: 103fcf0e bge r2,zero,81134708 <__reset+0xfb114708> -811347cc: d8c01317 ldw r3,76(sp) -811347d0: d8c00515 stw r3,20(sp) -811347d4: d9400917 ldw r5,36(sp) -811347d8: e009883a mov r4,fp -811347dc: 113724c0 call 8113724c <_Bfree> -811347e0: d9000517 ldw r4,20(sp) -811347e4: d9802317 ldw r6,140(sp) -811347e8: d9c02517 ldw r7,148(sp) -811347ec: b8000005 stb zero,0(r23) -811347f0: 20800044 addi r2,r4,1 -811347f4: 30800015 stw r2,0(r6) -811347f8: 3802aa26 beq r7,zero,811352a4 <_dtoa_r+0x1178> -811347fc: 3dc00015 stw r23,0(r7) -81134800: d8800717 ldw r2,28(sp) -81134804: 003e7906 br 811341ec <__reset+0xfb1141ec> -81134808: 00800434 movhi r2,16 -8113480c: 10bfffc4 addi r2,r2,-1 -81134810: 88a2703a and r17,r17,r2 -81134814: 883e851e bne r17,zero,8113422c <__reset+0xfb11422c> -81134818: 00a04574 movhi r2,33045 -8113481c: 10bed704 addi r2,r2,-1188 -81134820: 003e8406 br 81134234 <__reset+0xfb114234> -81134824: 10c00204 addi r3,r2,8 -81134828: 003e8706 br 81134248 <__reset+0xfb114248> -8113482c: 01400434 movhi r5,16 -81134830: 297fffc4 addi r5,r5,-1 -81134834: 994a703a and r5,r19,r5 -81134838: 9009883a mov r4,r18 -8113483c: 843f0044 addi r16,r16,-1023 -81134840: 294ffc34 orhi r5,r5,16368 -81134844: dd800217 ldw r22,8(sp) -81134848: d8001115 stw zero,68(sp) -8113484c: 003ea506 br 811342e4 <__reset+0xfb1142e4> -81134850: 00a04574 movhi r2,33045 -81134854: 10bebc04 addi r2,r2,-1296 -81134858: 003e6406 br 811341ec <__reset+0xfb1141ec> -8113485c: e0001115 stw zero,68(fp) -81134860: 000b883a mov r5,zero -81134864: e009883a mov r4,fp -81134868: 11371a40 call 811371a4 <_Balloc> -8113486c: 01bfffc4 movi r6,-1 -81134870: 01c00044 movi r7,1 -81134874: d8800715 stw r2,28(sp) -81134878: d9800c15 stw r6,48(sp) -8113487c: e0801015 stw r2,64(fp) -81134880: d8000315 stw zero,12(sp) -81134884: d9c00b15 stw r7,44(sp) -81134888: d9800615 stw r6,24(sp) -8113488c: d8002215 stw zero,136(sp) -81134890: d8800117 ldw r2,4(sp) -81134894: 10008916 blt r2,zero,81134abc <_dtoa_r+0x990> -81134898: d9000517 ldw r4,20(sp) -8113489c: 00c00384 movi r3,14 -811348a0: 19008616 blt r3,r4,81134abc <_dtoa_r+0x990> -811348a4: 200490fa slli r2,r4,3 -811348a8: 00e04574 movhi r3,33045 -811348ac: d9802217 ldw r6,136(sp) -811348b0: 18fef504 addi r3,r3,-1068 -811348b4: 1885883a add r2,r3,r2 -811348b8: 14000017 ldw r16,0(r2) -811348bc: 14400117 ldw r17,4(r2) -811348c0: 30016316 blt r6,zero,81134e50 <_dtoa_r+0xd24> -811348c4: 800d883a mov r6,r16 -811348c8: 880f883a mov r7,r17 -811348cc: 9009883a mov r4,r18 -811348d0: 980b883a mov r5,r19 -811348d4: 112935c0 call 8112935c <__divdf3> -811348d8: 180b883a mov r5,r3 -811348dc: 1009883a mov r4,r2 -811348e0: 112ad340 call 8112ad34 <__fixdfsi> -811348e4: 1009883a mov r4,r2 -811348e8: 102b883a mov r21,r2 -811348ec: 112adb40 call 8112adb4 <__floatsidf> -811348f0: 800d883a mov r6,r16 -811348f4: 880f883a mov r7,r17 -811348f8: 1009883a mov r4,r2 -811348fc: 180b883a mov r5,r3 -81134900: 1129d200 call 81129d20 <__muldf3> -81134904: 100d883a mov r6,r2 -81134908: 180f883a mov r7,r3 +81134228: 10bfffc4 addi r2,r2,-1 +8113422c: dfc02117 ldw ra,132(sp) +81134230: df002017 ldw fp,128(sp) +81134234: ddc01f17 ldw r23,124(sp) +81134238: dd801e17 ldw r22,120(sp) +8113423c: dd401d17 ldw r21,116(sp) +81134240: dd001c17 ldw r20,112(sp) +81134244: dcc01b17 ldw r19,108(sp) +81134248: dc801a17 ldw r18,104(sp) +8113424c: dc401917 ldw r17,100(sp) +81134250: dc001817 ldw r16,96(sp) +81134254: dec02204 addi sp,sp,136 +81134258: f800283a ret +8113425c: d8c02317 ldw r3,140(sp) +81134260: 0089c3c4 movi r2,9999 +81134264: 18800015 stw r2,0(r3) +81134268: 90017726 beq r18,zero,81134848 <_dtoa_r+0x6dc> +8113426c: 00a04574 movhi r2,33045 +81134270: 10beeb04 addi r2,r2,-1108 +81134274: d9002517 ldw r4,148(sp) +81134278: 203fec26 beq r4,zero,8113422c <__reset+0xfb11422c> +8113427c: 10c000c7 ldb r3,3(r2) +81134280: 1801781e bne r3,zero,81134864 <_dtoa_r+0x6f8> +81134284: 10c000c4 addi r3,r2,3 +81134288: d9802517 ldw r6,148(sp) +8113428c: 30c00015 stw r3,0(r6) +81134290: 003fe606 br 8113422c <__reset+0xfb11422c> +81134294: 04e00034 movhi r19,32768 +81134298: 9cffffc4 addi r19,r19,-1 +8113429c: 00800044 movi r2,1 +811342a0: 8ce6703a and r19,r17,r19 +811342a4: 80800015 stw r2,0(r16) +811342a8: 9823883a mov r17,r19 +811342ac: 003fcc06 br 811341e0 <__reset+0xfb1141e0> +811342b0: d8800204 addi r2,sp,8 +811342b4: d8800015 stw r2,0(sp) +811342b8: d9c00104 addi r7,sp,4 +811342bc: 900b883a mov r5,r18 +811342c0: 980d883a mov r6,r19 +811342c4: e009883a mov r4,fp +811342c8: 8820d53a srli r16,r17,20 +811342cc: 1137e780 call 81137e78 <__d2b> +811342d0: d8800915 stw r2,36(sp) +811342d4: 8001651e bne r16,zero,8113486c <_dtoa_r+0x700> +811342d8: dd800217 ldw r22,8(sp) +811342dc: dc000117 ldw r16,4(sp) +811342e0: 00800804 movi r2,32 +811342e4: b421883a add r16,r22,r16 +811342e8: 80c10c84 addi r3,r16,1074 +811342ec: 10c2d10e bge r2,r3,81134e34 <_dtoa_r+0xcc8> +811342f0: 00801004 movi r2,64 +811342f4: 81010484 addi r4,r16,1042 +811342f8: 10c7c83a sub r3,r2,r3 +811342fc: 9108d83a srl r4,r18,r4 +81134300: 88e2983a sll r17,r17,r3 +81134304: 2448b03a or r4,r4,r17 +81134308: 112aed00 call 8112aed0 <__floatunsidf> +8113430c: 017f8434 movhi r5,65040 +81134310: 01800044 movi r6,1 +81134314: 1009883a mov r4,r2 +81134318: 194b883a add r5,r3,r5 +8113431c: 843fffc4 addi r16,r16,-1 +81134320: d9801115 stw r6,68(sp) +81134324: 000d883a mov r6,zero +81134328: 01cffe34 movhi r7,16376 +8113432c: 112a4780 call 8112a478 <__subdf3> +81134330: 0198dbf4 movhi r6,25455 +81134334: 01cff4f4 movhi r7,16339 +81134338: 3190d844 addi r6,r6,17249 +8113433c: 39e1e9c4 addi r7,r7,-30809 +81134340: 1009883a mov r4,r2 +81134344: 180b883a mov r5,r3 +81134348: 1129d600 call 81129d60 <__muldf3> +8113434c: 01a2d874 movhi r6,35681 +81134350: 01cff1f4 movhi r7,16327 +81134354: 31b22cc4 addi r6,r6,-14157 +81134358: 39e28a04 addi r7,r7,-30168 +8113435c: 180b883a mov r5,r3 +81134360: 1009883a mov r4,r2 +81134364: 1128af00 call 81128af0 <__adddf3> +81134368: 8009883a mov r4,r16 +8113436c: 1029883a mov r20,r2 +81134370: 1823883a mov r17,r3 +81134374: 112adf40 call 8112adf4 <__floatsidf> +81134378: 019427f4 movhi r6,20639 +8113437c: 01cff4f4 movhi r7,16339 +81134380: 319e7ec4 addi r6,r6,31227 +81134384: 39d104c4 addi r7,r7,17427 +81134388: 1009883a mov r4,r2 +8113438c: 180b883a mov r5,r3 +81134390: 1129d600 call 81129d60 <__muldf3> +81134394: 100d883a mov r6,r2 +81134398: 180f883a mov r7,r3 +8113439c: a009883a mov r4,r20 +811343a0: 880b883a mov r5,r17 +811343a4: 1128af00 call 81128af0 <__adddf3> +811343a8: 1009883a mov r4,r2 +811343ac: 180b883a mov r5,r3 +811343b0: 1029883a mov r20,r2 +811343b4: 1823883a mov r17,r3 +811343b8: 112ad740 call 8112ad74 <__fixdfsi> +811343bc: 000d883a mov r6,zero +811343c0: 000f883a mov r7,zero +811343c4: a009883a mov r4,r20 +811343c8: 880b883a mov r5,r17 +811343cc: d8800515 stw r2,20(sp) +811343d0: 113f1680 call 8113f168 <__ledf2> +811343d4: 10028716 blt r2,zero,81134df4 <_dtoa_r+0xc88> +811343d8: d8c00517 ldw r3,20(sp) +811343dc: 00800584 movi r2,22 +811343e0: 10c27536 bltu r2,r3,81134db8 <_dtoa_r+0xc4c> +811343e4: 180490fa slli r2,r3,3 +811343e8: 00e04574 movhi r3,33045 +811343ec: 18ff0604 addi r3,r3,-1000 +811343f0: 1885883a add r2,r3,r2 +811343f4: 11000017 ldw r4,0(r2) +811343f8: 11400117 ldw r5,4(r2) +811343fc: 900d883a mov r6,r18 +81134400: 980f883a mov r7,r19 +81134404: 1129c840 call 81129c84 <__gedf2> +81134408: 00828d0e bge zero,r2,81134e40 <_dtoa_r+0xcd4> +8113440c: d9000517 ldw r4,20(sp) +81134410: d8000e15 stw zero,56(sp) +81134414: 213fffc4 addi r4,r4,-1 +81134418: d9000515 stw r4,20(sp) +8113441c: b42dc83a sub r22,r22,r16 +81134420: b5bfffc4 addi r22,r22,-1 +81134424: b0026f16 blt r22,zero,81134de4 <_dtoa_r+0xc78> +81134428: d8000815 stw zero,32(sp) +8113442c: d9c00517 ldw r7,20(sp) +81134430: 38026416 blt r7,zero,81134dc4 <_dtoa_r+0xc58> +81134434: b1ed883a add r22,r22,r7 +81134438: d9c00d15 stw r7,52(sp) +8113443c: d8000a15 stw zero,40(sp) +81134440: d9800317 ldw r6,12(sp) +81134444: 00800244 movi r2,9 +81134448: 11811436 bltu r2,r6,8113489c <_dtoa_r+0x730> +8113444c: 00800144 movi r2,5 +81134450: 1184e10e bge r2,r6,811357d8 <_dtoa_r+0x166c> +81134454: 31bfff04 addi r6,r6,-4 +81134458: d9800315 stw r6,12(sp) +8113445c: 0023883a mov r17,zero +81134460: d9800317 ldw r6,12(sp) +81134464: 008000c4 movi r2,3 +81134468: 30836726 beq r6,r2,81135208 <_dtoa_r+0x109c> +8113446c: 1183410e bge r2,r6,81135174 <_dtoa_r+0x1008> +81134470: d9c00317 ldw r7,12(sp) +81134474: 00800104 movi r2,4 +81134478: 38827c26 beq r7,r2,81134e6c <_dtoa_r+0xd00> +8113447c: 00800144 movi r2,5 +81134480: 3884c41e bne r7,r2,81135794 <_dtoa_r+0x1628> +81134484: 00800044 movi r2,1 +81134488: d8800b15 stw r2,44(sp) +8113448c: d8c00517 ldw r3,20(sp) +81134490: d9002217 ldw r4,136(sp) +81134494: 1907883a add r3,r3,r4 +81134498: 19800044 addi r6,r3,1 +8113449c: d8c00c15 stw r3,48(sp) +811344a0: d9800615 stw r6,24(sp) +811344a4: 0183a40e bge zero,r6,81135338 <_dtoa_r+0x11cc> +811344a8: d9800617 ldw r6,24(sp) +811344ac: 3021883a mov r16,r6 +811344b0: e0001115 stw zero,68(fp) +811344b4: 008005c4 movi r2,23 +811344b8: 1184c92e bgeu r2,r6,811357e0 <_dtoa_r+0x1674> +811344bc: 00c00044 movi r3,1 +811344c0: 00800104 movi r2,4 +811344c4: 1085883a add r2,r2,r2 +811344c8: 11000504 addi r4,r2,20 +811344cc: 180b883a mov r5,r3 +811344d0: 18c00044 addi r3,r3,1 +811344d4: 313ffb2e bgeu r6,r4,811344c4 <__reset+0xfb1144c4> +811344d8: e1401115 stw r5,68(fp) +811344dc: e009883a mov r4,fp +811344e0: 11371e40 call 811371e4 <_Balloc> +811344e4: d8800715 stw r2,28(sp) +811344e8: e0801015 stw r2,64(fp) +811344ec: 00800384 movi r2,14 +811344f0: 1400f736 bltu r2,r16,811348d0 <_dtoa_r+0x764> +811344f4: 8800f626 beq r17,zero,811348d0 <_dtoa_r+0x764> +811344f8: d9c00517 ldw r7,20(sp) +811344fc: 01c39a0e bge zero,r7,81135368 <_dtoa_r+0x11fc> +81134500: 388003cc andi r2,r7,15 +81134504: 100490fa slli r2,r2,3 +81134508: 382bd13a srai r21,r7,4 +8113450c: 00e04574 movhi r3,33045 +81134510: 18ff0604 addi r3,r3,-1000 +81134514: 1885883a add r2,r3,r2 +81134518: a8c0040c andi r3,r21,16 +8113451c: 12400017 ldw r9,0(r2) +81134520: 12000117 ldw r8,4(r2) +81134524: 18037926 beq r3,zero,8113530c <_dtoa_r+0x11a0> +81134528: 00a04574 movhi r2,33045 +8113452c: 10befc04 addi r2,r2,-1040 +81134530: 11800817 ldw r6,32(r2) +81134534: 11c00917 ldw r7,36(r2) +81134538: 9009883a mov r4,r18 +8113453c: 980b883a mov r5,r19 +81134540: da001715 stw r8,92(sp) +81134544: da401615 stw r9,88(sp) +81134548: 112939c0 call 8112939c <__divdf3> +8113454c: da001717 ldw r8,92(sp) +81134550: da401617 ldw r9,88(sp) +81134554: ad4003cc andi r21,r21,15 +81134558: 040000c4 movi r16,3 +8113455c: 1023883a mov r17,r2 +81134560: 1829883a mov r20,r3 +81134564: a8001126 beq r21,zero,811345ac <_dtoa_r+0x440> +81134568: 05e04574 movhi r23,33045 +8113456c: bdfefc04 addi r23,r23,-1040 +81134570: 4805883a mov r2,r9 +81134574: 4007883a mov r3,r8 +81134578: a980004c andi r6,r21,1 +8113457c: 1009883a mov r4,r2 +81134580: a82bd07a srai r21,r21,1 +81134584: 180b883a mov r5,r3 +81134588: 30000426 beq r6,zero,8113459c <_dtoa_r+0x430> +8113458c: b9800017 ldw r6,0(r23) +81134590: b9c00117 ldw r7,4(r23) +81134594: 84000044 addi r16,r16,1 +81134598: 1129d600 call 81129d60 <__muldf3> +8113459c: bdc00204 addi r23,r23,8 +811345a0: a83ff51e bne r21,zero,81134578 <__reset+0xfb114578> +811345a4: 1013883a mov r9,r2 +811345a8: 1811883a mov r8,r3 +811345ac: 480d883a mov r6,r9 +811345b0: 400f883a mov r7,r8 +811345b4: 8809883a mov r4,r17 +811345b8: a00b883a mov r5,r20 +811345bc: 112939c0 call 8112939c <__divdf3> +811345c0: d8800f15 stw r2,60(sp) +811345c4: d8c01015 stw r3,64(sp) +811345c8: d8c00e17 ldw r3,56(sp) +811345cc: 18000626 beq r3,zero,811345e8 <_dtoa_r+0x47c> +811345d0: d9000f17 ldw r4,60(sp) +811345d4: d9401017 ldw r5,64(sp) +811345d8: 000d883a mov r6,zero +811345dc: 01cffc34 movhi r7,16368 +811345e0: 113f1680 call 8113f168 <__ledf2> +811345e4: 10040b16 blt r2,zero,81135614 <_dtoa_r+0x14a8> +811345e8: 8009883a mov r4,r16 +811345ec: 112adf40 call 8112adf4 <__floatsidf> +811345f0: d9800f17 ldw r6,60(sp) +811345f4: d9c01017 ldw r7,64(sp) +811345f8: 1009883a mov r4,r2 +811345fc: 180b883a mov r5,r3 +81134600: 1129d600 call 81129d60 <__muldf3> +81134604: 000d883a mov r6,zero +81134608: 01d00734 movhi r7,16412 +8113460c: 1009883a mov r4,r2 +81134610: 180b883a mov r5,r3 +81134614: 1128af00 call 81128af0 <__adddf3> +81134618: 1021883a mov r16,r2 +8113461c: d8800617 ldw r2,24(sp) +81134620: 047f3034 movhi r17,64704 +81134624: 1c63883a add r17,r3,r17 +81134628: 10031826 beq r2,zero,8113528c <_dtoa_r+0x1120> +8113462c: d8c00517 ldw r3,20(sp) +81134630: db000617 ldw r12,24(sp) +81134634: d8c01315 stw r3,76(sp) +81134638: d9000b17 ldw r4,44(sp) +8113463c: 20038f26 beq r4,zero,8113547c <_dtoa_r+0x1310> +81134640: 60bfffc4 addi r2,r12,-1 +81134644: 100490fa slli r2,r2,3 +81134648: 00e04574 movhi r3,33045 +8113464c: 18ff0604 addi r3,r3,-1000 +81134650: 1885883a add r2,r3,r2 +81134654: 11800017 ldw r6,0(r2) +81134658: 11c00117 ldw r7,4(r2) +8113465c: d8800717 ldw r2,28(sp) +81134660: 0009883a mov r4,zero +81134664: 014ff834 movhi r5,16352 +81134668: db001615 stw r12,88(sp) +8113466c: 15c00044 addi r23,r2,1 +81134670: 112939c0 call 8112939c <__divdf3> +81134674: 800d883a mov r6,r16 +81134678: 880f883a mov r7,r17 +8113467c: 1009883a mov r4,r2 +81134680: 180b883a mov r5,r3 +81134684: 112a4780 call 8112a478 <__subdf3> +81134688: d9401017 ldw r5,64(sp) +8113468c: d9000f17 ldw r4,60(sp) +81134690: 102b883a mov r21,r2 +81134694: d8c01215 stw r3,72(sp) +81134698: 112ad740 call 8112ad74 <__fixdfsi> +8113469c: 1009883a mov r4,r2 +811346a0: 1029883a mov r20,r2 +811346a4: 112adf40 call 8112adf4 <__floatsidf> +811346a8: d9000f17 ldw r4,60(sp) +811346ac: d9401017 ldw r5,64(sp) +811346b0: 100d883a mov r6,r2 +811346b4: 180f883a mov r7,r3 +811346b8: 112a4780 call 8112a478 <__subdf3> +811346bc: 1823883a mov r17,r3 +811346c0: d8c00717 ldw r3,28(sp) +811346c4: d9401217 ldw r5,72(sp) +811346c8: a2000c04 addi r8,r20,48 +811346cc: 1021883a mov r16,r2 +811346d0: 1a000005 stb r8,0(r3) +811346d4: 800d883a mov r6,r16 +811346d8: 880f883a mov r7,r17 +811346dc: a809883a mov r4,r21 +811346e0: 4029883a mov r20,r8 +811346e4: 1129c840 call 81129c84 <__gedf2> +811346e8: 00841d16 blt zero,r2,81135760 <_dtoa_r+0x15f4> +811346ec: 800d883a mov r6,r16 +811346f0: 880f883a mov r7,r17 +811346f4: 0009883a mov r4,zero +811346f8: 014ffc34 movhi r5,16368 +811346fc: 112a4780 call 8112a478 <__subdf3> +81134700: d9401217 ldw r5,72(sp) +81134704: 100d883a mov r6,r2 +81134708: 180f883a mov r7,r3 +8113470c: a809883a mov r4,r21 +81134710: 1129c840 call 81129c84 <__gedf2> +81134714: db001617 ldw r12,88(sp) +81134718: 00840e16 blt zero,r2,81135754 <_dtoa_r+0x15e8> +8113471c: 00800044 movi r2,1 +81134720: 13006b0e bge r2,r12,811348d0 <_dtoa_r+0x764> +81134724: d9000717 ldw r4,28(sp) +81134728: dd800f15 stw r22,60(sp) +8113472c: dcc01015 stw r19,64(sp) +81134730: 2319883a add r12,r4,r12 +81134734: dcc01217 ldw r19,72(sp) +81134738: 602d883a mov r22,r12 +8113473c: dc801215 stw r18,72(sp) +81134740: b825883a mov r18,r23 +81134744: 00000906 br 8113476c <_dtoa_r+0x600> +81134748: 112a4780 call 8112a478 <__subdf3> +8113474c: a80d883a mov r6,r21 +81134750: 980f883a mov r7,r19 +81134754: 1009883a mov r4,r2 +81134758: 180b883a mov r5,r3 +8113475c: 113f1680 call 8113f168 <__ledf2> +81134760: 1003e816 blt r2,zero,81135704 <_dtoa_r+0x1598> +81134764: b825883a mov r18,r23 +81134768: bd83e926 beq r23,r22,81135710 <_dtoa_r+0x15a4> +8113476c: a809883a mov r4,r21 +81134770: 980b883a mov r5,r19 +81134774: 000d883a mov r6,zero +81134778: 01d00934 movhi r7,16420 +8113477c: 1129d600 call 81129d60 <__muldf3> +81134780: 000d883a mov r6,zero +81134784: 01d00934 movhi r7,16420 +81134788: 8009883a mov r4,r16 +8113478c: 880b883a mov r5,r17 +81134790: 102b883a mov r21,r2 +81134794: 1827883a mov r19,r3 +81134798: 1129d600 call 81129d60 <__muldf3> +8113479c: 180b883a mov r5,r3 +811347a0: 1009883a mov r4,r2 +811347a4: 1821883a mov r16,r3 +811347a8: 1023883a mov r17,r2 +811347ac: 112ad740 call 8112ad74 <__fixdfsi> +811347b0: 1009883a mov r4,r2 +811347b4: 1029883a mov r20,r2 +811347b8: 112adf40 call 8112adf4 <__floatsidf> +811347bc: 8809883a mov r4,r17 +811347c0: 800b883a mov r5,r16 +811347c4: 100d883a mov r6,r2 +811347c8: 180f883a mov r7,r3 +811347cc: 112a4780 call 8112a478 <__subdf3> +811347d0: a5000c04 addi r20,r20,48 +811347d4: a80d883a mov r6,r21 +811347d8: 980f883a mov r7,r19 +811347dc: 1009883a mov r4,r2 +811347e0: 180b883a mov r5,r3 +811347e4: 95000005 stb r20,0(r18) +811347e8: 1021883a mov r16,r2 +811347ec: 1823883a mov r17,r3 +811347f0: 113f1680 call 8113f168 <__ledf2> +811347f4: bdc00044 addi r23,r23,1 +811347f8: 800d883a mov r6,r16 +811347fc: 880f883a mov r7,r17 +81134800: 0009883a mov r4,zero +81134804: 014ffc34 movhi r5,16368 +81134808: 103fcf0e bge r2,zero,81134748 <__reset+0xfb114748> +8113480c: d8c01317 ldw r3,76(sp) +81134810: d8c00515 stw r3,20(sp) +81134814: d9400917 ldw r5,36(sp) +81134818: e009883a mov r4,fp +8113481c: 113728c0 call 8113728c <_Bfree> +81134820: d9000517 ldw r4,20(sp) +81134824: d9802317 ldw r6,140(sp) +81134828: d9c02517 ldw r7,148(sp) +8113482c: b8000005 stb zero,0(r23) +81134830: 20800044 addi r2,r4,1 +81134834: 30800015 stw r2,0(r6) +81134838: 3802aa26 beq r7,zero,811352e4 <_dtoa_r+0x1178> +8113483c: 3dc00015 stw r23,0(r7) +81134840: d8800717 ldw r2,28(sp) +81134844: 003e7906 br 8113422c <__reset+0xfb11422c> +81134848: 00800434 movhi r2,16 +8113484c: 10bfffc4 addi r2,r2,-1 +81134850: 88a2703a and r17,r17,r2 +81134854: 883e851e bne r17,zero,8113426c <__reset+0xfb11426c> +81134858: 00a04574 movhi r2,33045 +8113485c: 10bee804 addi r2,r2,-1120 +81134860: 003e8406 br 81134274 <__reset+0xfb114274> +81134864: 10c00204 addi r3,r2,8 +81134868: 003e8706 br 81134288 <__reset+0xfb114288> +8113486c: 01400434 movhi r5,16 +81134870: 297fffc4 addi r5,r5,-1 +81134874: 994a703a and r5,r19,r5 +81134878: 9009883a mov r4,r18 +8113487c: 843f0044 addi r16,r16,-1023 +81134880: 294ffc34 orhi r5,r5,16368 +81134884: dd800217 ldw r22,8(sp) +81134888: d8001115 stw zero,68(sp) +8113488c: 003ea506 br 81134324 <__reset+0xfb114324> +81134890: 00a04574 movhi r2,33045 +81134894: 10becd04 addi r2,r2,-1228 +81134898: 003e6406 br 8113422c <__reset+0xfb11422c> +8113489c: e0001115 stw zero,68(fp) +811348a0: 000b883a mov r5,zero +811348a4: e009883a mov r4,fp +811348a8: 11371e40 call 811371e4 <_Balloc> +811348ac: 01bfffc4 movi r6,-1 +811348b0: 01c00044 movi r7,1 +811348b4: d8800715 stw r2,28(sp) +811348b8: d9800c15 stw r6,48(sp) +811348bc: e0801015 stw r2,64(fp) +811348c0: d8000315 stw zero,12(sp) +811348c4: d9c00b15 stw r7,44(sp) +811348c8: d9800615 stw r6,24(sp) +811348cc: d8002215 stw zero,136(sp) +811348d0: d8800117 ldw r2,4(sp) +811348d4: 10008916 blt r2,zero,81134afc <_dtoa_r+0x990> +811348d8: d9000517 ldw r4,20(sp) +811348dc: 00c00384 movi r3,14 +811348e0: 19008616 blt r3,r4,81134afc <_dtoa_r+0x990> +811348e4: 200490fa slli r2,r4,3 +811348e8: 00e04574 movhi r3,33045 +811348ec: d9802217 ldw r6,136(sp) +811348f0: 18ff0604 addi r3,r3,-1000 +811348f4: 1885883a add r2,r3,r2 +811348f8: 14000017 ldw r16,0(r2) +811348fc: 14400117 ldw r17,4(r2) +81134900: 30016316 blt r6,zero,81134e90 <_dtoa_r+0xd24> +81134904: 800d883a mov r6,r16 +81134908: 880f883a mov r7,r17 8113490c: 9009883a mov r4,r18 81134910: 980b883a mov r5,r19 -81134914: 112a4380 call 8112a438 <__subdf3> -81134918: d9c00717 ldw r7,28(sp) +81134914: 112939c0 call 8112939c <__divdf3> +81134918: 180b883a mov r5,r3 8113491c: 1009883a mov r4,r2 -81134920: a8800c04 addi r2,r21,48 -81134924: 38800005 stb r2,0(r7) -81134928: 3dc00044 addi r23,r7,1 -8113492c: d9c00617 ldw r7,24(sp) -81134930: 01800044 movi r6,1 -81134934: 180b883a mov r5,r3 -81134938: 2005883a mov r2,r4 -8113493c: 39803826 beq r7,r6,81134a20 <_dtoa_r+0x8f4> -81134940: 000d883a mov r6,zero -81134944: 01d00934 movhi r7,16420 -81134948: 1129d200 call 81129d20 <__muldf3> -8113494c: 000d883a mov r6,zero -81134950: 000f883a mov r7,zero -81134954: 1009883a mov r4,r2 -81134958: 180b883a mov r5,r3 -8113495c: 1025883a mov r18,r2 -81134960: 1827883a mov r19,r3 -81134964: 113f0a00 call 8113f0a0 <__eqdf2> -81134968: 103f9a26 beq r2,zero,811347d4 <__reset+0xfb1147d4> +81134920: 112ad740 call 8112ad74 <__fixdfsi> +81134924: 1009883a mov r4,r2 +81134928: 102b883a mov r21,r2 +8113492c: 112adf40 call 8112adf4 <__floatsidf> +81134930: 800d883a mov r6,r16 +81134934: 880f883a mov r7,r17 +81134938: 1009883a mov r4,r2 +8113493c: 180b883a mov r5,r3 +81134940: 1129d600 call 81129d60 <__muldf3> +81134944: 100d883a mov r6,r2 +81134948: 180f883a mov r7,r3 +8113494c: 9009883a mov r4,r18 +81134950: 980b883a mov r5,r19 +81134954: 112a4780 call 8112a478 <__subdf3> +81134958: d9c00717 ldw r7,28(sp) +8113495c: 1009883a mov r4,r2 +81134960: a8800c04 addi r2,r21,48 +81134964: 38800005 stb r2,0(r7) +81134968: 3dc00044 addi r23,r7,1 8113496c: d9c00617 ldw r7,24(sp) -81134970: d8c00717 ldw r3,28(sp) -81134974: b829883a mov r20,r23 -81134978: 38bfffc4 addi r2,r7,-1 -8113497c: 18ad883a add r22,r3,r2 -81134980: 00000a06 br 811349ac <_dtoa_r+0x880> -81134984: 1129d200 call 81129d20 <__muldf3> -81134988: 000d883a mov r6,zero -8113498c: 000f883a mov r7,zero -81134990: 1009883a mov r4,r2 -81134994: 180b883a mov r5,r3 -81134998: 1025883a mov r18,r2 -8113499c: 1827883a mov r19,r3 -811349a0: b829883a mov r20,r23 -811349a4: 113f0a00 call 8113f0a0 <__eqdf2> -811349a8: 103f8a26 beq r2,zero,811347d4 <__reset+0xfb1147d4> -811349ac: 800d883a mov r6,r16 -811349b0: 880f883a mov r7,r17 -811349b4: 9009883a mov r4,r18 -811349b8: 980b883a mov r5,r19 -811349bc: 112935c0 call 8112935c <__divdf3> -811349c0: 180b883a mov r5,r3 -811349c4: 1009883a mov r4,r2 -811349c8: 112ad340 call 8112ad34 <__fixdfsi> -811349cc: 1009883a mov r4,r2 -811349d0: 102b883a mov r21,r2 -811349d4: 112adb40 call 8112adb4 <__floatsidf> -811349d8: 800d883a mov r6,r16 -811349dc: 880f883a mov r7,r17 -811349e0: 1009883a mov r4,r2 -811349e4: 180b883a mov r5,r3 -811349e8: 1129d200 call 81129d20 <__muldf3> -811349ec: 100d883a mov r6,r2 -811349f0: 180f883a mov r7,r3 +81134970: 01800044 movi r6,1 +81134974: 180b883a mov r5,r3 +81134978: 2005883a mov r2,r4 +8113497c: 39803826 beq r7,r6,81134a60 <_dtoa_r+0x8f4> +81134980: 000d883a mov r6,zero +81134984: 01d00934 movhi r7,16420 +81134988: 1129d600 call 81129d60 <__muldf3> +8113498c: 000d883a mov r6,zero +81134990: 000f883a mov r7,zero +81134994: 1009883a mov r4,r2 +81134998: 180b883a mov r5,r3 +8113499c: 1025883a mov r18,r2 +811349a0: 1827883a mov r19,r3 +811349a4: 113f0e00 call 8113f0e0 <__eqdf2> +811349a8: 103f9a26 beq r2,zero,81134814 <__reset+0xfb114814> +811349ac: d9c00617 ldw r7,24(sp) +811349b0: d8c00717 ldw r3,28(sp) +811349b4: b829883a mov r20,r23 +811349b8: 38bfffc4 addi r2,r7,-1 +811349bc: 18ad883a add r22,r3,r2 +811349c0: 00000a06 br 811349ec <_dtoa_r+0x880> +811349c4: 1129d600 call 81129d60 <__muldf3> +811349c8: 000d883a mov r6,zero +811349cc: 000f883a mov r7,zero +811349d0: 1009883a mov r4,r2 +811349d4: 180b883a mov r5,r3 +811349d8: 1025883a mov r18,r2 +811349dc: 1827883a mov r19,r3 +811349e0: b829883a mov r20,r23 +811349e4: 113f0e00 call 8113f0e0 <__eqdf2> +811349e8: 103f8a26 beq r2,zero,81134814 <__reset+0xfb114814> +811349ec: 800d883a mov r6,r16 +811349f0: 880f883a mov r7,r17 811349f4: 9009883a mov r4,r18 811349f8: 980b883a mov r5,r19 -811349fc: 112a4380 call 8112a438 <__subdf3> -81134a00: aa000c04 addi r8,r21,48 -81134a04: a2000005 stb r8,0(r20) -81134a08: 000d883a mov r6,zero -81134a0c: 01d00934 movhi r7,16420 -81134a10: 1009883a mov r4,r2 -81134a14: 180b883a mov r5,r3 -81134a18: a5c00044 addi r23,r20,1 -81134a1c: b53fd91e bne r22,r20,81134984 <__reset+0xfb114984> -81134a20: 100d883a mov r6,r2 -81134a24: 180f883a mov r7,r3 -81134a28: 1009883a mov r4,r2 -81134a2c: 180b883a mov r5,r3 -81134a30: 1128ab00 call 81128ab0 <__adddf3> -81134a34: 100d883a mov r6,r2 -81134a38: 180f883a mov r7,r3 -81134a3c: 8009883a mov r4,r16 -81134a40: 880b883a mov r5,r17 -81134a44: 1027883a mov r19,r2 -81134a48: 1825883a mov r18,r3 -81134a4c: 113f1280 call 8113f128 <__ledf2> -81134a50: 10000816 blt r2,zero,81134a74 <_dtoa_r+0x948> -81134a54: 980d883a mov r6,r19 -81134a58: 900f883a mov r7,r18 -81134a5c: 8009883a mov r4,r16 -81134a60: 880b883a mov r5,r17 -81134a64: 113f0a00 call 8113f0a0 <__eqdf2> -81134a68: 103f5a1e bne r2,zero,811347d4 <__reset+0xfb1147d4> -81134a6c: ad40004c andi r21,r21,1 -81134a70: a83f5826 beq r21,zero,811347d4 <__reset+0xfb1147d4> -81134a74: bd3fffc3 ldbu r20,-1(r23) -81134a78: b8bfffc4 addi r2,r23,-1 -81134a7c: 1007883a mov r3,r2 -81134a80: 01400e44 movi r5,57 -81134a84: d9800717 ldw r6,28(sp) -81134a88: 00000506 br 81134aa0 <_dtoa_r+0x974> -81134a8c: 18ffffc4 addi r3,r3,-1 -81134a90: 11824726 beq r2,r6,811353b0 <_dtoa_r+0x1284> -81134a94: 1d000003 ldbu r20,0(r3) -81134a98: 102f883a mov r23,r2 -81134a9c: 10bfffc4 addi r2,r2,-1 -81134aa0: a1003fcc andi r4,r20,255 -81134aa4: 2100201c xori r4,r4,128 -81134aa8: 213fe004 addi r4,r4,-128 -81134aac: 217ff726 beq r4,r5,81134a8c <__reset+0xfb114a8c> -81134ab0: a2000044 addi r8,r20,1 -81134ab4: 12000005 stb r8,0(r2) -81134ab8: 003f4606 br 811347d4 <__reset+0xfb1147d4> -81134abc: d9000b17 ldw r4,44(sp) -81134ac0: 2000c826 beq r4,zero,81134de4 <_dtoa_r+0xcb8> -81134ac4: d9800317 ldw r6,12(sp) -81134ac8: 00c00044 movi r3,1 -81134acc: 1980f90e bge r3,r6,81134eb4 <_dtoa_r+0xd88> -81134ad0: d8800617 ldw r2,24(sp) -81134ad4: d8c00a17 ldw r3,40(sp) -81134ad8: 157fffc4 addi r21,r2,-1 -81134adc: 1d41f316 blt r3,r21,811352ac <_dtoa_r+0x1180> -81134ae0: 1d6bc83a sub r21,r3,r21 -81134ae4: d9c00617 ldw r7,24(sp) -81134ae8: 3802aa16 blt r7,zero,81135594 <_dtoa_r+0x1468> -81134aec: dd000817 ldw r20,32(sp) -81134af0: d8800617 ldw r2,24(sp) -81134af4: d8c00817 ldw r3,32(sp) -81134af8: 01400044 movi r5,1 -81134afc: e009883a mov r4,fp -81134b00: 1887883a add r3,r3,r2 -81134b04: d8c00815 stw r3,32(sp) -81134b08: b0ad883a add r22,r22,r2 -81134b0c: 11375b00 call 811375b0 <__i2b> -81134b10: 1023883a mov r17,r2 -81134b14: a0000826 beq r20,zero,81134b38 <_dtoa_r+0xa0c> -81134b18: 0580070e bge zero,r22,81134b38 <_dtoa_r+0xa0c> -81134b1c: a005883a mov r2,r20 -81134b20: b500b916 blt r22,r20,81134e08 <_dtoa_r+0xcdc> -81134b24: d9000817 ldw r4,32(sp) -81134b28: a0a9c83a sub r20,r20,r2 -81134b2c: b0adc83a sub r22,r22,r2 -81134b30: 2089c83a sub r4,r4,r2 -81134b34: d9000815 stw r4,32(sp) -81134b38: d9800a17 ldw r6,40(sp) -81134b3c: 0181810e bge zero,r6,81135144 <_dtoa_r+0x1018> -81134b40: d9c00b17 ldw r7,44(sp) -81134b44: 3800b326 beq r7,zero,81134e14 <_dtoa_r+0xce8> -81134b48: a800b226 beq r21,zero,81134e14 <_dtoa_r+0xce8> -81134b4c: 880b883a mov r5,r17 -81134b50: a80d883a mov r6,r21 -81134b54: e009883a mov r4,fp -81134b58: 11377e40 call 811377e4 <__pow5mult> -81134b5c: d9800917 ldw r6,36(sp) -81134b60: 100b883a mov r5,r2 -81134b64: e009883a mov r4,fp -81134b68: 1023883a mov r17,r2 -81134b6c: 11375ec0 call 811375ec <__multiply> -81134b70: 1021883a mov r16,r2 -81134b74: d8800a17 ldw r2,40(sp) -81134b78: d9400917 ldw r5,36(sp) -81134b7c: e009883a mov r4,fp -81134b80: 1545c83a sub r2,r2,r21 -81134b84: d8800a15 stw r2,40(sp) -81134b88: 113724c0 call 8113724c <_Bfree> -81134b8c: d8c00a17 ldw r3,40(sp) -81134b90: 18009f1e bne r3,zero,81134e10 <_dtoa_r+0xce4> -81134b94: 05c00044 movi r23,1 -81134b98: e009883a mov r4,fp -81134b9c: b80b883a mov r5,r23 -81134ba0: 11375b00 call 811375b0 <__i2b> -81134ba4: d9000d17 ldw r4,52(sp) -81134ba8: 102b883a mov r21,r2 -81134bac: 2000ce26 beq r4,zero,81134ee8 <_dtoa_r+0xdbc> -81134bb0: 200d883a mov r6,r4 -81134bb4: 100b883a mov r5,r2 -81134bb8: e009883a mov r4,fp -81134bbc: 11377e40 call 811377e4 <__pow5mult> -81134bc0: d9800317 ldw r6,12(sp) -81134bc4: 102b883a mov r21,r2 -81134bc8: b981810e bge r23,r6,811351d0 <_dtoa_r+0x10a4> -81134bcc: 0027883a mov r19,zero -81134bd0: a8800417 ldw r2,16(r21) -81134bd4: 05c00804 movi r23,32 -81134bd8: 10800104 addi r2,r2,4 -81134bdc: 1085883a add r2,r2,r2 -81134be0: 1085883a add r2,r2,r2 -81134be4: a885883a add r2,r21,r2 -81134be8: 11000017 ldw r4,0(r2) -81134bec: 11374980 call 81137498 <__hi0bits> -81134bf0: b885c83a sub r2,r23,r2 -81134bf4: 1585883a add r2,r2,r22 -81134bf8: 108007cc andi r2,r2,31 -81134bfc: 1000b326 beq r2,zero,81134ecc <_dtoa_r+0xda0> -81134c00: 00c00804 movi r3,32 -81134c04: 1887c83a sub r3,r3,r2 -81134c08: 01000104 movi r4,4 -81134c0c: 20c2cd0e bge r4,r3,81135744 <_dtoa_r+0x1618> -81134c10: 00c00704 movi r3,28 -81134c14: 1885c83a sub r2,r3,r2 -81134c18: d8c00817 ldw r3,32(sp) -81134c1c: a0a9883a add r20,r20,r2 -81134c20: b0ad883a add r22,r22,r2 -81134c24: 1887883a add r3,r3,r2 -81134c28: d8c00815 stw r3,32(sp) -81134c2c: d9800817 ldw r6,32(sp) -81134c30: 0180040e bge zero,r6,81134c44 <_dtoa_r+0xb18> -81134c34: 800b883a mov r5,r16 -81134c38: e009883a mov r4,fp -81134c3c: 11379240 call 81137924 <__lshift> -81134c40: 1021883a mov r16,r2 -81134c44: 0580050e bge zero,r22,81134c5c <_dtoa_r+0xb30> -81134c48: a80b883a mov r5,r21 -81134c4c: b00d883a mov r6,r22 -81134c50: e009883a mov r4,fp -81134c54: 11379240 call 81137924 <__lshift> -81134c58: 102b883a mov r21,r2 -81134c5c: d9c00e17 ldw r7,56(sp) -81134c60: 3801211e bne r7,zero,811350e8 <_dtoa_r+0xfbc> -81134c64: d9800617 ldw r6,24(sp) -81134c68: 0181380e bge zero,r6,8113514c <_dtoa_r+0x1020> -81134c6c: d8c00b17 ldw r3,44(sp) -81134c70: 1800ab1e bne r3,zero,81134f20 <_dtoa_r+0xdf4> -81134c74: dc800717 ldw r18,28(sp) -81134c78: dcc00617 ldw r19,24(sp) -81134c7c: 9029883a mov r20,r18 -81134c80: 00000206 br 81134c8c <_dtoa_r+0xb60> -81134c84: 11372740 call 81137274 <__multadd> -81134c88: 1021883a mov r16,r2 -81134c8c: a80b883a mov r5,r21 -81134c90: 8009883a mov r4,r16 -81134c94: 1133f2c0 call 81133f2c -81134c98: 10800c04 addi r2,r2,48 -81134c9c: 90800005 stb r2,0(r18) -81134ca0: 94800044 addi r18,r18,1 -81134ca4: 9507c83a sub r3,r18,r20 -81134ca8: 000f883a mov r7,zero -81134cac: 01800284 movi r6,10 -81134cb0: 800b883a mov r5,r16 -81134cb4: e009883a mov r4,fp -81134cb8: 1cfff216 blt r3,r19,81134c84 <__reset+0xfb114c84> -81134cbc: 1011883a mov r8,r2 -81134cc0: d8800617 ldw r2,24(sp) -81134cc4: 0082370e bge zero,r2,811355a4 <_dtoa_r+0x1478> -81134cc8: d9000717 ldw r4,28(sp) -81134ccc: 0025883a mov r18,zero -81134cd0: 20af883a add r23,r4,r2 -81134cd4: 01800044 movi r6,1 -81134cd8: 800b883a mov r5,r16 -81134cdc: e009883a mov r4,fp -81134ce0: da001715 stw r8,92(sp) -81134ce4: 11379240 call 81137924 <__lshift> -81134ce8: a80b883a mov r5,r21 -81134cec: 1009883a mov r4,r2 -81134cf0: d8800915 stw r2,36(sp) -81134cf4: 1137a6c0 call 81137a6c <__mcmp> -81134cf8: da001717 ldw r8,92(sp) -81134cfc: 0081800e bge zero,r2,81135300 <_dtoa_r+0x11d4> -81134d00: b93fffc3 ldbu r4,-1(r23) -81134d04: b8bfffc4 addi r2,r23,-1 -81134d08: 1007883a mov r3,r2 -81134d0c: 01800e44 movi r6,57 -81134d10: d9c00717 ldw r7,28(sp) -81134d14: 00000506 br 81134d2c <_dtoa_r+0xc00> -81134d18: 18ffffc4 addi r3,r3,-1 -81134d1c: 11c12326 beq r2,r7,811351ac <_dtoa_r+0x1080> -81134d20: 19000003 ldbu r4,0(r3) -81134d24: 102f883a mov r23,r2 -81134d28: 10bfffc4 addi r2,r2,-1 -81134d2c: 21403fcc andi r5,r4,255 -81134d30: 2940201c xori r5,r5,128 -81134d34: 297fe004 addi r5,r5,-128 -81134d38: 29bff726 beq r5,r6,81134d18 <__reset+0xfb114d18> -81134d3c: 21000044 addi r4,r4,1 -81134d40: 11000005 stb r4,0(r2) -81134d44: a80b883a mov r5,r21 -81134d48: e009883a mov r4,fp -81134d4c: 113724c0 call 8113724c <_Bfree> -81134d50: 883ea026 beq r17,zero,811347d4 <__reset+0xfb1147d4> -81134d54: 90000426 beq r18,zero,81134d68 <_dtoa_r+0xc3c> -81134d58: 94400326 beq r18,r17,81134d68 <_dtoa_r+0xc3c> -81134d5c: 900b883a mov r5,r18 -81134d60: e009883a mov r4,fp -81134d64: 113724c0 call 8113724c <_Bfree> -81134d68: 880b883a mov r5,r17 -81134d6c: e009883a mov r4,fp -81134d70: 113724c0 call 8113724c <_Bfree> -81134d74: 003e9706 br 811347d4 <__reset+0xfb1147d4> -81134d78: 01800044 movi r6,1 -81134d7c: d9800e15 stw r6,56(sp) -81134d80: 003d9606 br 811343dc <__reset+0xfb1143dc> -81134d84: d8800817 ldw r2,32(sp) -81134d88: d8c00517 ldw r3,20(sp) -81134d8c: d8000d15 stw zero,52(sp) -81134d90: 10c5c83a sub r2,r2,r3 -81134d94: 00c9c83a sub r4,zero,r3 -81134d98: d8800815 stw r2,32(sp) -81134d9c: d9000a15 stw r4,40(sp) -81134da0: 003d9706 br 81134400 <__reset+0xfb114400> -81134da4: 05adc83a sub r22,zero,r22 -81134da8: dd800815 stw r22,32(sp) -81134dac: 002d883a mov r22,zero -81134db0: 003d8e06 br 811343ec <__reset+0xfb1143ec> -81134db4: d9000517 ldw r4,20(sp) -81134db8: 112adb40 call 8112adb4 <__floatsidf> -81134dbc: 100d883a mov r6,r2 -81134dc0: 180f883a mov r7,r3 -81134dc4: a009883a mov r4,r20 -81134dc8: 880b883a mov r5,r17 -81134dcc: 113f0a00 call 8113f0a0 <__eqdf2> -81134dd0: 103d7126 beq r2,zero,81134398 <__reset+0xfb114398> -81134dd4: d9c00517 ldw r7,20(sp) -81134dd8: 39ffffc4 addi r7,r7,-1 -81134ddc: d9c00515 stw r7,20(sp) -81134de0: 003d6d06 br 81134398 <__reset+0xfb114398> -81134de4: dd400a17 ldw r21,40(sp) -81134de8: dd000817 ldw r20,32(sp) -81134dec: 0023883a mov r17,zero -81134df0: 003f4806 br 81134b14 <__reset+0xfb114b14> -81134df4: 10e3c83a sub r17,r2,r3 -81134df8: 9448983a sll r4,r18,r17 -81134dfc: 003d3206 br 811342c8 <__reset+0xfb1142c8> -81134e00: d8000e15 stw zero,56(sp) -81134e04: 003d7506 br 811343dc <__reset+0xfb1143dc> -81134e08: b005883a mov r2,r22 -81134e0c: 003f4506 br 81134b24 <__reset+0xfb114b24> -81134e10: dc000915 stw r16,36(sp) -81134e14: d9800a17 ldw r6,40(sp) -81134e18: d9400917 ldw r5,36(sp) -81134e1c: e009883a mov r4,fp -81134e20: 11377e40 call 811377e4 <__pow5mult> -81134e24: 1021883a mov r16,r2 -81134e28: 003f5a06 br 81134b94 <__reset+0xfb114b94> -81134e2c: 01c00044 movi r7,1 -81134e30: d9c00b15 stw r7,44(sp) -81134e34: d8802217 ldw r2,136(sp) -81134e38: 0081280e bge zero,r2,811352dc <_dtoa_r+0x11b0> -81134e3c: 100d883a mov r6,r2 -81134e40: 1021883a mov r16,r2 -81134e44: d8800c15 stw r2,48(sp) -81134e48: d8800615 stw r2,24(sp) -81134e4c: 003d8806 br 81134470 <__reset+0xfb114470> -81134e50: d8800617 ldw r2,24(sp) -81134e54: 00be9b16 blt zero,r2,811348c4 <__reset+0xfb1148c4> -81134e58: 10010f1e bne r2,zero,81135298 <_dtoa_r+0x116c> -81134e5c: 880b883a mov r5,r17 -81134e60: 000d883a mov r6,zero -81134e64: 01d00534 movhi r7,16404 -81134e68: 8009883a mov r4,r16 -81134e6c: 1129d200 call 81129d20 <__muldf3> -81134e70: 900d883a mov r6,r18 -81134e74: 980f883a mov r7,r19 -81134e78: 1009883a mov r4,r2 -81134e7c: 180b883a mov r5,r3 -81134e80: 1129c440 call 81129c44 <__gedf2> -81134e84: 002b883a mov r21,zero -81134e88: 0023883a mov r17,zero -81134e8c: 1000bf16 blt r2,zero,8113518c <_dtoa_r+0x1060> -81134e90: d9802217 ldw r6,136(sp) -81134e94: ddc00717 ldw r23,28(sp) -81134e98: 018c303a nor r6,zero,r6 -81134e9c: d9800515 stw r6,20(sp) -81134ea0: a80b883a mov r5,r21 -81134ea4: e009883a mov r4,fp -81134ea8: 113724c0 call 8113724c <_Bfree> -81134eac: 883e4926 beq r17,zero,811347d4 <__reset+0xfb1147d4> -81134eb0: 003fad06 br 81134d68 <__reset+0xfb114d68> -81134eb4: d9c01117 ldw r7,68(sp) -81134eb8: 3801bc26 beq r7,zero,811355ac <_dtoa_r+0x1480> -81134ebc: 10810cc4 addi r2,r2,1075 -81134ec0: dd400a17 ldw r21,40(sp) -81134ec4: dd000817 ldw r20,32(sp) -81134ec8: 003f0a06 br 81134af4 <__reset+0xfb114af4> -81134ecc: 00800704 movi r2,28 -81134ed0: d9000817 ldw r4,32(sp) -81134ed4: a0a9883a add r20,r20,r2 -81134ed8: b0ad883a add r22,r22,r2 -81134edc: 2089883a add r4,r4,r2 -81134ee0: d9000815 stw r4,32(sp) -81134ee4: 003f5106 br 81134c2c <__reset+0xfb114c2c> -81134ee8: d8c00317 ldw r3,12(sp) -81134eec: b8c1fc0e bge r23,r3,811356e0 <_dtoa_r+0x15b4> -81134ef0: 0027883a mov r19,zero -81134ef4: b805883a mov r2,r23 -81134ef8: 003f3e06 br 81134bf4 <__reset+0xfb114bf4> -81134efc: 880b883a mov r5,r17 -81134f00: e009883a mov r4,fp -81134f04: 000f883a mov r7,zero -81134f08: 01800284 movi r6,10 -81134f0c: 11372740 call 81137274 <__multadd> -81134f10: d9000c17 ldw r4,48(sp) -81134f14: 1023883a mov r17,r2 -81134f18: 0102040e bge zero,r4,8113572c <_dtoa_r+0x1600> -81134f1c: d9000615 stw r4,24(sp) -81134f20: 0500050e bge zero,r20,81134f38 <_dtoa_r+0xe0c> -81134f24: 880b883a mov r5,r17 -81134f28: a00d883a mov r6,r20 -81134f2c: e009883a mov r4,fp -81134f30: 11379240 call 81137924 <__lshift> -81134f34: 1023883a mov r17,r2 -81134f38: 9801241e bne r19,zero,811353cc <_dtoa_r+0x12a0> -81134f3c: 8829883a mov r20,r17 -81134f40: d9000617 ldw r4,24(sp) -81134f44: dcc00717 ldw r19,28(sp) -81134f48: 9480004c andi r18,r18,1 -81134f4c: 20bfffc4 addi r2,r4,-1 -81134f50: 9885883a add r2,r19,r2 -81134f54: d8800415 stw r2,16(sp) -81134f58: dc800615 stw r18,24(sp) -81134f5c: a80b883a mov r5,r21 -81134f60: 8009883a mov r4,r16 -81134f64: 1133f2c0 call 81133f2c -81134f68: 880b883a mov r5,r17 -81134f6c: 8009883a mov r4,r16 -81134f70: 102f883a mov r23,r2 -81134f74: 1137a6c0 call 81137a6c <__mcmp> -81134f78: a80b883a mov r5,r21 -81134f7c: a00d883a mov r6,r20 -81134f80: e009883a mov r4,fp -81134f84: 102d883a mov r22,r2 -81134f88: 1137acc0 call 81137acc <__mdiff> -81134f8c: 1007883a mov r3,r2 -81134f90: 10800317 ldw r2,12(r2) -81134f94: bc800c04 addi r18,r23,48 -81134f98: 180b883a mov r5,r3 -81134f9c: 10004e1e bne r2,zero,811350d8 <_dtoa_r+0xfac> +811349fc: 112939c0 call 8112939c <__divdf3> +81134a00: 180b883a mov r5,r3 +81134a04: 1009883a mov r4,r2 +81134a08: 112ad740 call 8112ad74 <__fixdfsi> +81134a0c: 1009883a mov r4,r2 +81134a10: 102b883a mov r21,r2 +81134a14: 112adf40 call 8112adf4 <__floatsidf> +81134a18: 800d883a mov r6,r16 +81134a1c: 880f883a mov r7,r17 +81134a20: 1009883a mov r4,r2 +81134a24: 180b883a mov r5,r3 +81134a28: 1129d600 call 81129d60 <__muldf3> +81134a2c: 100d883a mov r6,r2 +81134a30: 180f883a mov r7,r3 +81134a34: 9009883a mov r4,r18 +81134a38: 980b883a mov r5,r19 +81134a3c: 112a4780 call 8112a478 <__subdf3> +81134a40: aa000c04 addi r8,r21,48 +81134a44: a2000005 stb r8,0(r20) +81134a48: 000d883a mov r6,zero +81134a4c: 01d00934 movhi r7,16420 +81134a50: 1009883a mov r4,r2 +81134a54: 180b883a mov r5,r3 +81134a58: a5c00044 addi r23,r20,1 +81134a5c: b53fd91e bne r22,r20,811349c4 <__reset+0xfb1149c4> +81134a60: 100d883a mov r6,r2 +81134a64: 180f883a mov r7,r3 +81134a68: 1009883a mov r4,r2 +81134a6c: 180b883a mov r5,r3 +81134a70: 1128af00 call 81128af0 <__adddf3> +81134a74: 100d883a mov r6,r2 +81134a78: 180f883a mov r7,r3 +81134a7c: 8009883a mov r4,r16 +81134a80: 880b883a mov r5,r17 +81134a84: 1027883a mov r19,r2 +81134a88: 1825883a mov r18,r3 +81134a8c: 113f1680 call 8113f168 <__ledf2> +81134a90: 10000816 blt r2,zero,81134ab4 <_dtoa_r+0x948> +81134a94: 980d883a mov r6,r19 +81134a98: 900f883a mov r7,r18 +81134a9c: 8009883a mov r4,r16 +81134aa0: 880b883a mov r5,r17 +81134aa4: 113f0e00 call 8113f0e0 <__eqdf2> +81134aa8: 103f5a1e bne r2,zero,81134814 <__reset+0xfb114814> +81134aac: ad40004c andi r21,r21,1 +81134ab0: a83f5826 beq r21,zero,81134814 <__reset+0xfb114814> +81134ab4: bd3fffc3 ldbu r20,-1(r23) +81134ab8: b8bfffc4 addi r2,r23,-1 +81134abc: 1007883a mov r3,r2 +81134ac0: 01400e44 movi r5,57 +81134ac4: d9800717 ldw r6,28(sp) +81134ac8: 00000506 br 81134ae0 <_dtoa_r+0x974> +81134acc: 18ffffc4 addi r3,r3,-1 +81134ad0: 11824726 beq r2,r6,811353f0 <_dtoa_r+0x1284> +81134ad4: 1d000003 ldbu r20,0(r3) +81134ad8: 102f883a mov r23,r2 +81134adc: 10bfffc4 addi r2,r2,-1 +81134ae0: a1003fcc andi r4,r20,255 +81134ae4: 2100201c xori r4,r4,128 +81134ae8: 213fe004 addi r4,r4,-128 +81134aec: 217ff726 beq r4,r5,81134acc <__reset+0xfb114acc> +81134af0: a2000044 addi r8,r20,1 +81134af4: 12000005 stb r8,0(r2) +81134af8: 003f4606 br 81134814 <__reset+0xfb114814> +81134afc: d9000b17 ldw r4,44(sp) +81134b00: 2000c826 beq r4,zero,81134e24 <_dtoa_r+0xcb8> +81134b04: d9800317 ldw r6,12(sp) +81134b08: 00c00044 movi r3,1 +81134b0c: 1980f90e bge r3,r6,81134ef4 <_dtoa_r+0xd88> +81134b10: d8800617 ldw r2,24(sp) +81134b14: d8c00a17 ldw r3,40(sp) +81134b18: 157fffc4 addi r21,r2,-1 +81134b1c: 1d41f316 blt r3,r21,811352ec <_dtoa_r+0x1180> +81134b20: 1d6bc83a sub r21,r3,r21 +81134b24: d9c00617 ldw r7,24(sp) +81134b28: 3802aa16 blt r7,zero,811355d4 <_dtoa_r+0x1468> +81134b2c: dd000817 ldw r20,32(sp) +81134b30: d8800617 ldw r2,24(sp) +81134b34: d8c00817 ldw r3,32(sp) +81134b38: 01400044 movi r5,1 +81134b3c: e009883a mov r4,fp +81134b40: 1887883a add r3,r3,r2 +81134b44: d8c00815 stw r3,32(sp) +81134b48: b0ad883a add r22,r22,r2 +81134b4c: 11375f00 call 811375f0 <__i2b> +81134b50: 1023883a mov r17,r2 +81134b54: a0000826 beq r20,zero,81134b78 <_dtoa_r+0xa0c> +81134b58: 0580070e bge zero,r22,81134b78 <_dtoa_r+0xa0c> +81134b5c: a005883a mov r2,r20 +81134b60: b500b916 blt r22,r20,81134e48 <_dtoa_r+0xcdc> +81134b64: d9000817 ldw r4,32(sp) +81134b68: a0a9c83a sub r20,r20,r2 +81134b6c: b0adc83a sub r22,r22,r2 +81134b70: 2089c83a sub r4,r4,r2 +81134b74: d9000815 stw r4,32(sp) +81134b78: d9800a17 ldw r6,40(sp) +81134b7c: 0181810e bge zero,r6,81135184 <_dtoa_r+0x1018> +81134b80: d9c00b17 ldw r7,44(sp) +81134b84: 3800b326 beq r7,zero,81134e54 <_dtoa_r+0xce8> +81134b88: a800b226 beq r21,zero,81134e54 <_dtoa_r+0xce8> +81134b8c: 880b883a mov r5,r17 +81134b90: a80d883a mov r6,r21 +81134b94: e009883a mov r4,fp +81134b98: 11378240 call 81137824 <__pow5mult> +81134b9c: d9800917 ldw r6,36(sp) +81134ba0: 100b883a mov r5,r2 +81134ba4: e009883a mov r4,fp +81134ba8: 1023883a mov r17,r2 +81134bac: 113762c0 call 8113762c <__multiply> +81134bb0: 1021883a mov r16,r2 +81134bb4: d8800a17 ldw r2,40(sp) +81134bb8: d9400917 ldw r5,36(sp) +81134bbc: e009883a mov r4,fp +81134bc0: 1545c83a sub r2,r2,r21 +81134bc4: d8800a15 stw r2,40(sp) +81134bc8: 113728c0 call 8113728c <_Bfree> +81134bcc: d8c00a17 ldw r3,40(sp) +81134bd0: 18009f1e bne r3,zero,81134e50 <_dtoa_r+0xce4> +81134bd4: 05c00044 movi r23,1 +81134bd8: e009883a mov r4,fp +81134bdc: b80b883a mov r5,r23 +81134be0: 11375f00 call 811375f0 <__i2b> +81134be4: d9000d17 ldw r4,52(sp) +81134be8: 102b883a mov r21,r2 +81134bec: 2000ce26 beq r4,zero,81134f28 <_dtoa_r+0xdbc> +81134bf0: 200d883a mov r6,r4 +81134bf4: 100b883a mov r5,r2 +81134bf8: e009883a mov r4,fp +81134bfc: 11378240 call 81137824 <__pow5mult> +81134c00: d9800317 ldw r6,12(sp) +81134c04: 102b883a mov r21,r2 +81134c08: b981810e bge r23,r6,81135210 <_dtoa_r+0x10a4> +81134c0c: 0027883a mov r19,zero +81134c10: a8800417 ldw r2,16(r21) +81134c14: 05c00804 movi r23,32 +81134c18: 10800104 addi r2,r2,4 +81134c1c: 1085883a add r2,r2,r2 +81134c20: 1085883a add r2,r2,r2 +81134c24: a885883a add r2,r21,r2 +81134c28: 11000017 ldw r4,0(r2) +81134c2c: 11374d80 call 811374d8 <__hi0bits> +81134c30: b885c83a sub r2,r23,r2 +81134c34: 1585883a add r2,r2,r22 +81134c38: 108007cc andi r2,r2,31 +81134c3c: 1000b326 beq r2,zero,81134f0c <_dtoa_r+0xda0> +81134c40: 00c00804 movi r3,32 +81134c44: 1887c83a sub r3,r3,r2 +81134c48: 01000104 movi r4,4 +81134c4c: 20c2cd0e bge r4,r3,81135784 <_dtoa_r+0x1618> +81134c50: 00c00704 movi r3,28 +81134c54: 1885c83a sub r2,r3,r2 +81134c58: d8c00817 ldw r3,32(sp) +81134c5c: a0a9883a add r20,r20,r2 +81134c60: b0ad883a add r22,r22,r2 +81134c64: 1887883a add r3,r3,r2 +81134c68: d8c00815 stw r3,32(sp) +81134c6c: d9800817 ldw r6,32(sp) +81134c70: 0180040e bge zero,r6,81134c84 <_dtoa_r+0xb18> +81134c74: 800b883a mov r5,r16 +81134c78: e009883a mov r4,fp +81134c7c: 11379640 call 81137964 <__lshift> +81134c80: 1021883a mov r16,r2 +81134c84: 0580050e bge zero,r22,81134c9c <_dtoa_r+0xb30> +81134c88: a80b883a mov r5,r21 +81134c8c: b00d883a mov r6,r22 +81134c90: e009883a mov r4,fp +81134c94: 11379640 call 81137964 <__lshift> +81134c98: 102b883a mov r21,r2 +81134c9c: d9c00e17 ldw r7,56(sp) +81134ca0: 3801211e bne r7,zero,81135128 <_dtoa_r+0xfbc> +81134ca4: d9800617 ldw r6,24(sp) +81134ca8: 0181380e bge zero,r6,8113518c <_dtoa_r+0x1020> +81134cac: d8c00b17 ldw r3,44(sp) +81134cb0: 1800ab1e bne r3,zero,81134f60 <_dtoa_r+0xdf4> +81134cb4: dc800717 ldw r18,28(sp) +81134cb8: dcc00617 ldw r19,24(sp) +81134cbc: 9029883a mov r20,r18 +81134cc0: 00000206 br 81134ccc <_dtoa_r+0xb60> +81134cc4: 11372b40 call 811372b4 <__multadd> +81134cc8: 1021883a mov r16,r2 +81134ccc: a80b883a mov r5,r21 +81134cd0: 8009883a mov r4,r16 +81134cd4: 1133f6c0 call 81133f6c +81134cd8: 10800c04 addi r2,r2,48 +81134cdc: 90800005 stb r2,0(r18) +81134ce0: 94800044 addi r18,r18,1 +81134ce4: 9507c83a sub r3,r18,r20 +81134ce8: 000f883a mov r7,zero +81134cec: 01800284 movi r6,10 +81134cf0: 800b883a mov r5,r16 +81134cf4: e009883a mov r4,fp +81134cf8: 1cfff216 blt r3,r19,81134cc4 <__reset+0xfb114cc4> +81134cfc: 1011883a mov r8,r2 +81134d00: d8800617 ldw r2,24(sp) +81134d04: 0082370e bge zero,r2,811355e4 <_dtoa_r+0x1478> +81134d08: d9000717 ldw r4,28(sp) +81134d0c: 0025883a mov r18,zero +81134d10: 20af883a add r23,r4,r2 +81134d14: 01800044 movi r6,1 +81134d18: 800b883a mov r5,r16 +81134d1c: e009883a mov r4,fp +81134d20: da001715 stw r8,92(sp) +81134d24: 11379640 call 81137964 <__lshift> +81134d28: a80b883a mov r5,r21 +81134d2c: 1009883a mov r4,r2 +81134d30: d8800915 stw r2,36(sp) +81134d34: 1137aac0 call 81137aac <__mcmp> +81134d38: da001717 ldw r8,92(sp) +81134d3c: 0081800e bge zero,r2,81135340 <_dtoa_r+0x11d4> +81134d40: b93fffc3 ldbu r4,-1(r23) +81134d44: b8bfffc4 addi r2,r23,-1 +81134d48: 1007883a mov r3,r2 +81134d4c: 01800e44 movi r6,57 +81134d50: d9c00717 ldw r7,28(sp) +81134d54: 00000506 br 81134d6c <_dtoa_r+0xc00> +81134d58: 18ffffc4 addi r3,r3,-1 +81134d5c: 11c12326 beq r2,r7,811351ec <_dtoa_r+0x1080> +81134d60: 19000003 ldbu r4,0(r3) +81134d64: 102f883a mov r23,r2 +81134d68: 10bfffc4 addi r2,r2,-1 +81134d6c: 21403fcc andi r5,r4,255 +81134d70: 2940201c xori r5,r5,128 +81134d74: 297fe004 addi r5,r5,-128 +81134d78: 29bff726 beq r5,r6,81134d58 <__reset+0xfb114d58> +81134d7c: 21000044 addi r4,r4,1 +81134d80: 11000005 stb r4,0(r2) +81134d84: a80b883a mov r5,r21 +81134d88: e009883a mov r4,fp +81134d8c: 113728c0 call 8113728c <_Bfree> +81134d90: 883ea026 beq r17,zero,81134814 <__reset+0xfb114814> +81134d94: 90000426 beq r18,zero,81134da8 <_dtoa_r+0xc3c> +81134d98: 94400326 beq r18,r17,81134da8 <_dtoa_r+0xc3c> +81134d9c: 900b883a mov r5,r18 +81134da0: e009883a mov r4,fp +81134da4: 113728c0 call 8113728c <_Bfree> +81134da8: 880b883a mov r5,r17 +81134dac: e009883a mov r4,fp +81134db0: 113728c0 call 8113728c <_Bfree> +81134db4: 003e9706 br 81134814 <__reset+0xfb114814> +81134db8: 01800044 movi r6,1 +81134dbc: d9800e15 stw r6,56(sp) +81134dc0: 003d9606 br 8113441c <__reset+0xfb11441c> +81134dc4: d8800817 ldw r2,32(sp) +81134dc8: d8c00517 ldw r3,20(sp) +81134dcc: d8000d15 stw zero,52(sp) +81134dd0: 10c5c83a sub r2,r2,r3 +81134dd4: 00c9c83a sub r4,zero,r3 +81134dd8: d8800815 stw r2,32(sp) +81134ddc: d9000a15 stw r4,40(sp) +81134de0: 003d9706 br 81134440 <__reset+0xfb114440> +81134de4: 05adc83a sub r22,zero,r22 +81134de8: dd800815 stw r22,32(sp) +81134dec: 002d883a mov r22,zero +81134df0: 003d8e06 br 8113442c <__reset+0xfb11442c> +81134df4: d9000517 ldw r4,20(sp) +81134df8: 112adf40 call 8112adf4 <__floatsidf> +81134dfc: 100d883a mov r6,r2 +81134e00: 180f883a mov r7,r3 +81134e04: a009883a mov r4,r20 +81134e08: 880b883a mov r5,r17 +81134e0c: 113f0e00 call 8113f0e0 <__eqdf2> +81134e10: 103d7126 beq r2,zero,811343d8 <__reset+0xfb1143d8> +81134e14: d9c00517 ldw r7,20(sp) +81134e18: 39ffffc4 addi r7,r7,-1 +81134e1c: d9c00515 stw r7,20(sp) +81134e20: 003d6d06 br 811343d8 <__reset+0xfb1143d8> +81134e24: dd400a17 ldw r21,40(sp) +81134e28: dd000817 ldw r20,32(sp) +81134e2c: 0023883a mov r17,zero +81134e30: 003f4806 br 81134b54 <__reset+0xfb114b54> +81134e34: 10e3c83a sub r17,r2,r3 +81134e38: 9448983a sll r4,r18,r17 +81134e3c: 003d3206 br 81134308 <__reset+0xfb114308> +81134e40: d8000e15 stw zero,56(sp) +81134e44: 003d7506 br 8113441c <__reset+0xfb11441c> +81134e48: b005883a mov r2,r22 +81134e4c: 003f4506 br 81134b64 <__reset+0xfb114b64> +81134e50: dc000915 stw r16,36(sp) +81134e54: d9800a17 ldw r6,40(sp) +81134e58: d9400917 ldw r5,36(sp) +81134e5c: e009883a mov r4,fp +81134e60: 11378240 call 81137824 <__pow5mult> +81134e64: 1021883a mov r16,r2 +81134e68: 003f5a06 br 81134bd4 <__reset+0xfb114bd4> +81134e6c: 01c00044 movi r7,1 +81134e70: d9c00b15 stw r7,44(sp) +81134e74: d8802217 ldw r2,136(sp) +81134e78: 0081280e bge zero,r2,8113531c <_dtoa_r+0x11b0> +81134e7c: 100d883a mov r6,r2 +81134e80: 1021883a mov r16,r2 +81134e84: d8800c15 stw r2,48(sp) +81134e88: d8800615 stw r2,24(sp) +81134e8c: 003d8806 br 811344b0 <__reset+0xfb1144b0> +81134e90: d8800617 ldw r2,24(sp) +81134e94: 00be9b16 blt zero,r2,81134904 <__reset+0xfb114904> +81134e98: 10010f1e bne r2,zero,811352d8 <_dtoa_r+0x116c> +81134e9c: 880b883a mov r5,r17 +81134ea0: 000d883a mov r6,zero +81134ea4: 01d00534 movhi r7,16404 +81134ea8: 8009883a mov r4,r16 +81134eac: 1129d600 call 81129d60 <__muldf3> +81134eb0: 900d883a mov r6,r18 +81134eb4: 980f883a mov r7,r19 +81134eb8: 1009883a mov r4,r2 +81134ebc: 180b883a mov r5,r3 +81134ec0: 1129c840 call 81129c84 <__gedf2> +81134ec4: 002b883a mov r21,zero +81134ec8: 0023883a mov r17,zero +81134ecc: 1000bf16 blt r2,zero,811351cc <_dtoa_r+0x1060> +81134ed0: d9802217 ldw r6,136(sp) +81134ed4: ddc00717 ldw r23,28(sp) +81134ed8: 018c303a nor r6,zero,r6 +81134edc: d9800515 stw r6,20(sp) +81134ee0: a80b883a mov r5,r21 +81134ee4: e009883a mov r4,fp +81134ee8: 113728c0 call 8113728c <_Bfree> +81134eec: 883e4926 beq r17,zero,81134814 <__reset+0xfb114814> +81134ef0: 003fad06 br 81134da8 <__reset+0xfb114da8> +81134ef4: d9c01117 ldw r7,68(sp) +81134ef8: 3801bc26 beq r7,zero,811355ec <_dtoa_r+0x1480> +81134efc: 10810cc4 addi r2,r2,1075 +81134f00: dd400a17 ldw r21,40(sp) +81134f04: dd000817 ldw r20,32(sp) +81134f08: 003f0a06 br 81134b34 <__reset+0xfb114b34> +81134f0c: 00800704 movi r2,28 +81134f10: d9000817 ldw r4,32(sp) +81134f14: a0a9883a add r20,r20,r2 +81134f18: b0ad883a add r22,r22,r2 +81134f1c: 2089883a add r4,r4,r2 +81134f20: d9000815 stw r4,32(sp) +81134f24: 003f5106 br 81134c6c <__reset+0xfb114c6c> +81134f28: d8c00317 ldw r3,12(sp) +81134f2c: b8c1fc0e bge r23,r3,81135720 <_dtoa_r+0x15b4> +81134f30: 0027883a mov r19,zero +81134f34: b805883a mov r2,r23 +81134f38: 003f3e06 br 81134c34 <__reset+0xfb114c34> +81134f3c: 880b883a mov r5,r17 +81134f40: e009883a mov r4,fp +81134f44: 000f883a mov r7,zero +81134f48: 01800284 movi r6,10 +81134f4c: 11372b40 call 811372b4 <__multadd> +81134f50: d9000c17 ldw r4,48(sp) +81134f54: 1023883a mov r17,r2 +81134f58: 0102040e bge zero,r4,8113576c <_dtoa_r+0x1600> +81134f5c: d9000615 stw r4,24(sp) +81134f60: 0500050e bge zero,r20,81134f78 <_dtoa_r+0xe0c> +81134f64: 880b883a mov r5,r17 +81134f68: a00d883a mov r6,r20 +81134f6c: e009883a mov r4,fp +81134f70: 11379640 call 81137964 <__lshift> +81134f74: 1023883a mov r17,r2 +81134f78: 9801241e bne r19,zero,8113540c <_dtoa_r+0x12a0> +81134f7c: 8829883a mov r20,r17 +81134f80: d9000617 ldw r4,24(sp) +81134f84: dcc00717 ldw r19,28(sp) +81134f88: 9480004c andi r18,r18,1 +81134f8c: 20bfffc4 addi r2,r4,-1 +81134f90: 9885883a add r2,r19,r2 +81134f94: d8800415 stw r2,16(sp) +81134f98: dc800615 stw r18,24(sp) +81134f9c: a80b883a mov r5,r21 81134fa0: 8009883a mov r4,r16 -81134fa4: d8c01615 stw r3,88(sp) -81134fa8: 1137a6c0 call 81137a6c <__mcmp> -81134fac: d8c01617 ldw r3,88(sp) -81134fb0: e009883a mov r4,fp -81134fb4: d8801615 stw r2,88(sp) -81134fb8: 180b883a mov r5,r3 -81134fbc: 113724c0 call 8113724c <_Bfree> -81134fc0: d8801617 ldw r2,88(sp) -81134fc4: 1000041e bne r2,zero,81134fd8 <_dtoa_r+0xeac> -81134fc8: d9800317 ldw r6,12(sp) -81134fcc: 3000021e bne r6,zero,81134fd8 <_dtoa_r+0xeac> -81134fd0: d8c00617 ldw r3,24(sp) -81134fd4: 18003726 beq r3,zero,811350b4 <_dtoa_r+0xf88> -81134fd8: b0002016 blt r22,zero,8113505c <_dtoa_r+0xf30> -81134fdc: b000041e bne r22,zero,81134ff0 <_dtoa_r+0xec4> -81134fe0: d9000317 ldw r4,12(sp) -81134fe4: 2000021e bne r4,zero,81134ff0 <_dtoa_r+0xec4> -81134fe8: d8c00617 ldw r3,24(sp) -81134fec: 18001b26 beq r3,zero,8113505c <_dtoa_r+0xf30> -81134ff0: 00810716 blt zero,r2,81135410 <_dtoa_r+0x12e4> -81134ff4: d8c00417 ldw r3,16(sp) -81134ff8: 9d800044 addi r22,r19,1 -81134ffc: 9c800005 stb r18,0(r19) -81135000: b02f883a mov r23,r22 -81135004: 98c10626 beq r19,r3,81135420 <_dtoa_r+0x12f4> -81135008: 800b883a mov r5,r16 -8113500c: 000f883a mov r7,zero -81135010: 01800284 movi r6,10 -81135014: e009883a mov r4,fp -81135018: 11372740 call 81137274 <__multadd> -8113501c: 1021883a mov r16,r2 -81135020: 000f883a mov r7,zero -81135024: 01800284 movi r6,10 -81135028: 880b883a mov r5,r17 -8113502c: e009883a mov r4,fp -81135030: 8d002526 beq r17,r20,811350c8 <_dtoa_r+0xf9c> -81135034: 11372740 call 81137274 <__multadd> -81135038: a00b883a mov r5,r20 -8113503c: 000f883a mov r7,zero -81135040: 01800284 movi r6,10 -81135044: e009883a mov r4,fp -81135048: 1023883a mov r17,r2 -8113504c: 11372740 call 81137274 <__multadd> -81135050: 1029883a mov r20,r2 -81135054: b027883a mov r19,r22 -81135058: 003fc006 br 81134f5c <__reset+0xfb114f5c> -8113505c: 9011883a mov r8,r18 -81135060: 00800e0e bge zero,r2,8113509c <_dtoa_r+0xf70> -81135064: 800b883a mov r5,r16 -81135068: 01800044 movi r6,1 +81134fa4: 1133f6c0 call 81133f6c +81134fa8: 880b883a mov r5,r17 +81134fac: 8009883a mov r4,r16 +81134fb0: 102f883a mov r23,r2 +81134fb4: 1137aac0 call 81137aac <__mcmp> +81134fb8: a80b883a mov r5,r21 +81134fbc: a00d883a mov r6,r20 +81134fc0: e009883a mov r4,fp +81134fc4: 102d883a mov r22,r2 +81134fc8: 1137b0c0 call 81137b0c <__mdiff> +81134fcc: 1007883a mov r3,r2 +81134fd0: 10800317 ldw r2,12(r2) +81134fd4: bc800c04 addi r18,r23,48 +81134fd8: 180b883a mov r5,r3 +81134fdc: 10004e1e bne r2,zero,81135118 <_dtoa_r+0xfac> +81134fe0: 8009883a mov r4,r16 +81134fe4: d8c01615 stw r3,88(sp) +81134fe8: 1137aac0 call 81137aac <__mcmp> +81134fec: d8c01617 ldw r3,88(sp) +81134ff0: e009883a mov r4,fp +81134ff4: d8801615 stw r2,88(sp) +81134ff8: 180b883a mov r5,r3 +81134ffc: 113728c0 call 8113728c <_Bfree> +81135000: d8801617 ldw r2,88(sp) +81135004: 1000041e bne r2,zero,81135018 <_dtoa_r+0xeac> +81135008: d9800317 ldw r6,12(sp) +8113500c: 3000021e bne r6,zero,81135018 <_dtoa_r+0xeac> +81135010: d8c00617 ldw r3,24(sp) +81135014: 18003726 beq r3,zero,811350f4 <_dtoa_r+0xf88> +81135018: b0002016 blt r22,zero,8113509c <_dtoa_r+0xf30> +8113501c: b000041e bne r22,zero,81135030 <_dtoa_r+0xec4> +81135020: d9000317 ldw r4,12(sp) +81135024: 2000021e bne r4,zero,81135030 <_dtoa_r+0xec4> +81135028: d8c00617 ldw r3,24(sp) +8113502c: 18001b26 beq r3,zero,8113509c <_dtoa_r+0xf30> +81135030: 00810716 blt zero,r2,81135450 <_dtoa_r+0x12e4> +81135034: d8c00417 ldw r3,16(sp) +81135038: 9d800044 addi r22,r19,1 +8113503c: 9c800005 stb r18,0(r19) +81135040: b02f883a mov r23,r22 +81135044: 98c10626 beq r19,r3,81135460 <_dtoa_r+0x12f4> +81135048: 800b883a mov r5,r16 +8113504c: 000f883a mov r7,zero +81135050: 01800284 movi r6,10 +81135054: e009883a mov r4,fp +81135058: 11372b40 call 811372b4 <__multadd> +8113505c: 1021883a mov r16,r2 +81135060: 000f883a mov r7,zero +81135064: 01800284 movi r6,10 +81135068: 880b883a mov r5,r17 8113506c: e009883a mov r4,fp -81135070: da001715 stw r8,92(sp) -81135074: 11379240 call 81137924 <__lshift> -81135078: a80b883a mov r5,r21 -8113507c: 1009883a mov r4,r2 -81135080: 1021883a mov r16,r2 -81135084: 1137a6c0 call 81137a6c <__mcmp> -81135088: da001717 ldw r8,92(sp) -8113508c: 0081960e bge zero,r2,811356e8 <_dtoa_r+0x15bc> -81135090: 00800e44 movi r2,57 -81135094: 40817026 beq r8,r2,81135658 <_dtoa_r+0x152c> -81135098: ba000c44 addi r8,r23,49 -8113509c: 8825883a mov r18,r17 -811350a0: 9dc00044 addi r23,r19,1 -811350a4: 9a000005 stb r8,0(r19) -811350a8: a023883a mov r17,r20 -811350ac: dc000915 stw r16,36(sp) -811350b0: 003f2406 br 81134d44 <__reset+0xfb114d44> -811350b4: 00800e44 movi r2,57 -811350b8: 9011883a mov r8,r18 -811350bc: 90816626 beq r18,r2,81135658 <_dtoa_r+0x152c> -811350c0: 05bff516 blt zero,r22,81135098 <__reset+0xfb115098> -811350c4: 003ff506 br 8113509c <__reset+0xfb11509c> -811350c8: 11372740 call 81137274 <__multadd> -811350cc: 1023883a mov r17,r2 -811350d0: 1029883a mov r20,r2 -811350d4: 003fdf06 br 81135054 <__reset+0xfb115054> -811350d8: e009883a mov r4,fp -811350dc: 113724c0 call 8113724c <_Bfree> -811350e0: 00800044 movi r2,1 -811350e4: 003fbc06 br 81134fd8 <__reset+0xfb114fd8> -811350e8: a80b883a mov r5,r21 -811350ec: 8009883a mov r4,r16 -811350f0: 1137a6c0 call 81137a6c <__mcmp> -811350f4: 103edb0e bge r2,zero,81134c64 <__reset+0xfb114c64> -811350f8: 800b883a mov r5,r16 -811350fc: 000f883a mov r7,zero -81135100: 01800284 movi r6,10 -81135104: e009883a mov r4,fp -81135108: 11372740 call 81137274 <__multadd> -8113510c: 1021883a mov r16,r2 -81135110: d8800517 ldw r2,20(sp) -81135114: d8c00b17 ldw r3,44(sp) -81135118: 10bfffc4 addi r2,r2,-1 -8113511c: d8800515 stw r2,20(sp) -81135120: 183f761e bne r3,zero,81134efc <__reset+0xfb114efc> -81135124: d9000c17 ldw r4,48(sp) -81135128: 0101730e bge zero,r4,811356f8 <_dtoa_r+0x15cc> -8113512c: d9000615 stw r4,24(sp) -81135130: 003ed006 br 81134c74 <__reset+0xfb114c74> -81135134: 00800084 movi r2,2 -81135138: 3081861e bne r6,r2,81135754 <_dtoa_r+0x1628> -8113513c: d8000b15 stw zero,44(sp) -81135140: 003f3c06 br 81134e34 <__reset+0xfb114e34> -81135144: dc000917 ldw r16,36(sp) -81135148: 003e9206 br 81134b94 <__reset+0xfb114b94> -8113514c: d9c00317 ldw r7,12(sp) -81135150: 00800084 movi r2,2 -81135154: 11fec50e bge r2,r7,81134c6c <__reset+0xfb114c6c> -81135158: d9000617 ldw r4,24(sp) -8113515c: 20013c1e bne r4,zero,81135650 <_dtoa_r+0x1524> -81135160: a80b883a mov r5,r21 -81135164: 000f883a mov r7,zero -81135168: 01800144 movi r6,5 -8113516c: e009883a mov r4,fp -81135170: 11372740 call 81137274 <__multadd> -81135174: 100b883a mov r5,r2 -81135178: 8009883a mov r4,r16 -8113517c: 102b883a mov r21,r2 -81135180: 1137a6c0 call 81137a6c <__mcmp> -81135184: dc000915 stw r16,36(sp) -81135188: 00bf410e bge zero,r2,81134e90 <__reset+0xfb114e90> -8113518c: d9c00717 ldw r7,28(sp) -81135190: 00800c44 movi r2,49 -81135194: 38800005 stb r2,0(r7) -81135198: d8800517 ldw r2,20(sp) -8113519c: 3dc00044 addi r23,r7,1 -811351a0: 10800044 addi r2,r2,1 -811351a4: d8800515 stw r2,20(sp) -811351a8: 003f3d06 br 81134ea0 <__reset+0xfb114ea0> -811351ac: d9800517 ldw r6,20(sp) -811351b0: d9c00717 ldw r7,28(sp) -811351b4: 00800c44 movi r2,49 -811351b8: 31800044 addi r6,r6,1 -811351bc: d9800515 stw r6,20(sp) -811351c0: 38800005 stb r2,0(r7) -811351c4: 003edf06 br 81134d44 <__reset+0xfb114d44> -811351c8: d8000b15 stw zero,44(sp) -811351cc: 003c9f06 br 8113444c <__reset+0xfb11444c> -811351d0: 903e7e1e bne r18,zero,81134bcc <__reset+0xfb114bcc> -811351d4: 00800434 movhi r2,16 -811351d8: 10bfffc4 addi r2,r2,-1 -811351dc: 9884703a and r2,r19,r2 -811351e0: 1000ea1e bne r2,zero,8113558c <_dtoa_r+0x1460> -811351e4: 9cdffc2c andhi r19,r19,32752 -811351e8: 9800e826 beq r19,zero,8113558c <_dtoa_r+0x1460> -811351ec: d9c00817 ldw r7,32(sp) -811351f0: b5800044 addi r22,r22,1 -811351f4: 04c00044 movi r19,1 -811351f8: 39c00044 addi r7,r7,1 -811351fc: d9c00815 stw r7,32(sp) -81135200: d8800d17 ldw r2,52(sp) -81135204: 103e721e bne r2,zero,81134bd0 <__reset+0xfb114bd0> -81135208: 00800044 movi r2,1 -8113520c: 003e7906 br 81134bf4 <__reset+0xfb114bf4> -81135210: 8009883a mov r4,r16 -81135214: 112adb40 call 8112adb4 <__floatsidf> -81135218: d9800f17 ldw r6,60(sp) -8113521c: d9c01017 ldw r7,64(sp) -81135220: 1009883a mov r4,r2 -81135224: 180b883a mov r5,r3 -81135228: 1129d200 call 81129d20 <__muldf3> -8113522c: 000d883a mov r6,zero -81135230: 01d00734 movhi r7,16412 -81135234: 1009883a mov r4,r2 -81135238: 180b883a mov r5,r3 -8113523c: 1128ab00 call 81128ab0 <__adddf3> -81135240: 047f3034 movhi r17,64704 -81135244: 1021883a mov r16,r2 -81135248: 1c63883a add r17,r3,r17 -8113524c: d9000f17 ldw r4,60(sp) -81135250: d9401017 ldw r5,64(sp) -81135254: 000d883a mov r6,zero -81135258: 01d00534 movhi r7,16404 -8113525c: 112a4380 call 8112a438 <__subdf3> -81135260: 800d883a mov r6,r16 -81135264: 880f883a mov r7,r17 -81135268: 1009883a mov r4,r2 -8113526c: 180b883a mov r5,r3 -81135270: 102b883a mov r21,r2 -81135274: 1829883a mov r20,r3 -81135278: 1129c440 call 81129c44 <__gedf2> -8113527c: 00806c16 blt zero,r2,81135430 <_dtoa_r+0x1304> -81135280: 89e0003c xorhi r7,r17,32768 -81135284: 800d883a mov r6,r16 -81135288: a809883a mov r4,r21 -8113528c: a00b883a mov r5,r20 -81135290: 113f1280 call 8113f128 <__ledf2> -81135294: 103d7e0e bge r2,zero,81134890 <__reset+0xfb114890> -81135298: 002b883a mov r21,zero -8113529c: 0023883a mov r17,zero -811352a0: 003efb06 br 81134e90 <__reset+0xfb114e90> -811352a4: d8800717 ldw r2,28(sp) -811352a8: 003bd006 br 811341ec <__reset+0xfb1141ec> -811352ac: d9000a17 ldw r4,40(sp) -811352b0: d9800d17 ldw r6,52(sp) -811352b4: dd400a15 stw r21,40(sp) -811352b8: a905c83a sub r2,r21,r4 -811352bc: 308d883a add r6,r6,r2 -811352c0: d9800d15 stw r6,52(sp) -811352c4: 002b883a mov r21,zero -811352c8: 003e0606 br 81134ae4 <__reset+0xfb114ae4> -811352cc: 9023883a mov r17,r18 -811352d0: 9829883a mov r20,r19 -811352d4: 04000084 movi r16,2 -811352d8: 003c9206 br 81134524 <__reset+0xfb114524> -811352dc: 04000044 movi r16,1 -811352e0: dc000c15 stw r16,48(sp) -811352e4: dc000615 stw r16,24(sp) -811352e8: dc002215 stw r16,136(sp) -811352ec: e0001115 stw zero,68(fp) -811352f0: 000b883a mov r5,zero -811352f4: 003c6906 br 8113449c <__reset+0xfb11449c> -811352f8: 3021883a mov r16,r6 -811352fc: 003ffb06 br 811352ec <__reset+0xfb1152ec> -81135300: 1000021e bne r2,zero,8113530c <_dtoa_r+0x11e0> -81135304: 4200004c andi r8,r8,1 -81135308: 403e7d1e bne r8,zero,81134d00 <__reset+0xfb114d00> -8113530c: 01000c04 movi r4,48 -81135310: 00000106 br 81135318 <_dtoa_r+0x11ec> -81135314: 102f883a mov r23,r2 -81135318: b8bfffc4 addi r2,r23,-1 -8113531c: 10c00007 ldb r3,0(r2) -81135320: 193ffc26 beq r3,r4,81135314 <__reset+0xfb115314> -81135324: 003e8706 br 81134d44 <__reset+0xfb114d44> -81135328: d8800517 ldw r2,20(sp) -8113532c: 00a3c83a sub r17,zero,r2 -81135330: 8800a426 beq r17,zero,811355c4 <_dtoa_r+0x1498> -81135334: 888003cc andi r2,r17,15 -81135338: 100490fa slli r2,r2,3 -8113533c: 00e04574 movhi r3,33045 -81135340: 18fef504 addi r3,r3,-1068 -81135344: 1885883a add r2,r3,r2 -81135348: 11800017 ldw r6,0(r2) -8113534c: 11c00117 ldw r7,4(r2) -81135350: 9009883a mov r4,r18 -81135354: 980b883a mov r5,r19 -81135358: 8823d13a srai r17,r17,4 -8113535c: 1129d200 call 81129d20 <__muldf3> -81135360: d8800f15 stw r2,60(sp) -81135364: d8c01015 stw r3,64(sp) -81135368: 8800e826 beq r17,zero,8113570c <_dtoa_r+0x15e0> -8113536c: 05204574 movhi r20,33045 -81135370: a53eeb04 addi r20,r20,-1108 -81135374: 04000084 movi r16,2 -81135378: 8980004c andi r6,r17,1 -8113537c: 1009883a mov r4,r2 -81135380: 8823d07a srai r17,r17,1 -81135384: 180b883a mov r5,r3 -81135388: 30000426 beq r6,zero,8113539c <_dtoa_r+0x1270> -8113538c: a1800017 ldw r6,0(r20) -81135390: a1c00117 ldw r7,4(r20) -81135394: 84000044 addi r16,r16,1 -81135398: 1129d200 call 81129d20 <__muldf3> -8113539c: a5000204 addi r20,r20,8 -811353a0: 883ff51e bne r17,zero,81135378 <__reset+0xfb115378> -811353a4: d8800f15 stw r2,60(sp) -811353a8: d8c01015 stw r3,64(sp) -811353ac: 003c7606 br 81134588 <__reset+0xfb114588> -811353b0: 00c00c04 movi r3,48 -811353b4: 10c00005 stb r3,0(r2) -811353b8: d8c00517 ldw r3,20(sp) -811353bc: bd3fffc3 ldbu r20,-1(r23) -811353c0: 18c00044 addi r3,r3,1 -811353c4: d8c00515 stw r3,20(sp) -811353c8: 003db906 br 81134ab0 <__reset+0xfb114ab0> -811353cc: 89400117 ldw r5,4(r17) -811353d0: e009883a mov r4,fp -811353d4: 11371a40 call 811371a4 <_Balloc> -811353d8: 89800417 ldw r6,16(r17) -811353dc: 89400304 addi r5,r17,12 -811353e0: 11000304 addi r4,r2,12 -811353e4: 31800084 addi r6,r6,2 -811353e8: 318d883a add r6,r6,r6 -811353ec: 318d883a add r6,r6,r6 -811353f0: 1027883a mov r19,r2 -811353f4: 112c6480 call 8112c648 -811353f8: 01800044 movi r6,1 -811353fc: 980b883a mov r5,r19 -81135400: e009883a mov r4,fp -81135404: 11379240 call 81137924 <__lshift> -81135408: 1029883a mov r20,r2 -8113540c: 003ecc06 br 81134f40 <__reset+0xfb114f40> -81135410: 00800e44 movi r2,57 -81135414: 90809026 beq r18,r2,81135658 <_dtoa_r+0x152c> -81135418: 92000044 addi r8,r18,1 -8113541c: 003f1f06 br 8113509c <__reset+0xfb11509c> -81135420: 9011883a mov r8,r18 -81135424: 8825883a mov r18,r17 -81135428: a023883a mov r17,r20 -8113542c: 003e2906 br 81134cd4 <__reset+0xfb114cd4> -81135430: 002b883a mov r21,zero -81135434: 0023883a mov r17,zero -81135438: 003f5406 br 8113518c <__reset+0xfb11518c> -8113543c: 61bfffc4 addi r6,r12,-1 -81135440: 300490fa slli r2,r6,3 -81135444: 00e04574 movhi r3,33045 -81135448: 18fef504 addi r3,r3,-1068 -8113544c: 1885883a add r2,r3,r2 -81135450: 11000017 ldw r4,0(r2) -81135454: 11400117 ldw r5,4(r2) -81135458: d8800717 ldw r2,28(sp) -8113545c: 880f883a mov r7,r17 -81135460: d9801215 stw r6,72(sp) -81135464: 800d883a mov r6,r16 -81135468: db001615 stw r12,88(sp) -8113546c: 15c00044 addi r23,r2,1 -81135470: 1129d200 call 81129d20 <__muldf3> -81135474: d9401017 ldw r5,64(sp) -81135478: d9000f17 ldw r4,60(sp) -8113547c: d8c01515 stw r3,84(sp) -81135480: d8801415 stw r2,80(sp) -81135484: 112ad340 call 8112ad34 <__fixdfsi> -81135488: 1009883a mov r4,r2 -8113548c: 1021883a mov r16,r2 -81135490: 112adb40 call 8112adb4 <__floatsidf> -81135494: d9000f17 ldw r4,60(sp) -81135498: d9401017 ldw r5,64(sp) -8113549c: 100d883a mov r6,r2 -811354a0: 180f883a mov r7,r3 -811354a4: 112a4380 call 8112a438 <__subdf3> -811354a8: 1829883a mov r20,r3 -811354ac: d8c00717 ldw r3,28(sp) -811354b0: 84000c04 addi r16,r16,48 -811354b4: 1023883a mov r17,r2 -811354b8: 1c000005 stb r16,0(r3) -811354bc: db001617 ldw r12,88(sp) -811354c0: 00800044 movi r2,1 -811354c4: 60802226 beq r12,r2,81135550 <_dtoa_r+0x1424> -811354c8: d9c00717 ldw r7,28(sp) -811354cc: 8805883a mov r2,r17 -811354d0: b82b883a mov r21,r23 -811354d4: 3b19883a add r12,r7,r12 -811354d8: 6023883a mov r17,r12 -811354dc: a007883a mov r3,r20 -811354e0: dc800f15 stw r18,60(sp) -811354e4: 000d883a mov r6,zero -811354e8: 01d00934 movhi r7,16420 -811354ec: 1009883a mov r4,r2 -811354f0: 180b883a mov r5,r3 -811354f4: 1129d200 call 81129d20 <__muldf3> -811354f8: 180b883a mov r5,r3 -811354fc: 1009883a mov r4,r2 -81135500: 1829883a mov r20,r3 -81135504: 1025883a mov r18,r2 -81135508: 112ad340 call 8112ad34 <__fixdfsi> -8113550c: 1009883a mov r4,r2 -81135510: 1021883a mov r16,r2 -81135514: 112adb40 call 8112adb4 <__floatsidf> -81135518: 100d883a mov r6,r2 -8113551c: 180f883a mov r7,r3 -81135520: 9009883a mov r4,r18 -81135524: a00b883a mov r5,r20 -81135528: 84000c04 addi r16,r16,48 -8113552c: 112a4380 call 8112a438 <__subdf3> -81135530: ad400044 addi r21,r21,1 -81135534: ac3fffc5 stb r16,-1(r21) -81135538: ac7fea1e bne r21,r17,811354e4 <__reset+0xfb1154e4> -8113553c: 1023883a mov r17,r2 -81135540: d8801217 ldw r2,72(sp) -81135544: dc800f17 ldw r18,60(sp) -81135548: 1829883a mov r20,r3 -8113554c: b8af883a add r23,r23,r2 -81135550: d9001417 ldw r4,80(sp) -81135554: d9401517 ldw r5,84(sp) -81135558: 000d883a mov r6,zero -8113555c: 01cff834 movhi r7,16352 -81135560: 1128ab00 call 81128ab0 <__adddf3> -81135564: 880d883a mov r6,r17 -81135568: a00f883a mov r7,r20 -8113556c: 1009883a mov r4,r2 -81135570: 180b883a mov r5,r3 -81135574: 113f1280 call 8113f128 <__ledf2> -81135578: 10003e0e bge r2,zero,81135674 <_dtoa_r+0x1548> -8113557c: d9001317 ldw r4,76(sp) -81135580: bd3fffc3 ldbu r20,-1(r23) -81135584: d9000515 stw r4,20(sp) -81135588: 003d3b06 br 81134a78 <__reset+0xfb114a78> -8113558c: 0027883a mov r19,zero -81135590: 003f1b06 br 81135200 <__reset+0xfb115200> -81135594: d8800817 ldw r2,32(sp) -81135598: 11e9c83a sub r20,r2,r7 -8113559c: 0005883a mov r2,zero -811355a0: 003d5406 br 81134af4 <__reset+0xfb114af4> -811355a4: 00800044 movi r2,1 -811355a8: 003dc706 br 81134cc8 <__reset+0xfb114cc8> -811355ac: d8c00217 ldw r3,8(sp) -811355b0: 00800d84 movi r2,54 -811355b4: dd400a17 ldw r21,40(sp) -811355b8: 10c5c83a sub r2,r2,r3 -811355bc: dd000817 ldw r20,32(sp) -811355c0: 003d4c06 br 81134af4 <__reset+0xfb114af4> -811355c4: dc800f15 stw r18,60(sp) -811355c8: dcc01015 stw r19,64(sp) -811355cc: 04000084 movi r16,2 -811355d0: 003bed06 br 81134588 <__reset+0xfb114588> -811355d4: d9000617 ldw r4,24(sp) -811355d8: 203f0d26 beq r4,zero,81135210 <__reset+0xfb115210> -811355dc: d9800c17 ldw r6,48(sp) -811355e0: 01bcab0e bge zero,r6,81134890 <__reset+0xfb114890> -811355e4: d9401017 ldw r5,64(sp) -811355e8: d9000f17 ldw r4,60(sp) -811355ec: 000d883a mov r6,zero -811355f0: 01d00934 movhi r7,16420 -811355f4: 1129d200 call 81129d20 <__muldf3> -811355f8: 81000044 addi r4,r16,1 -811355fc: d8800f15 stw r2,60(sp) -81135600: d8c01015 stw r3,64(sp) -81135604: 112adb40 call 8112adb4 <__floatsidf> -81135608: d9800f17 ldw r6,60(sp) -8113560c: d9c01017 ldw r7,64(sp) -81135610: 1009883a mov r4,r2 -81135614: 180b883a mov r5,r3 -81135618: 1129d200 call 81129d20 <__muldf3> -8113561c: 01d00734 movhi r7,16412 -81135620: 000d883a mov r6,zero -81135624: 1009883a mov r4,r2 -81135628: 180b883a mov r5,r3 -8113562c: 1128ab00 call 81128ab0 <__adddf3> -81135630: d9c00517 ldw r7,20(sp) -81135634: 047f3034 movhi r17,64704 -81135638: 1021883a mov r16,r2 -8113563c: 39ffffc4 addi r7,r7,-1 -81135640: d9c01315 stw r7,76(sp) -81135644: 1c63883a add r17,r3,r17 -81135648: db000c17 ldw r12,48(sp) -8113564c: 003bea06 br 811345f8 <__reset+0xfb1145f8> -81135650: dc000915 stw r16,36(sp) -81135654: 003e0e06 br 81134e90 <__reset+0xfb114e90> -81135658: 01000e44 movi r4,57 -8113565c: 8825883a mov r18,r17 -81135660: 9dc00044 addi r23,r19,1 -81135664: 99000005 stb r4,0(r19) -81135668: a023883a mov r17,r20 -8113566c: dc000915 stw r16,36(sp) -81135670: 003da406 br 81134d04 <__reset+0xfb114d04> -81135674: d9801417 ldw r6,80(sp) -81135678: d9c01517 ldw r7,84(sp) -8113567c: 0009883a mov r4,zero -81135680: 014ff834 movhi r5,16352 -81135684: 112a4380 call 8112a438 <__subdf3> -81135688: 880d883a mov r6,r17 -8113568c: a00f883a mov r7,r20 -81135690: 1009883a mov r4,r2 -81135694: 180b883a mov r5,r3 -81135698: 1129c440 call 81129c44 <__gedf2> -8113569c: 00bc7c0e bge zero,r2,81134890 <__reset+0xfb114890> -811356a0: 01000c04 movi r4,48 -811356a4: 00000106 br 811356ac <_dtoa_r+0x1580> -811356a8: 102f883a mov r23,r2 -811356ac: b8bfffc4 addi r2,r23,-1 -811356b0: 10c00007 ldb r3,0(r2) -811356b4: 193ffc26 beq r3,r4,811356a8 <__reset+0xfb1156a8> -811356b8: d9801317 ldw r6,76(sp) -811356bc: d9800515 stw r6,20(sp) -811356c0: 003c4406 br 811347d4 <__reset+0xfb1147d4> -811356c4: d9801317 ldw r6,76(sp) -811356c8: d9800515 stw r6,20(sp) -811356cc: 003cea06 br 81134a78 <__reset+0xfb114a78> -811356d0: dd800f17 ldw r22,60(sp) -811356d4: dcc01017 ldw r19,64(sp) -811356d8: dc801217 ldw r18,72(sp) -811356dc: 003c6c06 br 81134890 <__reset+0xfb114890> -811356e0: 903e031e bne r18,zero,81134ef0 <__reset+0xfb114ef0> -811356e4: 003ebb06 br 811351d4 <__reset+0xfb1151d4> -811356e8: 103e6c1e bne r2,zero,8113509c <__reset+0xfb11509c> -811356ec: 4080004c andi r2,r8,1 -811356f0: 103e6a26 beq r2,zero,8113509c <__reset+0xfb11509c> -811356f4: 003e6606 br 81135090 <__reset+0xfb115090> -811356f8: d8c00317 ldw r3,12(sp) -811356fc: 00800084 movi r2,2 -81135700: 10c02916 blt r2,r3,811357a8 <_dtoa_r+0x167c> -81135704: d9000c17 ldw r4,48(sp) -81135708: 003e8806 br 8113512c <__reset+0xfb11512c> -8113570c: 04000084 movi r16,2 -81135710: 003b9d06 br 81134588 <__reset+0xfb114588> -81135714: d9001317 ldw r4,76(sp) -81135718: d9000515 stw r4,20(sp) -8113571c: 003cd606 br 81134a78 <__reset+0xfb114a78> -81135720: d8801317 ldw r2,76(sp) -81135724: d8800515 stw r2,20(sp) -81135728: 003c2a06 br 811347d4 <__reset+0xfb1147d4> -8113572c: d9800317 ldw r6,12(sp) -81135730: 00800084 movi r2,2 -81135734: 11801516 blt r2,r6,8113578c <_dtoa_r+0x1660> -81135738: d9c00c17 ldw r7,48(sp) -8113573c: d9c00615 stw r7,24(sp) -81135740: 003df706 br 81134f20 <__reset+0xfb114f20> -81135744: 193d3926 beq r3,r4,81134c2c <__reset+0xfb114c2c> -81135748: 00c00f04 movi r3,60 -8113574c: 1885c83a sub r2,r3,r2 -81135750: 003ddf06 br 81134ed0 <__reset+0xfb114ed0> -81135754: e009883a mov r4,fp -81135758: e0001115 stw zero,68(fp) -8113575c: 000b883a mov r5,zero -81135760: 11371a40 call 811371a4 <_Balloc> -81135764: d8800715 stw r2,28(sp) -81135768: d8c00717 ldw r3,28(sp) -8113576c: 00bfffc4 movi r2,-1 -81135770: 01000044 movi r4,1 -81135774: d8800c15 stw r2,48(sp) -81135778: e0c01015 stw r3,64(fp) -8113577c: d9000b15 stw r4,44(sp) -81135780: d8800615 stw r2,24(sp) -81135784: d8002215 stw zero,136(sp) -81135788: 003c4106 br 81134890 <__reset+0xfb114890> -8113578c: d8c00c17 ldw r3,48(sp) -81135790: d8c00615 stw r3,24(sp) -81135794: 003e7006 br 81135158 <__reset+0xfb115158> -81135798: 04400044 movi r17,1 -8113579c: 003b2006 br 81134420 <__reset+0xfb114420> -811357a0: 000b883a mov r5,zero -811357a4: 003b3d06 br 8113449c <__reset+0xfb11449c> -811357a8: d8800c17 ldw r2,48(sp) -811357ac: d8800615 stw r2,24(sp) -811357b0: 003e6906 br 81135158 <__reset+0xfb115158> - -811357b4 <__sflush_r>: -811357b4: 2880030b ldhu r2,12(r5) -811357b8: defffb04 addi sp,sp,-20 -811357bc: dcc00315 stw r19,12(sp) -811357c0: dc400115 stw r17,4(sp) -811357c4: dfc00415 stw ra,16(sp) -811357c8: dc800215 stw r18,8(sp) -811357cc: dc000015 stw r16,0(sp) -811357d0: 10c0020c andi r3,r2,8 -811357d4: 2823883a mov r17,r5 -811357d8: 2027883a mov r19,r4 -811357dc: 1800311e bne r3,zero,811358a4 <__sflush_r+0xf0> -811357e0: 28c00117 ldw r3,4(r5) -811357e4: 10820014 ori r2,r2,2048 -811357e8: 2880030d sth r2,12(r5) -811357ec: 00c04b0e bge zero,r3,8113591c <__sflush_r+0x168> -811357f0: 8a000a17 ldw r8,40(r17) -811357f4: 40002326 beq r8,zero,81135884 <__sflush_r+0xd0> -811357f8: 9c000017 ldw r16,0(r19) -811357fc: 10c4000c andi r3,r2,4096 -81135800: 98000015 stw zero,0(r19) -81135804: 18004826 beq r3,zero,81135928 <__sflush_r+0x174> -81135808: 89801417 ldw r6,80(r17) -8113580c: 10c0010c andi r3,r2,4 -81135810: 18000626 beq r3,zero,8113582c <__sflush_r+0x78> -81135814: 88c00117 ldw r3,4(r17) -81135818: 88800c17 ldw r2,48(r17) -8113581c: 30cdc83a sub r6,r6,r3 -81135820: 10000226 beq r2,zero,8113582c <__sflush_r+0x78> -81135824: 88800f17 ldw r2,60(r17) -81135828: 308dc83a sub r6,r6,r2 -8113582c: 89400717 ldw r5,28(r17) -81135830: 000f883a mov r7,zero -81135834: 9809883a mov r4,r19 -81135838: 403ee83a callr r8 -8113583c: 00ffffc4 movi r3,-1 -81135840: 10c04426 beq r2,r3,81135954 <__sflush_r+0x1a0> -81135844: 88c0030b ldhu r3,12(r17) -81135848: 89000417 ldw r4,16(r17) -8113584c: 88000115 stw zero,4(r17) -81135850: 197dffcc andi r5,r3,63487 -81135854: 8940030d sth r5,12(r17) -81135858: 89000015 stw r4,0(r17) -8113585c: 18c4000c andi r3,r3,4096 -81135860: 18002c1e bne r3,zero,81135914 <__sflush_r+0x160> -81135864: 89400c17 ldw r5,48(r17) -81135868: 9c000015 stw r16,0(r19) -8113586c: 28000526 beq r5,zero,81135884 <__sflush_r+0xd0> -81135870: 88801004 addi r2,r17,64 -81135874: 28800226 beq r5,r2,81135880 <__sflush_r+0xcc> -81135878: 9809883a mov r4,r19 -8113587c: 113622c0 call 8113622c <_free_r> -81135880: 88000c15 stw zero,48(r17) -81135884: 0005883a mov r2,zero -81135888: dfc00417 ldw ra,16(sp) -8113588c: dcc00317 ldw r19,12(sp) -81135890: dc800217 ldw r18,8(sp) -81135894: dc400117 ldw r17,4(sp) -81135898: dc000017 ldw r16,0(sp) -8113589c: dec00504 addi sp,sp,20 -811358a0: f800283a ret -811358a4: 2c800417 ldw r18,16(r5) -811358a8: 903ff626 beq r18,zero,81135884 <__reset+0xfb115884> -811358ac: 2c000017 ldw r16,0(r5) -811358b0: 108000cc andi r2,r2,3 -811358b4: 2c800015 stw r18,0(r5) -811358b8: 84a1c83a sub r16,r16,r18 -811358bc: 1000131e bne r2,zero,8113590c <__sflush_r+0x158> -811358c0: 28800517 ldw r2,20(r5) -811358c4: 88800215 stw r2,8(r17) -811358c8: 04000316 blt zero,r16,811358d8 <__sflush_r+0x124> -811358cc: 003fed06 br 81135884 <__reset+0xfb115884> -811358d0: 90a5883a add r18,r18,r2 -811358d4: 043feb0e bge zero,r16,81135884 <__reset+0xfb115884> -811358d8: 88800917 ldw r2,36(r17) -811358dc: 89400717 ldw r5,28(r17) -811358e0: 800f883a mov r7,r16 -811358e4: 900d883a mov r6,r18 -811358e8: 9809883a mov r4,r19 -811358ec: 103ee83a callr r2 -811358f0: 80a1c83a sub r16,r16,r2 -811358f4: 00bff616 blt zero,r2,811358d0 <__reset+0xfb1158d0> -811358f8: 88c0030b ldhu r3,12(r17) -811358fc: 00bfffc4 movi r2,-1 -81135900: 18c01014 ori r3,r3,64 -81135904: 88c0030d sth r3,12(r17) -81135908: 003fdf06 br 81135888 <__reset+0xfb115888> -8113590c: 0005883a mov r2,zero -81135910: 003fec06 br 811358c4 <__reset+0xfb1158c4> -81135914: 88801415 stw r2,80(r17) -81135918: 003fd206 br 81135864 <__reset+0xfb115864> -8113591c: 28c00f17 ldw r3,60(r5) -81135920: 00ffb316 blt zero,r3,811357f0 <__reset+0xfb1157f0> -81135924: 003fd706 br 81135884 <__reset+0xfb115884> -81135928: 89400717 ldw r5,28(r17) -8113592c: 000d883a mov r6,zero -81135930: 01c00044 movi r7,1 -81135934: 9809883a mov r4,r19 -81135938: 403ee83a callr r8 -8113593c: 100d883a mov r6,r2 -81135940: 00bfffc4 movi r2,-1 -81135944: 30801426 beq r6,r2,81135998 <__sflush_r+0x1e4> -81135948: 8880030b ldhu r2,12(r17) -8113594c: 8a000a17 ldw r8,40(r17) -81135950: 003fae06 br 8113580c <__reset+0xfb11580c> -81135954: 98c00017 ldw r3,0(r19) -81135958: 183fba26 beq r3,zero,81135844 <__reset+0xfb115844> -8113595c: 01000744 movi r4,29 -81135960: 19000626 beq r3,r4,8113597c <__sflush_r+0x1c8> -81135964: 01000584 movi r4,22 -81135968: 19000426 beq r3,r4,8113597c <__sflush_r+0x1c8> -8113596c: 88c0030b ldhu r3,12(r17) -81135970: 18c01014 ori r3,r3,64 -81135974: 88c0030d sth r3,12(r17) -81135978: 003fc306 br 81135888 <__reset+0xfb115888> -8113597c: 8880030b ldhu r2,12(r17) -81135980: 88c00417 ldw r3,16(r17) -81135984: 88000115 stw zero,4(r17) -81135988: 10bdffcc andi r2,r2,63487 -8113598c: 8880030d sth r2,12(r17) -81135990: 88c00015 stw r3,0(r17) -81135994: 003fb306 br 81135864 <__reset+0xfb115864> -81135998: 98800017 ldw r2,0(r19) -8113599c: 103fea26 beq r2,zero,81135948 <__reset+0xfb115948> -811359a0: 00c00744 movi r3,29 -811359a4: 10c00226 beq r2,r3,811359b0 <__sflush_r+0x1fc> -811359a8: 00c00584 movi r3,22 -811359ac: 10c0031e bne r2,r3,811359bc <__sflush_r+0x208> -811359b0: 9c000015 stw r16,0(r19) -811359b4: 0005883a mov r2,zero -811359b8: 003fb306 br 81135888 <__reset+0xfb115888> -811359bc: 88c0030b ldhu r3,12(r17) -811359c0: 3005883a mov r2,r6 -811359c4: 18c01014 ori r3,r3,64 -811359c8: 88c0030d sth r3,12(r17) -811359cc: 003fae06 br 81135888 <__reset+0xfb115888> - -811359d0 <_fflush_r>: -811359d0: defffd04 addi sp,sp,-12 -811359d4: dc000115 stw r16,4(sp) -811359d8: dfc00215 stw ra,8(sp) -811359dc: 2021883a mov r16,r4 -811359e0: 20000226 beq r4,zero,811359ec <_fflush_r+0x1c> -811359e4: 20800e17 ldw r2,56(r4) -811359e8: 10000c26 beq r2,zero,81135a1c <_fflush_r+0x4c> -811359ec: 2880030f ldh r2,12(r5) -811359f0: 1000051e bne r2,zero,81135a08 <_fflush_r+0x38> +81135070: 8d002526 beq r17,r20,81135108 <_dtoa_r+0xf9c> +81135074: 11372b40 call 811372b4 <__multadd> +81135078: a00b883a mov r5,r20 +8113507c: 000f883a mov r7,zero +81135080: 01800284 movi r6,10 +81135084: e009883a mov r4,fp +81135088: 1023883a mov r17,r2 +8113508c: 11372b40 call 811372b4 <__multadd> +81135090: 1029883a mov r20,r2 +81135094: b027883a mov r19,r22 +81135098: 003fc006 br 81134f9c <__reset+0xfb114f9c> +8113509c: 9011883a mov r8,r18 +811350a0: 00800e0e bge zero,r2,811350dc <_dtoa_r+0xf70> +811350a4: 800b883a mov r5,r16 +811350a8: 01800044 movi r6,1 +811350ac: e009883a mov r4,fp +811350b0: da001715 stw r8,92(sp) +811350b4: 11379640 call 81137964 <__lshift> +811350b8: a80b883a mov r5,r21 +811350bc: 1009883a mov r4,r2 +811350c0: 1021883a mov r16,r2 +811350c4: 1137aac0 call 81137aac <__mcmp> +811350c8: da001717 ldw r8,92(sp) +811350cc: 0081960e bge zero,r2,81135728 <_dtoa_r+0x15bc> +811350d0: 00800e44 movi r2,57 +811350d4: 40817026 beq r8,r2,81135698 <_dtoa_r+0x152c> +811350d8: ba000c44 addi r8,r23,49 +811350dc: 8825883a mov r18,r17 +811350e0: 9dc00044 addi r23,r19,1 +811350e4: 9a000005 stb r8,0(r19) +811350e8: a023883a mov r17,r20 +811350ec: dc000915 stw r16,36(sp) +811350f0: 003f2406 br 81134d84 <__reset+0xfb114d84> +811350f4: 00800e44 movi r2,57 +811350f8: 9011883a mov r8,r18 +811350fc: 90816626 beq r18,r2,81135698 <_dtoa_r+0x152c> +81135100: 05bff516 blt zero,r22,811350d8 <__reset+0xfb1150d8> +81135104: 003ff506 br 811350dc <__reset+0xfb1150dc> +81135108: 11372b40 call 811372b4 <__multadd> +8113510c: 1023883a mov r17,r2 +81135110: 1029883a mov r20,r2 +81135114: 003fdf06 br 81135094 <__reset+0xfb115094> +81135118: e009883a mov r4,fp +8113511c: 113728c0 call 8113728c <_Bfree> +81135120: 00800044 movi r2,1 +81135124: 003fbc06 br 81135018 <__reset+0xfb115018> +81135128: a80b883a mov r5,r21 +8113512c: 8009883a mov r4,r16 +81135130: 1137aac0 call 81137aac <__mcmp> +81135134: 103edb0e bge r2,zero,81134ca4 <__reset+0xfb114ca4> +81135138: 800b883a mov r5,r16 +8113513c: 000f883a mov r7,zero +81135140: 01800284 movi r6,10 +81135144: e009883a mov r4,fp +81135148: 11372b40 call 811372b4 <__multadd> +8113514c: 1021883a mov r16,r2 +81135150: d8800517 ldw r2,20(sp) +81135154: d8c00b17 ldw r3,44(sp) +81135158: 10bfffc4 addi r2,r2,-1 +8113515c: d8800515 stw r2,20(sp) +81135160: 183f761e bne r3,zero,81134f3c <__reset+0xfb114f3c> +81135164: d9000c17 ldw r4,48(sp) +81135168: 0101730e bge zero,r4,81135738 <_dtoa_r+0x15cc> +8113516c: d9000615 stw r4,24(sp) +81135170: 003ed006 br 81134cb4 <__reset+0xfb114cb4> +81135174: 00800084 movi r2,2 +81135178: 3081861e bne r6,r2,81135794 <_dtoa_r+0x1628> +8113517c: d8000b15 stw zero,44(sp) +81135180: 003f3c06 br 81134e74 <__reset+0xfb114e74> +81135184: dc000917 ldw r16,36(sp) +81135188: 003e9206 br 81134bd4 <__reset+0xfb114bd4> +8113518c: d9c00317 ldw r7,12(sp) +81135190: 00800084 movi r2,2 +81135194: 11fec50e bge r2,r7,81134cac <__reset+0xfb114cac> +81135198: d9000617 ldw r4,24(sp) +8113519c: 20013c1e bne r4,zero,81135690 <_dtoa_r+0x1524> +811351a0: a80b883a mov r5,r21 +811351a4: 000f883a mov r7,zero +811351a8: 01800144 movi r6,5 +811351ac: e009883a mov r4,fp +811351b0: 11372b40 call 811372b4 <__multadd> +811351b4: 100b883a mov r5,r2 +811351b8: 8009883a mov r4,r16 +811351bc: 102b883a mov r21,r2 +811351c0: 1137aac0 call 81137aac <__mcmp> +811351c4: dc000915 stw r16,36(sp) +811351c8: 00bf410e bge zero,r2,81134ed0 <__reset+0xfb114ed0> +811351cc: d9c00717 ldw r7,28(sp) +811351d0: 00800c44 movi r2,49 +811351d4: 38800005 stb r2,0(r7) +811351d8: d8800517 ldw r2,20(sp) +811351dc: 3dc00044 addi r23,r7,1 +811351e0: 10800044 addi r2,r2,1 +811351e4: d8800515 stw r2,20(sp) +811351e8: 003f3d06 br 81134ee0 <__reset+0xfb114ee0> +811351ec: d9800517 ldw r6,20(sp) +811351f0: d9c00717 ldw r7,28(sp) +811351f4: 00800c44 movi r2,49 +811351f8: 31800044 addi r6,r6,1 +811351fc: d9800515 stw r6,20(sp) +81135200: 38800005 stb r2,0(r7) +81135204: 003edf06 br 81134d84 <__reset+0xfb114d84> +81135208: d8000b15 stw zero,44(sp) +8113520c: 003c9f06 br 8113448c <__reset+0xfb11448c> +81135210: 903e7e1e bne r18,zero,81134c0c <__reset+0xfb114c0c> +81135214: 00800434 movhi r2,16 +81135218: 10bfffc4 addi r2,r2,-1 +8113521c: 9884703a and r2,r19,r2 +81135220: 1000ea1e bne r2,zero,811355cc <_dtoa_r+0x1460> +81135224: 9cdffc2c andhi r19,r19,32752 +81135228: 9800e826 beq r19,zero,811355cc <_dtoa_r+0x1460> +8113522c: d9c00817 ldw r7,32(sp) +81135230: b5800044 addi r22,r22,1 +81135234: 04c00044 movi r19,1 +81135238: 39c00044 addi r7,r7,1 +8113523c: d9c00815 stw r7,32(sp) +81135240: d8800d17 ldw r2,52(sp) +81135244: 103e721e bne r2,zero,81134c10 <__reset+0xfb114c10> +81135248: 00800044 movi r2,1 +8113524c: 003e7906 br 81134c34 <__reset+0xfb114c34> +81135250: 8009883a mov r4,r16 +81135254: 112adf40 call 8112adf4 <__floatsidf> +81135258: d9800f17 ldw r6,60(sp) +8113525c: d9c01017 ldw r7,64(sp) +81135260: 1009883a mov r4,r2 +81135264: 180b883a mov r5,r3 +81135268: 1129d600 call 81129d60 <__muldf3> +8113526c: 000d883a mov r6,zero +81135270: 01d00734 movhi r7,16412 +81135274: 1009883a mov r4,r2 +81135278: 180b883a mov r5,r3 +8113527c: 1128af00 call 81128af0 <__adddf3> +81135280: 047f3034 movhi r17,64704 +81135284: 1021883a mov r16,r2 +81135288: 1c63883a add r17,r3,r17 +8113528c: d9000f17 ldw r4,60(sp) +81135290: d9401017 ldw r5,64(sp) +81135294: 000d883a mov r6,zero +81135298: 01d00534 movhi r7,16404 +8113529c: 112a4780 call 8112a478 <__subdf3> +811352a0: 800d883a mov r6,r16 +811352a4: 880f883a mov r7,r17 +811352a8: 1009883a mov r4,r2 +811352ac: 180b883a mov r5,r3 +811352b0: 102b883a mov r21,r2 +811352b4: 1829883a mov r20,r3 +811352b8: 1129c840 call 81129c84 <__gedf2> +811352bc: 00806c16 blt zero,r2,81135470 <_dtoa_r+0x1304> +811352c0: 89e0003c xorhi r7,r17,32768 +811352c4: 800d883a mov r6,r16 +811352c8: a809883a mov r4,r21 +811352cc: a00b883a mov r5,r20 +811352d0: 113f1680 call 8113f168 <__ledf2> +811352d4: 103d7e0e bge r2,zero,811348d0 <__reset+0xfb1148d0> +811352d8: 002b883a mov r21,zero +811352dc: 0023883a mov r17,zero +811352e0: 003efb06 br 81134ed0 <__reset+0xfb114ed0> +811352e4: d8800717 ldw r2,28(sp) +811352e8: 003bd006 br 8113422c <__reset+0xfb11422c> +811352ec: d9000a17 ldw r4,40(sp) +811352f0: d9800d17 ldw r6,52(sp) +811352f4: dd400a15 stw r21,40(sp) +811352f8: a905c83a sub r2,r21,r4 +811352fc: 308d883a add r6,r6,r2 +81135300: d9800d15 stw r6,52(sp) +81135304: 002b883a mov r21,zero +81135308: 003e0606 br 81134b24 <__reset+0xfb114b24> +8113530c: 9023883a mov r17,r18 +81135310: 9829883a mov r20,r19 +81135314: 04000084 movi r16,2 +81135318: 003c9206 br 81134564 <__reset+0xfb114564> +8113531c: 04000044 movi r16,1 +81135320: dc000c15 stw r16,48(sp) +81135324: dc000615 stw r16,24(sp) +81135328: dc002215 stw r16,136(sp) +8113532c: e0001115 stw zero,68(fp) +81135330: 000b883a mov r5,zero +81135334: 003c6906 br 811344dc <__reset+0xfb1144dc> +81135338: 3021883a mov r16,r6 +8113533c: 003ffb06 br 8113532c <__reset+0xfb11532c> +81135340: 1000021e bne r2,zero,8113534c <_dtoa_r+0x11e0> +81135344: 4200004c andi r8,r8,1 +81135348: 403e7d1e bne r8,zero,81134d40 <__reset+0xfb114d40> +8113534c: 01000c04 movi r4,48 +81135350: 00000106 br 81135358 <_dtoa_r+0x11ec> +81135354: 102f883a mov r23,r2 +81135358: b8bfffc4 addi r2,r23,-1 +8113535c: 10c00007 ldb r3,0(r2) +81135360: 193ffc26 beq r3,r4,81135354 <__reset+0xfb115354> +81135364: 003e8706 br 81134d84 <__reset+0xfb114d84> +81135368: d8800517 ldw r2,20(sp) +8113536c: 00a3c83a sub r17,zero,r2 +81135370: 8800a426 beq r17,zero,81135604 <_dtoa_r+0x1498> +81135374: 888003cc andi r2,r17,15 +81135378: 100490fa slli r2,r2,3 +8113537c: 00e04574 movhi r3,33045 +81135380: 18ff0604 addi r3,r3,-1000 +81135384: 1885883a add r2,r3,r2 +81135388: 11800017 ldw r6,0(r2) +8113538c: 11c00117 ldw r7,4(r2) +81135390: 9009883a mov r4,r18 +81135394: 980b883a mov r5,r19 +81135398: 8823d13a srai r17,r17,4 +8113539c: 1129d600 call 81129d60 <__muldf3> +811353a0: d8800f15 stw r2,60(sp) +811353a4: d8c01015 stw r3,64(sp) +811353a8: 8800e826 beq r17,zero,8113574c <_dtoa_r+0x15e0> +811353ac: 05204574 movhi r20,33045 +811353b0: a53efc04 addi r20,r20,-1040 +811353b4: 04000084 movi r16,2 +811353b8: 8980004c andi r6,r17,1 +811353bc: 1009883a mov r4,r2 +811353c0: 8823d07a srai r17,r17,1 +811353c4: 180b883a mov r5,r3 +811353c8: 30000426 beq r6,zero,811353dc <_dtoa_r+0x1270> +811353cc: a1800017 ldw r6,0(r20) +811353d0: a1c00117 ldw r7,4(r20) +811353d4: 84000044 addi r16,r16,1 +811353d8: 1129d600 call 81129d60 <__muldf3> +811353dc: a5000204 addi r20,r20,8 +811353e0: 883ff51e bne r17,zero,811353b8 <__reset+0xfb1153b8> +811353e4: d8800f15 stw r2,60(sp) +811353e8: d8c01015 stw r3,64(sp) +811353ec: 003c7606 br 811345c8 <__reset+0xfb1145c8> +811353f0: 00c00c04 movi r3,48 +811353f4: 10c00005 stb r3,0(r2) +811353f8: d8c00517 ldw r3,20(sp) +811353fc: bd3fffc3 ldbu r20,-1(r23) +81135400: 18c00044 addi r3,r3,1 +81135404: d8c00515 stw r3,20(sp) +81135408: 003db906 br 81134af0 <__reset+0xfb114af0> +8113540c: 89400117 ldw r5,4(r17) +81135410: e009883a mov r4,fp +81135414: 11371e40 call 811371e4 <_Balloc> +81135418: 89800417 ldw r6,16(r17) +8113541c: 89400304 addi r5,r17,12 +81135420: 11000304 addi r4,r2,12 +81135424: 31800084 addi r6,r6,2 +81135428: 318d883a add r6,r6,r6 +8113542c: 318d883a add r6,r6,r6 +81135430: 1027883a mov r19,r2 +81135434: 112c6880 call 8112c688 +81135438: 01800044 movi r6,1 +8113543c: 980b883a mov r5,r19 +81135440: e009883a mov r4,fp +81135444: 11379640 call 81137964 <__lshift> +81135448: 1029883a mov r20,r2 +8113544c: 003ecc06 br 81134f80 <__reset+0xfb114f80> +81135450: 00800e44 movi r2,57 +81135454: 90809026 beq r18,r2,81135698 <_dtoa_r+0x152c> +81135458: 92000044 addi r8,r18,1 +8113545c: 003f1f06 br 811350dc <__reset+0xfb1150dc> +81135460: 9011883a mov r8,r18 +81135464: 8825883a mov r18,r17 +81135468: a023883a mov r17,r20 +8113546c: 003e2906 br 81134d14 <__reset+0xfb114d14> +81135470: 002b883a mov r21,zero +81135474: 0023883a mov r17,zero +81135478: 003f5406 br 811351cc <__reset+0xfb1151cc> +8113547c: 61bfffc4 addi r6,r12,-1 +81135480: 300490fa slli r2,r6,3 +81135484: 00e04574 movhi r3,33045 +81135488: 18ff0604 addi r3,r3,-1000 +8113548c: 1885883a add r2,r3,r2 +81135490: 11000017 ldw r4,0(r2) +81135494: 11400117 ldw r5,4(r2) +81135498: d8800717 ldw r2,28(sp) +8113549c: 880f883a mov r7,r17 +811354a0: d9801215 stw r6,72(sp) +811354a4: 800d883a mov r6,r16 +811354a8: db001615 stw r12,88(sp) +811354ac: 15c00044 addi r23,r2,1 +811354b0: 1129d600 call 81129d60 <__muldf3> +811354b4: d9401017 ldw r5,64(sp) +811354b8: d9000f17 ldw r4,60(sp) +811354bc: d8c01515 stw r3,84(sp) +811354c0: d8801415 stw r2,80(sp) +811354c4: 112ad740 call 8112ad74 <__fixdfsi> +811354c8: 1009883a mov r4,r2 +811354cc: 1021883a mov r16,r2 +811354d0: 112adf40 call 8112adf4 <__floatsidf> +811354d4: d9000f17 ldw r4,60(sp) +811354d8: d9401017 ldw r5,64(sp) +811354dc: 100d883a mov r6,r2 +811354e0: 180f883a mov r7,r3 +811354e4: 112a4780 call 8112a478 <__subdf3> +811354e8: 1829883a mov r20,r3 +811354ec: d8c00717 ldw r3,28(sp) +811354f0: 84000c04 addi r16,r16,48 +811354f4: 1023883a mov r17,r2 +811354f8: 1c000005 stb r16,0(r3) +811354fc: db001617 ldw r12,88(sp) +81135500: 00800044 movi r2,1 +81135504: 60802226 beq r12,r2,81135590 <_dtoa_r+0x1424> +81135508: d9c00717 ldw r7,28(sp) +8113550c: 8805883a mov r2,r17 +81135510: b82b883a mov r21,r23 +81135514: 3b19883a add r12,r7,r12 +81135518: 6023883a mov r17,r12 +8113551c: a007883a mov r3,r20 +81135520: dc800f15 stw r18,60(sp) +81135524: 000d883a mov r6,zero +81135528: 01d00934 movhi r7,16420 +8113552c: 1009883a mov r4,r2 +81135530: 180b883a mov r5,r3 +81135534: 1129d600 call 81129d60 <__muldf3> +81135538: 180b883a mov r5,r3 +8113553c: 1009883a mov r4,r2 +81135540: 1829883a mov r20,r3 +81135544: 1025883a mov r18,r2 +81135548: 112ad740 call 8112ad74 <__fixdfsi> +8113554c: 1009883a mov r4,r2 +81135550: 1021883a mov r16,r2 +81135554: 112adf40 call 8112adf4 <__floatsidf> +81135558: 100d883a mov r6,r2 +8113555c: 180f883a mov r7,r3 +81135560: 9009883a mov r4,r18 +81135564: a00b883a mov r5,r20 +81135568: 84000c04 addi r16,r16,48 +8113556c: 112a4780 call 8112a478 <__subdf3> +81135570: ad400044 addi r21,r21,1 +81135574: ac3fffc5 stb r16,-1(r21) +81135578: ac7fea1e bne r21,r17,81135524 <__reset+0xfb115524> +8113557c: 1023883a mov r17,r2 +81135580: d8801217 ldw r2,72(sp) +81135584: dc800f17 ldw r18,60(sp) +81135588: 1829883a mov r20,r3 +8113558c: b8af883a add r23,r23,r2 +81135590: d9001417 ldw r4,80(sp) +81135594: d9401517 ldw r5,84(sp) +81135598: 000d883a mov r6,zero +8113559c: 01cff834 movhi r7,16352 +811355a0: 1128af00 call 81128af0 <__adddf3> +811355a4: 880d883a mov r6,r17 +811355a8: a00f883a mov r7,r20 +811355ac: 1009883a mov r4,r2 +811355b0: 180b883a mov r5,r3 +811355b4: 113f1680 call 8113f168 <__ledf2> +811355b8: 10003e0e bge r2,zero,811356b4 <_dtoa_r+0x1548> +811355bc: d9001317 ldw r4,76(sp) +811355c0: bd3fffc3 ldbu r20,-1(r23) +811355c4: d9000515 stw r4,20(sp) +811355c8: 003d3b06 br 81134ab8 <__reset+0xfb114ab8> +811355cc: 0027883a mov r19,zero +811355d0: 003f1b06 br 81135240 <__reset+0xfb115240> +811355d4: d8800817 ldw r2,32(sp) +811355d8: 11e9c83a sub r20,r2,r7 +811355dc: 0005883a mov r2,zero +811355e0: 003d5406 br 81134b34 <__reset+0xfb114b34> +811355e4: 00800044 movi r2,1 +811355e8: 003dc706 br 81134d08 <__reset+0xfb114d08> +811355ec: d8c00217 ldw r3,8(sp) +811355f0: 00800d84 movi r2,54 +811355f4: dd400a17 ldw r21,40(sp) +811355f8: 10c5c83a sub r2,r2,r3 +811355fc: dd000817 ldw r20,32(sp) +81135600: 003d4c06 br 81134b34 <__reset+0xfb114b34> +81135604: dc800f15 stw r18,60(sp) +81135608: dcc01015 stw r19,64(sp) +8113560c: 04000084 movi r16,2 +81135610: 003bed06 br 811345c8 <__reset+0xfb1145c8> +81135614: d9000617 ldw r4,24(sp) +81135618: 203f0d26 beq r4,zero,81135250 <__reset+0xfb115250> +8113561c: d9800c17 ldw r6,48(sp) +81135620: 01bcab0e bge zero,r6,811348d0 <__reset+0xfb1148d0> +81135624: d9401017 ldw r5,64(sp) +81135628: d9000f17 ldw r4,60(sp) +8113562c: 000d883a mov r6,zero +81135630: 01d00934 movhi r7,16420 +81135634: 1129d600 call 81129d60 <__muldf3> +81135638: 81000044 addi r4,r16,1 +8113563c: d8800f15 stw r2,60(sp) +81135640: d8c01015 stw r3,64(sp) +81135644: 112adf40 call 8112adf4 <__floatsidf> +81135648: d9800f17 ldw r6,60(sp) +8113564c: d9c01017 ldw r7,64(sp) +81135650: 1009883a mov r4,r2 +81135654: 180b883a mov r5,r3 +81135658: 1129d600 call 81129d60 <__muldf3> +8113565c: 01d00734 movhi r7,16412 +81135660: 000d883a mov r6,zero +81135664: 1009883a mov r4,r2 +81135668: 180b883a mov r5,r3 +8113566c: 1128af00 call 81128af0 <__adddf3> +81135670: d9c00517 ldw r7,20(sp) +81135674: 047f3034 movhi r17,64704 +81135678: 1021883a mov r16,r2 +8113567c: 39ffffc4 addi r7,r7,-1 +81135680: d9c01315 stw r7,76(sp) +81135684: 1c63883a add r17,r3,r17 +81135688: db000c17 ldw r12,48(sp) +8113568c: 003bea06 br 81134638 <__reset+0xfb114638> +81135690: dc000915 stw r16,36(sp) +81135694: 003e0e06 br 81134ed0 <__reset+0xfb114ed0> +81135698: 01000e44 movi r4,57 +8113569c: 8825883a mov r18,r17 +811356a0: 9dc00044 addi r23,r19,1 +811356a4: 99000005 stb r4,0(r19) +811356a8: a023883a mov r17,r20 +811356ac: dc000915 stw r16,36(sp) +811356b0: 003da406 br 81134d44 <__reset+0xfb114d44> +811356b4: d9801417 ldw r6,80(sp) +811356b8: d9c01517 ldw r7,84(sp) +811356bc: 0009883a mov r4,zero +811356c0: 014ff834 movhi r5,16352 +811356c4: 112a4780 call 8112a478 <__subdf3> +811356c8: 880d883a mov r6,r17 +811356cc: a00f883a mov r7,r20 +811356d0: 1009883a mov r4,r2 +811356d4: 180b883a mov r5,r3 +811356d8: 1129c840 call 81129c84 <__gedf2> +811356dc: 00bc7c0e bge zero,r2,811348d0 <__reset+0xfb1148d0> +811356e0: 01000c04 movi r4,48 +811356e4: 00000106 br 811356ec <_dtoa_r+0x1580> +811356e8: 102f883a mov r23,r2 +811356ec: b8bfffc4 addi r2,r23,-1 +811356f0: 10c00007 ldb r3,0(r2) +811356f4: 193ffc26 beq r3,r4,811356e8 <__reset+0xfb1156e8> +811356f8: d9801317 ldw r6,76(sp) +811356fc: d9800515 stw r6,20(sp) +81135700: 003c4406 br 81134814 <__reset+0xfb114814> +81135704: d9801317 ldw r6,76(sp) +81135708: d9800515 stw r6,20(sp) +8113570c: 003cea06 br 81134ab8 <__reset+0xfb114ab8> +81135710: dd800f17 ldw r22,60(sp) +81135714: dcc01017 ldw r19,64(sp) +81135718: dc801217 ldw r18,72(sp) +8113571c: 003c6c06 br 811348d0 <__reset+0xfb1148d0> +81135720: 903e031e bne r18,zero,81134f30 <__reset+0xfb114f30> +81135724: 003ebb06 br 81135214 <__reset+0xfb115214> +81135728: 103e6c1e bne r2,zero,811350dc <__reset+0xfb1150dc> +8113572c: 4080004c andi r2,r8,1 +81135730: 103e6a26 beq r2,zero,811350dc <__reset+0xfb1150dc> +81135734: 003e6606 br 811350d0 <__reset+0xfb1150d0> +81135738: d8c00317 ldw r3,12(sp) +8113573c: 00800084 movi r2,2 +81135740: 10c02916 blt r2,r3,811357e8 <_dtoa_r+0x167c> +81135744: d9000c17 ldw r4,48(sp) +81135748: 003e8806 br 8113516c <__reset+0xfb11516c> +8113574c: 04000084 movi r16,2 +81135750: 003b9d06 br 811345c8 <__reset+0xfb1145c8> +81135754: d9001317 ldw r4,76(sp) +81135758: d9000515 stw r4,20(sp) +8113575c: 003cd606 br 81134ab8 <__reset+0xfb114ab8> +81135760: d8801317 ldw r2,76(sp) +81135764: d8800515 stw r2,20(sp) +81135768: 003c2a06 br 81134814 <__reset+0xfb114814> +8113576c: d9800317 ldw r6,12(sp) +81135770: 00800084 movi r2,2 +81135774: 11801516 blt r2,r6,811357cc <_dtoa_r+0x1660> +81135778: d9c00c17 ldw r7,48(sp) +8113577c: d9c00615 stw r7,24(sp) +81135780: 003df706 br 81134f60 <__reset+0xfb114f60> +81135784: 193d3926 beq r3,r4,81134c6c <__reset+0xfb114c6c> +81135788: 00c00f04 movi r3,60 +8113578c: 1885c83a sub r2,r3,r2 +81135790: 003ddf06 br 81134f10 <__reset+0xfb114f10> +81135794: e009883a mov r4,fp +81135798: e0001115 stw zero,68(fp) +8113579c: 000b883a mov r5,zero +811357a0: 11371e40 call 811371e4 <_Balloc> +811357a4: d8800715 stw r2,28(sp) +811357a8: d8c00717 ldw r3,28(sp) +811357ac: 00bfffc4 movi r2,-1 +811357b0: 01000044 movi r4,1 +811357b4: d8800c15 stw r2,48(sp) +811357b8: e0c01015 stw r3,64(fp) +811357bc: d9000b15 stw r4,44(sp) +811357c0: d8800615 stw r2,24(sp) +811357c4: d8002215 stw zero,136(sp) +811357c8: 003c4106 br 811348d0 <__reset+0xfb1148d0> +811357cc: d8c00c17 ldw r3,48(sp) +811357d0: d8c00615 stw r3,24(sp) +811357d4: 003e7006 br 81135198 <__reset+0xfb115198> +811357d8: 04400044 movi r17,1 +811357dc: 003b2006 br 81134460 <__reset+0xfb114460> +811357e0: 000b883a mov r5,zero +811357e4: 003b3d06 br 811344dc <__reset+0xfb1144dc> +811357e8: d8800c17 ldw r2,48(sp) +811357ec: d8800615 stw r2,24(sp) +811357f0: 003e6906 br 81135198 <__reset+0xfb115198> + +811357f4 <__sflush_r>: +811357f4: 2880030b ldhu r2,12(r5) +811357f8: defffb04 addi sp,sp,-20 +811357fc: dcc00315 stw r19,12(sp) +81135800: dc400115 stw r17,4(sp) +81135804: dfc00415 stw ra,16(sp) +81135808: dc800215 stw r18,8(sp) +8113580c: dc000015 stw r16,0(sp) +81135810: 10c0020c andi r3,r2,8 +81135814: 2823883a mov r17,r5 +81135818: 2027883a mov r19,r4 +8113581c: 1800311e bne r3,zero,811358e4 <__sflush_r+0xf0> +81135820: 28c00117 ldw r3,4(r5) +81135824: 10820014 ori r2,r2,2048 +81135828: 2880030d sth r2,12(r5) +8113582c: 00c04b0e bge zero,r3,8113595c <__sflush_r+0x168> +81135830: 8a000a17 ldw r8,40(r17) +81135834: 40002326 beq r8,zero,811358c4 <__sflush_r+0xd0> +81135838: 9c000017 ldw r16,0(r19) +8113583c: 10c4000c andi r3,r2,4096 +81135840: 98000015 stw zero,0(r19) +81135844: 18004826 beq r3,zero,81135968 <__sflush_r+0x174> +81135848: 89801417 ldw r6,80(r17) +8113584c: 10c0010c andi r3,r2,4 +81135850: 18000626 beq r3,zero,8113586c <__sflush_r+0x78> +81135854: 88c00117 ldw r3,4(r17) +81135858: 88800c17 ldw r2,48(r17) +8113585c: 30cdc83a sub r6,r6,r3 +81135860: 10000226 beq r2,zero,8113586c <__sflush_r+0x78> +81135864: 88800f17 ldw r2,60(r17) +81135868: 308dc83a sub r6,r6,r2 +8113586c: 89400717 ldw r5,28(r17) +81135870: 000f883a mov r7,zero +81135874: 9809883a mov r4,r19 +81135878: 403ee83a callr r8 +8113587c: 00ffffc4 movi r3,-1 +81135880: 10c04426 beq r2,r3,81135994 <__sflush_r+0x1a0> +81135884: 88c0030b ldhu r3,12(r17) +81135888: 89000417 ldw r4,16(r17) +8113588c: 88000115 stw zero,4(r17) +81135890: 197dffcc andi r5,r3,63487 +81135894: 8940030d sth r5,12(r17) +81135898: 89000015 stw r4,0(r17) +8113589c: 18c4000c andi r3,r3,4096 +811358a0: 18002c1e bne r3,zero,81135954 <__sflush_r+0x160> +811358a4: 89400c17 ldw r5,48(r17) +811358a8: 9c000015 stw r16,0(r19) +811358ac: 28000526 beq r5,zero,811358c4 <__sflush_r+0xd0> +811358b0: 88801004 addi r2,r17,64 +811358b4: 28800226 beq r5,r2,811358c0 <__sflush_r+0xcc> +811358b8: 9809883a mov r4,r19 +811358bc: 113626c0 call 8113626c <_free_r> +811358c0: 88000c15 stw zero,48(r17) +811358c4: 0005883a mov r2,zero +811358c8: dfc00417 ldw ra,16(sp) +811358cc: dcc00317 ldw r19,12(sp) +811358d0: dc800217 ldw r18,8(sp) +811358d4: dc400117 ldw r17,4(sp) +811358d8: dc000017 ldw r16,0(sp) +811358dc: dec00504 addi sp,sp,20 +811358e0: f800283a ret +811358e4: 2c800417 ldw r18,16(r5) +811358e8: 903ff626 beq r18,zero,811358c4 <__reset+0xfb1158c4> +811358ec: 2c000017 ldw r16,0(r5) +811358f0: 108000cc andi r2,r2,3 +811358f4: 2c800015 stw r18,0(r5) +811358f8: 84a1c83a sub r16,r16,r18 +811358fc: 1000131e bne r2,zero,8113594c <__sflush_r+0x158> +81135900: 28800517 ldw r2,20(r5) +81135904: 88800215 stw r2,8(r17) +81135908: 04000316 blt zero,r16,81135918 <__sflush_r+0x124> +8113590c: 003fed06 br 811358c4 <__reset+0xfb1158c4> +81135910: 90a5883a add r18,r18,r2 +81135914: 043feb0e bge zero,r16,811358c4 <__reset+0xfb1158c4> +81135918: 88800917 ldw r2,36(r17) +8113591c: 89400717 ldw r5,28(r17) +81135920: 800f883a mov r7,r16 +81135924: 900d883a mov r6,r18 +81135928: 9809883a mov r4,r19 +8113592c: 103ee83a callr r2 +81135930: 80a1c83a sub r16,r16,r2 +81135934: 00bff616 blt zero,r2,81135910 <__reset+0xfb115910> +81135938: 88c0030b ldhu r3,12(r17) +8113593c: 00bfffc4 movi r2,-1 +81135940: 18c01014 ori r3,r3,64 +81135944: 88c0030d sth r3,12(r17) +81135948: 003fdf06 br 811358c8 <__reset+0xfb1158c8> +8113594c: 0005883a mov r2,zero +81135950: 003fec06 br 81135904 <__reset+0xfb115904> +81135954: 88801415 stw r2,80(r17) +81135958: 003fd206 br 811358a4 <__reset+0xfb1158a4> +8113595c: 28c00f17 ldw r3,60(r5) +81135960: 00ffb316 blt zero,r3,81135830 <__reset+0xfb115830> +81135964: 003fd706 br 811358c4 <__reset+0xfb1158c4> +81135968: 89400717 ldw r5,28(r17) +8113596c: 000d883a mov r6,zero +81135970: 01c00044 movi r7,1 +81135974: 9809883a mov r4,r19 +81135978: 403ee83a callr r8 +8113597c: 100d883a mov r6,r2 +81135980: 00bfffc4 movi r2,-1 +81135984: 30801426 beq r6,r2,811359d8 <__sflush_r+0x1e4> +81135988: 8880030b ldhu r2,12(r17) +8113598c: 8a000a17 ldw r8,40(r17) +81135990: 003fae06 br 8113584c <__reset+0xfb11584c> +81135994: 98c00017 ldw r3,0(r19) +81135998: 183fba26 beq r3,zero,81135884 <__reset+0xfb115884> +8113599c: 01000744 movi r4,29 +811359a0: 19000626 beq r3,r4,811359bc <__sflush_r+0x1c8> +811359a4: 01000584 movi r4,22 +811359a8: 19000426 beq r3,r4,811359bc <__sflush_r+0x1c8> +811359ac: 88c0030b ldhu r3,12(r17) +811359b0: 18c01014 ori r3,r3,64 +811359b4: 88c0030d sth r3,12(r17) +811359b8: 003fc306 br 811358c8 <__reset+0xfb1158c8> +811359bc: 8880030b ldhu r2,12(r17) +811359c0: 88c00417 ldw r3,16(r17) +811359c4: 88000115 stw zero,4(r17) +811359c8: 10bdffcc andi r2,r2,63487 +811359cc: 8880030d sth r2,12(r17) +811359d0: 88c00015 stw r3,0(r17) +811359d4: 003fb306 br 811358a4 <__reset+0xfb1158a4> +811359d8: 98800017 ldw r2,0(r19) +811359dc: 103fea26 beq r2,zero,81135988 <__reset+0xfb115988> +811359e0: 00c00744 movi r3,29 +811359e4: 10c00226 beq r2,r3,811359f0 <__sflush_r+0x1fc> +811359e8: 00c00584 movi r3,22 +811359ec: 10c0031e bne r2,r3,811359fc <__sflush_r+0x208> +811359f0: 9c000015 stw r16,0(r19) 811359f4: 0005883a mov r2,zero -811359f8: dfc00217 ldw ra,8(sp) -811359fc: dc000117 ldw r16,4(sp) -81135a00: dec00304 addi sp,sp,12 -81135a04: f800283a ret -81135a08: 8009883a mov r4,r16 -81135a0c: dfc00217 ldw ra,8(sp) -81135a10: dc000117 ldw r16,4(sp) -81135a14: dec00304 addi sp,sp,12 -81135a18: 11357b41 jmpi 811357b4 <__sflush_r> -81135a1c: d9400015 stw r5,0(sp) -81135a20: 1135dac0 call 81135dac <__sinit> -81135a24: d9400017 ldw r5,0(sp) -81135a28: 003ff006 br 811359ec <__reset+0xfb1159ec> - -81135a2c : -81135a2c: 20000526 beq r4,zero,81135a44 -81135a30: 00a04574 movhi r2,33045 -81135a34: 1086e204 addi r2,r2,7048 -81135a38: 200b883a mov r5,r4 -81135a3c: 11000017 ldw r4,0(r2) -81135a40: 11359d01 jmpi 811359d0 <_fflush_r> -81135a44: 00a04574 movhi r2,33045 -81135a48: 1086e104 addi r2,r2,7044 -81135a4c: 11000017 ldw r4,0(r2) -81135a50: 016044f4 movhi r5,33043 -81135a54: 29567404 addi r5,r5,22992 -81135a58: 1136abc1 jmpi 81136abc <_fwalk_reent> - -81135a5c <__fp_unlock>: -81135a5c: 0005883a mov r2,zero -81135a60: f800283a ret - -81135a64 <_cleanup_r>: -81135a64: 01604534 movhi r5,33044 -81135a68: 2974f604 addi r5,r5,-11304 -81135a6c: 1136abc1 jmpi 81136abc <_fwalk_reent> - -81135a70 <__sinit.part.1>: -81135a70: defff704 addi sp,sp,-36 -81135a74: 00e044f4 movhi r3,33043 -81135a78: dfc00815 stw ra,32(sp) -81135a7c: ddc00715 stw r23,28(sp) -81135a80: dd800615 stw r22,24(sp) -81135a84: dd400515 stw r21,20(sp) -81135a88: dd000415 stw r20,16(sp) -81135a8c: dcc00315 stw r19,12(sp) -81135a90: dc800215 stw r18,8(sp) -81135a94: dc400115 stw r17,4(sp) -81135a98: dc000015 stw r16,0(sp) -81135a9c: 18d69904 addi r3,r3,23140 -81135aa0: 24000117 ldw r16,4(r4) -81135aa4: 20c00f15 stw r3,60(r4) -81135aa8: 2080bb04 addi r2,r4,748 -81135aac: 00c000c4 movi r3,3 -81135ab0: 20c0b915 stw r3,740(r4) -81135ab4: 2080ba15 stw r2,744(r4) -81135ab8: 2000b815 stw zero,736(r4) -81135abc: 05c00204 movi r23,8 -81135ac0: 00800104 movi r2,4 -81135ac4: 2025883a mov r18,r4 -81135ac8: b80d883a mov r6,r23 -81135acc: 81001704 addi r4,r16,92 -81135ad0: 000b883a mov r5,zero -81135ad4: 80000015 stw zero,0(r16) -81135ad8: 80000115 stw zero,4(r16) -81135adc: 80000215 stw zero,8(r16) -81135ae0: 8080030d sth r2,12(r16) -81135ae4: 80001915 stw zero,100(r16) -81135ae8: 8000038d sth zero,14(r16) -81135aec: 80000415 stw zero,16(r16) -81135af0: 80000515 stw zero,20(r16) -81135af4: 80000615 stw zero,24(r16) -81135af8: 112c7900 call 8112c790 -81135afc: 05a044f4 movhi r22,33043 -81135b00: 94400217 ldw r17,8(r18) -81135b04: 056044f4 movhi r21,33043 -81135b08: 052044f4 movhi r20,33043 -81135b0c: 04e044f4 movhi r19,33043 -81135b10: b5b5b904 addi r22,r22,-10524 -81135b14: ad75d004 addi r21,r21,-10432 -81135b18: a535ef04 addi r20,r20,-10308 -81135b1c: 9cf60604 addi r19,r19,-10216 -81135b20: 85800815 stw r22,32(r16) -81135b24: 85400915 stw r21,36(r16) -81135b28: 85000a15 stw r20,40(r16) -81135b2c: 84c00b15 stw r19,44(r16) -81135b30: 84000715 stw r16,28(r16) -81135b34: 00800284 movi r2,10 -81135b38: 8880030d sth r2,12(r17) -81135b3c: 00800044 movi r2,1 -81135b40: b80d883a mov r6,r23 -81135b44: 89001704 addi r4,r17,92 -81135b48: 000b883a mov r5,zero -81135b4c: 88000015 stw zero,0(r17) -81135b50: 88000115 stw zero,4(r17) -81135b54: 88000215 stw zero,8(r17) -81135b58: 88001915 stw zero,100(r17) -81135b5c: 8880038d sth r2,14(r17) -81135b60: 88000415 stw zero,16(r17) -81135b64: 88000515 stw zero,20(r17) -81135b68: 88000615 stw zero,24(r17) -81135b6c: 112c7900 call 8112c790 -81135b70: 94000317 ldw r16,12(r18) -81135b74: 00800484 movi r2,18 -81135b78: 8c400715 stw r17,28(r17) -81135b7c: 8d800815 stw r22,32(r17) -81135b80: 8d400915 stw r21,36(r17) -81135b84: 8d000a15 stw r20,40(r17) -81135b88: 8cc00b15 stw r19,44(r17) -81135b8c: 8080030d sth r2,12(r16) -81135b90: 00800084 movi r2,2 -81135b94: 80000015 stw zero,0(r16) -81135b98: 80000115 stw zero,4(r16) -81135b9c: 80000215 stw zero,8(r16) -81135ba0: 80001915 stw zero,100(r16) -81135ba4: 8080038d sth r2,14(r16) -81135ba8: 80000415 stw zero,16(r16) -81135bac: 80000515 stw zero,20(r16) -81135bb0: 80000615 stw zero,24(r16) -81135bb4: b80d883a mov r6,r23 -81135bb8: 000b883a mov r5,zero -81135bbc: 81001704 addi r4,r16,92 -81135bc0: 112c7900 call 8112c790 -81135bc4: 00800044 movi r2,1 -81135bc8: 84000715 stw r16,28(r16) -81135bcc: 85800815 stw r22,32(r16) -81135bd0: 85400915 stw r21,36(r16) -81135bd4: 85000a15 stw r20,40(r16) -81135bd8: 84c00b15 stw r19,44(r16) -81135bdc: 90800e15 stw r2,56(r18) -81135be0: dfc00817 ldw ra,32(sp) -81135be4: ddc00717 ldw r23,28(sp) -81135be8: dd800617 ldw r22,24(sp) -81135bec: dd400517 ldw r21,20(sp) -81135bf0: dd000417 ldw r20,16(sp) -81135bf4: dcc00317 ldw r19,12(sp) -81135bf8: dc800217 ldw r18,8(sp) -81135bfc: dc400117 ldw r17,4(sp) -81135c00: dc000017 ldw r16,0(sp) -81135c04: dec00904 addi sp,sp,36 -81135c08: f800283a ret - -81135c0c <__fp_lock>: -81135c0c: 0005883a mov r2,zero -81135c10: f800283a ret - -81135c14 <__sfmoreglue>: -81135c14: defffc04 addi sp,sp,-16 -81135c18: dc400115 stw r17,4(sp) -81135c1c: 2c7fffc4 addi r17,r5,-1 -81135c20: 8c401a24 muli r17,r17,104 -81135c24: dc800215 stw r18,8(sp) -81135c28: 2825883a mov r18,r5 -81135c2c: 89401d04 addi r5,r17,116 -81135c30: dc000015 stw r16,0(sp) -81135c34: dfc00315 stw ra,12(sp) -81135c38: 112be3c0 call 8112be3c <_malloc_r> -81135c3c: 1021883a mov r16,r2 -81135c40: 10000726 beq r2,zero,81135c60 <__sfmoreglue+0x4c> -81135c44: 11000304 addi r4,r2,12 -81135c48: 10000015 stw zero,0(r2) -81135c4c: 14800115 stw r18,4(r2) -81135c50: 11000215 stw r4,8(r2) -81135c54: 89801a04 addi r6,r17,104 -81135c58: 000b883a mov r5,zero -81135c5c: 112c7900 call 8112c790 -81135c60: 8005883a mov r2,r16 -81135c64: dfc00317 ldw ra,12(sp) -81135c68: dc800217 ldw r18,8(sp) -81135c6c: dc400117 ldw r17,4(sp) -81135c70: dc000017 ldw r16,0(sp) -81135c74: dec00404 addi sp,sp,16 -81135c78: f800283a ret - -81135c7c <__sfp>: -81135c7c: defffb04 addi sp,sp,-20 -81135c80: dc000015 stw r16,0(sp) -81135c84: 04204574 movhi r16,33045 -81135c88: 8406e104 addi r16,r16,7044 -81135c8c: dcc00315 stw r19,12(sp) -81135c90: 2027883a mov r19,r4 -81135c94: 81000017 ldw r4,0(r16) -81135c98: dfc00415 stw ra,16(sp) -81135c9c: dc800215 stw r18,8(sp) -81135ca0: 20800e17 ldw r2,56(r4) -81135ca4: dc400115 stw r17,4(sp) -81135ca8: 1000021e bne r2,zero,81135cb4 <__sfp+0x38> -81135cac: 1135a700 call 81135a70 <__sinit.part.1> -81135cb0: 81000017 ldw r4,0(r16) -81135cb4: 2480b804 addi r18,r4,736 -81135cb8: 047fffc4 movi r17,-1 -81135cbc: 91000117 ldw r4,4(r18) -81135cc0: 94000217 ldw r16,8(r18) -81135cc4: 213fffc4 addi r4,r4,-1 -81135cc8: 20000a16 blt r4,zero,81135cf4 <__sfp+0x78> -81135ccc: 8080030f ldh r2,12(r16) -81135cd0: 10000c26 beq r2,zero,81135d04 <__sfp+0x88> -81135cd4: 80c01d04 addi r3,r16,116 -81135cd8: 00000206 br 81135ce4 <__sfp+0x68> -81135cdc: 18bfe60f ldh r2,-104(r3) -81135ce0: 10000826 beq r2,zero,81135d04 <__sfp+0x88> -81135ce4: 213fffc4 addi r4,r4,-1 -81135ce8: 1c3ffd04 addi r16,r3,-12 -81135cec: 18c01a04 addi r3,r3,104 -81135cf0: 247ffa1e bne r4,r17,81135cdc <__reset+0xfb115cdc> -81135cf4: 90800017 ldw r2,0(r18) -81135cf8: 10001d26 beq r2,zero,81135d70 <__sfp+0xf4> -81135cfc: 1025883a mov r18,r2 -81135d00: 003fee06 br 81135cbc <__reset+0xfb115cbc> -81135d04: 00bfffc4 movi r2,-1 -81135d08: 8080038d sth r2,14(r16) -81135d0c: 00800044 movi r2,1 -81135d10: 8080030d sth r2,12(r16) -81135d14: 80001915 stw zero,100(r16) -81135d18: 80000015 stw zero,0(r16) -81135d1c: 80000215 stw zero,8(r16) -81135d20: 80000115 stw zero,4(r16) -81135d24: 80000415 stw zero,16(r16) -81135d28: 80000515 stw zero,20(r16) -81135d2c: 80000615 stw zero,24(r16) -81135d30: 01800204 movi r6,8 -81135d34: 000b883a mov r5,zero -81135d38: 81001704 addi r4,r16,92 -81135d3c: 112c7900 call 8112c790 -81135d40: 8005883a mov r2,r16 -81135d44: 80000c15 stw zero,48(r16) -81135d48: 80000d15 stw zero,52(r16) -81135d4c: 80001115 stw zero,68(r16) -81135d50: 80001215 stw zero,72(r16) -81135d54: dfc00417 ldw ra,16(sp) -81135d58: dcc00317 ldw r19,12(sp) -81135d5c: dc800217 ldw r18,8(sp) -81135d60: dc400117 ldw r17,4(sp) -81135d64: dc000017 ldw r16,0(sp) -81135d68: dec00504 addi sp,sp,20 -81135d6c: f800283a ret -81135d70: 01400104 movi r5,4 -81135d74: 9809883a mov r4,r19 -81135d78: 1135c140 call 81135c14 <__sfmoreglue> -81135d7c: 90800015 stw r2,0(r18) -81135d80: 103fde1e bne r2,zero,81135cfc <__reset+0xfb115cfc> -81135d84: 00800304 movi r2,12 -81135d88: 98800015 stw r2,0(r19) -81135d8c: 0005883a mov r2,zero -81135d90: 003ff006 br 81135d54 <__reset+0xfb115d54> - -81135d94 <_cleanup>: -81135d94: 00a04574 movhi r2,33045 -81135d98: 1086e104 addi r2,r2,7044 -81135d9c: 11000017 ldw r4,0(r2) -81135da0: 01604534 movhi r5,33044 -81135da4: 2974f604 addi r5,r5,-11304 -81135da8: 1136abc1 jmpi 81136abc <_fwalk_reent> - -81135dac <__sinit>: -81135dac: 20800e17 ldw r2,56(r4) -81135db0: 10000126 beq r2,zero,81135db8 <__sinit+0xc> -81135db4: f800283a ret -81135db8: 1135a701 jmpi 81135a70 <__sinit.part.1> - -81135dbc <__sfp_lock_acquire>: -81135dbc: f800283a ret - -81135dc0 <__sfp_lock_release>: -81135dc0: f800283a ret - -81135dc4 <__sinit_lock_acquire>: -81135dc4: f800283a ret - -81135dc8 <__sinit_lock_release>: -81135dc8: f800283a ret - -81135dcc <__fp_lock_all>: -81135dcc: 00a04574 movhi r2,33045 -81135dd0: 1086e204 addi r2,r2,7048 -81135dd4: 11000017 ldw r4,0(r2) -81135dd8: 016044f4 movhi r5,33043 -81135ddc: 29570304 addi r5,r5,23564 -81135de0: 11369f81 jmpi 811369f8 <_fwalk> - -81135de4 <__fp_unlock_all>: -81135de4: 00a04574 movhi r2,33045 -81135de8: 1086e204 addi r2,r2,7048 -81135dec: 11000017 ldw r4,0(r2) -81135df0: 016044f4 movhi r5,33043 -81135df4: 29569704 addi r5,r5,23132 -81135df8: 11369f81 jmpi 811369f8 <_fwalk> - -81135dfc <__sflags>: -81135dfc: 28800007 ldb r2,0(r5) -81135e00: 00c01c84 movi r3,114 -81135e04: 10c02426 beq r2,r3,81135e98 <__sflags+0x9c> -81135e08: 00c01dc4 movi r3,119 -81135e0c: 10c01e26 beq r2,r3,81135e88 <__sflags+0x8c> -81135e10: 00c01844 movi r3,97 -81135e14: 10c00426 beq r2,r3,81135e28 <__sflags+0x2c> -81135e18: 00800584 movi r2,22 -81135e1c: 20800015 stw r2,0(r4) -81135e20: 0005883a mov r2,zero -81135e24: f800283a ret -81135e28: 02c08204 movi r11,520 -81135e2c: 01000044 movi r4,1 -81135e30: 00804204 movi r2,264 -81135e34: 01c00ac4 movi r7,43 -81135e38: 02bff8c4 movi r10,-29 -81135e3c: 027fff04 movi r9,-4 -81135e40: 02001e04 movi r8,120 -81135e44: 29400044 addi r5,r5,1 -81135e48: 28c00007 ldb r3,0(r5) -81135e4c: 18000626 beq r3,zero,81135e68 <__sflags+0x6c> -81135e50: 19c00826 beq r3,r7,81135e74 <__sflags+0x78> -81135e54: 1a3ffb1e bne r3,r8,81135e44 <__reset+0xfb115e44> -81135e58: 29400044 addi r5,r5,1 -81135e5c: 28c00007 ldb r3,0(r5) -81135e60: 21020014 ori r4,r4,2048 -81135e64: 183ffa1e bne r3,zero,81135e50 <__reset+0xfb115e50> -81135e68: 22c8b03a or r4,r4,r11 -81135e6c: 31000015 stw r4,0(r6) -81135e70: f800283a ret -81135e74: 1284703a and r2,r2,r10 -81135e78: 2248703a and r4,r4,r9 -81135e7c: 10800414 ori r2,r2,16 -81135e80: 21000094 ori r4,r4,2 -81135e84: 003fef06 br 81135e44 <__reset+0xfb115e44> -81135e88: 02c18004 movi r11,1536 -81135e8c: 01000044 movi r4,1 -81135e90: 00800204 movi r2,8 -81135e94: 003fe706 br 81135e34 <__reset+0xfb115e34> -81135e98: 0017883a mov r11,zero -81135e9c: 0009883a mov r4,zero -81135ea0: 00800104 movi r2,4 -81135ea4: 003fe306 br 81135e34 <__reset+0xfb115e34> - -81135ea8 <_fread_r>: -81135ea8: defff404 addi sp,sp,-48 -81135eac: dd800815 stw r22,32(sp) -81135eb0: 39ad383a mul r22,r7,r6 -81135eb4: dc000215 stw r16,8(sp) -81135eb8: dfc00b15 stw ra,44(sp) -81135ebc: df000a15 stw fp,40(sp) -81135ec0: ddc00915 stw r23,36(sp) -81135ec4: dd400715 stw r21,28(sp) -81135ec8: dd000615 stw r20,24(sp) -81135ecc: dcc00515 stw r19,20(sp) -81135ed0: dc800415 stw r18,16(sp) -81135ed4: dc400315 stw r17,12(sp) -81135ed8: dc000c17 ldw r16,48(sp) -81135edc: b0003b26 beq r22,zero,81135fcc <_fread_r+0x124> -81135ee0: 302f883a mov r23,r6 -81135ee4: 382b883a mov r21,r7 -81135ee8: 2029883a mov r20,r4 -81135eec: 2827883a mov r19,r5 -81135ef0: 20000226 beq r4,zero,81135efc <_fread_r+0x54> -81135ef4: 20800e17 ldw r2,56(r4) -81135ef8: 10006e26 beq r2,zero,811360b4 <_fread_r+0x20c> -81135efc: 8080030b ldhu r2,12(r16) -81135f00: 10c8000c andi r3,r2,8192 -81135f04: 1800061e bne r3,zero,81135f20 <_fread_r+0x78> -81135f08: 81001917 ldw r4,100(r16) -81135f0c: 00f7ffc4 movi r3,-8193 -81135f10: 10880014 ori r2,r2,8192 -81135f14: 20c6703a and r3,r4,r3 -81135f18: 8080030d sth r2,12(r16) -81135f1c: 80c01915 stw r3,100(r16) -81135f20: 84400117 ldw r17,4(r16) -81135f24: 88005f16 blt r17,zero,811360a4 <_fread_r+0x1fc> -81135f28: 8809883a mov r4,r17 -81135f2c: 1080008c andi r2,r2,2 -81135f30: 1000281e bne r2,zero,81135fd4 <_fread_r+0x12c> -81135f34: b025883a mov r18,r22 -81135f38: 00000b06 br 81135f68 <_fread_r+0xc0> -81135f3c: 112c6480 call 8112c648 -81135f40: 80800017 ldw r2,0(r16) -81135f44: 9c67883a add r19,r19,r17 -81135f48: 9465c83a sub r18,r18,r17 -81135f4c: 1463883a add r17,r2,r17 -81135f50: 800b883a mov r5,r16 -81135f54: a009883a mov r4,r20 -81135f58: 84400015 stw r17,0(r16) -81135f5c: 112d38c0 call 8112d38c <__srefill_r> -81135f60: 10004c1e bne r2,zero,81136094 <_fread_r+0x1ec> -81135f64: 84400117 ldw r17,4(r16) -81135f68: 880d883a mov r6,r17 -81135f6c: 9809883a mov r4,r19 -81135f70: 81400017 ldw r5,0(r16) -81135f74: 8cbff136 bltu r17,r18,81135f3c <__reset+0xfb115f3c> -81135f78: 900d883a mov r6,r18 -81135f7c: 112c6480 call 8112c648 -81135f80: 80c00117 ldw r3,4(r16) -81135f84: 81000017 ldw r4,0(r16) -81135f88: a805883a mov r2,r21 -81135f8c: 1c87c83a sub r3,r3,r18 -81135f90: 24a5883a add r18,r4,r18 -81135f94: 80c00115 stw r3,4(r16) -81135f98: 84800015 stw r18,0(r16) -81135f9c: dfc00b17 ldw ra,44(sp) -81135fa0: df000a17 ldw fp,40(sp) -81135fa4: ddc00917 ldw r23,36(sp) -81135fa8: dd800817 ldw r22,32(sp) -81135fac: dd400717 ldw r21,28(sp) -81135fb0: dd000617 ldw r20,24(sp) -81135fb4: dcc00517 ldw r19,20(sp) -81135fb8: dc800417 ldw r18,16(sp) -81135fbc: dc400317 ldw r17,12(sp) -81135fc0: dc000217 ldw r16,8(sp) -81135fc4: dec00c04 addi sp,sp,48 -81135fc8: f800283a ret -81135fcc: 0005883a mov r2,zero -81135fd0: 003ff206 br 81135f9c <__reset+0xfb115f9c> -81135fd4: b007883a mov r3,r22 -81135fd8: 2580012e bgeu r4,r22,81135fe0 <_fread_r+0x138> -81135fdc: 2007883a mov r3,r4 -81135fe0: 81400017 ldw r5,0(r16) -81135fe4: 180d883a mov r6,r3 -81135fe8: 9809883a mov r4,r19 -81135fec: d8c00115 stw r3,4(sp) -81135ff0: 112c6480 call 8112c648 -81135ff4: d8c00117 ldw r3,4(sp) -81135ff8: 84400017 ldw r17,0(r16) -81135ffc: 80800117 ldw r2,4(r16) -81136000: 81400c17 ldw r5,48(r16) -81136004: 88e3883a add r17,r17,r3 -81136008: 10c5c83a sub r2,r2,r3 -8113600c: 84400015 stw r17,0(r16) -81136010: 80800115 stw r2,4(r16) -81136014: b0e5c83a sub r18,r22,r3 -81136018: 28002b26 beq r5,zero,811360c8 <_fread_r+0x220> -8113601c: 90002b26 beq r18,zero,811360cc <_fread_r+0x224> -81136020: 80801004 addi r2,r16,64 -81136024: 28800526 beq r5,r2,8113603c <_fread_r+0x194> -81136028: a009883a mov r4,r20 +811359f8: 003fb306 br 811358c8 <__reset+0xfb1158c8> +811359fc: 88c0030b ldhu r3,12(r17) +81135a00: 3005883a mov r2,r6 +81135a04: 18c01014 ori r3,r3,64 +81135a08: 88c0030d sth r3,12(r17) +81135a0c: 003fae06 br 811358c8 <__reset+0xfb1158c8> + +81135a10 <_fflush_r>: +81135a10: defffd04 addi sp,sp,-12 +81135a14: dc000115 stw r16,4(sp) +81135a18: dfc00215 stw ra,8(sp) +81135a1c: 2021883a mov r16,r4 +81135a20: 20000226 beq r4,zero,81135a2c <_fflush_r+0x1c> +81135a24: 20800e17 ldw r2,56(r4) +81135a28: 10000c26 beq r2,zero,81135a5c <_fflush_r+0x4c> +81135a2c: 2880030f ldh r2,12(r5) +81135a30: 1000051e bne r2,zero,81135a48 <_fflush_r+0x38> +81135a34: 0005883a mov r2,zero +81135a38: dfc00217 ldw ra,8(sp) +81135a3c: dc000117 ldw r16,4(sp) +81135a40: dec00304 addi sp,sp,12 +81135a44: f800283a ret +81135a48: 8009883a mov r4,r16 +81135a4c: dfc00217 ldw ra,8(sp) +81135a50: dc000117 ldw r16,4(sp) +81135a54: dec00304 addi sp,sp,12 +81135a58: 11357f41 jmpi 811357f4 <__sflush_r> +81135a5c: d9400015 stw r5,0(sp) +81135a60: 1135dec0 call 81135dec <__sinit> +81135a64: d9400017 ldw r5,0(sp) +81135a68: 003ff006 br 81135a2c <__reset+0xfb115a2c> + +81135a6c : +81135a6c: 20000526 beq r4,zero,81135a84 +81135a70: 00a04574 movhi r2,33045 +81135a74: 1086f304 addi r2,r2,7116 +81135a78: 200b883a mov r5,r4 +81135a7c: 11000017 ldw r4,0(r2) +81135a80: 1135a101 jmpi 81135a10 <_fflush_r> +81135a84: 00a04574 movhi r2,33045 +81135a88: 1086f204 addi r2,r2,7112 +81135a8c: 11000017 ldw r4,0(r2) +81135a90: 016044f4 movhi r5,33043 +81135a94: 29568404 addi r5,r5,23056 +81135a98: 1136afc1 jmpi 81136afc <_fwalk_reent> + +81135a9c <__fp_unlock>: +81135a9c: 0005883a mov r2,zero +81135aa0: f800283a ret + +81135aa4 <_cleanup_r>: +81135aa4: 01604534 movhi r5,33044 +81135aa8: 29750604 addi r5,r5,-11240 +81135aac: 1136afc1 jmpi 81136afc <_fwalk_reent> + +81135ab0 <__sinit.part.1>: +81135ab0: defff704 addi sp,sp,-36 +81135ab4: 00e044f4 movhi r3,33043 +81135ab8: dfc00815 stw ra,32(sp) +81135abc: ddc00715 stw r23,28(sp) +81135ac0: dd800615 stw r22,24(sp) +81135ac4: dd400515 stw r21,20(sp) +81135ac8: dd000415 stw r20,16(sp) +81135acc: dcc00315 stw r19,12(sp) +81135ad0: dc800215 stw r18,8(sp) +81135ad4: dc400115 stw r17,4(sp) +81135ad8: dc000015 stw r16,0(sp) +81135adc: 18d6a904 addi r3,r3,23204 +81135ae0: 24000117 ldw r16,4(r4) +81135ae4: 20c00f15 stw r3,60(r4) +81135ae8: 2080bb04 addi r2,r4,748 +81135aec: 00c000c4 movi r3,3 +81135af0: 20c0b915 stw r3,740(r4) +81135af4: 2080ba15 stw r2,744(r4) +81135af8: 2000b815 stw zero,736(r4) +81135afc: 05c00204 movi r23,8 +81135b00: 00800104 movi r2,4 +81135b04: 2025883a mov r18,r4 +81135b08: b80d883a mov r6,r23 +81135b0c: 81001704 addi r4,r16,92 +81135b10: 000b883a mov r5,zero +81135b14: 80000015 stw zero,0(r16) +81135b18: 80000115 stw zero,4(r16) +81135b1c: 80000215 stw zero,8(r16) +81135b20: 8080030d sth r2,12(r16) +81135b24: 80001915 stw zero,100(r16) +81135b28: 8000038d sth zero,14(r16) +81135b2c: 80000415 stw zero,16(r16) +81135b30: 80000515 stw zero,20(r16) +81135b34: 80000615 stw zero,24(r16) +81135b38: 112c7d00 call 8112c7d0 +81135b3c: 05a044f4 movhi r22,33043 +81135b40: 94400217 ldw r17,8(r18) +81135b44: 056044f4 movhi r21,33043 +81135b48: 052044f4 movhi r20,33043 +81135b4c: 04e044f4 movhi r19,33043 +81135b50: b5b5c904 addi r22,r22,-10460 +81135b54: ad75e004 addi r21,r21,-10368 +81135b58: a535ff04 addi r20,r20,-10244 +81135b5c: 9cf61604 addi r19,r19,-10152 +81135b60: 85800815 stw r22,32(r16) +81135b64: 85400915 stw r21,36(r16) +81135b68: 85000a15 stw r20,40(r16) +81135b6c: 84c00b15 stw r19,44(r16) +81135b70: 84000715 stw r16,28(r16) +81135b74: 00800284 movi r2,10 +81135b78: 8880030d sth r2,12(r17) +81135b7c: 00800044 movi r2,1 +81135b80: b80d883a mov r6,r23 +81135b84: 89001704 addi r4,r17,92 +81135b88: 000b883a mov r5,zero +81135b8c: 88000015 stw zero,0(r17) +81135b90: 88000115 stw zero,4(r17) +81135b94: 88000215 stw zero,8(r17) +81135b98: 88001915 stw zero,100(r17) +81135b9c: 8880038d sth r2,14(r17) +81135ba0: 88000415 stw zero,16(r17) +81135ba4: 88000515 stw zero,20(r17) +81135ba8: 88000615 stw zero,24(r17) +81135bac: 112c7d00 call 8112c7d0 +81135bb0: 94000317 ldw r16,12(r18) +81135bb4: 00800484 movi r2,18 +81135bb8: 8c400715 stw r17,28(r17) +81135bbc: 8d800815 stw r22,32(r17) +81135bc0: 8d400915 stw r21,36(r17) +81135bc4: 8d000a15 stw r20,40(r17) +81135bc8: 8cc00b15 stw r19,44(r17) +81135bcc: 8080030d sth r2,12(r16) +81135bd0: 00800084 movi r2,2 +81135bd4: 80000015 stw zero,0(r16) +81135bd8: 80000115 stw zero,4(r16) +81135bdc: 80000215 stw zero,8(r16) +81135be0: 80001915 stw zero,100(r16) +81135be4: 8080038d sth r2,14(r16) +81135be8: 80000415 stw zero,16(r16) +81135bec: 80000515 stw zero,20(r16) +81135bf0: 80000615 stw zero,24(r16) +81135bf4: b80d883a mov r6,r23 +81135bf8: 000b883a mov r5,zero +81135bfc: 81001704 addi r4,r16,92 +81135c00: 112c7d00 call 8112c7d0 +81135c04: 00800044 movi r2,1 +81135c08: 84000715 stw r16,28(r16) +81135c0c: 85800815 stw r22,32(r16) +81135c10: 85400915 stw r21,36(r16) +81135c14: 85000a15 stw r20,40(r16) +81135c18: 84c00b15 stw r19,44(r16) +81135c1c: 90800e15 stw r2,56(r18) +81135c20: dfc00817 ldw ra,32(sp) +81135c24: ddc00717 ldw r23,28(sp) +81135c28: dd800617 ldw r22,24(sp) +81135c2c: dd400517 ldw r21,20(sp) +81135c30: dd000417 ldw r20,16(sp) +81135c34: dcc00317 ldw r19,12(sp) +81135c38: dc800217 ldw r18,8(sp) +81135c3c: dc400117 ldw r17,4(sp) +81135c40: dc000017 ldw r16,0(sp) +81135c44: dec00904 addi sp,sp,36 +81135c48: f800283a ret + +81135c4c <__fp_lock>: +81135c4c: 0005883a mov r2,zero +81135c50: f800283a ret + +81135c54 <__sfmoreglue>: +81135c54: defffc04 addi sp,sp,-16 +81135c58: dc400115 stw r17,4(sp) +81135c5c: 2c7fffc4 addi r17,r5,-1 +81135c60: 8c401a24 muli r17,r17,104 +81135c64: dc800215 stw r18,8(sp) +81135c68: 2825883a mov r18,r5 +81135c6c: 89401d04 addi r5,r17,116 +81135c70: dc000015 stw r16,0(sp) +81135c74: dfc00315 stw ra,12(sp) +81135c78: 112be7c0 call 8112be7c <_malloc_r> +81135c7c: 1021883a mov r16,r2 +81135c80: 10000726 beq r2,zero,81135ca0 <__sfmoreglue+0x4c> +81135c84: 11000304 addi r4,r2,12 +81135c88: 10000015 stw zero,0(r2) +81135c8c: 14800115 stw r18,4(r2) +81135c90: 11000215 stw r4,8(r2) +81135c94: 89801a04 addi r6,r17,104 +81135c98: 000b883a mov r5,zero +81135c9c: 112c7d00 call 8112c7d0 +81135ca0: 8005883a mov r2,r16 +81135ca4: dfc00317 ldw ra,12(sp) +81135ca8: dc800217 ldw r18,8(sp) +81135cac: dc400117 ldw r17,4(sp) +81135cb0: dc000017 ldw r16,0(sp) +81135cb4: dec00404 addi sp,sp,16 +81135cb8: f800283a ret + +81135cbc <__sfp>: +81135cbc: defffb04 addi sp,sp,-20 +81135cc0: dc000015 stw r16,0(sp) +81135cc4: 04204574 movhi r16,33045 +81135cc8: 8406f204 addi r16,r16,7112 +81135ccc: dcc00315 stw r19,12(sp) +81135cd0: 2027883a mov r19,r4 +81135cd4: 81000017 ldw r4,0(r16) +81135cd8: dfc00415 stw ra,16(sp) +81135cdc: dc800215 stw r18,8(sp) +81135ce0: 20800e17 ldw r2,56(r4) +81135ce4: dc400115 stw r17,4(sp) +81135ce8: 1000021e bne r2,zero,81135cf4 <__sfp+0x38> +81135cec: 1135ab00 call 81135ab0 <__sinit.part.1> +81135cf0: 81000017 ldw r4,0(r16) +81135cf4: 2480b804 addi r18,r4,736 +81135cf8: 047fffc4 movi r17,-1 +81135cfc: 91000117 ldw r4,4(r18) +81135d00: 94000217 ldw r16,8(r18) +81135d04: 213fffc4 addi r4,r4,-1 +81135d08: 20000a16 blt r4,zero,81135d34 <__sfp+0x78> +81135d0c: 8080030f ldh r2,12(r16) +81135d10: 10000c26 beq r2,zero,81135d44 <__sfp+0x88> +81135d14: 80c01d04 addi r3,r16,116 +81135d18: 00000206 br 81135d24 <__sfp+0x68> +81135d1c: 18bfe60f ldh r2,-104(r3) +81135d20: 10000826 beq r2,zero,81135d44 <__sfp+0x88> +81135d24: 213fffc4 addi r4,r4,-1 +81135d28: 1c3ffd04 addi r16,r3,-12 +81135d2c: 18c01a04 addi r3,r3,104 +81135d30: 247ffa1e bne r4,r17,81135d1c <__reset+0xfb115d1c> +81135d34: 90800017 ldw r2,0(r18) +81135d38: 10001d26 beq r2,zero,81135db0 <__sfp+0xf4> +81135d3c: 1025883a mov r18,r2 +81135d40: 003fee06 br 81135cfc <__reset+0xfb115cfc> +81135d44: 00bfffc4 movi r2,-1 +81135d48: 8080038d sth r2,14(r16) +81135d4c: 00800044 movi r2,1 +81135d50: 8080030d sth r2,12(r16) +81135d54: 80001915 stw zero,100(r16) +81135d58: 80000015 stw zero,0(r16) +81135d5c: 80000215 stw zero,8(r16) +81135d60: 80000115 stw zero,4(r16) +81135d64: 80000415 stw zero,16(r16) +81135d68: 80000515 stw zero,20(r16) +81135d6c: 80000615 stw zero,24(r16) +81135d70: 01800204 movi r6,8 +81135d74: 000b883a mov r5,zero +81135d78: 81001704 addi r4,r16,92 +81135d7c: 112c7d00 call 8112c7d0 +81135d80: 8005883a mov r2,r16 +81135d84: 80000c15 stw zero,48(r16) +81135d88: 80000d15 stw zero,52(r16) +81135d8c: 80001115 stw zero,68(r16) +81135d90: 80001215 stw zero,72(r16) +81135d94: dfc00417 ldw ra,16(sp) +81135d98: dcc00317 ldw r19,12(sp) +81135d9c: dc800217 ldw r18,8(sp) +81135da0: dc400117 ldw r17,4(sp) +81135da4: dc000017 ldw r16,0(sp) +81135da8: dec00504 addi sp,sp,20 +81135dac: f800283a ret +81135db0: 01400104 movi r5,4 +81135db4: 9809883a mov r4,r19 +81135db8: 1135c540 call 81135c54 <__sfmoreglue> +81135dbc: 90800015 stw r2,0(r18) +81135dc0: 103fde1e bne r2,zero,81135d3c <__reset+0xfb115d3c> +81135dc4: 00800304 movi r2,12 +81135dc8: 98800015 stw r2,0(r19) +81135dcc: 0005883a mov r2,zero +81135dd0: 003ff006 br 81135d94 <__reset+0xfb115d94> + +81135dd4 <_cleanup>: +81135dd4: 00a04574 movhi r2,33045 +81135dd8: 1086f204 addi r2,r2,7112 +81135ddc: 11000017 ldw r4,0(r2) +81135de0: 01604534 movhi r5,33044 +81135de4: 29750604 addi r5,r5,-11240 +81135de8: 1136afc1 jmpi 81136afc <_fwalk_reent> + +81135dec <__sinit>: +81135dec: 20800e17 ldw r2,56(r4) +81135df0: 10000126 beq r2,zero,81135df8 <__sinit+0xc> +81135df4: f800283a ret +81135df8: 1135ab01 jmpi 81135ab0 <__sinit.part.1> + +81135dfc <__sfp_lock_acquire>: +81135dfc: f800283a ret + +81135e00 <__sfp_lock_release>: +81135e00: f800283a ret + +81135e04 <__sinit_lock_acquire>: +81135e04: f800283a ret + +81135e08 <__sinit_lock_release>: +81135e08: f800283a ret + +81135e0c <__fp_lock_all>: +81135e0c: 00a04574 movhi r2,33045 +81135e10: 1086f304 addi r2,r2,7116 +81135e14: 11000017 ldw r4,0(r2) +81135e18: 016044f4 movhi r5,33043 +81135e1c: 29571304 addi r5,r5,23628 +81135e20: 1136a381 jmpi 81136a38 <_fwalk> + +81135e24 <__fp_unlock_all>: +81135e24: 00a04574 movhi r2,33045 +81135e28: 1086f304 addi r2,r2,7116 +81135e2c: 11000017 ldw r4,0(r2) +81135e30: 016044f4 movhi r5,33043 +81135e34: 2956a704 addi r5,r5,23196 +81135e38: 1136a381 jmpi 81136a38 <_fwalk> + +81135e3c <__sflags>: +81135e3c: 28800007 ldb r2,0(r5) +81135e40: 00c01c84 movi r3,114 +81135e44: 10c02426 beq r2,r3,81135ed8 <__sflags+0x9c> +81135e48: 00c01dc4 movi r3,119 +81135e4c: 10c01e26 beq r2,r3,81135ec8 <__sflags+0x8c> +81135e50: 00c01844 movi r3,97 +81135e54: 10c00426 beq r2,r3,81135e68 <__sflags+0x2c> +81135e58: 00800584 movi r2,22 +81135e5c: 20800015 stw r2,0(r4) +81135e60: 0005883a mov r2,zero +81135e64: f800283a ret +81135e68: 02c08204 movi r11,520 +81135e6c: 01000044 movi r4,1 +81135e70: 00804204 movi r2,264 +81135e74: 01c00ac4 movi r7,43 +81135e78: 02bff8c4 movi r10,-29 +81135e7c: 027fff04 movi r9,-4 +81135e80: 02001e04 movi r8,120 +81135e84: 29400044 addi r5,r5,1 +81135e88: 28c00007 ldb r3,0(r5) +81135e8c: 18000626 beq r3,zero,81135ea8 <__sflags+0x6c> +81135e90: 19c00826 beq r3,r7,81135eb4 <__sflags+0x78> +81135e94: 1a3ffb1e bne r3,r8,81135e84 <__reset+0xfb115e84> +81135e98: 29400044 addi r5,r5,1 +81135e9c: 28c00007 ldb r3,0(r5) +81135ea0: 21020014 ori r4,r4,2048 +81135ea4: 183ffa1e bne r3,zero,81135e90 <__reset+0xfb115e90> +81135ea8: 22c8b03a or r4,r4,r11 +81135eac: 31000015 stw r4,0(r6) +81135eb0: f800283a ret +81135eb4: 1284703a and r2,r2,r10 +81135eb8: 2248703a and r4,r4,r9 +81135ebc: 10800414 ori r2,r2,16 +81135ec0: 21000094 ori r4,r4,2 +81135ec4: 003fef06 br 81135e84 <__reset+0xfb115e84> +81135ec8: 02c18004 movi r11,1536 +81135ecc: 01000044 movi r4,1 +81135ed0: 00800204 movi r2,8 +81135ed4: 003fe706 br 81135e74 <__reset+0xfb115e74> +81135ed8: 0017883a mov r11,zero +81135edc: 0009883a mov r4,zero +81135ee0: 00800104 movi r2,4 +81135ee4: 003fe306 br 81135e74 <__reset+0xfb115e74> + +81135ee8 <_fread_r>: +81135ee8: defff404 addi sp,sp,-48 +81135eec: dd800815 stw r22,32(sp) +81135ef0: 39ad383a mul r22,r7,r6 +81135ef4: dc000215 stw r16,8(sp) +81135ef8: dfc00b15 stw ra,44(sp) +81135efc: df000a15 stw fp,40(sp) +81135f00: ddc00915 stw r23,36(sp) +81135f04: dd400715 stw r21,28(sp) +81135f08: dd000615 stw r20,24(sp) +81135f0c: dcc00515 stw r19,20(sp) +81135f10: dc800415 stw r18,16(sp) +81135f14: dc400315 stw r17,12(sp) +81135f18: dc000c17 ldw r16,48(sp) +81135f1c: b0003b26 beq r22,zero,8113600c <_fread_r+0x124> +81135f20: 302f883a mov r23,r6 +81135f24: 382b883a mov r21,r7 +81135f28: 2029883a mov r20,r4 +81135f2c: 2827883a mov r19,r5 +81135f30: 20000226 beq r4,zero,81135f3c <_fread_r+0x54> +81135f34: 20800e17 ldw r2,56(r4) +81135f38: 10006e26 beq r2,zero,811360f4 <_fread_r+0x20c> +81135f3c: 8080030b ldhu r2,12(r16) +81135f40: 10c8000c andi r3,r2,8192 +81135f44: 1800061e bne r3,zero,81135f60 <_fread_r+0x78> +81135f48: 81001917 ldw r4,100(r16) +81135f4c: 00f7ffc4 movi r3,-8193 +81135f50: 10880014 ori r2,r2,8192 +81135f54: 20c6703a and r3,r4,r3 +81135f58: 8080030d sth r2,12(r16) +81135f5c: 80c01915 stw r3,100(r16) +81135f60: 84400117 ldw r17,4(r16) +81135f64: 88005f16 blt r17,zero,811360e4 <_fread_r+0x1fc> +81135f68: 8809883a mov r4,r17 +81135f6c: 1080008c andi r2,r2,2 +81135f70: 1000281e bne r2,zero,81136014 <_fread_r+0x12c> +81135f74: b025883a mov r18,r22 +81135f78: 00000b06 br 81135fa8 <_fread_r+0xc0> +81135f7c: 112c6880 call 8112c688 +81135f80: 80800017 ldw r2,0(r16) +81135f84: 9c67883a add r19,r19,r17 +81135f88: 9465c83a sub r18,r18,r17 +81135f8c: 1463883a add r17,r2,r17 +81135f90: 800b883a mov r5,r16 +81135f94: a009883a mov r4,r20 +81135f98: 84400015 stw r17,0(r16) +81135f9c: 112d3cc0 call 8112d3cc <__srefill_r> +81135fa0: 10004c1e bne r2,zero,811360d4 <_fread_r+0x1ec> +81135fa4: 84400117 ldw r17,4(r16) +81135fa8: 880d883a mov r6,r17 +81135fac: 9809883a mov r4,r19 +81135fb0: 81400017 ldw r5,0(r16) +81135fb4: 8cbff136 bltu r17,r18,81135f7c <__reset+0xfb115f7c> +81135fb8: 900d883a mov r6,r18 +81135fbc: 112c6880 call 8112c688 +81135fc0: 80c00117 ldw r3,4(r16) +81135fc4: 81000017 ldw r4,0(r16) +81135fc8: a805883a mov r2,r21 +81135fcc: 1c87c83a sub r3,r3,r18 +81135fd0: 24a5883a add r18,r4,r18 +81135fd4: 80c00115 stw r3,4(r16) +81135fd8: 84800015 stw r18,0(r16) +81135fdc: dfc00b17 ldw ra,44(sp) +81135fe0: df000a17 ldw fp,40(sp) +81135fe4: ddc00917 ldw r23,36(sp) +81135fe8: dd800817 ldw r22,32(sp) +81135fec: dd400717 ldw r21,28(sp) +81135ff0: dd000617 ldw r20,24(sp) +81135ff4: dcc00517 ldw r19,20(sp) +81135ff8: dc800417 ldw r18,16(sp) +81135ffc: dc400317 ldw r17,12(sp) +81136000: dc000217 ldw r16,8(sp) +81136004: dec00c04 addi sp,sp,48 +81136008: f800283a ret +8113600c: 0005883a mov r2,zero +81136010: 003ff206 br 81135fdc <__reset+0xfb115fdc> +81136014: b007883a mov r3,r22 +81136018: 2580012e bgeu r4,r22,81136020 <_fread_r+0x138> +8113601c: 2007883a mov r3,r4 +81136020: 81400017 ldw r5,0(r16) +81136024: 180d883a mov r6,r3 +81136028: 9809883a mov r4,r19 8113602c: d8c00115 stw r3,4(sp) -81136030: 113622c0 call 8113622c <_free_r> +81136030: 112c6880 call 8112c688 81136034: d8c00117 ldw r3,4(sp) 81136038: 84400017 ldw r17,0(r16) -8113603c: 80000c15 stw zero,48(r16) -81136040: 80800517 ldw r2,20(r16) -81136044: 87000417 ldw fp,16(r16) -81136048: 98e7883a add r19,r19,r3 -8113604c: d8800015 stw r2,0(sp) -81136050: 00000106 br 81136058 <_fread_r+0x1b0> -81136054: 90001d26 beq r18,zero,811360cc <_fread_r+0x224> -81136058: 84c00415 stw r19,16(r16) -8113605c: 84800515 stw r18,20(r16) -81136060: 84c00015 stw r19,0(r16) -81136064: a009883a mov r4,r20 -81136068: 800b883a mov r5,r16 -8113606c: 112d38c0 call 8112d38c <__srefill_r> -81136070: d9000017 ldw r4,0(sp) -81136074: 80c00117 ldw r3,4(r16) -81136078: 87000415 stw fp,16(r16) -8113607c: 81000515 stw r4,20(r16) -81136080: 84400015 stw r17,0(r16) -81136084: 80000115 stw zero,4(r16) -81136088: 90e5c83a sub r18,r18,r3 -8113608c: 98e7883a add r19,r19,r3 -81136090: 103ff026 beq r2,zero,81136054 <__reset+0xfb116054> -81136094: b80b883a mov r5,r23 -81136098: b489c83a sub r4,r22,r18 -8113609c: 112b34c0 call 8112b34c <__udivsi3> -811360a0: 003fbe06 br 81135f9c <__reset+0xfb115f9c> -811360a4: 80000115 stw zero,4(r16) -811360a8: 0009883a mov r4,zero -811360ac: 0023883a mov r17,zero -811360b0: 003f9e06 br 81135f2c <__reset+0xfb115f2c> -811360b4: 1135dac0 call 81135dac <__sinit> -811360b8: 8080030b ldhu r2,12(r16) -811360bc: 10c8000c andi r3,r2,8192 -811360c0: 183f971e bne r3,zero,81135f20 <__reset+0xfb115f20> -811360c4: 003f9006 br 81135f08 <__reset+0xfb115f08> -811360c8: 903fdd1e bne r18,zero,81136040 <__reset+0xfb116040> -811360cc: a805883a mov r2,r21 -811360d0: 003fb206 br 81135f9c <__reset+0xfb115f9c> - -811360d4 : -811360d4: defffe04 addi sp,sp,-8 -811360d8: 00a04574 movhi r2,33045 -811360dc: d9c00015 stw r7,0(sp) -811360e0: 1086e204 addi r2,r2,7048 -811360e4: 300f883a mov r7,r6 -811360e8: 280d883a mov r6,r5 -811360ec: 200b883a mov r5,r4 -811360f0: 11000017 ldw r4,0(r2) -811360f4: dfc00115 stw ra,4(sp) -811360f8: 1135ea80 call 81135ea8 <_fread_r> -811360fc: dfc00117 ldw ra,4(sp) -81136100: dec00204 addi sp,sp,8 -81136104: f800283a ret - -81136108 <_malloc_trim_r>: -81136108: defffb04 addi sp,sp,-20 -8113610c: dcc00315 stw r19,12(sp) -81136110: 04e04574 movhi r19,33045 -81136114: dc800215 stw r18,8(sp) -81136118: dc400115 stw r17,4(sp) -8113611c: dc000015 stw r16,0(sp) -81136120: dfc00415 stw ra,16(sp) -81136124: 2821883a mov r16,r5 -81136128: 9cc0fa04 addi r19,r19,1000 -8113612c: 2025883a mov r18,r4 -81136130: 113fbf80 call 8113fbf8 <__malloc_lock> -81136134: 98800217 ldw r2,8(r19) -81136138: 14400117 ldw r17,4(r2) -8113613c: 00bfff04 movi r2,-4 -81136140: 88a2703a and r17,r17,r2 -81136144: 8c21c83a sub r16,r17,r16 -81136148: 8403fbc4 addi r16,r16,4079 -8113614c: 8020d33a srli r16,r16,12 -81136150: 0083ffc4 movi r2,4095 -81136154: 843fffc4 addi r16,r16,-1 -81136158: 8020933a slli r16,r16,12 -8113615c: 1400060e bge r2,r16,81136178 <_malloc_trim_r+0x70> -81136160: 000b883a mov r5,zero -81136164: 9009883a mov r4,r18 -81136168: 112d5600 call 8112d560 <_sbrk_r> -8113616c: 98c00217 ldw r3,8(r19) -81136170: 1c47883a add r3,r3,r17 -81136174: 10c00a26 beq r2,r3,811361a0 <_malloc_trim_r+0x98> -81136178: 9009883a mov r4,r18 -8113617c: 113fca40 call 8113fca4 <__malloc_unlock> -81136180: 0005883a mov r2,zero -81136184: dfc00417 ldw ra,16(sp) -81136188: dcc00317 ldw r19,12(sp) -8113618c: dc800217 ldw r18,8(sp) -81136190: dc400117 ldw r17,4(sp) -81136194: dc000017 ldw r16,0(sp) -81136198: dec00504 addi sp,sp,20 -8113619c: f800283a ret -811361a0: 040bc83a sub r5,zero,r16 +8113603c: 80800117 ldw r2,4(r16) +81136040: 81400c17 ldw r5,48(r16) +81136044: 88e3883a add r17,r17,r3 +81136048: 10c5c83a sub r2,r2,r3 +8113604c: 84400015 stw r17,0(r16) +81136050: 80800115 stw r2,4(r16) +81136054: b0e5c83a sub r18,r22,r3 +81136058: 28002b26 beq r5,zero,81136108 <_fread_r+0x220> +8113605c: 90002b26 beq r18,zero,8113610c <_fread_r+0x224> +81136060: 80801004 addi r2,r16,64 +81136064: 28800526 beq r5,r2,8113607c <_fread_r+0x194> +81136068: a009883a mov r4,r20 +8113606c: d8c00115 stw r3,4(sp) +81136070: 113626c0 call 8113626c <_free_r> +81136074: d8c00117 ldw r3,4(sp) +81136078: 84400017 ldw r17,0(r16) +8113607c: 80000c15 stw zero,48(r16) +81136080: 80800517 ldw r2,20(r16) +81136084: 87000417 ldw fp,16(r16) +81136088: 98e7883a add r19,r19,r3 +8113608c: d8800015 stw r2,0(sp) +81136090: 00000106 br 81136098 <_fread_r+0x1b0> +81136094: 90001d26 beq r18,zero,8113610c <_fread_r+0x224> +81136098: 84c00415 stw r19,16(r16) +8113609c: 84800515 stw r18,20(r16) +811360a0: 84c00015 stw r19,0(r16) +811360a4: a009883a mov r4,r20 +811360a8: 800b883a mov r5,r16 +811360ac: 112d3cc0 call 8112d3cc <__srefill_r> +811360b0: d9000017 ldw r4,0(sp) +811360b4: 80c00117 ldw r3,4(r16) +811360b8: 87000415 stw fp,16(r16) +811360bc: 81000515 stw r4,20(r16) +811360c0: 84400015 stw r17,0(r16) +811360c4: 80000115 stw zero,4(r16) +811360c8: 90e5c83a sub r18,r18,r3 +811360cc: 98e7883a add r19,r19,r3 +811360d0: 103ff026 beq r2,zero,81136094 <__reset+0xfb116094> +811360d4: b80b883a mov r5,r23 +811360d8: b489c83a sub r4,r22,r18 +811360dc: 112b38c0 call 8112b38c <__udivsi3> +811360e0: 003fbe06 br 81135fdc <__reset+0xfb115fdc> +811360e4: 80000115 stw zero,4(r16) +811360e8: 0009883a mov r4,zero +811360ec: 0023883a mov r17,zero +811360f0: 003f9e06 br 81135f6c <__reset+0xfb115f6c> +811360f4: 1135dec0 call 81135dec <__sinit> +811360f8: 8080030b ldhu r2,12(r16) +811360fc: 10c8000c andi r3,r2,8192 +81136100: 183f971e bne r3,zero,81135f60 <__reset+0xfb115f60> +81136104: 003f9006 br 81135f48 <__reset+0xfb115f48> +81136108: 903fdd1e bne r18,zero,81136080 <__reset+0xfb116080> +8113610c: a805883a mov r2,r21 +81136110: 003fb206 br 81135fdc <__reset+0xfb115fdc> + +81136114 : +81136114: defffe04 addi sp,sp,-8 +81136118: 00a04574 movhi r2,33045 +8113611c: d9c00015 stw r7,0(sp) +81136120: 1086f304 addi r2,r2,7116 +81136124: 300f883a mov r7,r6 +81136128: 280d883a mov r6,r5 +8113612c: 200b883a mov r5,r4 +81136130: 11000017 ldw r4,0(r2) +81136134: dfc00115 stw ra,4(sp) +81136138: 1135ee80 call 81135ee8 <_fread_r> +8113613c: dfc00117 ldw ra,4(sp) +81136140: dec00204 addi sp,sp,8 +81136144: f800283a ret + +81136148 <_malloc_trim_r>: +81136148: defffb04 addi sp,sp,-20 +8113614c: dcc00315 stw r19,12(sp) +81136150: 04e04574 movhi r19,33045 +81136154: dc800215 stw r18,8(sp) +81136158: dc400115 stw r17,4(sp) +8113615c: dc000015 stw r16,0(sp) +81136160: dfc00415 stw ra,16(sp) +81136164: 2821883a mov r16,r5 +81136168: 9cc10b04 addi r19,r19,1068 +8113616c: 2025883a mov r18,r4 +81136170: 113fc380 call 8113fc38 <__malloc_lock> +81136174: 98800217 ldw r2,8(r19) +81136178: 14400117 ldw r17,4(r2) +8113617c: 00bfff04 movi r2,-4 +81136180: 88a2703a and r17,r17,r2 +81136184: 8c21c83a sub r16,r17,r16 +81136188: 8403fbc4 addi r16,r16,4079 +8113618c: 8020d33a srli r16,r16,12 +81136190: 0083ffc4 movi r2,4095 +81136194: 843fffc4 addi r16,r16,-1 +81136198: 8020933a slli r16,r16,12 +8113619c: 1400060e bge r2,r16,811361b8 <_malloc_trim_r+0x70> +811361a0: 000b883a mov r5,zero 811361a4: 9009883a mov r4,r18 -811361a8: 112d5600 call 8112d560 <_sbrk_r> -811361ac: 00ffffc4 movi r3,-1 -811361b0: 10c00d26 beq r2,r3,811361e8 <_malloc_trim_r+0xe0> -811361b4: 00e04574 movhi r3,33045 -811361b8: 18c88d04 addi r3,r3,8756 -811361bc: 18800017 ldw r2,0(r3) -811361c0: 99000217 ldw r4,8(r19) -811361c4: 8c23c83a sub r17,r17,r16 -811361c8: 8c400054 ori r17,r17,1 -811361cc: 1421c83a sub r16,r2,r16 -811361d0: 24400115 stw r17,4(r4) -811361d4: 9009883a mov r4,r18 -811361d8: 1c000015 stw r16,0(r3) -811361dc: 113fca40 call 8113fca4 <__malloc_unlock> -811361e0: 00800044 movi r2,1 -811361e4: 003fe706 br 81136184 <__reset+0xfb116184> -811361e8: 000b883a mov r5,zero -811361ec: 9009883a mov r4,r18 -811361f0: 112d5600 call 8112d560 <_sbrk_r> -811361f4: 99000217 ldw r4,8(r19) -811361f8: 014003c4 movi r5,15 -811361fc: 1107c83a sub r3,r2,r4 -81136200: 28ffdd0e bge r5,r3,81136178 <__reset+0xfb116178> -81136204: 01604574 movhi r5,33045 -81136208: 2946e304 addi r5,r5,7052 -8113620c: 29400017 ldw r5,0(r5) -81136210: 18c00054 ori r3,r3,1 -81136214: 20c00115 stw r3,4(r4) -81136218: 00e04574 movhi r3,33045 -8113621c: 1145c83a sub r2,r2,r5 -81136220: 18c88d04 addi r3,r3,8756 -81136224: 18800015 stw r2,0(r3) -81136228: 003fd306 br 81136178 <__reset+0xfb116178> - -8113622c <_free_r>: -8113622c: 28004126 beq r5,zero,81136334 <_free_r+0x108> -81136230: defffd04 addi sp,sp,-12 -81136234: dc400115 stw r17,4(sp) -81136238: dc000015 stw r16,0(sp) -8113623c: 2023883a mov r17,r4 -81136240: 2821883a mov r16,r5 -81136244: dfc00215 stw ra,8(sp) -81136248: 113fbf80 call 8113fbf8 <__malloc_lock> -8113624c: 81ffff17 ldw r7,-4(r16) -81136250: 00bfff84 movi r2,-2 -81136254: 01204574 movhi r4,33045 -81136258: 81bffe04 addi r6,r16,-8 -8113625c: 3884703a and r2,r7,r2 -81136260: 2100fa04 addi r4,r4,1000 -81136264: 308b883a add r5,r6,r2 -81136268: 2a400117 ldw r9,4(r5) -8113626c: 22000217 ldw r8,8(r4) -81136270: 00ffff04 movi r3,-4 -81136274: 48c6703a and r3,r9,r3 -81136278: 2a005726 beq r5,r8,811363d8 <_free_r+0x1ac> -8113627c: 28c00115 stw r3,4(r5) -81136280: 39c0004c andi r7,r7,1 -81136284: 3800091e bne r7,zero,811362ac <_free_r+0x80> -81136288: 823ffe17 ldw r8,-8(r16) -8113628c: 22400204 addi r9,r4,8 -81136290: 320dc83a sub r6,r6,r8 -81136294: 31c00217 ldw r7,8(r6) -81136298: 1205883a add r2,r2,r8 -8113629c: 3a406526 beq r7,r9,81136434 <_free_r+0x208> -811362a0: 32000317 ldw r8,12(r6) -811362a4: 3a000315 stw r8,12(r7) -811362a8: 41c00215 stw r7,8(r8) -811362ac: 28cf883a add r7,r5,r3 -811362b0: 39c00117 ldw r7,4(r7) -811362b4: 39c0004c andi r7,r7,1 -811362b8: 38003a26 beq r7,zero,811363a4 <_free_r+0x178> -811362bc: 10c00054 ori r3,r2,1 -811362c0: 30c00115 stw r3,4(r6) -811362c4: 3087883a add r3,r6,r2 -811362c8: 18800015 stw r2,0(r3) -811362cc: 00c07fc4 movi r3,511 -811362d0: 18801936 bltu r3,r2,81136338 <_free_r+0x10c> -811362d4: 1004d0fa srli r2,r2,3 -811362d8: 01c00044 movi r7,1 -811362dc: 21400117 ldw r5,4(r4) -811362e0: 10c00044 addi r3,r2,1 -811362e4: 18c7883a add r3,r3,r3 -811362e8: 1005d0ba srai r2,r2,2 -811362ec: 18c7883a add r3,r3,r3 -811362f0: 18c7883a add r3,r3,r3 -811362f4: 1907883a add r3,r3,r4 -811362f8: 3884983a sll r2,r7,r2 -811362fc: 19c00017 ldw r7,0(r3) -81136300: 1a3ffe04 addi r8,r3,-8 -81136304: 1144b03a or r2,r2,r5 -81136308: 32000315 stw r8,12(r6) -8113630c: 31c00215 stw r7,8(r6) -81136310: 20800115 stw r2,4(r4) -81136314: 19800015 stw r6,0(r3) -81136318: 39800315 stw r6,12(r7) -8113631c: 8809883a mov r4,r17 -81136320: dfc00217 ldw ra,8(sp) -81136324: dc400117 ldw r17,4(sp) -81136328: dc000017 ldw r16,0(sp) -8113632c: dec00304 addi sp,sp,12 -81136330: 113fca41 jmpi 8113fca4 <__malloc_unlock> -81136334: f800283a ret -81136338: 100ad27a srli r5,r2,9 -8113633c: 00c00104 movi r3,4 -81136340: 19404a36 bltu r3,r5,8113646c <_free_r+0x240> -81136344: 100ad1ba srli r5,r2,6 -81136348: 28c00e44 addi r3,r5,57 -8113634c: 18c7883a add r3,r3,r3 -81136350: 29400e04 addi r5,r5,56 -81136354: 18c7883a add r3,r3,r3 -81136358: 18c7883a add r3,r3,r3 -8113635c: 1909883a add r4,r3,r4 -81136360: 20c00017 ldw r3,0(r4) -81136364: 01e04574 movhi r7,33045 -81136368: 213ffe04 addi r4,r4,-8 -8113636c: 39c0fa04 addi r7,r7,1000 -81136370: 20c04426 beq r4,r3,81136484 <_free_r+0x258> -81136374: 01ffff04 movi r7,-4 -81136378: 19400117 ldw r5,4(r3) -8113637c: 29ca703a and r5,r5,r7 -81136380: 1140022e bgeu r2,r5,8113638c <_free_r+0x160> -81136384: 18c00217 ldw r3,8(r3) -81136388: 20fffb1e bne r4,r3,81136378 <__reset+0xfb116378> -8113638c: 19000317 ldw r4,12(r3) -81136390: 31000315 stw r4,12(r6) -81136394: 30c00215 stw r3,8(r6) -81136398: 21800215 stw r6,8(r4) -8113639c: 19800315 stw r6,12(r3) -811363a0: 003fde06 br 8113631c <__reset+0xfb11631c> -811363a4: 29c00217 ldw r7,8(r5) -811363a8: 10c5883a add r2,r2,r3 -811363ac: 00e04574 movhi r3,33045 -811363b0: 18c0fc04 addi r3,r3,1008 -811363b4: 38c03b26 beq r7,r3,811364a4 <_free_r+0x278> -811363b8: 2a000317 ldw r8,12(r5) -811363bc: 11400054 ori r5,r2,1 -811363c0: 3087883a add r3,r6,r2 -811363c4: 3a000315 stw r8,12(r7) -811363c8: 41c00215 stw r7,8(r8) -811363cc: 31400115 stw r5,4(r6) -811363d0: 18800015 stw r2,0(r3) -811363d4: 003fbd06 br 811362cc <__reset+0xfb1162cc> -811363d8: 39c0004c andi r7,r7,1 -811363dc: 10c5883a add r2,r2,r3 -811363e0: 3800071e bne r7,zero,81136400 <_free_r+0x1d4> -811363e4: 81fffe17 ldw r7,-8(r16) -811363e8: 31cdc83a sub r6,r6,r7 -811363ec: 30c00317 ldw r3,12(r6) -811363f0: 31400217 ldw r5,8(r6) -811363f4: 11c5883a add r2,r2,r7 -811363f8: 28c00315 stw r3,12(r5) -811363fc: 19400215 stw r5,8(r3) -81136400: 10c00054 ori r3,r2,1 -81136404: 30c00115 stw r3,4(r6) -81136408: 00e04574 movhi r3,33045 -8113640c: 18c6e404 addi r3,r3,7056 -81136410: 18c00017 ldw r3,0(r3) -81136414: 21800215 stw r6,8(r4) -81136418: 10ffc036 bltu r2,r3,8113631c <__reset+0xfb11631c> -8113641c: 00a04574 movhi r2,33045 -81136420: 10876c04 addi r2,r2,7600 -81136424: 11400017 ldw r5,0(r2) -81136428: 8809883a mov r4,r17 -8113642c: 11361080 call 81136108 <_malloc_trim_r> -81136430: 003fba06 br 8113631c <__reset+0xfb11631c> -81136434: 28c9883a add r4,r5,r3 -81136438: 21000117 ldw r4,4(r4) -8113643c: 2100004c andi r4,r4,1 -81136440: 2000391e bne r4,zero,81136528 <_free_r+0x2fc> -81136444: 29c00217 ldw r7,8(r5) -81136448: 29000317 ldw r4,12(r5) -8113644c: 1885883a add r2,r3,r2 -81136450: 10c00054 ori r3,r2,1 -81136454: 39000315 stw r4,12(r7) -81136458: 21c00215 stw r7,8(r4) -8113645c: 30c00115 stw r3,4(r6) -81136460: 308d883a add r6,r6,r2 -81136464: 30800015 stw r2,0(r6) -81136468: 003fac06 br 8113631c <__reset+0xfb11631c> -8113646c: 00c00504 movi r3,20 -81136470: 19401536 bltu r3,r5,811364c8 <_free_r+0x29c> -81136474: 28c01704 addi r3,r5,92 -81136478: 18c7883a add r3,r3,r3 -8113647c: 294016c4 addi r5,r5,91 -81136480: 003fb406 br 81136354 <__reset+0xfb116354> -81136484: 280bd0ba srai r5,r5,2 -81136488: 00c00044 movi r3,1 -8113648c: 38800117 ldw r2,4(r7) -81136490: 194a983a sll r5,r3,r5 -81136494: 2007883a mov r3,r4 -81136498: 2884b03a or r2,r5,r2 -8113649c: 38800115 stw r2,4(r7) -811364a0: 003fbb06 br 81136390 <__reset+0xfb116390> -811364a4: 21800515 stw r6,20(r4) -811364a8: 21800415 stw r6,16(r4) -811364ac: 10c00054 ori r3,r2,1 -811364b0: 31c00315 stw r7,12(r6) -811364b4: 31c00215 stw r7,8(r6) -811364b8: 30c00115 stw r3,4(r6) -811364bc: 308d883a add r6,r6,r2 -811364c0: 30800015 stw r2,0(r6) -811364c4: 003f9506 br 8113631c <__reset+0xfb11631c> -811364c8: 00c01504 movi r3,84 -811364cc: 19400536 bltu r3,r5,811364e4 <_free_r+0x2b8> -811364d0: 100ad33a srli r5,r2,12 -811364d4: 28c01bc4 addi r3,r5,111 -811364d8: 18c7883a add r3,r3,r3 -811364dc: 29401b84 addi r5,r5,110 -811364e0: 003f9c06 br 81136354 <__reset+0xfb116354> -811364e4: 00c05504 movi r3,340 -811364e8: 19400536 bltu r3,r5,81136500 <_free_r+0x2d4> -811364ec: 100ad3fa srli r5,r2,15 -811364f0: 28c01e04 addi r3,r5,120 -811364f4: 18c7883a add r3,r3,r3 -811364f8: 29401dc4 addi r5,r5,119 -811364fc: 003f9506 br 81136354 <__reset+0xfb116354> -81136500: 00c15504 movi r3,1364 -81136504: 19400536 bltu r3,r5,8113651c <_free_r+0x2f0> -81136508: 100ad4ba srli r5,r2,18 -8113650c: 28c01f44 addi r3,r5,125 -81136510: 18c7883a add r3,r3,r3 -81136514: 29401f04 addi r5,r5,124 -81136518: 003f8e06 br 81136354 <__reset+0xfb116354> -8113651c: 00c03f84 movi r3,254 -81136520: 01401f84 movi r5,126 -81136524: 003f8b06 br 81136354 <__reset+0xfb116354> -81136528: 10c00054 ori r3,r2,1 -8113652c: 30c00115 stw r3,4(r6) -81136530: 308d883a add r6,r6,r2 -81136534: 30800015 stw r2,0(r6) -81136538: 003f7806 br 8113631c <__reset+0xfb11631c> - -8113653c <__sfvwrite_r>: -8113653c: 30800217 ldw r2,8(r6) -81136540: 10006726 beq r2,zero,811366e0 <__sfvwrite_r+0x1a4> -81136544: 28c0030b ldhu r3,12(r5) -81136548: defff404 addi sp,sp,-48 -8113654c: dd400715 stw r21,28(sp) -81136550: dd000615 stw r20,24(sp) -81136554: dc000215 stw r16,8(sp) -81136558: dfc00b15 stw ra,44(sp) -8113655c: df000a15 stw fp,40(sp) -81136560: ddc00915 stw r23,36(sp) -81136564: dd800815 stw r22,32(sp) -81136568: dcc00515 stw r19,20(sp) -8113656c: dc800415 stw r18,16(sp) -81136570: dc400315 stw r17,12(sp) -81136574: 1880020c andi r2,r3,8 -81136578: 2821883a mov r16,r5 -8113657c: 202b883a mov r21,r4 -81136580: 3029883a mov r20,r6 -81136584: 10002726 beq r2,zero,81136624 <__sfvwrite_r+0xe8> -81136588: 28800417 ldw r2,16(r5) -8113658c: 10002526 beq r2,zero,81136624 <__sfvwrite_r+0xe8> -81136590: 1880008c andi r2,r3,2 -81136594: a4400017 ldw r17,0(r20) -81136598: 10002a26 beq r2,zero,81136644 <__sfvwrite_r+0x108> -8113659c: 05a00034 movhi r22,32768 -811365a0: 0027883a mov r19,zero -811365a4: 0025883a mov r18,zero -811365a8: b5bf0004 addi r22,r22,-1024 -811365ac: 980d883a mov r6,r19 -811365b0: a809883a mov r4,r21 -811365b4: 90004626 beq r18,zero,811366d0 <__sfvwrite_r+0x194> -811365b8: 900f883a mov r7,r18 -811365bc: b480022e bgeu r22,r18,811365c8 <__sfvwrite_r+0x8c> -811365c0: 01e00034 movhi r7,32768 -811365c4: 39ff0004 addi r7,r7,-1024 -811365c8: 80800917 ldw r2,36(r16) -811365cc: 81400717 ldw r5,28(r16) -811365d0: 103ee83a callr r2 -811365d4: 0080570e bge zero,r2,81136734 <__sfvwrite_r+0x1f8> -811365d8: a0c00217 ldw r3,8(r20) -811365dc: 98a7883a add r19,r19,r2 -811365e0: 90a5c83a sub r18,r18,r2 -811365e4: 1885c83a sub r2,r3,r2 -811365e8: a0800215 stw r2,8(r20) -811365ec: 103fef1e bne r2,zero,811365ac <__reset+0xfb1165ac> -811365f0: 0005883a mov r2,zero -811365f4: dfc00b17 ldw ra,44(sp) -811365f8: df000a17 ldw fp,40(sp) -811365fc: ddc00917 ldw r23,36(sp) -81136600: dd800817 ldw r22,32(sp) -81136604: dd400717 ldw r21,28(sp) -81136608: dd000617 ldw r20,24(sp) -8113660c: dcc00517 ldw r19,20(sp) -81136610: dc800417 ldw r18,16(sp) -81136614: dc400317 ldw r17,12(sp) -81136618: dc000217 ldw r16,8(sp) -8113661c: dec00c04 addi sp,sp,48 -81136620: f800283a ret -81136624: 800b883a mov r5,r16 -81136628: a809883a mov r4,r21 -8113662c: 1133d840 call 81133d84 <__swsetup_r> -81136630: 1000eb1e bne r2,zero,811369e0 <__sfvwrite_r+0x4a4> -81136634: 80c0030b ldhu r3,12(r16) -81136638: a4400017 ldw r17,0(r20) -8113663c: 1880008c andi r2,r3,2 -81136640: 103fd61e bne r2,zero,8113659c <__reset+0xfb11659c> -81136644: 1880004c andi r2,r3,1 -81136648: 10003f1e bne r2,zero,81136748 <__sfvwrite_r+0x20c> -8113664c: 0039883a mov fp,zero -81136650: 0025883a mov r18,zero -81136654: 90001a26 beq r18,zero,811366c0 <__sfvwrite_r+0x184> -81136658: 1880800c andi r2,r3,512 -8113665c: 84c00217 ldw r19,8(r16) -81136660: 10002126 beq r2,zero,811366e8 <__sfvwrite_r+0x1ac> -81136664: 982f883a mov r23,r19 -81136668: 94c09336 bltu r18,r19,811368b8 <__sfvwrite_r+0x37c> -8113666c: 1881200c andi r2,r3,1152 -81136670: 10009e1e bne r2,zero,811368ec <__sfvwrite_r+0x3b0> -81136674: 81000017 ldw r4,0(r16) -81136678: b80d883a mov r6,r23 -8113667c: e00b883a mov r5,fp -81136680: 11370480 call 81137048 -81136684: 80c00217 ldw r3,8(r16) -81136688: 81000017 ldw r4,0(r16) -8113668c: 9005883a mov r2,r18 -81136690: 1ce7c83a sub r19,r3,r19 -81136694: 25cf883a add r7,r4,r23 -81136698: 84c00215 stw r19,8(r16) -8113669c: 81c00015 stw r7,0(r16) -811366a0: a0c00217 ldw r3,8(r20) -811366a4: e0b9883a add fp,fp,r2 -811366a8: 90a5c83a sub r18,r18,r2 -811366ac: 18a7c83a sub r19,r3,r2 -811366b0: a4c00215 stw r19,8(r20) -811366b4: 983fce26 beq r19,zero,811365f0 <__reset+0xfb1165f0> -811366b8: 80c0030b ldhu r3,12(r16) -811366bc: 903fe61e bne r18,zero,81136658 <__reset+0xfb116658> -811366c0: 8f000017 ldw fp,0(r17) -811366c4: 8c800117 ldw r18,4(r17) -811366c8: 8c400204 addi r17,r17,8 -811366cc: 003fe106 br 81136654 <__reset+0xfb116654> -811366d0: 8cc00017 ldw r19,0(r17) -811366d4: 8c800117 ldw r18,4(r17) -811366d8: 8c400204 addi r17,r17,8 -811366dc: 003fb306 br 811365ac <__reset+0xfb1165ac> -811366e0: 0005883a mov r2,zero -811366e4: f800283a ret -811366e8: 81000017 ldw r4,0(r16) -811366ec: 80800417 ldw r2,16(r16) -811366f0: 11005736 bltu r2,r4,81136850 <__sfvwrite_r+0x314> -811366f4: 85c00517 ldw r23,20(r16) -811366f8: 95c05536 bltu r18,r23,81136850 <__sfvwrite_r+0x314> -811366fc: 00a00034 movhi r2,32768 -81136700: 10bfffc4 addi r2,r2,-1 -81136704: 9009883a mov r4,r18 -81136708: 1480012e bgeu r2,r18,81136710 <__sfvwrite_r+0x1d4> -8113670c: 1009883a mov r4,r2 -81136710: b80b883a mov r5,r23 -81136714: 112b2540 call 8112b254 <__divsi3> -81136718: 15cf383a mul r7,r2,r23 -8113671c: 81400717 ldw r5,28(r16) -81136720: 80800917 ldw r2,36(r16) -81136724: e00d883a mov r6,fp -81136728: a809883a mov r4,r21 -8113672c: 103ee83a callr r2 -81136730: 00bfdb16 blt zero,r2,811366a0 <__reset+0xfb1166a0> -81136734: 8080030b ldhu r2,12(r16) -81136738: 10801014 ori r2,r2,64 -8113673c: 8080030d sth r2,12(r16) -81136740: 00bfffc4 movi r2,-1 -81136744: 003fab06 br 811365f4 <__reset+0xfb1165f4> -81136748: 0027883a mov r19,zero -8113674c: 0011883a mov r8,zero -81136750: 0039883a mov fp,zero -81136754: 0025883a mov r18,zero -81136758: 90001f26 beq r18,zero,811367d8 <__sfvwrite_r+0x29c> -8113675c: 40005a26 beq r8,zero,811368c8 <__sfvwrite_r+0x38c> -81136760: 982d883a mov r22,r19 -81136764: 94c0012e bgeu r18,r19,8113676c <__sfvwrite_r+0x230> -81136768: 902d883a mov r22,r18 -8113676c: 81000017 ldw r4,0(r16) -81136770: 80800417 ldw r2,16(r16) -81136774: b02f883a mov r23,r22 -81136778: 81c00517 ldw r7,20(r16) -8113677c: 1100032e bgeu r2,r4,8113678c <__sfvwrite_r+0x250> -81136780: 80c00217 ldw r3,8(r16) -81136784: 38c7883a add r3,r7,r3 -81136788: 1d801816 blt r3,r22,811367ec <__sfvwrite_r+0x2b0> -8113678c: b1c03e16 blt r22,r7,81136888 <__sfvwrite_r+0x34c> -81136790: 80800917 ldw r2,36(r16) -81136794: 81400717 ldw r5,28(r16) -81136798: e00d883a mov r6,fp -8113679c: da000115 stw r8,4(sp) -811367a0: a809883a mov r4,r21 -811367a4: 103ee83a callr r2 -811367a8: 102f883a mov r23,r2 -811367ac: da000117 ldw r8,4(sp) -811367b0: 00bfe00e bge zero,r2,81136734 <__reset+0xfb116734> -811367b4: 9de7c83a sub r19,r19,r23 -811367b8: 98001f26 beq r19,zero,81136838 <__sfvwrite_r+0x2fc> -811367bc: a0800217 ldw r2,8(r20) -811367c0: e5f9883a add fp,fp,r23 -811367c4: 95e5c83a sub r18,r18,r23 -811367c8: 15efc83a sub r23,r2,r23 -811367cc: a5c00215 stw r23,8(r20) -811367d0: b83f8726 beq r23,zero,811365f0 <__reset+0xfb1165f0> -811367d4: 903fe11e bne r18,zero,8113675c <__reset+0xfb11675c> -811367d8: 8f000017 ldw fp,0(r17) -811367dc: 8c800117 ldw r18,4(r17) -811367e0: 0011883a mov r8,zero -811367e4: 8c400204 addi r17,r17,8 -811367e8: 003fdb06 br 81136758 <__reset+0xfb116758> -811367ec: 180d883a mov r6,r3 -811367f0: e00b883a mov r5,fp -811367f4: da000115 stw r8,4(sp) -811367f8: d8c00015 stw r3,0(sp) -811367fc: 11370480 call 81137048 -81136800: d8c00017 ldw r3,0(sp) -81136804: 80800017 ldw r2,0(r16) -81136808: 800b883a mov r5,r16 -8113680c: a809883a mov r4,r21 -81136810: 10c5883a add r2,r2,r3 -81136814: 80800015 stw r2,0(r16) -81136818: d8c00015 stw r3,0(sp) -8113681c: 11359d00 call 811359d0 <_fflush_r> -81136820: d8c00017 ldw r3,0(sp) -81136824: da000117 ldw r8,4(sp) -81136828: 103fc21e bne r2,zero,81136734 <__reset+0xfb116734> -8113682c: 182f883a mov r23,r3 -81136830: 9de7c83a sub r19,r19,r23 -81136834: 983fe11e bne r19,zero,811367bc <__reset+0xfb1167bc> -81136838: 800b883a mov r5,r16 -8113683c: a809883a mov r4,r21 -81136840: 11359d00 call 811359d0 <_fflush_r> -81136844: 103fbb1e bne r2,zero,81136734 <__reset+0xfb116734> -81136848: 0011883a mov r8,zero -8113684c: 003fdb06 br 811367bc <__reset+0xfb1167bc> -81136850: 94c0012e bgeu r18,r19,81136858 <__sfvwrite_r+0x31c> -81136854: 9027883a mov r19,r18 -81136858: 980d883a mov r6,r19 -8113685c: e00b883a mov r5,fp -81136860: 11370480 call 81137048 -81136864: 80800217 ldw r2,8(r16) -81136868: 80c00017 ldw r3,0(r16) -8113686c: 14c5c83a sub r2,r2,r19 -81136870: 1cc7883a add r3,r3,r19 -81136874: 80800215 stw r2,8(r16) -81136878: 80c00015 stw r3,0(r16) -8113687c: 10004326 beq r2,zero,8113698c <__sfvwrite_r+0x450> -81136880: 9805883a mov r2,r19 -81136884: 003f8606 br 811366a0 <__reset+0xfb1166a0> -81136888: b00d883a mov r6,r22 -8113688c: e00b883a mov r5,fp -81136890: da000115 stw r8,4(sp) -81136894: 11370480 call 81137048 -81136898: 80800217 ldw r2,8(r16) -8113689c: 80c00017 ldw r3,0(r16) -811368a0: da000117 ldw r8,4(sp) -811368a4: 1585c83a sub r2,r2,r22 -811368a8: 1dad883a add r22,r3,r22 -811368ac: 80800215 stw r2,8(r16) -811368b0: 85800015 stw r22,0(r16) -811368b4: 003fbf06 br 811367b4 <__reset+0xfb1167b4> -811368b8: 81000017 ldw r4,0(r16) -811368bc: 9027883a mov r19,r18 -811368c0: 902f883a mov r23,r18 -811368c4: 003f6c06 br 81136678 <__reset+0xfb116678> -811368c8: 900d883a mov r6,r18 -811368cc: 01400284 movi r5,10 -811368d0: e009883a mov r4,fp -811368d4: 1136f640 call 81136f64 -811368d8: 10003e26 beq r2,zero,811369d4 <__sfvwrite_r+0x498> -811368dc: 10800044 addi r2,r2,1 -811368e0: 1727c83a sub r19,r2,fp -811368e4: 02000044 movi r8,1 -811368e8: 003f9d06 br 81136760 <__reset+0xfb116760> -811368ec: 80800517 ldw r2,20(r16) -811368f0: 81400417 ldw r5,16(r16) -811368f4: 81c00017 ldw r7,0(r16) -811368f8: 10a7883a add r19,r2,r2 -811368fc: 9885883a add r2,r19,r2 -81136900: 1026d7fa srli r19,r2,31 -81136904: 396dc83a sub r22,r7,r5 -81136908: b1000044 addi r4,r22,1 -8113690c: 9885883a add r2,r19,r2 -81136910: 1027d07a srai r19,r2,1 -81136914: 2485883a add r2,r4,r18 -81136918: 980d883a mov r6,r19 -8113691c: 9880022e bgeu r19,r2,81136928 <__sfvwrite_r+0x3ec> -81136920: 1027883a mov r19,r2 -81136924: 100d883a mov r6,r2 -81136928: 18c1000c andi r3,r3,1024 -8113692c: 18001c26 beq r3,zero,811369a0 <__sfvwrite_r+0x464> -81136930: 300b883a mov r5,r6 -81136934: a809883a mov r4,r21 -81136938: 112be3c0 call 8112be3c <_malloc_r> -8113693c: 102f883a mov r23,r2 -81136940: 10002926 beq r2,zero,811369e8 <__sfvwrite_r+0x4ac> -81136944: 81400417 ldw r5,16(r16) -81136948: b00d883a mov r6,r22 -8113694c: 1009883a mov r4,r2 -81136950: 112c6480 call 8112c648 -81136954: 8080030b ldhu r2,12(r16) -81136958: 00fedfc4 movi r3,-1153 -8113695c: 10c4703a and r2,r2,r3 -81136960: 10802014 ori r2,r2,128 -81136964: 8080030d sth r2,12(r16) -81136968: bd89883a add r4,r23,r22 -8113696c: 9d8fc83a sub r7,r19,r22 -81136970: 85c00415 stw r23,16(r16) -81136974: 84c00515 stw r19,20(r16) -81136978: 81000015 stw r4,0(r16) -8113697c: 9027883a mov r19,r18 -81136980: 81c00215 stw r7,8(r16) -81136984: 902f883a mov r23,r18 -81136988: 003f3b06 br 81136678 <__reset+0xfb116678> -8113698c: 800b883a mov r5,r16 -81136990: a809883a mov r4,r21 -81136994: 11359d00 call 811359d0 <_fflush_r> -81136998: 103fb926 beq r2,zero,81136880 <__reset+0xfb116880> -8113699c: 003f6506 br 81136734 <__reset+0xfb116734> -811369a0: a809883a mov r4,r21 -811369a4: 11382000 call 81138200 <_realloc_r> -811369a8: 102f883a mov r23,r2 -811369ac: 103fee1e bne r2,zero,81136968 <__reset+0xfb116968> -811369b0: 81400417 ldw r5,16(r16) -811369b4: a809883a mov r4,r21 -811369b8: 113622c0 call 8113622c <_free_r> -811369bc: 8080030b ldhu r2,12(r16) -811369c0: 00ffdfc4 movi r3,-129 -811369c4: 1884703a and r2,r3,r2 -811369c8: 00c00304 movi r3,12 -811369cc: a8c00015 stw r3,0(r21) -811369d0: 003f5906 br 81136738 <__reset+0xfb116738> -811369d4: 94c00044 addi r19,r18,1 -811369d8: 02000044 movi r8,1 -811369dc: 003f6006 br 81136760 <__reset+0xfb116760> -811369e0: 00bfffc4 movi r2,-1 -811369e4: 003f0306 br 811365f4 <__reset+0xfb1165f4> -811369e8: 00800304 movi r2,12 -811369ec: a8800015 stw r2,0(r21) -811369f0: 8080030b ldhu r2,12(r16) -811369f4: 003f5006 br 81136738 <__reset+0xfb116738> - -811369f8 <_fwalk>: -811369f8: defff704 addi sp,sp,-36 -811369fc: dd000415 stw r20,16(sp) -81136a00: dfc00815 stw ra,32(sp) -81136a04: ddc00715 stw r23,28(sp) -81136a08: dd800615 stw r22,24(sp) -81136a0c: dd400515 stw r21,20(sp) -81136a10: dcc00315 stw r19,12(sp) -81136a14: dc800215 stw r18,8(sp) -81136a18: dc400115 stw r17,4(sp) -81136a1c: dc000015 stw r16,0(sp) -81136a20: 2500b804 addi r20,r4,736 -81136a24: a0002326 beq r20,zero,81136ab4 <_fwalk+0xbc> -81136a28: 282b883a mov r21,r5 -81136a2c: 002f883a mov r23,zero -81136a30: 05800044 movi r22,1 -81136a34: 04ffffc4 movi r19,-1 -81136a38: a4400117 ldw r17,4(r20) -81136a3c: a4800217 ldw r18,8(r20) -81136a40: 8c7fffc4 addi r17,r17,-1 -81136a44: 88000d16 blt r17,zero,81136a7c <_fwalk+0x84> -81136a48: 94000304 addi r16,r18,12 -81136a4c: 94800384 addi r18,r18,14 -81136a50: 8080000b ldhu r2,0(r16) -81136a54: 8c7fffc4 addi r17,r17,-1 -81136a58: 813ffd04 addi r4,r16,-12 -81136a5c: b080042e bgeu r22,r2,81136a70 <_fwalk+0x78> -81136a60: 9080000f ldh r2,0(r18) -81136a64: 14c00226 beq r2,r19,81136a70 <_fwalk+0x78> -81136a68: a83ee83a callr r21 -81136a6c: b8aeb03a or r23,r23,r2 -81136a70: 84001a04 addi r16,r16,104 -81136a74: 94801a04 addi r18,r18,104 -81136a78: 8cfff51e bne r17,r19,81136a50 <__reset+0xfb116a50> -81136a7c: a5000017 ldw r20,0(r20) -81136a80: a03fed1e bne r20,zero,81136a38 <__reset+0xfb116a38> -81136a84: b805883a mov r2,r23 -81136a88: dfc00817 ldw ra,32(sp) -81136a8c: ddc00717 ldw r23,28(sp) -81136a90: dd800617 ldw r22,24(sp) -81136a94: dd400517 ldw r21,20(sp) -81136a98: dd000417 ldw r20,16(sp) -81136a9c: dcc00317 ldw r19,12(sp) -81136aa0: dc800217 ldw r18,8(sp) -81136aa4: dc400117 ldw r17,4(sp) -81136aa8: dc000017 ldw r16,0(sp) -81136aac: dec00904 addi sp,sp,36 -81136ab0: f800283a ret -81136ab4: 002f883a mov r23,zero -81136ab8: 003ff206 br 81136a84 <__reset+0xfb116a84> - -81136abc <_fwalk_reent>: -81136abc: defff704 addi sp,sp,-36 -81136ac0: dd000415 stw r20,16(sp) -81136ac4: dfc00815 stw ra,32(sp) -81136ac8: ddc00715 stw r23,28(sp) -81136acc: dd800615 stw r22,24(sp) -81136ad0: dd400515 stw r21,20(sp) -81136ad4: dcc00315 stw r19,12(sp) -81136ad8: dc800215 stw r18,8(sp) -81136adc: dc400115 stw r17,4(sp) -81136ae0: dc000015 stw r16,0(sp) -81136ae4: 2500b804 addi r20,r4,736 -81136ae8: a0002326 beq r20,zero,81136b78 <_fwalk_reent+0xbc> -81136aec: 282b883a mov r21,r5 -81136af0: 2027883a mov r19,r4 +811361a8: 112d5a00 call 8112d5a0 <_sbrk_r> +811361ac: 98c00217 ldw r3,8(r19) +811361b0: 1c47883a add r3,r3,r17 +811361b4: 10c00a26 beq r2,r3,811361e0 <_malloc_trim_r+0x98> +811361b8: 9009883a mov r4,r18 +811361bc: 113fce40 call 8113fce4 <__malloc_unlock> +811361c0: 0005883a mov r2,zero +811361c4: dfc00417 ldw ra,16(sp) +811361c8: dcc00317 ldw r19,12(sp) +811361cc: dc800217 ldw r18,8(sp) +811361d0: dc400117 ldw r17,4(sp) +811361d4: dc000017 ldw r16,0(sp) +811361d8: dec00504 addi sp,sp,20 +811361dc: f800283a ret +811361e0: 040bc83a sub r5,zero,r16 +811361e4: 9009883a mov r4,r18 +811361e8: 112d5a00 call 8112d5a0 <_sbrk_r> +811361ec: 00ffffc4 movi r3,-1 +811361f0: 10c00d26 beq r2,r3,81136228 <_malloc_trim_r+0xe0> +811361f4: 00e04574 movhi r3,33045 +811361f8: 18c89e04 addi r3,r3,8824 +811361fc: 18800017 ldw r2,0(r3) +81136200: 99000217 ldw r4,8(r19) +81136204: 8c23c83a sub r17,r17,r16 +81136208: 8c400054 ori r17,r17,1 +8113620c: 1421c83a sub r16,r2,r16 +81136210: 24400115 stw r17,4(r4) +81136214: 9009883a mov r4,r18 +81136218: 1c000015 stw r16,0(r3) +8113621c: 113fce40 call 8113fce4 <__malloc_unlock> +81136220: 00800044 movi r2,1 +81136224: 003fe706 br 811361c4 <__reset+0xfb1161c4> +81136228: 000b883a mov r5,zero +8113622c: 9009883a mov r4,r18 +81136230: 112d5a00 call 8112d5a0 <_sbrk_r> +81136234: 99000217 ldw r4,8(r19) +81136238: 014003c4 movi r5,15 +8113623c: 1107c83a sub r3,r2,r4 +81136240: 28ffdd0e bge r5,r3,811361b8 <__reset+0xfb1161b8> +81136244: 01604574 movhi r5,33045 +81136248: 2946f404 addi r5,r5,7120 +8113624c: 29400017 ldw r5,0(r5) +81136250: 18c00054 ori r3,r3,1 +81136254: 20c00115 stw r3,4(r4) +81136258: 00e04574 movhi r3,33045 +8113625c: 1145c83a sub r2,r2,r5 +81136260: 18c89e04 addi r3,r3,8824 +81136264: 18800015 stw r2,0(r3) +81136268: 003fd306 br 811361b8 <__reset+0xfb1161b8> + +8113626c <_free_r>: +8113626c: 28004126 beq r5,zero,81136374 <_free_r+0x108> +81136270: defffd04 addi sp,sp,-12 +81136274: dc400115 stw r17,4(sp) +81136278: dc000015 stw r16,0(sp) +8113627c: 2023883a mov r17,r4 +81136280: 2821883a mov r16,r5 +81136284: dfc00215 stw ra,8(sp) +81136288: 113fc380 call 8113fc38 <__malloc_lock> +8113628c: 81ffff17 ldw r7,-4(r16) +81136290: 00bfff84 movi r2,-2 +81136294: 01204574 movhi r4,33045 +81136298: 81bffe04 addi r6,r16,-8 +8113629c: 3884703a and r2,r7,r2 +811362a0: 21010b04 addi r4,r4,1068 +811362a4: 308b883a add r5,r6,r2 +811362a8: 2a400117 ldw r9,4(r5) +811362ac: 22000217 ldw r8,8(r4) +811362b0: 00ffff04 movi r3,-4 +811362b4: 48c6703a and r3,r9,r3 +811362b8: 2a005726 beq r5,r8,81136418 <_free_r+0x1ac> +811362bc: 28c00115 stw r3,4(r5) +811362c0: 39c0004c andi r7,r7,1 +811362c4: 3800091e bne r7,zero,811362ec <_free_r+0x80> +811362c8: 823ffe17 ldw r8,-8(r16) +811362cc: 22400204 addi r9,r4,8 +811362d0: 320dc83a sub r6,r6,r8 +811362d4: 31c00217 ldw r7,8(r6) +811362d8: 1205883a add r2,r2,r8 +811362dc: 3a406526 beq r7,r9,81136474 <_free_r+0x208> +811362e0: 32000317 ldw r8,12(r6) +811362e4: 3a000315 stw r8,12(r7) +811362e8: 41c00215 stw r7,8(r8) +811362ec: 28cf883a add r7,r5,r3 +811362f0: 39c00117 ldw r7,4(r7) +811362f4: 39c0004c andi r7,r7,1 +811362f8: 38003a26 beq r7,zero,811363e4 <_free_r+0x178> +811362fc: 10c00054 ori r3,r2,1 +81136300: 30c00115 stw r3,4(r6) +81136304: 3087883a add r3,r6,r2 +81136308: 18800015 stw r2,0(r3) +8113630c: 00c07fc4 movi r3,511 +81136310: 18801936 bltu r3,r2,81136378 <_free_r+0x10c> +81136314: 1004d0fa srli r2,r2,3 +81136318: 01c00044 movi r7,1 +8113631c: 21400117 ldw r5,4(r4) +81136320: 10c00044 addi r3,r2,1 +81136324: 18c7883a add r3,r3,r3 +81136328: 1005d0ba srai r2,r2,2 +8113632c: 18c7883a add r3,r3,r3 +81136330: 18c7883a add r3,r3,r3 +81136334: 1907883a add r3,r3,r4 +81136338: 3884983a sll r2,r7,r2 +8113633c: 19c00017 ldw r7,0(r3) +81136340: 1a3ffe04 addi r8,r3,-8 +81136344: 1144b03a or r2,r2,r5 +81136348: 32000315 stw r8,12(r6) +8113634c: 31c00215 stw r7,8(r6) +81136350: 20800115 stw r2,4(r4) +81136354: 19800015 stw r6,0(r3) +81136358: 39800315 stw r6,12(r7) +8113635c: 8809883a mov r4,r17 +81136360: dfc00217 ldw ra,8(sp) +81136364: dc400117 ldw r17,4(sp) +81136368: dc000017 ldw r16,0(sp) +8113636c: dec00304 addi sp,sp,12 +81136370: 113fce41 jmpi 8113fce4 <__malloc_unlock> +81136374: f800283a ret +81136378: 100ad27a srli r5,r2,9 +8113637c: 00c00104 movi r3,4 +81136380: 19404a36 bltu r3,r5,811364ac <_free_r+0x240> +81136384: 100ad1ba srli r5,r2,6 +81136388: 28c00e44 addi r3,r5,57 +8113638c: 18c7883a add r3,r3,r3 +81136390: 29400e04 addi r5,r5,56 +81136394: 18c7883a add r3,r3,r3 +81136398: 18c7883a add r3,r3,r3 +8113639c: 1909883a add r4,r3,r4 +811363a0: 20c00017 ldw r3,0(r4) +811363a4: 01e04574 movhi r7,33045 +811363a8: 213ffe04 addi r4,r4,-8 +811363ac: 39c10b04 addi r7,r7,1068 +811363b0: 20c04426 beq r4,r3,811364c4 <_free_r+0x258> +811363b4: 01ffff04 movi r7,-4 +811363b8: 19400117 ldw r5,4(r3) +811363bc: 29ca703a and r5,r5,r7 +811363c0: 1140022e bgeu r2,r5,811363cc <_free_r+0x160> +811363c4: 18c00217 ldw r3,8(r3) +811363c8: 20fffb1e bne r4,r3,811363b8 <__reset+0xfb1163b8> +811363cc: 19000317 ldw r4,12(r3) +811363d0: 31000315 stw r4,12(r6) +811363d4: 30c00215 stw r3,8(r6) +811363d8: 21800215 stw r6,8(r4) +811363dc: 19800315 stw r6,12(r3) +811363e0: 003fde06 br 8113635c <__reset+0xfb11635c> +811363e4: 29c00217 ldw r7,8(r5) +811363e8: 10c5883a add r2,r2,r3 +811363ec: 00e04574 movhi r3,33045 +811363f0: 18c10d04 addi r3,r3,1076 +811363f4: 38c03b26 beq r7,r3,811364e4 <_free_r+0x278> +811363f8: 2a000317 ldw r8,12(r5) +811363fc: 11400054 ori r5,r2,1 +81136400: 3087883a add r3,r6,r2 +81136404: 3a000315 stw r8,12(r7) +81136408: 41c00215 stw r7,8(r8) +8113640c: 31400115 stw r5,4(r6) +81136410: 18800015 stw r2,0(r3) +81136414: 003fbd06 br 8113630c <__reset+0xfb11630c> +81136418: 39c0004c andi r7,r7,1 +8113641c: 10c5883a add r2,r2,r3 +81136420: 3800071e bne r7,zero,81136440 <_free_r+0x1d4> +81136424: 81fffe17 ldw r7,-8(r16) +81136428: 31cdc83a sub r6,r6,r7 +8113642c: 30c00317 ldw r3,12(r6) +81136430: 31400217 ldw r5,8(r6) +81136434: 11c5883a add r2,r2,r7 +81136438: 28c00315 stw r3,12(r5) +8113643c: 19400215 stw r5,8(r3) +81136440: 10c00054 ori r3,r2,1 +81136444: 30c00115 stw r3,4(r6) +81136448: 00e04574 movhi r3,33045 +8113644c: 18c6f504 addi r3,r3,7124 +81136450: 18c00017 ldw r3,0(r3) +81136454: 21800215 stw r6,8(r4) +81136458: 10ffc036 bltu r2,r3,8113635c <__reset+0xfb11635c> +8113645c: 00a04574 movhi r2,33045 +81136460: 10877d04 addi r2,r2,7668 +81136464: 11400017 ldw r5,0(r2) +81136468: 8809883a mov r4,r17 +8113646c: 11361480 call 81136148 <_malloc_trim_r> +81136470: 003fba06 br 8113635c <__reset+0xfb11635c> +81136474: 28c9883a add r4,r5,r3 +81136478: 21000117 ldw r4,4(r4) +8113647c: 2100004c andi r4,r4,1 +81136480: 2000391e bne r4,zero,81136568 <_free_r+0x2fc> +81136484: 29c00217 ldw r7,8(r5) +81136488: 29000317 ldw r4,12(r5) +8113648c: 1885883a add r2,r3,r2 +81136490: 10c00054 ori r3,r2,1 +81136494: 39000315 stw r4,12(r7) +81136498: 21c00215 stw r7,8(r4) +8113649c: 30c00115 stw r3,4(r6) +811364a0: 308d883a add r6,r6,r2 +811364a4: 30800015 stw r2,0(r6) +811364a8: 003fac06 br 8113635c <__reset+0xfb11635c> +811364ac: 00c00504 movi r3,20 +811364b0: 19401536 bltu r3,r5,81136508 <_free_r+0x29c> +811364b4: 28c01704 addi r3,r5,92 +811364b8: 18c7883a add r3,r3,r3 +811364bc: 294016c4 addi r5,r5,91 +811364c0: 003fb406 br 81136394 <__reset+0xfb116394> +811364c4: 280bd0ba srai r5,r5,2 +811364c8: 00c00044 movi r3,1 +811364cc: 38800117 ldw r2,4(r7) +811364d0: 194a983a sll r5,r3,r5 +811364d4: 2007883a mov r3,r4 +811364d8: 2884b03a or r2,r5,r2 +811364dc: 38800115 stw r2,4(r7) +811364e0: 003fbb06 br 811363d0 <__reset+0xfb1163d0> +811364e4: 21800515 stw r6,20(r4) +811364e8: 21800415 stw r6,16(r4) +811364ec: 10c00054 ori r3,r2,1 +811364f0: 31c00315 stw r7,12(r6) +811364f4: 31c00215 stw r7,8(r6) +811364f8: 30c00115 stw r3,4(r6) +811364fc: 308d883a add r6,r6,r2 +81136500: 30800015 stw r2,0(r6) +81136504: 003f9506 br 8113635c <__reset+0xfb11635c> +81136508: 00c01504 movi r3,84 +8113650c: 19400536 bltu r3,r5,81136524 <_free_r+0x2b8> +81136510: 100ad33a srli r5,r2,12 +81136514: 28c01bc4 addi r3,r5,111 +81136518: 18c7883a add r3,r3,r3 +8113651c: 29401b84 addi r5,r5,110 +81136520: 003f9c06 br 81136394 <__reset+0xfb116394> +81136524: 00c05504 movi r3,340 +81136528: 19400536 bltu r3,r5,81136540 <_free_r+0x2d4> +8113652c: 100ad3fa srli r5,r2,15 +81136530: 28c01e04 addi r3,r5,120 +81136534: 18c7883a add r3,r3,r3 +81136538: 29401dc4 addi r5,r5,119 +8113653c: 003f9506 br 81136394 <__reset+0xfb116394> +81136540: 00c15504 movi r3,1364 +81136544: 19400536 bltu r3,r5,8113655c <_free_r+0x2f0> +81136548: 100ad4ba srli r5,r2,18 +8113654c: 28c01f44 addi r3,r5,125 +81136550: 18c7883a add r3,r3,r3 +81136554: 29401f04 addi r5,r5,124 +81136558: 003f8e06 br 81136394 <__reset+0xfb116394> +8113655c: 00c03f84 movi r3,254 +81136560: 01401f84 movi r5,126 +81136564: 003f8b06 br 81136394 <__reset+0xfb116394> +81136568: 10c00054 ori r3,r2,1 +8113656c: 30c00115 stw r3,4(r6) +81136570: 308d883a add r6,r6,r2 +81136574: 30800015 stw r2,0(r6) +81136578: 003f7806 br 8113635c <__reset+0xfb11635c> + +8113657c <__sfvwrite_r>: +8113657c: 30800217 ldw r2,8(r6) +81136580: 10006726 beq r2,zero,81136720 <__sfvwrite_r+0x1a4> +81136584: 28c0030b ldhu r3,12(r5) +81136588: defff404 addi sp,sp,-48 +8113658c: dd400715 stw r21,28(sp) +81136590: dd000615 stw r20,24(sp) +81136594: dc000215 stw r16,8(sp) +81136598: dfc00b15 stw ra,44(sp) +8113659c: df000a15 stw fp,40(sp) +811365a0: ddc00915 stw r23,36(sp) +811365a4: dd800815 stw r22,32(sp) +811365a8: dcc00515 stw r19,20(sp) +811365ac: dc800415 stw r18,16(sp) +811365b0: dc400315 stw r17,12(sp) +811365b4: 1880020c andi r2,r3,8 +811365b8: 2821883a mov r16,r5 +811365bc: 202b883a mov r21,r4 +811365c0: 3029883a mov r20,r6 +811365c4: 10002726 beq r2,zero,81136664 <__sfvwrite_r+0xe8> +811365c8: 28800417 ldw r2,16(r5) +811365cc: 10002526 beq r2,zero,81136664 <__sfvwrite_r+0xe8> +811365d0: 1880008c andi r2,r3,2 +811365d4: a4400017 ldw r17,0(r20) +811365d8: 10002a26 beq r2,zero,81136684 <__sfvwrite_r+0x108> +811365dc: 05a00034 movhi r22,32768 +811365e0: 0027883a mov r19,zero +811365e4: 0025883a mov r18,zero +811365e8: b5bf0004 addi r22,r22,-1024 +811365ec: 980d883a mov r6,r19 +811365f0: a809883a mov r4,r21 +811365f4: 90004626 beq r18,zero,81136710 <__sfvwrite_r+0x194> +811365f8: 900f883a mov r7,r18 +811365fc: b480022e bgeu r22,r18,81136608 <__sfvwrite_r+0x8c> +81136600: 01e00034 movhi r7,32768 +81136604: 39ff0004 addi r7,r7,-1024 +81136608: 80800917 ldw r2,36(r16) +8113660c: 81400717 ldw r5,28(r16) +81136610: 103ee83a callr r2 +81136614: 0080570e bge zero,r2,81136774 <__sfvwrite_r+0x1f8> +81136618: a0c00217 ldw r3,8(r20) +8113661c: 98a7883a add r19,r19,r2 +81136620: 90a5c83a sub r18,r18,r2 +81136624: 1885c83a sub r2,r3,r2 +81136628: a0800215 stw r2,8(r20) +8113662c: 103fef1e bne r2,zero,811365ec <__reset+0xfb1165ec> +81136630: 0005883a mov r2,zero +81136634: dfc00b17 ldw ra,44(sp) +81136638: df000a17 ldw fp,40(sp) +8113663c: ddc00917 ldw r23,36(sp) +81136640: dd800817 ldw r22,32(sp) +81136644: dd400717 ldw r21,28(sp) +81136648: dd000617 ldw r20,24(sp) +8113664c: dcc00517 ldw r19,20(sp) +81136650: dc800417 ldw r18,16(sp) +81136654: dc400317 ldw r17,12(sp) +81136658: dc000217 ldw r16,8(sp) +8113665c: dec00c04 addi sp,sp,48 +81136660: f800283a ret +81136664: 800b883a mov r5,r16 +81136668: a809883a mov r4,r21 +8113666c: 1133dc40 call 81133dc4 <__swsetup_r> +81136670: 1000eb1e bne r2,zero,81136a20 <__sfvwrite_r+0x4a4> +81136674: 80c0030b ldhu r3,12(r16) +81136678: a4400017 ldw r17,0(r20) +8113667c: 1880008c andi r2,r3,2 +81136680: 103fd61e bne r2,zero,811365dc <__reset+0xfb1165dc> +81136684: 1880004c andi r2,r3,1 +81136688: 10003f1e bne r2,zero,81136788 <__sfvwrite_r+0x20c> +8113668c: 0039883a mov fp,zero +81136690: 0025883a mov r18,zero +81136694: 90001a26 beq r18,zero,81136700 <__sfvwrite_r+0x184> +81136698: 1880800c andi r2,r3,512 +8113669c: 84c00217 ldw r19,8(r16) +811366a0: 10002126 beq r2,zero,81136728 <__sfvwrite_r+0x1ac> +811366a4: 982f883a mov r23,r19 +811366a8: 94c09336 bltu r18,r19,811368f8 <__sfvwrite_r+0x37c> +811366ac: 1881200c andi r2,r3,1152 +811366b0: 10009e1e bne r2,zero,8113692c <__sfvwrite_r+0x3b0> +811366b4: 81000017 ldw r4,0(r16) +811366b8: b80d883a mov r6,r23 +811366bc: e00b883a mov r5,fp +811366c0: 11370880 call 81137088 +811366c4: 80c00217 ldw r3,8(r16) +811366c8: 81000017 ldw r4,0(r16) +811366cc: 9005883a mov r2,r18 +811366d0: 1ce7c83a sub r19,r3,r19 +811366d4: 25cf883a add r7,r4,r23 +811366d8: 84c00215 stw r19,8(r16) +811366dc: 81c00015 stw r7,0(r16) +811366e0: a0c00217 ldw r3,8(r20) +811366e4: e0b9883a add fp,fp,r2 +811366e8: 90a5c83a sub r18,r18,r2 +811366ec: 18a7c83a sub r19,r3,r2 +811366f0: a4c00215 stw r19,8(r20) +811366f4: 983fce26 beq r19,zero,81136630 <__reset+0xfb116630> +811366f8: 80c0030b ldhu r3,12(r16) +811366fc: 903fe61e bne r18,zero,81136698 <__reset+0xfb116698> +81136700: 8f000017 ldw fp,0(r17) +81136704: 8c800117 ldw r18,4(r17) +81136708: 8c400204 addi r17,r17,8 +8113670c: 003fe106 br 81136694 <__reset+0xfb116694> +81136710: 8cc00017 ldw r19,0(r17) +81136714: 8c800117 ldw r18,4(r17) +81136718: 8c400204 addi r17,r17,8 +8113671c: 003fb306 br 811365ec <__reset+0xfb1165ec> +81136720: 0005883a mov r2,zero +81136724: f800283a ret +81136728: 81000017 ldw r4,0(r16) +8113672c: 80800417 ldw r2,16(r16) +81136730: 11005736 bltu r2,r4,81136890 <__sfvwrite_r+0x314> +81136734: 85c00517 ldw r23,20(r16) +81136738: 95c05536 bltu r18,r23,81136890 <__sfvwrite_r+0x314> +8113673c: 00a00034 movhi r2,32768 +81136740: 10bfffc4 addi r2,r2,-1 +81136744: 9009883a mov r4,r18 +81136748: 1480012e bgeu r2,r18,81136750 <__sfvwrite_r+0x1d4> +8113674c: 1009883a mov r4,r2 +81136750: b80b883a mov r5,r23 +81136754: 112b2940 call 8112b294 <__divsi3> +81136758: 15cf383a mul r7,r2,r23 +8113675c: 81400717 ldw r5,28(r16) +81136760: 80800917 ldw r2,36(r16) +81136764: e00d883a mov r6,fp +81136768: a809883a mov r4,r21 +8113676c: 103ee83a callr r2 +81136770: 00bfdb16 blt zero,r2,811366e0 <__reset+0xfb1166e0> +81136774: 8080030b ldhu r2,12(r16) +81136778: 10801014 ori r2,r2,64 +8113677c: 8080030d sth r2,12(r16) +81136780: 00bfffc4 movi r2,-1 +81136784: 003fab06 br 81136634 <__reset+0xfb116634> +81136788: 0027883a mov r19,zero +8113678c: 0011883a mov r8,zero +81136790: 0039883a mov fp,zero +81136794: 0025883a mov r18,zero +81136798: 90001f26 beq r18,zero,81136818 <__sfvwrite_r+0x29c> +8113679c: 40005a26 beq r8,zero,81136908 <__sfvwrite_r+0x38c> +811367a0: 982d883a mov r22,r19 +811367a4: 94c0012e bgeu r18,r19,811367ac <__sfvwrite_r+0x230> +811367a8: 902d883a mov r22,r18 +811367ac: 81000017 ldw r4,0(r16) +811367b0: 80800417 ldw r2,16(r16) +811367b4: b02f883a mov r23,r22 +811367b8: 81c00517 ldw r7,20(r16) +811367bc: 1100032e bgeu r2,r4,811367cc <__sfvwrite_r+0x250> +811367c0: 80c00217 ldw r3,8(r16) +811367c4: 38c7883a add r3,r7,r3 +811367c8: 1d801816 blt r3,r22,8113682c <__sfvwrite_r+0x2b0> +811367cc: b1c03e16 blt r22,r7,811368c8 <__sfvwrite_r+0x34c> +811367d0: 80800917 ldw r2,36(r16) +811367d4: 81400717 ldw r5,28(r16) +811367d8: e00d883a mov r6,fp +811367dc: da000115 stw r8,4(sp) +811367e0: a809883a mov r4,r21 +811367e4: 103ee83a callr r2 +811367e8: 102f883a mov r23,r2 +811367ec: da000117 ldw r8,4(sp) +811367f0: 00bfe00e bge zero,r2,81136774 <__reset+0xfb116774> +811367f4: 9de7c83a sub r19,r19,r23 +811367f8: 98001f26 beq r19,zero,81136878 <__sfvwrite_r+0x2fc> +811367fc: a0800217 ldw r2,8(r20) +81136800: e5f9883a add fp,fp,r23 +81136804: 95e5c83a sub r18,r18,r23 +81136808: 15efc83a sub r23,r2,r23 +8113680c: a5c00215 stw r23,8(r20) +81136810: b83f8726 beq r23,zero,81136630 <__reset+0xfb116630> +81136814: 903fe11e bne r18,zero,8113679c <__reset+0xfb11679c> +81136818: 8f000017 ldw fp,0(r17) +8113681c: 8c800117 ldw r18,4(r17) +81136820: 0011883a mov r8,zero +81136824: 8c400204 addi r17,r17,8 +81136828: 003fdb06 br 81136798 <__reset+0xfb116798> +8113682c: 180d883a mov r6,r3 +81136830: e00b883a mov r5,fp +81136834: da000115 stw r8,4(sp) +81136838: d8c00015 stw r3,0(sp) +8113683c: 11370880 call 81137088 +81136840: d8c00017 ldw r3,0(sp) +81136844: 80800017 ldw r2,0(r16) +81136848: 800b883a mov r5,r16 +8113684c: a809883a mov r4,r21 +81136850: 10c5883a add r2,r2,r3 +81136854: 80800015 stw r2,0(r16) +81136858: d8c00015 stw r3,0(sp) +8113685c: 1135a100 call 81135a10 <_fflush_r> +81136860: d8c00017 ldw r3,0(sp) +81136864: da000117 ldw r8,4(sp) +81136868: 103fc21e bne r2,zero,81136774 <__reset+0xfb116774> +8113686c: 182f883a mov r23,r3 +81136870: 9de7c83a sub r19,r19,r23 +81136874: 983fe11e bne r19,zero,811367fc <__reset+0xfb1167fc> +81136878: 800b883a mov r5,r16 +8113687c: a809883a mov r4,r21 +81136880: 1135a100 call 81135a10 <_fflush_r> +81136884: 103fbb1e bne r2,zero,81136774 <__reset+0xfb116774> +81136888: 0011883a mov r8,zero +8113688c: 003fdb06 br 811367fc <__reset+0xfb1167fc> +81136890: 94c0012e bgeu r18,r19,81136898 <__sfvwrite_r+0x31c> +81136894: 9027883a mov r19,r18 +81136898: 980d883a mov r6,r19 +8113689c: e00b883a mov r5,fp +811368a0: 11370880 call 81137088 +811368a4: 80800217 ldw r2,8(r16) +811368a8: 80c00017 ldw r3,0(r16) +811368ac: 14c5c83a sub r2,r2,r19 +811368b0: 1cc7883a add r3,r3,r19 +811368b4: 80800215 stw r2,8(r16) +811368b8: 80c00015 stw r3,0(r16) +811368bc: 10004326 beq r2,zero,811369cc <__sfvwrite_r+0x450> +811368c0: 9805883a mov r2,r19 +811368c4: 003f8606 br 811366e0 <__reset+0xfb1166e0> +811368c8: b00d883a mov r6,r22 +811368cc: e00b883a mov r5,fp +811368d0: da000115 stw r8,4(sp) +811368d4: 11370880 call 81137088 +811368d8: 80800217 ldw r2,8(r16) +811368dc: 80c00017 ldw r3,0(r16) +811368e0: da000117 ldw r8,4(sp) +811368e4: 1585c83a sub r2,r2,r22 +811368e8: 1dad883a add r22,r3,r22 +811368ec: 80800215 stw r2,8(r16) +811368f0: 85800015 stw r22,0(r16) +811368f4: 003fbf06 br 811367f4 <__reset+0xfb1167f4> +811368f8: 81000017 ldw r4,0(r16) +811368fc: 9027883a mov r19,r18 +81136900: 902f883a mov r23,r18 +81136904: 003f6c06 br 811366b8 <__reset+0xfb1166b8> +81136908: 900d883a mov r6,r18 +8113690c: 01400284 movi r5,10 +81136910: e009883a mov r4,fp +81136914: 1136fa40 call 81136fa4 +81136918: 10003e26 beq r2,zero,81136a14 <__sfvwrite_r+0x498> +8113691c: 10800044 addi r2,r2,1 +81136920: 1727c83a sub r19,r2,fp +81136924: 02000044 movi r8,1 +81136928: 003f9d06 br 811367a0 <__reset+0xfb1167a0> +8113692c: 80800517 ldw r2,20(r16) +81136930: 81400417 ldw r5,16(r16) +81136934: 81c00017 ldw r7,0(r16) +81136938: 10a7883a add r19,r2,r2 +8113693c: 9885883a add r2,r19,r2 +81136940: 1026d7fa srli r19,r2,31 +81136944: 396dc83a sub r22,r7,r5 +81136948: b1000044 addi r4,r22,1 +8113694c: 9885883a add r2,r19,r2 +81136950: 1027d07a srai r19,r2,1 +81136954: 2485883a add r2,r4,r18 +81136958: 980d883a mov r6,r19 +8113695c: 9880022e bgeu r19,r2,81136968 <__sfvwrite_r+0x3ec> +81136960: 1027883a mov r19,r2 +81136964: 100d883a mov r6,r2 +81136968: 18c1000c andi r3,r3,1024 +8113696c: 18001c26 beq r3,zero,811369e0 <__sfvwrite_r+0x464> +81136970: 300b883a mov r5,r6 +81136974: a809883a mov r4,r21 +81136978: 112be7c0 call 8112be7c <_malloc_r> +8113697c: 102f883a mov r23,r2 +81136980: 10002926 beq r2,zero,81136a28 <__sfvwrite_r+0x4ac> +81136984: 81400417 ldw r5,16(r16) +81136988: b00d883a mov r6,r22 +8113698c: 1009883a mov r4,r2 +81136990: 112c6880 call 8112c688 +81136994: 8080030b ldhu r2,12(r16) +81136998: 00fedfc4 movi r3,-1153 +8113699c: 10c4703a and r2,r2,r3 +811369a0: 10802014 ori r2,r2,128 +811369a4: 8080030d sth r2,12(r16) +811369a8: bd89883a add r4,r23,r22 +811369ac: 9d8fc83a sub r7,r19,r22 +811369b0: 85c00415 stw r23,16(r16) +811369b4: 84c00515 stw r19,20(r16) +811369b8: 81000015 stw r4,0(r16) +811369bc: 9027883a mov r19,r18 +811369c0: 81c00215 stw r7,8(r16) +811369c4: 902f883a mov r23,r18 +811369c8: 003f3b06 br 811366b8 <__reset+0xfb1166b8> +811369cc: 800b883a mov r5,r16 +811369d0: a809883a mov r4,r21 +811369d4: 1135a100 call 81135a10 <_fflush_r> +811369d8: 103fb926 beq r2,zero,811368c0 <__reset+0xfb1168c0> +811369dc: 003f6506 br 81136774 <__reset+0xfb116774> +811369e0: a809883a mov r4,r21 +811369e4: 11382400 call 81138240 <_realloc_r> +811369e8: 102f883a mov r23,r2 +811369ec: 103fee1e bne r2,zero,811369a8 <__reset+0xfb1169a8> +811369f0: 81400417 ldw r5,16(r16) +811369f4: a809883a mov r4,r21 +811369f8: 113626c0 call 8113626c <_free_r> +811369fc: 8080030b ldhu r2,12(r16) +81136a00: 00ffdfc4 movi r3,-129 +81136a04: 1884703a and r2,r3,r2 +81136a08: 00c00304 movi r3,12 +81136a0c: a8c00015 stw r3,0(r21) +81136a10: 003f5906 br 81136778 <__reset+0xfb116778> +81136a14: 94c00044 addi r19,r18,1 +81136a18: 02000044 movi r8,1 +81136a1c: 003f6006 br 811367a0 <__reset+0xfb1167a0> +81136a20: 00bfffc4 movi r2,-1 +81136a24: 003f0306 br 81136634 <__reset+0xfb116634> +81136a28: 00800304 movi r2,12 +81136a2c: a8800015 stw r2,0(r21) +81136a30: 8080030b ldhu r2,12(r16) +81136a34: 003f5006 br 81136778 <__reset+0xfb116778> + +81136a38 <_fwalk>: +81136a38: defff704 addi sp,sp,-36 +81136a3c: dd000415 stw r20,16(sp) +81136a40: dfc00815 stw ra,32(sp) +81136a44: ddc00715 stw r23,28(sp) +81136a48: dd800615 stw r22,24(sp) +81136a4c: dd400515 stw r21,20(sp) +81136a50: dcc00315 stw r19,12(sp) +81136a54: dc800215 stw r18,8(sp) +81136a58: dc400115 stw r17,4(sp) +81136a5c: dc000015 stw r16,0(sp) +81136a60: 2500b804 addi r20,r4,736 +81136a64: a0002326 beq r20,zero,81136af4 <_fwalk+0xbc> +81136a68: 282b883a mov r21,r5 +81136a6c: 002f883a mov r23,zero +81136a70: 05800044 movi r22,1 +81136a74: 04ffffc4 movi r19,-1 +81136a78: a4400117 ldw r17,4(r20) +81136a7c: a4800217 ldw r18,8(r20) +81136a80: 8c7fffc4 addi r17,r17,-1 +81136a84: 88000d16 blt r17,zero,81136abc <_fwalk+0x84> +81136a88: 94000304 addi r16,r18,12 +81136a8c: 94800384 addi r18,r18,14 +81136a90: 8080000b ldhu r2,0(r16) +81136a94: 8c7fffc4 addi r17,r17,-1 +81136a98: 813ffd04 addi r4,r16,-12 +81136a9c: b080042e bgeu r22,r2,81136ab0 <_fwalk+0x78> +81136aa0: 9080000f ldh r2,0(r18) +81136aa4: 14c00226 beq r2,r19,81136ab0 <_fwalk+0x78> +81136aa8: a83ee83a callr r21 +81136aac: b8aeb03a or r23,r23,r2 +81136ab0: 84001a04 addi r16,r16,104 +81136ab4: 94801a04 addi r18,r18,104 +81136ab8: 8cfff51e bne r17,r19,81136a90 <__reset+0xfb116a90> +81136abc: a5000017 ldw r20,0(r20) +81136ac0: a03fed1e bne r20,zero,81136a78 <__reset+0xfb116a78> +81136ac4: b805883a mov r2,r23 +81136ac8: dfc00817 ldw ra,32(sp) +81136acc: ddc00717 ldw r23,28(sp) +81136ad0: dd800617 ldw r22,24(sp) +81136ad4: dd400517 ldw r21,20(sp) +81136ad8: dd000417 ldw r20,16(sp) +81136adc: dcc00317 ldw r19,12(sp) +81136ae0: dc800217 ldw r18,8(sp) +81136ae4: dc400117 ldw r17,4(sp) +81136ae8: dc000017 ldw r16,0(sp) +81136aec: dec00904 addi sp,sp,36 +81136af0: f800283a ret 81136af4: 002f883a mov r23,zero -81136af8: 05800044 movi r22,1 -81136afc: 04bfffc4 movi r18,-1 -81136b00: a4400117 ldw r17,4(r20) -81136b04: a4000217 ldw r16,8(r20) -81136b08: 8c7fffc4 addi r17,r17,-1 -81136b0c: 88000c16 blt r17,zero,81136b40 <_fwalk_reent+0x84> -81136b10: 84000304 addi r16,r16,12 -81136b14: 8080000b ldhu r2,0(r16) -81136b18: 8c7fffc4 addi r17,r17,-1 -81136b1c: 817ffd04 addi r5,r16,-12 -81136b20: b080052e bgeu r22,r2,81136b38 <_fwalk_reent+0x7c> -81136b24: 8080008f ldh r2,2(r16) -81136b28: 9809883a mov r4,r19 -81136b2c: 14800226 beq r2,r18,81136b38 <_fwalk_reent+0x7c> -81136b30: a83ee83a callr r21 -81136b34: b8aeb03a or r23,r23,r2 -81136b38: 84001a04 addi r16,r16,104 -81136b3c: 8cbff51e bne r17,r18,81136b14 <__reset+0xfb116b14> -81136b40: a5000017 ldw r20,0(r20) -81136b44: a03fee1e bne r20,zero,81136b00 <__reset+0xfb116b00> -81136b48: b805883a mov r2,r23 -81136b4c: dfc00817 ldw ra,32(sp) -81136b50: ddc00717 ldw r23,28(sp) -81136b54: dd800617 ldw r22,24(sp) -81136b58: dd400517 ldw r21,20(sp) -81136b5c: dd000417 ldw r20,16(sp) -81136b60: dcc00317 ldw r19,12(sp) -81136b64: dc800217 ldw r18,8(sp) -81136b68: dc400117 ldw r17,4(sp) -81136b6c: dc000017 ldw r16,0(sp) -81136b70: dec00904 addi sp,sp,36 -81136b74: f800283a ret -81136b78: 002f883a mov r23,zero -81136b7c: 003ff206 br 81136b48 <__reset+0xfb116b48> - -81136b80 <_isatty_r>: -81136b80: defffd04 addi sp,sp,-12 -81136b84: dc000015 stw r16,0(sp) -81136b88: 04204574 movhi r16,33045 -81136b8c: dc400115 stw r17,4(sp) -81136b90: 84076904 addi r16,r16,7588 -81136b94: 2023883a mov r17,r4 -81136b98: 2809883a mov r4,r5 -81136b9c: dfc00215 stw ra,8(sp) -81136ba0: 80000015 stw zero,0(r16) -81136ba4: 113f4680 call 8113f468 -81136ba8: 00ffffc4 movi r3,-1 -81136bac: 10c00526 beq r2,r3,81136bc4 <_isatty_r+0x44> -81136bb0: dfc00217 ldw ra,8(sp) -81136bb4: dc400117 ldw r17,4(sp) -81136bb8: dc000017 ldw r16,0(sp) -81136bbc: dec00304 addi sp,sp,12 -81136bc0: f800283a ret -81136bc4: 80c00017 ldw r3,0(r16) -81136bc8: 183ff926 beq r3,zero,81136bb0 <__reset+0xfb116bb0> -81136bcc: 88c00015 stw r3,0(r17) -81136bd0: 003ff706 br 81136bb0 <__reset+0xfb116bb0> - -81136bd4 : -81136bd4: 00803fc4 movi r2,255 -81136bd8: 11000836 bltu r2,r4,81136bfc -81136bdc: 00a04574 movhi r2,33045 -81136be0: 1086e004 addi r2,r2,7040 -81136be4: 10800017 ldw r2,0(r2) -81136be8: 1109883a add r4,r2,r4 -81136bec: 20800043 ldbu r2,1(r4) -81136bf0: 1080020c andi r2,r2,8 -81136bf4: 10803fcc andi r2,r2,255 -81136bf8: f800283a ret -81136bfc: 0005883a mov r2,zero +81136af8: 003ff206 br 81136ac4 <__reset+0xfb116ac4> + +81136afc <_fwalk_reent>: +81136afc: defff704 addi sp,sp,-36 +81136b00: dd000415 stw r20,16(sp) +81136b04: dfc00815 stw ra,32(sp) +81136b08: ddc00715 stw r23,28(sp) +81136b0c: dd800615 stw r22,24(sp) +81136b10: dd400515 stw r21,20(sp) +81136b14: dcc00315 stw r19,12(sp) +81136b18: dc800215 stw r18,8(sp) +81136b1c: dc400115 stw r17,4(sp) +81136b20: dc000015 stw r16,0(sp) +81136b24: 2500b804 addi r20,r4,736 +81136b28: a0002326 beq r20,zero,81136bb8 <_fwalk_reent+0xbc> +81136b2c: 282b883a mov r21,r5 +81136b30: 2027883a mov r19,r4 +81136b34: 002f883a mov r23,zero +81136b38: 05800044 movi r22,1 +81136b3c: 04bfffc4 movi r18,-1 +81136b40: a4400117 ldw r17,4(r20) +81136b44: a4000217 ldw r16,8(r20) +81136b48: 8c7fffc4 addi r17,r17,-1 +81136b4c: 88000c16 blt r17,zero,81136b80 <_fwalk_reent+0x84> +81136b50: 84000304 addi r16,r16,12 +81136b54: 8080000b ldhu r2,0(r16) +81136b58: 8c7fffc4 addi r17,r17,-1 +81136b5c: 817ffd04 addi r5,r16,-12 +81136b60: b080052e bgeu r22,r2,81136b78 <_fwalk_reent+0x7c> +81136b64: 8080008f ldh r2,2(r16) +81136b68: 9809883a mov r4,r19 +81136b6c: 14800226 beq r2,r18,81136b78 <_fwalk_reent+0x7c> +81136b70: a83ee83a callr r21 +81136b74: b8aeb03a or r23,r23,r2 +81136b78: 84001a04 addi r16,r16,104 +81136b7c: 8cbff51e bne r17,r18,81136b54 <__reset+0xfb116b54> +81136b80: a5000017 ldw r20,0(r20) +81136b84: a03fee1e bne r20,zero,81136b40 <__reset+0xfb116b40> +81136b88: b805883a mov r2,r23 +81136b8c: dfc00817 ldw ra,32(sp) +81136b90: ddc00717 ldw r23,28(sp) +81136b94: dd800617 ldw r22,24(sp) +81136b98: dd400517 ldw r21,20(sp) +81136b9c: dd000417 ldw r20,16(sp) +81136ba0: dcc00317 ldw r19,12(sp) +81136ba4: dc800217 ldw r18,8(sp) +81136ba8: dc400117 ldw r17,4(sp) +81136bac: dc000017 ldw r16,0(sp) +81136bb0: dec00904 addi sp,sp,36 +81136bb4: f800283a ret +81136bb8: 002f883a mov r23,zero +81136bbc: 003ff206 br 81136b88 <__reset+0xfb116b88> + +81136bc0 <_isatty_r>: +81136bc0: defffd04 addi sp,sp,-12 +81136bc4: dc000015 stw r16,0(sp) +81136bc8: 04204574 movhi r16,33045 +81136bcc: dc400115 stw r17,4(sp) +81136bd0: 84077a04 addi r16,r16,7656 +81136bd4: 2023883a mov r17,r4 +81136bd8: 2809883a mov r4,r5 +81136bdc: dfc00215 stw ra,8(sp) +81136be0: 80000015 stw zero,0(r16) +81136be4: 113f4a80 call 8113f4a8 +81136be8: 00ffffc4 movi r3,-1 +81136bec: 10c00526 beq r2,r3,81136c04 <_isatty_r+0x44> +81136bf0: dfc00217 ldw ra,8(sp) +81136bf4: dc400117 ldw r17,4(sp) +81136bf8: dc000017 ldw r16,0(sp) +81136bfc: dec00304 addi sp,sp,12 81136c00: f800283a ret - -81136c04 <_setlocale_r>: -81136c04: 30001b26 beq r6,zero,81136c74 <_setlocale_r+0x70> -81136c08: 01604574 movhi r5,33045 -81136c0c: defffe04 addi sp,sp,-8 -81136c10: 297edb04 addi r5,r5,-1172 -81136c14: 3009883a mov r4,r6 -81136c18: dc000015 stw r16,0(sp) -81136c1c: dfc00115 stw ra,4(sp) -81136c20: 3021883a mov r16,r6 -81136c24: 11388b00 call 811388b0 -81136c28: 1000061e bne r2,zero,81136c44 <_setlocale_r+0x40> -81136c2c: 00a04574 movhi r2,33045 -81136c30: 10beab04 addi r2,r2,-1364 -81136c34: dfc00117 ldw ra,4(sp) -81136c38: dc000017 ldw r16,0(sp) -81136c3c: dec00204 addi sp,sp,8 +81136c04: 80c00017 ldw r3,0(r16) +81136c08: 183ff926 beq r3,zero,81136bf0 <__reset+0xfb116bf0> +81136c0c: 88c00015 stw r3,0(r17) +81136c10: 003ff706 br 81136bf0 <__reset+0xfb116bf0> + +81136c14 : +81136c14: 00803fc4 movi r2,255 +81136c18: 11000836 bltu r2,r4,81136c3c +81136c1c: 00a04574 movhi r2,33045 +81136c20: 1086f104 addi r2,r2,7108 +81136c24: 10800017 ldw r2,0(r2) +81136c28: 1109883a add r4,r2,r4 +81136c2c: 20800043 ldbu r2,1(r4) +81136c30: 1080020c andi r2,r2,8 +81136c34: 10803fcc andi r2,r2,255 +81136c38: f800283a ret +81136c3c: 0005883a mov r2,zero 81136c40: f800283a ret -81136c44: 01604574 movhi r5,33045 -81136c48: 297eab04 addi r5,r5,-1364 -81136c4c: 8009883a mov r4,r16 -81136c50: 11388b00 call 811388b0 -81136c54: 103ff526 beq r2,zero,81136c2c <__reset+0xfb116c2c> -81136c58: 01604574 movhi r5,33045 -81136c5c: 297c4004 addi r5,r5,-3840 -81136c60: 8009883a mov r4,r16 -81136c64: 11388b00 call 811388b0 -81136c68: 103ff026 beq r2,zero,81136c2c <__reset+0xfb116c2c> -81136c6c: 0005883a mov r2,zero -81136c70: 003ff006 br 81136c34 <__reset+0xfb116c34> -81136c74: 00a04574 movhi r2,33045 -81136c78: 10beab04 addi r2,r2,-1364 -81136c7c: f800283a ret - -81136c80 <__locale_charset>: -81136c80: 00a04574 movhi r2,33045 -81136c84: 10820404 addi r2,r2,2064 -81136c88: f800283a ret - -81136c8c <__locale_mb_cur_max>: -81136c8c: 00a04574 movhi r2,33045 -81136c90: 1086e504 addi r2,r2,7060 -81136c94: 10800017 ldw r2,0(r2) -81136c98: f800283a ret - -81136c9c <__locale_msgcharset>: -81136c9c: 00a04574 movhi r2,33045 -81136ca0: 1081fc04 addi r2,r2,2032 -81136ca4: f800283a ret - -81136ca8 <__locale_cjk_lang>: -81136ca8: 0005883a mov r2,zero -81136cac: f800283a ret - -81136cb0 <_localeconv_r>: -81136cb0: 00a04574 movhi r2,33045 -81136cb4: 10820c04 addi r2,r2,2096 -81136cb8: f800283a ret - -81136cbc : -81136cbc: 00a04574 movhi r2,33045 -81136cc0: 1086e204 addi r2,r2,7048 -81136cc4: 280d883a mov r6,r5 -81136cc8: 200b883a mov r5,r4 -81136ccc: 11000017 ldw r4,0(r2) -81136cd0: 1136c041 jmpi 81136c04 <_setlocale_r> - -81136cd4 : -81136cd4: 00a04574 movhi r2,33045 -81136cd8: 10820c04 addi r2,r2,2096 -81136cdc: f800283a ret - -81136ce0 <_lseek_r>: -81136ce0: defffd04 addi sp,sp,-12 -81136ce4: 2805883a mov r2,r5 -81136ce8: dc000015 stw r16,0(sp) -81136cec: 04204574 movhi r16,33045 -81136cf0: dc400115 stw r17,4(sp) -81136cf4: 300b883a mov r5,r6 -81136cf8: 84076904 addi r16,r16,7588 -81136cfc: 2023883a mov r17,r4 -81136d00: 380d883a mov r6,r7 -81136d04: 1009883a mov r4,r2 -81136d08: dfc00215 stw ra,8(sp) -81136d0c: 80000015 stw zero,0(r16) -81136d10: 113f4ec0 call 8113f4ec -81136d14: 00ffffc4 movi r3,-1 -81136d18: 10c00526 beq r2,r3,81136d30 <_lseek_r+0x50> -81136d1c: dfc00217 ldw ra,8(sp) -81136d20: dc400117 ldw r17,4(sp) -81136d24: dc000017 ldw r16,0(sp) -81136d28: dec00304 addi sp,sp,12 -81136d2c: f800283a ret -81136d30: 80c00017 ldw r3,0(r16) -81136d34: 183ff926 beq r3,zero,81136d1c <__reset+0xfb116d1c> -81136d38: 88c00015 stw r3,0(r17) -81136d3c: 003ff706 br 81136d1c <__reset+0xfb116d1c> - -81136d40 <_mbrtowc_r>: -81136d40: defff704 addi sp,sp,-36 -81136d44: 00a04574 movhi r2,33045 -81136d48: dc800715 stw r18,28(sp) -81136d4c: dc400615 stw r17,24(sp) -81136d50: dc000515 stw r16,20(sp) -81136d54: 1086e604 addi r2,r2,7064 -81136d58: dfc00815 stw ra,32(sp) -81136d5c: 2021883a mov r16,r4 -81136d60: dc400917 ldw r17,36(sp) -81136d64: 14800017 ldw r18,0(r2) -81136d68: 30001626 beq r6,zero,81136dc4 <_mbrtowc_r+0x84> -81136d6c: d9400215 stw r5,8(sp) -81136d70: d9800315 stw r6,12(sp) -81136d74: d9c00415 stw r7,16(sp) -81136d78: 1136c800 call 81136c80 <__locale_charset> -81136d7c: d9c00417 ldw r7,16(sp) -81136d80: d9800317 ldw r6,12(sp) -81136d84: d9400217 ldw r5,8(sp) -81136d88: d8800015 stw r2,0(sp) -81136d8c: dc400115 stw r17,4(sp) -81136d90: 8009883a mov r4,r16 -81136d94: 903ee83a callr r18 -81136d98: 00ffffc4 movi r3,-1 -81136d9c: 10c0031e bne r2,r3,81136dac <_mbrtowc_r+0x6c> -81136da0: 88000015 stw zero,0(r17) -81136da4: 00c02284 movi r3,138 -81136da8: 80c00015 stw r3,0(r16) -81136dac: dfc00817 ldw ra,32(sp) -81136db0: dc800717 ldw r18,28(sp) -81136db4: dc400617 ldw r17,24(sp) -81136db8: dc000517 ldw r16,20(sp) -81136dbc: dec00904 addi sp,sp,36 -81136dc0: f800283a ret -81136dc4: 1136c800 call 81136c80 <__locale_charset> -81136dc8: 01a04574 movhi r6,33045 -81136dcc: 31bc4004 addi r6,r6,-3840 -81136dd0: dc400115 stw r17,4(sp) -81136dd4: d8800015 stw r2,0(sp) -81136dd8: 01c00044 movi r7,1 -81136ddc: 000b883a mov r5,zero -81136de0: 8009883a mov r4,r16 -81136de4: 903ee83a callr r18 -81136de8: 003feb06 br 81136d98 <__reset+0xfb116d98> - -81136dec : -81136dec: defff704 addi sp,sp,-36 -81136df0: 00a04574 movhi r2,33045 -81136df4: dc800415 stw r18,16(sp) -81136df8: dc400315 stw r17,12(sp) -81136dfc: 1086e204 addi r2,r2,7048 -81136e00: dfc00815 stw ra,32(sp) -81136e04: dd400715 stw r21,28(sp) -81136e08: dd000615 stw r20,24(sp) -81136e0c: dcc00515 stw r19,20(sp) -81136e10: dc000215 stw r16,8(sp) -81136e14: 3825883a mov r18,r7 -81136e18: 14400017 ldw r17,0(r2) -81136e1c: 28001c26 beq r5,zero,81136e90 -81136e20: 00a04574 movhi r2,33045 -81136e24: 1086e604 addi r2,r2,7064 -81136e28: 15400017 ldw r21,0(r2) -81136e2c: 2821883a mov r16,r5 -81136e30: 2027883a mov r19,r4 -81136e34: 3029883a mov r20,r6 -81136e38: 1136c800 call 81136c80 <__locale_charset> -81136e3c: d8800015 stw r2,0(sp) -81136e40: dc800115 stw r18,4(sp) -81136e44: a00f883a mov r7,r20 -81136e48: 800d883a mov r6,r16 -81136e4c: 980b883a mov r5,r19 -81136e50: 8809883a mov r4,r17 -81136e54: a83ee83a callr r21 -81136e58: 00ffffc4 movi r3,-1 -81136e5c: 10c0031e bne r2,r3,81136e6c -81136e60: 90000015 stw zero,0(r18) -81136e64: 00c02284 movi r3,138 -81136e68: 88c00015 stw r3,0(r17) -81136e6c: dfc00817 ldw ra,32(sp) -81136e70: dd400717 ldw r21,28(sp) -81136e74: dd000617 ldw r20,24(sp) -81136e78: dcc00517 ldw r19,20(sp) -81136e7c: dc800417 ldw r18,16(sp) -81136e80: dc400317 ldw r17,12(sp) -81136e84: dc000217 ldw r16,8(sp) -81136e88: dec00904 addi sp,sp,36 -81136e8c: f800283a ret -81136e90: 00a04574 movhi r2,33045 -81136e94: 1086e604 addi r2,r2,7064 -81136e98: 14000017 ldw r16,0(r2) -81136e9c: 1136c800 call 81136c80 <__locale_charset> -81136ea0: 01a04574 movhi r6,33045 -81136ea4: 31bc4004 addi r6,r6,-3840 -81136ea8: dc800115 stw r18,4(sp) -81136eac: d8800015 stw r2,0(sp) -81136eb0: 01c00044 movi r7,1 -81136eb4: 000b883a mov r5,zero -81136eb8: 8809883a mov r4,r17 -81136ebc: 803ee83a callr r16 -81136ec0: 003fe506 br 81136e58 <__reset+0xfb116e58> - -81136ec4 <__ascii_mbtowc>: -81136ec4: deffff04 addi sp,sp,-4 -81136ec8: 28000826 beq r5,zero,81136eec <__ascii_mbtowc+0x28> -81136ecc: 30000926 beq r6,zero,81136ef4 <__ascii_mbtowc+0x30> -81136ed0: 38000b26 beq r7,zero,81136f00 <__ascii_mbtowc+0x3c> -81136ed4: 30800003 ldbu r2,0(r6) -81136ed8: 28800015 stw r2,0(r5) -81136edc: 30800003 ldbu r2,0(r6) -81136ee0: 1004c03a cmpne r2,r2,zero -81136ee4: dec00104 addi sp,sp,4 -81136ee8: f800283a ret -81136eec: d80b883a mov r5,sp -81136ef0: 303ff71e bne r6,zero,81136ed0 <__reset+0xfb116ed0> -81136ef4: 0005883a mov r2,zero -81136ef8: dec00104 addi sp,sp,4 -81136efc: f800283a ret -81136f00: 00bfff84 movi r2,-2 -81136f04: 003ff706 br 81136ee4 <__reset+0xfb116ee4> - -81136f08 <_mbtowc_r>: -81136f08: 00a04574 movhi r2,33045 -81136f0c: defff804 addi sp,sp,-32 -81136f10: 1086e604 addi r2,r2,7064 -81136f14: dfc00715 stw ra,28(sp) -81136f18: dc000615 stw r16,24(sp) -81136f1c: 14000017 ldw r16,0(r2) -81136f20: d9000215 stw r4,8(sp) -81136f24: d9400315 stw r5,12(sp) -81136f28: d9800415 stw r6,16(sp) -81136f2c: d9c00515 stw r7,20(sp) -81136f30: 1136c800 call 81136c80 <__locale_charset> -81136f34: d8800015 stw r2,0(sp) -81136f38: d8800817 ldw r2,32(sp) -81136f3c: d9c00517 ldw r7,20(sp) -81136f40: d9800417 ldw r6,16(sp) -81136f44: d9400317 ldw r5,12(sp) -81136f48: d9000217 ldw r4,8(sp) -81136f4c: d8800115 stw r2,4(sp) -81136f50: 803ee83a callr r16 -81136f54: dfc00717 ldw ra,28(sp) -81136f58: dc000617 ldw r16,24(sp) -81136f5c: dec00804 addi sp,sp,32 -81136f60: f800283a ret - -81136f64 : -81136f64: 208000cc andi r2,r4,3 -81136f68: 280f883a mov r7,r5 -81136f6c: 10003426 beq r2,zero,81137040 -81136f70: 30bfffc4 addi r2,r6,-1 -81136f74: 30001a26 beq r6,zero,81136fe0 -81136f78: 20c00003 ldbu r3,0(r4) -81136f7c: 29803fcc andi r6,r5,255 -81136f80: 30c0051e bne r6,r3,81136f98 -81136f84: 00001806 br 81136fe8 -81136f88: 10001526 beq r2,zero,81136fe0 -81136f8c: 20c00003 ldbu r3,0(r4) -81136f90: 10bfffc4 addi r2,r2,-1 -81136f94: 30c01426 beq r6,r3,81136fe8 -81136f98: 21000044 addi r4,r4,1 -81136f9c: 20c000cc andi r3,r4,3 -81136fa0: 183ff91e bne r3,zero,81136f88 <__reset+0xfb116f88> -81136fa4: 020000c4 movi r8,3 -81136fa8: 40801136 bltu r8,r2,81136ff0 -81136fac: 10000c26 beq r2,zero,81136fe0 -81136fb0: 20c00003 ldbu r3,0(r4) -81136fb4: 29403fcc andi r5,r5,255 -81136fb8: 28c00b26 beq r5,r3,81136fe8 -81136fbc: 20c00044 addi r3,r4,1 -81136fc0: 39803fcc andi r6,r7,255 -81136fc4: 2089883a add r4,r4,r2 -81136fc8: 00000306 br 81136fd8 -81136fcc: 18c00044 addi r3,r3,1 -81136fd0: 197fffc3 ldbu r5,-1(r3) -81136fd4: 31400526 beq r6,r5,81136fec -81136fd8: 1805883a mov r2,r3 -81136fdc: 20fffb1e bne r4,r3,81136fcc <__reset+0xfb116fcc> -81136fe0: 0005883a mov r2,zero -81136fe4: f800283a ret -81136fe8: 2005883a mov r2,r4 -81136fec: f800283a ret -81136ff0: 28c03fcc andi r3,r5,255 -81136ff4: 1812923a slli r9,r3,8 -81136ff8: 02ffbff4 movhi r11,65279 -81136ffc: 02a02074 movhi r10,32897 -81137000: 48d2b03a or r9,r9,r3 -81137004: 4806943a slli r3,r9,16 -81137008: 5affbfc4 addi r11,r11,-257 -8113700c: 52a02004 addi r10,r10,-32640 -81137010: 48d2b03a or r9,r9,r3 -81137014: 20c00017 ldw r3,0(r4) -81137018: 48c6f03a xor r3,r9,r3 -8113701c: 1acd883a add r6,r3,r11 -81137020: 00c6303a nor r3,zero,r3 -81137024: 30c6703a and r3,r6,r3 -81137028: 1a86703a and r3,r3,r10 -8113702c: 183fe01e bne r3,zero,81136fb0 <__reset+0xfb116fb0> -81137030: 10bfff04 addi r2,r2,-4 -81137034: 21000104 addi r4,r4,4 -81137038: 40bff636 bltu r8,r2,81137014 <__reset+0xfb117014> -8113703c: 003fdb06 br 81136fac <__reset+0xfb116fac> -81137040: 3005883a mov r2,r6 -81137044: 003fd706 br 81136fa4 <__reset+0xfb116fa4> - -81137048 : -81137048: 2005883a mov r2,r4 -8113704c: 29000b2e bgeu r5,r4,8113707c -81137050: 298f883a add r7,r5,r6 -81137054: 21c0092e bgeu r4,r7,8113707c -81137058: 2187883a add r3,r4,r6 -8113705c: 198bc83a sub r5,r3,r6 -81137060: 30004826 beq r6,zero,81137184 -81137064: 39ffffc4 addi r7,r7,-1 -81137068: 39000003 ldbu r4,0(r7) -8113706c: 18ffffc4 addi r3,r3,-1 -81137070: 19000005 stb r4,0(r3) -81137074: 28fffb1e bne r5,r3,81137064 <__reset+0xfb117064> -81137078: f800283a ret -8113707c: 00c003c4 movi r3,15 -81137080: 1980412e bgeu r3,r6,81137188 -81137084: 2886b03a or r3,r5,r2 -81137088: 18c000cc andi r3,r3,3 -8113708c: 1800401e bne r3,zero,81137190 -81137090: 33fffc04 addi r15,r6,-16 -81137094: 781ed13a srli r15,r15,4 -81137098: 28c00104 addi r3,r5,4 -8113709c: 13400104 addi r13,r2,4 -811370a0: 781c913a slli r14,r15,4 -811370a4: 2b000204 addi r12,r5,8 -811370a8: 12c00204 addi r11,r2,8 -811370ac: 73800504 addi r14,r14,20 -811370b0: 2a800304 addi r10,r5,12 -811370b4: 12400304 addi r9,r2,12 -811370b8: 2b9d883a add r14,r5,r14 -811370bc: 2811883a mov r8,r5 -811370c0: 100f883a mov r7,r2 -811370c4: 41000017 ldw r4,0(r8) -811370c8: 39c00404 addi r7,r7,16 -811370cc: 18c00404 addi r3,r3,16 -811370d0: 393ffc15 stw r4,-16(r7) -811370d4: 193ffc17 ldw r4,-16(r3) -811370d8: 6b400404 addi r13,r13,16 -811370dc: 5ac00404 addi r11,r11,16 -811370e0: 693ffc15 stw r4,-16(r13) -811370e4: 61000017 ldw r4,0(r12) -811370e8: 4a400404 addi r9,r9,16 -811370ec: 42000404 addi r8,r8,16 -811370f0: 593ffc15 stw r4,-16(r11) -811370f4: 51000017 ldw r4,0(r10) -811370f8: 63000404 addi r12,r12,16 -811370fc: 52800404 addi r10,r10,16 -81137100: 493ffc15 stw r4,-16(r9) -81137104: 1bbfef1e bne r3,r14,811370c4 <__reset+0xfb1170c4> -81137108: 79000044 addi r4,r15,1 -8113710c: 2008913a slli r4,r4,4 -81137110: 328003cc andi r10,r6,15 -81137114: 02c000c4 movi r11,3 -81137118: 1107883a add r3,r2,r4 -8113711c: 290b883a add r5,r5,r4 -81137120: 5a801e2e bgeu r11,r10,8113719c -81137124: 1813883a mov r9,r3 -81137128: 2811883a mov r8,r5 -8113712c: 500f883a mov r7,r10 -81137130: 41000017 ldw r4,0(r8) -81137134: 4a400104 addi r9,r9,4 -81137138: 39ffff04 addi r7,r7,-4 -8113713c: 493fff15 stw r4,-4(r9) -81137140: 42000104 addi r8,r8,4 -81137144: 59fffa36 bltu r11,r7,81137130 <__reset+0xfb117130> -81137148: 513fff04 addi r4,r10,-4 -8113714c: 2008d0ba srli r4,r4,2 -81137150: 318000cc andi r6,r6,3 -81137154: 21000044 addi r4,r4,1 -81137158: 2109883a add r4,r4,r4 -8113715c: 2109883a add r4,r4,r4 -81137160: 1907883a add r3,r3,r4 -81137164: 290b883a add r5,r5,r4 -81137168: 30000b26 beq r6,zero,81137198 -8113716c: 198d883a add r6,r3,r6 -81137170: 29c00003 ldbu r7,0(r5) -81137174: 18c00044 addi r3,r3,1 -81137178: 29400044 addi r5,r5,1 -8113717c: 19ffffc5 stb r7,-1(r3) -81137180: 19bffb1e bne r3,r6,81137170 <__reset+0xfb117170> -81137184: f800283a ret -81137188: 1007883a mov r3,r2 -8113718c: 003ff606 br 81137168 <__reset+0xfb117168> -81137190: 1007883a mov r3,r2 -81137194: 003ff506 br 8113716c <__reset+0xfb11716c> -81137198: f800283a ret -8113719c: 500d883a mov r6,r10 -811371a0: 003ff106 br 81137168 <__reset+0xfb117168> - -811371a4 <_Balloc>: -811371a4: 20801317 ldw r2,76(r4) -811371a8: defffc04 addi sp,sp,-16 -811371ac: dc400115 stw r17,4(sp) -811371b0: dc000015 stw r16,0(sp) -811371b4: dfc00315 stw ra,12(sp) -811371b8: dc800215 stw r18,8(sp) -811371bc: 2023883a mov r17,r4 -811371c0: 2821883a mov r16,r5 -811371c4: 10000f26 beq r2,zero,81137204 <_Balloc+0x60> -811371c8: 8407883a add r3,r16,r16 -811371cc: 18c7883a add r3,r3,r3 -811371d0: 10c7883a add r3,r2,r3 -811371d4: 18800017 ldw r2,0(r3) -811371d8: 10001126 beq r2,zero,81137220 <_Balloc+0x7c> -811371dc: 11000017 ldw r4,0(r2) -811371e0: 19000015 stw r4,0(r3) -811371e4: 10000415 stw zero,16(r2) -811371e8: 10000315 stw zero,12(r2) -811371ec: dfc00317 ldw ra,12(sp) -811371f0: dc800217 ldw r18,8(sp) -811371f4: dc400117 ldw r17,4(sp) -811371f8: dc000017 ldw r16,0(sp) -811371fc: dec00404 addi sp,sp,16 -81137200: f800283a ret -81137204: 01800844 movi r6,33 -81137208: 01400104 movi r5,4 -8113720c: 113d3140 call 8113d314 <_calloc_r> -81137210: 88801315 stw r2,76(r17) -81137214: 103fec1e bne r2,zero,811371c8 <__reset+0xfb1171c8> -81137218: 0005883a mov r2,zero -8113721c: 003ff306 br 811371ec <__reset+0xfb1171ec> -81137220: 01400044 movi r5,1 -81137224: 2c24983a sll r18,r5,r16 -81137228: 8809883a mov r4,r17 -8113722c: 91800144 addi r6,r18,5 -81137230: 318d883a add r6,r6,r6 -81137234: 318d883a add r6,r6,r6 -81137238: 113d3140 call 8113d314 <_calloc_r> -8113723c: 103ff626 beq r2,zero,81137218 <__reset+0xfb117218> -81137240: 14000115 stw r16,4(r2) -81137244: 14800215 stw r18,8(r2) -81137248: 003fe606 br 811371e4 <__reset+0xfb1171e4> - -8113724c <_Bfree>: -8113724c: 28000826 beq r5,zero,81137270 <_Bfree+0x24> -81137250: 28c00117 ldw r3,4(r5) -81137254: 20801317 ldw r2,76(r4) -81137258: 18c7883a add r3,r3,r3 -8113725c: 18c7883a add r3,r3,r3 -81137260: 10c5883a add r2,r2,r3 -81137264: 10c00017 ldw r3,0(r2) -81137268: 28c00015 stw r3,0(r5) -8113726c: 11400015 stw r5,0(r2) -81137270: f800283a ret - -81137274 <__multadd>: -81137274: defffa04 addi sp,sp,-24 -81137278: dc800315 stw r18,12(sp) -8113727c: dc400215 stw r17,8(sp) -81137280: dc000115 stw r16,4(sp) -81137284: 2823883a mov r17,r5 -81137288: 2c000417 ldw r16,16(r5) -8113728c: dfc00515 stw ra,20(sp) -81137290: dcc00415 stw r19,16(sp) -81137294: 2025883a mov r18,r4 -81137298: 29400504 addi r5,r5,20 -8113729c: 0011883a mov r8,zero -811372a0: 28c00017 ldw r3,0(r5) -811372a4: 29400104 addi r5,r5,4 -811372a8: 42000044 addi r8,r8,1 -811372ac: 18bfffcc andi r2,r3,65535 -811372b0: 1185383a mul r2,r2,r6 -811372b4: 1806d43a srli r3,r3,16 -811372b8: 11cf883a add r7,r2,r7 -811372bc: 3808d43a srli r4,r7,16 -811372c0: 1987383a mul r3,r3,r6 -811372c4: 38bfffcc andi r2,r7,65535 -811372c8: 1907883a add r3,r3,r4 -811372cc: 1808943a slli r4,r3,16 -811372d0: 180ed43a srli r7,r3,16 -811372d4: 2085883a add r2,r4,r2 -811372d8: 28bfff15 stw r2,-4(r5) -811372dc: 443ff016 blt r8,r16,811372a0 <__reset+0xfb1172a0> -811372e0: 38000926 beq r7,zero,81137308 <__multadd+0x94> -811372e4: 88800217 ldw r2,8(r17) -811372e8: 80800f0e bge r16,r2,81137328 <__multadd+0xb4> -811372ec: 80800144 addi r2,r16,5 -811372f0: 1085883a add r2,r2,r2 -811372f4: 1085883a add r2,r2,r2 -811372f8: 8885883a add r2,r17,r2 -811372fc: 11c00015 stw r7,0(r2) -81137300: 84000044 addi r16,r16,1 -81137304: 8c000415 stw r16,16(r17) -81137308: 8805883a mov r2,r17 -8113730c: dfc00517 ldw ra,20(sp) -81137310: dcc00417 ldw r19,16(sp) -81137314: dc800317 ldw r18,12(sp) -81137318: dc400217 ldw r17,8(sp) -8113731c: dc000117 ldw r16,4(sp) -81137320: dec00604 addi sp,sp,24 -81137324: f800283a ret -81137328: 89400117 ldw r5,4(r17) -8113732c: 9009883a mov r4,r18 -81137330: d9c00015 stw r7,0(sp) -81137334: 29400044 addi r5,r5,1 -81137338: 11371a40 call 811371a4 <_Balloc> -8113733c: 89800417 ldw r6,16(r17) -81137340: 89400304 addi r5,r17,12 -81137344: 11000304 addi r4,r2,12 -81137348: 31800084 addi r6,r6,2 -8113734c: 318d883a add r6,r6,r6 -81137350: 318d883a add r6,r6,r6 -81137354: 1027883a mov r19,r2 -81137358: 112c6480 call 8112c648 -8113735c: d9c00017 ldw r7,0(sp) -81137360: 88000a26 beq r17,zero,8113738c <__multadd+0x118> -81137364: 88c00117 ldw r3,4(r17) -81137368: 90801317 ldw r2,76(r18) -8113736c: 18c7883a add r3,r3,r3 -81137370: 18c7883a add r3,r3,r3 -81137374: 10c5883a add r2,r2,r3 -81137378: 10c00017 ldw r3,0(r2) -8113737c: 88c00015 stw r3,0(r17) -81137380: 14400015 stw r17,0(r2) -81137384: 9823883a mov r17,r19 -81137388: 003fd806 br 811372ec <__reset+0xfb1172ec> -8113738c: 9823883a mov r17,r19 -81137390: 003fd606 br 811372ec <__reset+0xfb1172ec> - -81137394 <__s2b>: -81137394: defff904 addi sp,sp,-28 -81137398: dc400115 stw r17,4(sp) -8113739c: dc000015 stw r16,0(sp) -811373a0: 2023883a mov r17,r4 -811373a4: 2821883a mov r16,r5 -811373a8: 39000204 addi r4,r7,8 -811373ac: 01400244 movi r5,9 -811373b0: dcc00315 stw r19,12(sp) -811373b4: dc800215 stw r18,8(sp) -811373b8: dfc00615 stw ra,24(sp) -811373bc: dd400515 stw r21,20(sp) -811373c0: dd000415 stw r20,16(sp) -811373c4: 3825883a mov r18,r7 -811373c8: 3027883a mov r19,r6 -811373cc: 112b2540 call 8112b254 <__divsi3> -811373d0: 00c00044 movi r3,1 -811373d4: 000b883a mov r5,zero -811373d8: 1880030e bge r3,r2,811373e8 <__s2b+0x54> -811373dc: 18c7883a add r3,r3,r3 -811373e0: 29400044 addi r5,r5,1 -811373e4: 18bffd16 blt r3,r2,811373dc <__reset+0xfb1173dc> -811373e8: 8809883a mov r4,r17 -811373ec: 11371a40 call 811371a4 <_Balloc> -811373f0: d8c00717 ldw r3,28(sp) -811373f4: 10c00515 stw r3,20(r2) -811373f8: 00c00044 movi r3,1 -811373fc: 10c00415 stw r3,16(r2) -81137400: 00c00244 movi r3,9 -81137404: 1cc0210e bge r3,r19,8113748c <__s2b+0xf8> -81137408: 80eb883a add r21,r16,r3 -8113740c: a829883a mov r20,r21 -81137410: 84e1883a add r16,r16,r19 -81137414: a1c00007 ldb r7,0(r20) -81137418: 01800284 movi r6,10 -8113741c: a5000044 addi r20,r20,1 -81137420: 100b883a mov r5,r2 -81137424: 39fff404 addi r7,r7,-48 + +81136c44 <_setlocale_r>: +81136c44: 30001b26 beq r6,zero,81136cb4 <_setlocale_r+0x70> +81136c48: 01604574 movhi r5,33045 +81136c4c: defffe04 addi sp,sp,-8 +81136c50: 297eec04 addi r5,r5,-1104 +81136c54: 3009883a mov r4,r6 +81136c58: dc000015 stw r16,0(sp) +81136c5c: dfc00115 stw ra,4(sp) +81136c60: 3021883a mov r16,r6 +81136c64: 11388f00 call 811388f0 +81136c68: 1000061e bne r2,zero,81136c84 <_setlocale_r+0x40> +81136c6c: 00a04574 movhi r2,33045 +81136c70: 10bebc04 addi r2,r2,-1296 +81136c74: dfc00117 ldw ra,4(sp) +81136c78: dc000017 ldw r16,0(sp) +81136c7c: dec00204 addi sp,sp,8 +81136c80: f800283a ret +81136c84: 01604574 movhi r5,33045 +81136c88: 297ebc04 addi r5,r5,-1296 +81136c8c: 8009883a mov r4,r16 +81136c90: 11388f00 call 811388f0 +81136c94: 103ff526 beq r2,zero,81136c6c <__reset+0xfb116c6c> +81136c98: 01604574 movhi r5,33045 +81136c9c: 297c5104 addi r5,r5,-3772 +81136ca0: 8009883a mov r4,r16 +81136ca4: 11388f00 call 811388f0 +81136ca8: 103ff026 beq r2,zero,81136c6c <__reset+0xfb116c6c> +81136cac: 0005883a mov r2,zero +81136cb0: 003ff006 br 81136c74 <__reset+0xfb116c74> +81136cb4: 00a04574 movhi r2,33045 +81136cb8: 10bebc04 addi r2,r2,-1296 +81136cbc: f800283a ret + +81136cc0 <__locale_charset>: +81136cc0: 00a04574 movhi r2,33045 +81136cc4: 10821504 addi r2,r2,2132 +81136cc8: f800283a ret + +81136ccc <__locale_mb_cur_max>: +81136ccc: 00a04574 movhi r2,33045 +81136cd0: 1086f604 addi r2,r2,7128 +81136cd4: 10800017 ldw r2,0(r2) +81136cd8: f800283a ret + +81136cdc <__locale_msgcharset>: +81136cdc: 00a04574 movhi r2,33045 +81136ce0: 10820d04 addi r2,r2,2100 +81136ce4: f800283a ret + +81136ce8 <__locale_cjk_lang>: +81136ce8: 0005883a mov r2,zero +81136cec: f800283a ret + +81136cf0 <_localeconv_r>: +81136cf0: 00a04574 movhi r2,33045 +81136cf4: 10821d04 addi r2,r2,2164 +81136cf8: f800283a ret + +81136cfc : +81136cfc: 00a04574 movhi r2,33045 +81136d00: 1086f304 addi r2,r2,7116 +81136d04: 280d883a mov r6,r5 +81136d08: 200b883a mov r5,r4 +81136d0c: 11000017 ldw r4,0(r2) +81136d10: 1136c441 jmpi 81136c44 <_setlocale_r> + +81136d14 : +81136d14: 00a04574 movhi r2,33045 +81136d18: 10821d04 addi r2,r2,2164 +81136d1c: f800283a ret + +81136d20 <_lseek_r>: +81136d20: defffd04 addi sp,sp,-12 +81136d24: 2805883a mov r2,r5 +81136d28: dc000015 stw r16,0(sp) +81136d2c: 04204574 movhi r16,33045 +81136d30: dc400115 stw r17,4(sp) +81136d34: 300b883a mov r5,r6 +81136d38: 84077a04 addi r16,r16,7656 +81136d3c: 2023883a mov r17,r4 +81136d40: 380d883a mov r6,r7 +81136d44: 1009883a mov r4,r2 +81136d48: dfc00215 stw ra,8(sp) +81136d4c: 80000015 stw zero,0(r16) +81136d50: 113f52c0 call 8113f52c +81136d54: 00ffffc4 movi r3,-1 +81136d58: 10c00526 beq r2,r3,81136d70 <_lseek_r+0x50> +81136d5c: dfc00217 ldw ra,8(sp) +81136d60: dc400117 ldw r17,4(sp) +81136d64: dc000017 ldw r16,0(sp) +81136d68: dec00304 addi sp,sp,12 +81136d6c: f800283a ret +81136d70: 80c00017 ldw r3,0(r16) +81136d74: 183ff926 beq r3,zero,81136d5c <__reset+0xfb116d5c> +81136d78: 88c00015 stw r3,0(r17) +81136d7c: 003ff706 br 81136d5c <__reset+0xfb116d5c> + +81136d80 <_mbrtowc_r>: +81136d80: defff704 addi sp,sp,-36 +81136d84: 00a04574 movhi r2,33045 +81136d88: dc800715 stw r18,28(sp) +81136d8c: dc400615 stw r17,24(sp) +81136d90: dc000515 stw r16,20(sp) +81136d94: 1086f704 addi r2,r2,7132 +81136d98: dfc00815 stw ra,32(sp) +81136d9c: 2021883a mov r16,r4 +81136da0: dc400917 ldw r17,36(sp) +81136da4: 14800017 ldw r18,0(r2) +81136da8: 30001626 beq r6,zero,81136e04 <_mbrtowc_r+0x84> +81136dac: d9400215 stw r5,8(sp) +81136db0: d9800315 stw r6,12(sp) +81136db4: d9c00415 stw r7,16(sp) +81136db8: 1136cc00 call 81136cc0 <__locale_charset> +81136dbc: d9c00417 ldw r7,16(sp) +81136dc0: d9800317 ldw r6,12(sp) +81136dc4: d9400217 ldw r5,8(sp) +81136dc8: d8800015 stw r2,0(sp) +81136dcc: dc400115 stw r17,4(sp) +81136dd0: 8009883a mov r4,r16 +81136dd4: 903ee83a callr r18 +81136dd8: 00ffffc4 movi r3,-1 +81136ddc: 10c0031e bne r2,r3,81136dec <_mbrtowc_r+0x6c> +81136de0: 88000015 stw zero,0(r17) +81136de4: 00c02284 movi r3,138 +81136de8: 80c00015 stw r3,0(r16) +81136dec: dfc00817 ldw ra,32(sp) +81136df0: dc800717 ldw r18,28(sp) +81136df4: dc400617 ldw r17,24(sp) +81136df8: dc000517 ldw r16,20(sp) +81136dfc: dec00904 addi sp,sp,36 +81136e00: f800283a ret +81136e04: 1136cc00 call 81136cc0 <__locale_charset> +81136e08: 01a04574 movhi r6,33045 +81136e0c: 31bc5104 addi r6,r6,-3772 +81136e10: dc400115 stw r17,4(sp) +81136e14: d8800015 stw r2,0(sp) +81136e18: 01c00044 movi r7,1 +81136e1c: 000b883a mov r5,zero +81136e20: 8009883a mov r4,r16 +81136e24: 903ee83a callr r18 +81136e28: 003feb06 br 81136dd8 <__reset+0xfb116dd8> + +81136e2c : +81136e2c: defff704 addi sp,sp,-36 +81136e30: 00a04574 movhi r2,33045 +81136e34: dc800415 stw r18,16(sp) +81136e38: dc400315 stw r17,12(sp) +81136e3c: 1086f304 addi r2,r2,7116 +81136e40: dfc00815 stw ra,32(sp) +81136e44: dd400715 stw r21,28(sp) +81136e48: dd000615 stw r20,24(sp) +81136e4c: dcc00515 stw r19,20(sp) +81136e50: dc000215 stw r16,8(sp) +81136e54: 3825883a mov r18,r7 +81136e58: 14400017 ldw r17,0(r2) +81136e5c: 28001c26 beq r5,zero,81136ed0 +81136e60: 00a04574 movhi r2,33045 +81136e64: 1086f704 addi r2,r2,7132 +81136e68: 15400017 ldw r21,0(r2) +81136e6c: 2821883a mov r16,r5 +81136e70: 2027883a mov r19,r4 +81136e74: 3029883a mov r20,r6 +81136e78: 1136cc00 call 81136cc0 <__locale_charset> +81136e7c: d8800015 stw r2,0(sp) +81136e80: dc800115 stw r18,4(sp) +81136e84: a00f883a mov r7,r20 +81136e88: 800d883a mov r6,r16 +81136e8c: 980b883a mov r5,r19 +81136e90: 8809883a mov r4,r17 +81136e94: a83ee83a callr r21 +81136e98: 00ffffc4 movi r3,-1 +81136e9c: 10c0031e bne r2,r3,81136eac +81136ea0: 90000015 stw zero,0(r18) +81136ea4: 00c02284 movi r3,138 +81136ea8: 88c00015 stw r3,0(r17) +81136eac: dfc00817 ldw ra,32(sp) +81136eb0: dd400717 ldw r21,28(sp) +81136eb4: dd000617 ldw r20,24(sp) +81136eb8: dcc00517 ldw r19,20(sp) +81136ebc: dc800417 ldw r18,16(sp) +81136ec0: dc400317 ldw r17,12(sp) +81136ec4: dc000217 ldw r16,8(sp) +81136ec8: dec00904 addi sp,sp,36 +81136ecc: f800283a ret +81136ed0: 00a04574 movhi r2,33045 +81136ed4: 1086f704 addi r2,r2,7132 +81136ed8: 14000017 ldw r16,0(r2) +81136edc: 1136cc00 call 81136cc0 <__locale_charset> +81136ee0: 01a04574 movhi r6,33045 +81136ee4: 31bc5104 addi r6,r6,-3772 +81136ee8: dc800115 stw r18,4(sp) +81136eec: d8800015 stw r2,0(sp) +81136ef0: 01c00044 movi r7,1 +81136ef4: 000b883a mov r5,zero +81136ef8: 8809883a mov r4,r17 +81136efc: 803ee83a callr r16 +81136f00: 003fe506 br 81136e98 <__reset+0xfb116e98> + +81136f04 <__ascii_mbtowc>: +81136f04: deffff04 addi sp,sp,-4 +81136f08: 28000826 beq r5,zero,81136f2c <__ascii_mbtowc+0x28> +81136f0c: 30000926 beq r6,zero,81136f34 <__ascii_mbtowc+0x30> +81136f10: 38000b26 beq r7,zero,81136f40 <__ascii_mbtowc+0x3c> +81136f14: 30800003 ldbu r2,0(r6) +81136f18: 28800015 stw r2,0(r5) +81136f1c: 30800003 ldbu r2,0(r6) +81136f20: 1004c03a cmpne r2,r2,zero +81136f24: dec00104 addi sp,sp,4 +81136f28: f800283a ret +81136f2c: d80b883a mov r5,sp +81136f30: 303ff71e bne r6,zero,81136f10 <__reset+0xfb116f10> +81136f34: 0005883a mov r2,zero +81136f38: dec00104 addi sp,sp,4 +81136f3c: f800283a ret +81136f40: 00bfff84 movi r2,-2 +81136f44: 003ff706 br 81136f24 <__reset+0xfb116f24> + +81136f48 <_mbtowc_r>: +81136f48: 00a04574 movhi r2,33045 +81136f4c: defff804 addi sp,sp,-32 +81136f50: 1086f704 addi r2,r2,7132 +81136f54: dfc00715 stw ra,28(sp) +81136f58: dc000615 stw r16,24(sp) +81136f5c: 14000017 ldw r16,0(r2) +81136f60: d9000215 stw r4,8(sp) +81136f64: d9400315 stw r5,12(sp) +81136f68: d9800415 stw r6,16(sp) +81136f6c: d9c00515 stw r7,20(sp) +81136f70: 1136cc00 call 81136cc0 <__locale_charset> +81136f74: d8800015 stw r2,0(sp) +81136f78: d8800817 ldw r2,32(sp) +81136f7c: d9c00517 ldw r7,20(sp) +81136f80: d9800417 ldw r6,16(sp) +81136f84: d9400317 ldw r5,12(sp) +81136f88: d9000217 ldw r4,8(sp) +81136f8c: d8800115 stw r2,4(sp) +81136f90: 803ee83a callr r16 +81136f94: dfc00717 ldw ra,28(sp) +81136f98: dc000617 ldw r16,24(sp) +81136f9c: dec00804 addi sp,sp,32 +81136fa0: f800283a ret + +81136fa4 : +81136fa4: 208000cc andi r2,r4,3 +81136fa8: 280f883a mov r7,r5 +81136fac: 10003426 beq r2,zero,81137080 +81136fb0: 30bfffc4 addi r2,r6,-1 +81136fb4: 30001a26 beq r6,zero,81137020 +81136fb8: 20c00003 ldbu r3,0(r4) +81136fbc: 29803fcc andi r6,r5,255 +81136fc0: 30c0051e bne r6,r3,81136fd8 +81136fc4: 00001806 br 81137028 +81136fc8: 10001526 beq r2,zero,81137020 +81136fcc: 20c00003 ldbu r3,0(r4) +81136fd0: 10bfffc4 addi r2,r2,-1 +81136fd4: 30c01426 beq r6,r3,81137028 +81136fd8: 21000044 addi r4,r4,1 +81136fdc: 20c000cc andi r3,r4,3 +81136fe0: 183ff91e bne r3,zero,81136fc8 <__reset+0xfb116fc8> +81136fe4: 020000c4 movi r8,3 +81136fe8: 40801136 bltu r8,r2,81137030 +81136fec: 10000c26 beq r2,zero,81137020 +81136ff0: 20c00003 ldbu r3,0(r4) +81136ff4: 29403fcc andi r5,r5,255 +81136ff8: 28c00b26 beq r5,r3,81137028 +81136ffc: 20c00044 addi r3,r4,1 +81137000: 39803fcc andi r6,r7,255 +81137004: 2089883a add r4,r4,r2 +81137008: 00000306 br 81137018 +8113700c: 18c00044 addi r3,r3,1 +81137010: 197fffc3 ldbu r5,-1(r3) +81137014: 31400526 beq r6,r5,8113702c +81137018: 1805883a mov r2,r3 +8113701c: 20fffb1e bne r4,r3,8113700c <__reset+0xfb11700c> +81137020: 0005883a mov r2,zero +81137024: f800283a ret +81137028: 2005883a mov r2,r4 +8113702c: f800283a ret +81137030: 28c03fcc andi r3,r5,255 +81137034: 1812923a slli r9,r3,8 +81137038: 02ffbff4 movhi r11,65279 +8113703c: 02a02074 movhi r10,32897 +81137040: 48d2b03a or r9,r9,r3 +81137044: 4806943a slli r3,r9,16 +81137048: 5affbfc4 addi r11,r11,-257 +8113704c: 52a02004 addi r10,r10,-32640 +81137050: 48d2b03a or r9,r9,r3 +81137054: 20c00017 ldw r3,0(r4) +81137058: 48c6f03a xor r3,r9,r3 +8113705c: 1acd883a add r6,r3,r11 +81137060: 00c6303a nor r3,zero,r3 +81137064: 30c6703a and r3,r6,r3 +81137068: 1a86703a and r3,r3,r10 +8113706c: 183fe01e bne r3,zero,81136ff0 <__reset+0xfb116ff0> +81137070: 10bfff04 addi r2,r2,-4 +81137074: 21000104 addi r4,r4,4 +81137078: 40bff636 bltu r8,r2,81137054 <__reset+0xfb117054> +8113707c: 003fdb06 br 81136fec <__reset+0xfb116fec> +81137080: 3005883a mov r2,r6 +81137084: 003fd706 br 81136fe4 <__reset+0xfb116fe4> + +81137088 : +81137088: 2005883a mov r2,r4 +8113708c: 29000b2e bgeu r5,r4,811370bc +81137090: 298f883a add r7,r5,r6 +81137094: 21c0092e bgeu r4,r7,811370bc +81137098: 2187883a add r3,r4,r6 +8113709c: 198bc83a sub r5,r3,r6 +811370a0: 30004826 beq r6,zero,811371c4 +811370a4: 39ffffc4 addi r7,r7,-1 +811370a8: 39000003 ldbu r4,0(r7) +811370ac: 18ffffc4 addi r3,r3,-1 +811370b0: 19000005 stb r4,0(r3) +811370b4: 28fffb1e bne r5,r3,811370a4 <__reset+0xfb1170a4> +811370b8: f800283a ret +811370bc: 00c003c4 movi r3,15 +811370c0: 1980412e bgeu r3,r6,811371c8 +811370c4: 2886b03a or r3,r5,r2 +811370c8: 18c000cc andi r3,r3,3 +811370cc: 1800401e bne r3,zero,811371d0 +811370d0: 33fffc04 addi r15,r6,-16 +811370d4: 781ed13a srli r15,r15,4 +811370d8: 28c00104 addi r3,r5,4 +811370dc: 13400104 addi r13,r2,4 +811370e0: 781c913a slli r14,r15,4 +811370e4: 2b000204 addi r12,r5,8 +811370e8: 12c00204 addi r11,r2,8 +811370ec: 73800504 addi r14,r14,20 +811370f0: 2a800304 addi r10,r5,12 +811370f4: 12400304 addi r9,r2,12 +811370f8: 2b9d883a add r14,r5,r14 +811370fc: 2811883a mov r8,r5 +81137100: 100f883a mov r7,r2 +81137104: 41000017 ldw r4,0(r8) +81137108: 39c00404 addi r7,r7,16 +8113710c: 18c00404 addi r3,r3,16 +81137110: 393ffc15 stw r4,-16(r7) +81137114: 193ffc17 ldw r4,-16(r3) +81137118: 6b400404 addi r13,r13,16 +8113711c: 5ac00404 addi r11,r11,16 +81137120: 693ffc15 stw r4,-16(r13) +81137124: 61000017 ldw r4,0(r12) +81137128: 4a400404 addi r9,r9,16 +8113712c: 42000404 addi r8,r8,16 +81137130: 593ffc15 stw r4,-16(r11) +81137134: 51000017 ldw r4,0(r10) +81137138: 63000404 addi r12,r12,16 +8113713c: 52800404 addi r10,r10,16 +81137140: 493ffc15 stw r4,-16(r9) +81137144: 1bbfef1e bne r3,r14,81137104 <__reset+0xfb117104> +81137148: 79000044 addi r4,r15,1 +8113714c: 2008913a slli r4,r4,4 +81137150: 328003cc andi r10,r6,15 +81137154: 02c000c4 movi r11,3 +81137158: 1107883a add r3,r2,r4 +8113715c: 290b883a add r5,r5,r4 +81137160: 5a801e2e bgeu r11,r10,811371dc +81137164: 1813883a mov r9,r3 +81137168: 2811883a mov r8,r5 +8113716c: 500f883a mov r7,r10 +81137170: 41000017 ldw r4,0(r8) +81137174: 4a400104 addi r9,r9,4 +81137178: 39ffff04 addi r7,r7,-4 +8113717c: 493fff15 stw r4,-4(r9) +81137180: 42000104 addi r8,r8,4 +81137184: 59fffa36 bltu r11,r7,81137170 <__reset+0xfb117170> +81137188: 513fff04 addi r4,r10,-4 +8113718c: 2008d0ba srli r4,r4,2 +81137190: 318000cc andi r6,r6,3 +81137194: 21000044 addi r4,r4,1 +81137198: 2109883a add r4,r4,r4 +8113719c: 2109883a add r4,r4,r4 +811371a0: 1907883a add r3,r3,r4 +811371a4: 290b883a add r5,r5,r4 +811371a8: 30000b26 beq r6,zero,811371d8 +811371ac: 198d883a add r6,r3,r6 +811371b0: 29c00003 ldbu r7,0(r5) +811371b4: 18c00044 addi r3,r3,1 +811371b8: 29400044 addi r5,r5,1 +811371bc: 19ffffc5 stb r7,-1(r3) +811371c0: 19bffb1e bne r3,r6,811371b0 <__reset+0xfb1171b0> +811371c4: f800283a ret +811371c8: 1007883a mov r3,r2 +811371cc: 003ff606 br 811371a8 <__reset+0xfb1171a8> +811371d0: 1007883a mov r3,r2 +811371d4: 003ff506 br 811371ac <__reset+0xfb1171ac> +811371d8: f800283a ret +811371dc: 500d883a mov r6,r10 +811371e0: 003ff106 br 811371a8 <__reset+0xfb1171a8> + +811371e4 <_Balloc>: +811371e4: 20801317 ldw r2,76(r4) +811371e8: defffc04 addi sp,sp,-16 +811371ec: dc400115 stw r17,4(sp) +811371f0: dc000015 stw r16,0(sp) +811371f4: dfc00315 stw ra,12(sp) +811371f8: dc800215 stw r18,8(sp) +811371fc: 2023883a mov r17,r4 +81137200: 2821883a mov r16,r5 +81137204: 10000f26 beq r2,zero,81137244 <_Balloc+0x60> +81137208: 8407883a add r3,r16,r16 +8113720c: 18c7883a add r3,r3,r3 +81137210: 10c7883a add r3,r2,r3 +81137214: 18800017 ldw r2,0(r3) +81137218: 10001126 beq r2,zero,81137260 <_Balloc+0x7c> +8113721c: 11000017 ldw r4,0(r2) +81137220: 19000015 stw r4,0(r3) +81137224: 10000415 stw zero,16(r2) +81137228: 10000315 stw zero,12(r2) +8113722c: dfc00317 ldw ra,12(sp) +81137230: dc800217 ldw r18,8(sp) +81137234: dc400117 ldw r17,4(sp) +81137238: dc000017 ldw r16,0(sp) +8113723c: dec00404 addi sp,sp,16 +81137240: f800283a ret +81137244: 01800844 movi r6,33 +81137248: 01400104 movi r5,4 +8113724c: 113d3540 call 8113d354 <_calloc_r> +81137250: 88801315 stw r2,76(r17) +81137254: 103fec1e bne r2,zero,81137208 <__reset+0xfb117208> +81137258: 0005883a mov r2,zero +8113725c: 003ff306 br 8113722c <__reset+0xfb11722c> +81137260: 01400044 movi r5,1 +81137264: 2c24983a sll r18,r5,r16 +81137268: 8809883a mov r4,r17 +8113726c: 91800144 addi r6,r18,5 +81137270: 318d883a add r6,r6,r6 +81137274: 318d883a add r6,r6,r6 +81137278: 113d3540 call 8113d354 <_calloc_r> +8113727c: 103ff626 beq r2,zero,81137258 <__reset+0xfb117258> +81137280: 14000115 stw r16,4(r2) +81137284: 14800215 stw r18,8(r2) +81137288: 003fe606 br 81137224 <__reset+0xfb117224> + +8113728c <_Bfree>: +8113728c: 28000826 beq r5,zero,811372b0 <_Bfree+0x24> +81137290: 28c00117 ldw r3,4(r5) +81137294: 20801317 ldw r2,76(r4) +81137298: 18c7883a add r3,r3,r3 +8113729c: 18c7883a add r3,r3,r3 +811372a0: 10c5883a add r2,r2,r3 +811372a4: 10c00017 ldw r3,0(r2) +811372a8: 28c00015 stw r3,0(r5) +811372ac: 11400015 stw r5,0(r2) +811372b0: f800283a ret + +811372b4 <__multadd>: +811372b4: defffa04 addi sp,sp,-24 +811372b8: dc800315 stw r18,12(sp) +811372bc: dc400215 stw r17,8(sp) +811372c0: dc000115 stw r16,4(sp) +811372c4: 2823883a mov r17,r5 +811372c8: 2c000417 ldw r16,16(r5) +811372cc: dfc00515 stw ra,20(sp) +811372d0: dcc00415 stw r19,16(sp) +811372d4: 2025883a mov r18,r4 +811372d8: 29400504 addi r5,r5,20 +811372dc: 0011883a mov r8,zero +811372e0: 28c00017 ldw r3,0(r5) +811372e4: 29400104 addi r5,r5,4 +811372e8: 42000044 addi r8,r8,1 +811372ec: 18bfffcc andi r2,r3,65535 +811372f0: 1185383a mul r2,r2,r6 +811372f4: 1806d43a srli r3,r3,16 +811372f8: 11cf883a add r7,r2,r7 +811372fc: 3808d43a srli r4,r7,16 +81137300: 1987383a mul r3,r3,r6 +81137304: 38bfffcc andi r2,r7,65535 +81137308: 1907883a add r3,r3,r4 +8113730c: 1808943a slli r4,r3,16 +81137310: 180ed43a srli r7,r3,16 +81137314: 2085883a add r2,r4,r2 +81137318: 28bfff15 stw r2,-4(r5) +8113731c: 443ff016 blt r8,r16,811372e0 <__reset+0xfb1172e0> +81137320: 38000926 beq r7,zero,81137348 <__multadd+0x94> +81137324: 88800217 ldw r2,8(r17) +81137328: 80800f0e bge r16,r2,81137368 <__multadd+0xb4> +8113732c: 80800144 addi r2,r16,5 +81137330: 1085883a add r2,r2,r2 +81137334: 1085883a add r2,r2,r2 +81137338: 8885883a add r2,r17,r2 +8113733c: 11c00015 stw r7,0(r2) +81137340: 84000044 addi r16,r16,1 +81137344: 8c000415 stw r16,16(r17) +81137348: 8805883a mov r2,r17 +8113734c: dfc00517 ldw ra,20(sp) +81137350: dcc00417 ldw r19,16(sp) +81137354: dc800317 ldw r18,12(sp) +81137358: dc400217 ldw r17,8(sp) +8113735c: dc000117 ldw r16,4(sp) +81137360: dec00604 addi sp,sp,24 +81137364: f800283a ret +81137368: 89400117 ldw r5,4(r17) +8113736c: 9009883a mov r4,r18 +81137370: d9c00015 stw r7,0(sp) +81137374: 29400044 addi r5,r5,1 +81137378: 11371e40 call 811371e4 <_Balloc> +8113737c: 89800417 ldw r6,16(r17) +81137380: 89400304 addi r5,r17,12 +81137384: 11000304 addi r4,r2,12 +81137388: 31800084 addi r6,r6,2 +8113738c: 318d883a add r6,r6,r6 +81137390: 318d883a add r6,r6,r6 +81137394: 1027883a mov r19,r2 +81137398: 112c6880 call 8112c688 +8113739c: d9c00017 ldw r7,0(sp) +811373a0: 88000a26 beq r17,zero,811373cc <__multadd+0x118> +811373a4: 88c00117 ldw r3,4(r17) +811373a8: 90801317 ldw r2,76(r18) +811373ac: 18c7883a add r3,r3,r3 +811373b0: 18c7883a add r3,r3,r3 +811373b4: 10c5883a add r2,r2,r3 +811373b8: 10c00017 ldw r3,0(r2) +811373bc: 88c00015 stw r3,0(r17) +811373c0: 14400015 stw r17,0(r2) +811373c4: 9823883a mov r17,r19 +811373c8: 003fd806 br 8113732c <__reset+0xfb11732c> +811373cc: 9823883a mov r17,r19 +811373d0: 003fd606 br 8113732c <__reset+0xfb11732c> + +811373d4 <__s2b>: +811373d4: defff904 addi sp,sp,-28 +811373d8: dc400115 stw r17,4(sp) +811373dc: dc000015 stw r16,0(sp) +811373e0: 2023883a mov r17,r4 +811373e4: 2821883a mov r16,r5 +811373e8: 39000204 addi r4,r7,8 +811373ec: 01400244 movi r5,9 +811373f0: dcc00315 stw r19,12(sp) +811373f4: dc800215 stw r18,8(sp) +811373f8: dfc00615 stw ra,24(sp) +811373fc: dd400515 stw r21,20(sp) +81137400: dd000415 stw r20,16(sp) +81137404: 3825883a mov r18,r7 +81137408: 3027883a mov r19,r6 +8113740c: 112b2940 call 8112b294 <__divsi3> +81137410: 00c00044 movi r3,1 +81137414: 000b883a mov r5,zero +81137418: 1880030e bge r3,r2,81137428 <__s2b+0x54> +8113741c: 18c7883a add r3,r3,r3 +81137420: 29400044 addi r5,r5,1 +81137424: 18bffd16 blt r3,r2,8113741c <__reset+0xfb11741c> 81137428: 8809883a mov r4,r17 -8113742c: 11372740 call 81137274 <__multadd> -81137430: a43ff81e bne r20,r16,81137414 <__reset+0xfb117414> -81137434: ace1883a add r16,r21,r19 -81137438: 843ffe04 addi r16,r16,-8 -8113743c: 9c800a0e bge r19,r18,81137468 <__s2b+0xd4> -81137440: 94e5c83a sub r18,r18,r19 -81137444: 84a5883a add r18,r16,r18 -81137448: 81c00007 ldb r7,0(r16) -8113744c: 01800284 movi r6,10 -81137450: 84000044 addi r16,r16,1 -81137454: 100b883a mov r5,r2 -81137458: 39fff404 addi r7,r7,-48 -8113745c: 8809883a mov r4,r17 -81137460: 11372740 call 81137274 <__multadd> -81137464: 84bff81e bne r16,r18,81137448 <__reset+0xfb117448> -81137468: dfc00617 ldw ra,24(sp) -8113746c: dd400517 ldw r21,20(sp) -81137470: dd000417 ldw r20,16(sp) -81137474: dcc00317 ldw r19,12(sp) -81137478: dc800217 ldw r18,8(sp) -8113747c: dc400117 ldw r17,4(sp) -81137480: dc000017 ldw r16,0(sp) -81137484: dec00704 addi sp,sp,28 -81137488: f800283a ret -8113748c: 84000284 addi r16,r16,10 -81137490: 1827883a mov r19,r3 -81137494: 003fe906 br 8113743c <__reset+0xfb11743c> - -81137498 <__hi0bits>: -81137498: 20bfffec andhi r2,r4,65535 -8113749c: 1000141e bne r2,zero,811374f0 <__hi0bits+0x58> -811374a0: 2008943a slli r4,r4,16 -811374a4: 00800404 movi r2,16 -811374a8: 20ffc02c andhi r3,r4,65280 -811374ac: 1800021e bne r3,zero,811374b8 <__hi0bits+0x20> -811374b0: 2008923a slli r4,r4,8 -811374b4: 10800204 addi r2,r2,8 -811374b8: 20fc002c andhi r3,r4,61440 -811374bc: 1800021e bne r3,zero,811374c8 <__hi0bits+0x30> -811374c0: 2008913a slli r4,r4,4 -811374c4: 10800104 addi r2,r2,4 -811374c8: 20f0002c andhi r3,r4,49152 -811374cc: 1800031e bne r3,zero,811374dc <__hi0bits+0x44> -811374d0: 2109883a add r4,r4,r4 -811374d4: 10800084 addi r2,r2,2 -811374d8: 2109883a add r4,r4,r4 -811374dc: 20000316 blt r4,zero,811374ec <__hi0bits+0x54> -811374e0: 2110002c andhi r4,r4,16384 -811374e4: 2000041e bne r4,zero,811374f8 <__hi0bits+0x60> -811374e8: 00800804 movi r2,32 -811374ec: f800283a ret -811374f0: 0005883a mov r2,zero -811374f4: 003fec06 br 811374a8 <__reset+0xfb1174a8> -811374f8: 10800044 addi r2,r2,1 -811374fc: f800283a ret - -81137500 <__lo0bits>: -81137500: 20c00017 ldw r3,0(r4) -81137504: 188001cc andi r2,r3,7 -81137508: 10000826 beq r2,zero,8113752c <__lo0bits+0x2c> -8113750c: 1880004c andi r2,r3,1 -81137510: 1000211e bne r2,zero,81137598 <__lo0bits+0x98> -81137514: 1880008c andi r2,r3,2 -81137518: 1000211e bne r2,zero,811375a0 <__lo0bits+0xa0> -8113751c: 1806d0ba srli r3,r3,2 -81137520: 00800084 movi r2,2 -81137524: 20c00015 stw r3,0(r4) -81137528: f800283a ret -8113752c: 18bfffcc andi r2,r3,65535 -81137530: 10001326 beq r2,zero,81137580 <__lo0bits+0x80> -81137534: 0005883a mov r2,zero -81137538: 19403fcc andi r5,r3,255 -8113753c: 2800021e bne r5,zero,81137548 <__lo0bits+0x48> -81137540: 1806d23a srli r3,r3,8 -81137544: 10800204 addi r2,r2,8 -81137548: 194003cc andi r5,r3,15 -8113754c: 2800021e bne r5,zero,81137558 <__lo0bits+0x58> -81137550: 1806d13a srli r3,r3,4 -81137554: 10800104 addi r2,r2,4 -81137558: 194000cc andi r5,r3,3 -8113755c: 2800021e bne r5,zero,81137568 <__lo0bits+0x68> -81137560: 1806d0ba srli r3,r3,2 -81137564: 10800084 addi r2,r2,2 -81137568: 1940004c andi r5,r3,1 -8113756c: 2800081e bne r5,zero,81137590 <__lo0bits+0x90> -81137570: 1806d07a srli r3,r3,1 -81137574: 1800051e bne r3,zero,8113758c <__lo0bits+0x8c> -81137578: 00800804 movi r2,32 -8113757c: f800283a ret -81137580: 1806d43a srli r3,r3,16 -81137584: 00800404 movi r2,16 -81137588: 003feb06 br 81137538 <__reset+0xfb117538> -8113758c: 10800044 addi r2,r2,1 -81137590: 20c00015 stw r3,0(r4) -81137594: f800283a ret -81137598: 0005883a mov r2,zero -8113759c: f800283a ret -811375a0: 1806d07a srli r3,r3,1 -811375a4: 00800044 movi r2,1 -811375a8: 20c00015 stw r3,0(r4) -811375ac: f800283a ret - -811375b0 <__i2b>: -811375b0: defffd04 addi sp,sp,-12 -811375b4: dc000015 stw r16,0(sp) -811375b8: 04000044 movi r16,1 -811375bc: dc400115 stw r17,4(sp) -811375c0: 2823883a mov r17,r5 -811375c4: 800b883a mov r5,r16 -811375c8: dfc00215 stw ra,8(sp) -811375cc: 11371a40 call 811371a4 <_Balloc> -811375d0: 14400515 stw r17,20(r2) -811375d4: 14000415 stw r16,16(r2) -811375d8: dfc00217 ldw ra,8(sp) -811375dc: dc400117 ldw r17,4(sp) -811375e0: dc000017 ldw r16,0(sp) -811375e4: dec00304 addi sp,sp,12 -811375e8: f800283a ret - -811375ec <__multiply>: -811375ec: defffa04 addi sp,sp,-24 -811375f0: dcc00315 stw r19,12(sp) -811375f4: dc800215 stw r18,8(sp) -811375f8: 34c00417 ldw r19,16(r6) -811375fc: 2c800417 ldw r18,16(r5) -81137600: dd000415 stw r20,16(sp) -81137604: dc400115 stw r17,4(sp) -81137608: dfc00515 stw ra,20(sp) -8113760c: dc000015 stw r16,0(sp) -81137610: 2829883a mov r20,r5 -81137614: 3023883a mov r17,r6 -81137618: 94c0050e bge r18,r19,81137630 <__multiply+0x44> -8113761c: 9007883a mov r3,r18 -81137620: 3029883a mov r20,r6 -81137624: 9825883a mov r18,r19 -81137628: 2823883a mov r17,r5 -8113762c: 1827883a mov r19,r3 -81137630: a0800217 ldw r2,8(r20) -81137634: 94e1883a add r16,r18,r19 -81137638: a1400117 ldw r5,4(r20) -8113763c: 1400010e bge r2,r16,81137644 <__multiply+0x58> -81137640: 29400044 addi r5,r5,1 -81137644: 11371a40 call 811371a4 <_Balloc> -81137648: 8415883a add r10,r16,r16 -8113764c: 12c00504 addi r11,r2,20 -81137650: 5295883a add r10,r10,r10 -81137654: 5a95883a add r10,r11,r10 -81137658: 5807883a mov r3,r11 -8113765c: 5a80032e bgeu r11,r10,8113766c <__multiply+0x80> -81137660: 18000015 stw zero,0(r3) -81137664: 18c00104 addi r3,r3,4 -81137668: 1abffd36 bltu r3,r10,81137660 <__reset+0xfb117660> -8113766c: 9ce7883a add r19,r19,r19 -81137670: 94a5883a add r18,r18,r18 -81137674: 89800504 addi r6,r17,20 -81137678: 9ce7883a add r19,r19,r19 -8113767c: a3400504 addi r13,r20,20 -81137680: 94a5883a add r18,r18,r18 -81137684: 34d9883a add r12,r6,r19 -81137688: 6c93883a add r9,r13,r18 -8113768c: 3300422e bgeu r6,r12,81137798 <__multiply+0x1ac> -81137690: 37c00017 ldw ra,0(r6) -81137694: fbffffcc andi r15,ra,65535 -81137698: 78001b26 beq r15,zero,81137708 <__multiply+0x11c> -8113769c: 5811883a mov r8,r11 -811376a0: 681d883a mov r14,r13 -811376a4: 000f883a mov r7,zero -811376a8: 71000017 ldw r4,0(r14) -811376ac: 40c00017 ldw r3,0(r8) -811376b0: 73800104 addi r14,r14,4 -811376b4: 217fffcc andi r5,r4,65535 -811376b8: 2bcb383a mul r5,r5,r15 -811376bc: 2008d43a srli r4,r4,16 -811376c0: 1c7fffcc andi r17,r3,65535 -811376c4: 2c4b883a add r5,r5,r17 -811376c8: 29cb883a add r5,r5,r7 -811376cc: 23c9383a mul r4,r4,r15 -811376d0: 1806d43a srli r3,r3,16 -811376d4: 280ed43a srli r7,r5,16 -811376d8: 297fffcc andi r5,r5,65535 -811376dc: 20c7883a add r3,r4,r3 -811376e0: 19c7883a add r3,r3,r7 -811376e4: 1808943a slli r4,r3,16 -811376e8: 4023883a mov r17,r8 -811376ec: 180ed43a srli r7,r3,16 -811376f0: 214ab03a or r5,r4,r5 -811376f4: 41400015 stw r5,0(r8) -811376f8: 42000104 addi r8,r8,4 -811376fc: 727fea36 bltu r14,r9,811376a8 <__reset+0xfb1176a8> -81137700: 89c00115 stw r7,4(r17) -81137704: 37c00017 ldw ra,0(r6) -81137708: f83ed43a srli ra,ra,16 -8113770c: f8001f26 beq ra,zero,8113778c <__multiply+0x1a0> -81137710: 58c00017 ldw r3,0(r11) -81137714: 681d883a mov r14,r13 -81137718: 581f883a mov r15,r11 -8113771c: 1811883a mov r8,r3 -81137720: 5825883a mov r18,r11 -81137724: 000f883a mov r7,zero -81137728: 00000106 br 81137730 <__multiply+0x144> -8113772c: 8825883a mov r18,r17 -81137730: 7140000b ldhu r5,0(r14) -81137734: 4010d43a srli r8,r8,16 -81137738: 193fffcc andi r4,r3,65535 -8113773c: 2fcb383a mul r5,r5,ra -81137740: 7bc00104 addi r15,r15,4 -81137744: 73800104 addi r14,r14,4 -81137748: 2a0b883a add r5,r5,r8 -8113774c: 29cb883a add r5,r5,r7 -81137750: 2806943a slli r3,r5,16 -81137754: 94400104 addi r17,r18,4 -81137758: 280ad43a srli r5,r5,16 -8113775c: 1908b03a or r4,r3,r4 -81137760: 793fff15 stw r4,-4(r15) -81137764: 70ffff17 ldw r3,-4(r14) -81137768: 8a000017 ldw r8,0(r17) -8113776c: 1806d43a srli r3,r3,16 -81137770: 413fffcc andi r4,r8,65535 -81137774: 1fc7383a mul r3,r3,ra -81137778: 1907883a add r3,r3,r4 -8113777c: 1947883a add r3,r3,r5 -81137780: 180ed43a srli r7,r3,16 -81137784: 727fe936 bltu r14,r9,8113772c <__reset+0xfb11772c> -81137788: 90c00115 stw r3,4(r18) -8113778c: 31800104 addi r6,r6,4 -81137790: 5ac00104 addi r11,r11,4 -81137794: 333fbe36 bltu r6,r12,81137690 <__reset+0xfb117690> -81137798: 0400090e bge zero,r16,811377c0 <__multiply+0x1d4> -8113779c: 50ffff17 ldw r3,-4(r10) -811377a0: 52bfff04 addi r10,r10,-4 -811377a4: 18000326 beq r3,zero,811377b4 <__multiply+0x1c8> -811377a8: 00000506 br 811377c0 <__multiply+0x1d4> -811377ac: 50c00017 ldw r3,0(r10) -811377b0: 1800031e bne r3,zero,811377c0 <__multiply+0x1d4> -811377b4: 843fffc4 addi r16,r16,-1 -811377b8: 52bfff04 addi r10,r10,-4 -811377bc: 803ffb1e bne r16,zero,811377ac <__reset+0xfb1177ac> -811377c0: 14000415 stw r16,16(r2) -811377c4: dfc00517 ldw ra,20(sp) -811377c8: dd000417 ldw r20,16(sp) -811377cc: dcc00317 ldw r19,12(sp) -811377d0: dc800217 ldw r18,8(sp) -811377d4: dc400117 ldw r17,4(sp) -811377d8: dc000017 ldw r16,0(sp) -811377dc: dec00604 addi sp,sp,24 -811377e0: f800283a ret - -811377e4 <__pow5mult>: -811377e4: defffa04 addi sp,sp,-24 -811377e8: dcc00315 stw r19,12(sp) -811377ec: dc000015 stw r16,0(sp) -811377f0: dfc00515 stw ra,20(sp) -811377f4: dd000415 stw r20,16(sp) -811377f8: dc800215 stw r18,8(sp) -811377fc: dc400115 stw r17,4(sp) -81137800: 308000cc andi r2,r6,3 -81137804: 3021883a mov r16,r6 -81137808: 2027883a mov r19,r4 -8113780c: 10002f1e bne r2,zero,811378cc <__pow5mult+0xe8> -81137810: 2825883a mov r18,r5 -81137814: 8021d0ba srai r16,r16,2 -81137818: 80001a26 beq r16,zero,81137884 <__pow5mult+0xa0> -8113781c: 9c401217 ldw r17,72(r19) -81137820: 8800061e bne r17,zero,8113783c <__pow5mult+0x58> -81137824: 00003406 br 811378f8 <__pow5mult+0x114> -81137828: 8021d07a srai r16,r16,1 -8113782c: 80001526 beq r16,zero,81137884 <__pow5mult+0xa0> -81137830: 88800017 ldw r2,0(r17) -81137834: 10001c26 beq r2,zero,811378a8 <__pow5mult+0xc4> -81137838: 1023883a mov r17,r2 -8113783c: 8080004c andi r2,r16,1 -81137840: 103ff926 beq r2,zero,81137828 <__reset+0xfb117828> -81137844: 880d883a mov r6,r17 -81137848: 900b883a mov r5,r18 -8113784c: 9809883a mov r4,r19 -81137850: 11375ec0 call 811375ec <__multiply> -81137854: 90001b26 beq r18,zero,811378c4 <__pow5mult+0xe0> -81137858: 91000117 ldw r4,4(r18) -8113785c: 98c01317 ldw r3,76(r19) -81137860: 8021d07a srai r16,r16,1 -81137864: 2109883a add r4,r4,r4 -81137868: 2109883a add r4,r4,r4 -8113786c: 1907883a add r3,r3,r4 -81137870: 19000017 ldw r4,0(r3) -81137874: 91000015 stw r4,0(r18) -81137878: 1c800015 stw r18,0(r3) -8113787c: 1025883a mov r18,r2 -81137880: 803feb1e bne r16,zero,81137830 <__reset+0xfb117830> -81137884: 9005883a mov r2,r18 -81137888: dfc00517 ldw ra,20(sp) -8113788c: dd000417 ldw r20,16(sp) -81137890: dcc00317 ldw r19,12(sp) -81137894: dc800217 ldw r18,8(sp) -81137898: dc400117 ldw r17,4(sp) -8113789c: dc000017 ldw r16,0(sp) -811378a0: dec00604 addi sp,sp,24 -811378a4: f800283a ret -811378a8: 880d883a mov r6,r17 -811378ac: 880b883a mov r5,r17 -811378b0: 9809883a mov r4,r19 -811378b4: 11375ec0 call 811375ec <__multiply> -811378b8: 88800015 stw r2,0(r17) -811378bc: 10000015 stw zero,0(r2) -811378c0: 003fdd06 br 81137838 <__reset+0xfb117838> -811378c4: 1025883a mov r18,r2 -811378c8: 003fd706 br 81137828 <__reset+0xfb117828> -811378cc: 10bfffc4 addi r2,r2,-1 -811378d0: 1085883a add r2,r2,r2 -811378d4: 00e04574 movhi r3,33045 -811378d8: 18fede04 addi r3,r3,-1160 -811378dc: 1085883a add r2,r2,r2 -811378e0: 1885883a add r2,r3,r2 -811378e4: 11800017 ldw r6,0(r2) -811378e8: 000f883a mov r7,zero -811378ec: 11372740 call 81137274 <__multadd> -811378f0: 1025883a mov r18,r2 -811378f4: 003fc706 br 81137814 <__reset+0xfb117814> -811378f8: 05000044 movi r20,1 -811378fc: a00b883a mov r5,r20 -81137900: 9809883a mov r4,r19 -81137904: 11371a40 call 811371a4 <_Balloc> -81137908: 1023883a mov r17,r2 -8113790c: 00809c44 movi r2,625 -81137910: 88800515 stw r2,20(r17) -81137914: 8d000415 stw r20,16(r17) -81137918: 9c401215 stw r17,72(r19) -8113791c: 88000015 stw zero,0(r17) -81137920: 003fc606 br 8113783c <__reset+0xfb11783c> - -81137924 <__lshift>: -81137924: defff904 addi sp,sp,-28 -81137928: dd400515 stw r21,20(sp) -8113792c: dcc00315 stw r19,12(sp) -81137930: 302bd17a srai r21,r6,5 -81137934: 2cc00417 ldw r19,16(r5) -81137938: 28800217 ldw r2,8(r5) -8113793c: dd000415 stw r20,16(sp) -81137940: ace7883a add r19,r21,r19 -81137944: dc800215 stw r18,8(sp) -81137948: dc400115 stw r17,4(sp) -8113794c: dc000015 stw r16,0(sp) -81137950: dfc00615 stw ra,24(sp) -81137954: 9c000044 addi r16,r19,1 -81137958: 2823883a mov r17,r5 -8113795c: 3029883a mov r20,r6 -81137960: 2025883a mov r18,r4 -81137964: 29400117 ldw r5,4(r5) -81137968: 1400030e bge r2,r16,81137978 <__lshift+0x54> -8113796c: 1085883a add r2,r2,r2 -81137970: 29400044 addi r5,r5,1 -81137974: 143ffd16 blt r2,r16,8113796c <__reset+0xfb11796c> -81137978: 9009883a mov r4,r18 -8113797c: 11371a40 call 811371a4 <_Balloc> -81137980: 10c00504 addi r3,r2,20 -81137984: 0540070e bge zero,r21,811379a4 <__lshift+0x80> -81137988: ad6b883a add r21,r21,r21 -8113798c: ad6b883a add r21,r21,r21 -81137990: 1809883a mov r4,r3 -81137994: 1d47883a add r3,r3,r21 -81137998: 20000015 stw zero,0(r4) -8113799c: 21000104 addi r4,r4,4 -811379a0: 193ffd1e bne r3,r4,81137998 <__reset+0xfb117998> -811379a4: 8a000417 ldw r8,16(r17) -811379a8: 89000504 addi r4,r17,20 -811379ac: a18007cc andi r6,r20,31 -811379b0: 4211883a add r8,r8,r8 -811379b4: 4211883a add r8,r8,r8 -811379b8: 2211883a add r8,r4,r8 -811379bc: 30002326 beq r6,zero,81137a4c <__lshift+0x128> -811379c0: 02400804 movi r9,32 -811379c4: 4993c83a sub r9,r9,r6 -811379c8: 000b883a mov r5,zero -811379cc: 21c00017 ldw r7,0(r4) -811379d0: 1815883a mov r10,r3 -811379d4: 18c00104 addi r3,r3,4 -811379d8: 398e983a sll r7,r7,r6 +8113742c: 11371e40 call 811371e4 <_Balloc> +81137430: d8c00717 ldw r3,28(sp) +81137434: 10c00515 stw r3,20(r2) +81137438: 00c00044 movi r3,1 +8113743c: 10c00415 stw r3,16(r2) +81137440: 00c00244 movi r3,9 +81137444: 1cc0210e bge r3,r19,811374cc <__s2b+0xf8> +81137448: 80eb883a add r21,r16,r3 +8113744c: a829883a mov r20,r21 +81137450: 84e1883a add r16,r16,r19 +81137454: a1c00007 ldb r7,0(r20) +81137458: 01800284 movi r6,10 +8113745c: a5000044 addi r20,r20,1 +81137460: 100b883a mov r5,r2 +81137464: 39fff404 addi r7,r7,-48 +81137468: 8809883a mov r4,r17 +8113746c: 11372b40 call 811372b4 <__multadd> +81137470: a43ff81e bne r20,r16,81137454 <__reset+0xfb117454> +81137474: ace1883a add r16,r21,r19 +81137478: 843ffe04 addi r16,r16,-8 +8113747c: 9c800a0e bge r19,r18,811374a8 <__s2b+0xd4> +81137480: 94e5c83a sub r18,r18,r19 +81137484: 84a5883a add r18,r16,r18 +81137488: 81c00007 ldb r7,0(r16) +8113748c: 01800284 movi r6,10 +81137490: 84000044 addi r16,r16,1 +81137494: 100b883a mov r5,r2 +81137498: 39fff404 addi r7,r7,-48 +8113749c: 8809883a mov r4,r17 +811374a0: 11372b40 call 811372b4 <__multadd> +811374a4: 84bff81e bne r16,r18,81137488 <__reset+0xfb117488> +811374a8: dfc00617 ldw ra,24(sp) +811374ac: dd400517 ldw r21,20(sp) +811374b0: dd000417 ldw r20,16(sp) +811374b4: dcc00317 ldw r19,12(sp) +811374b8: dc800217 ldw r18,8(sp) +811374bc: dc400117 ldw r17,4(sp) +811374c0: dc000017 ldw r16,0(sp) +811374c4: dec00704 addi sp,sp,28 +811374c8: f800283a ret +811374cc: 84000284 addi r16,r16,10 +811374d0: 1827883a mov r19,r3 +811374d4: 003fe906 br 8113747c <__reset+0xfb11747c> + +811374d8 <__hi0bits>: +811374d8: 20bfffec andhi r2,r4,65535 +811374dc: 1000141e bne r2,zero,81137530 <__hi0bits+0x58> +811374e0: 2008943a slli r4,r4,16 +811374e4: 00800404 movi r2,16 +811374e8: 20ffc02c andhi r3,r4,65280 +811374ec: 1800021e bne r3,zero,811374f8 <__hi0bits+0x20> +811374f0: 2008923a slli r4,r4,8 +811374f4: 10800204 addi r2,r2,8 +811374f8: 20fc002c andhi r3,r4,61440 +811374fc: 1800021e bne r3,zero,81137508 <__hi0bits+0x30> +81137500: 2008913a slli r4,r4,4 +81137504: 10800104 addi r2,r2,4 +81137508: 20f0002c andhi r3,r4,49152 +8113750c: 1800031e bne r3,zero,8113751c <__hi0bits+0x44> +81137510: 2109883a add r4,r4,r4 +81137514: 10800084 addi r2,r2,2 +81137518: 2109883a add r4,r4,r4 +8113751c: 20000316 blt r4,zero,8113752c <__hi0bits+0x54> +81137520: 2110002c andhi r4,r4,16384 +81137524: 2000041e bne r4,zero,81137538 <__hi0bits+0x60> +81137528: 00800804 movi r2,32 +8113752c: f800283a ret +81137530: 0005883a mov r2,zero +81137534: 003fec06 br 811374e8 <__reset+0xfb1174e8> +81137538: 10800044 addi r2,r2,1 +8113753c: f800283a ret + +81137540 <__lo0bits>: +81137540: 20c00017 ldw r3,0(r4) +81137544: 188001cc andi r2,r3,7 +81137548: 10000826 beq r2,zero,8113756c <__lo0bits+0x2c> +8113754c: 1880004c andi r2,r3,1 +81137550: 1000211e bne r2,zero,811375d8 <__lo0bits+0x98> +81137554: 1880008c andi r2,r3,2 +81137558: 1000211e bne r2,zero,811375e0 <__lo0bits+0xa0> +8113755c: 1806d0ba srli r3,r3,2 +81137560: 00800084 movi r2,2 +81137564: 20c00015 stw r3,0(r4) +81137568: f800283a ret +8113756c: 18bfffcc andi r2,r3,65535 +81137570: 10001326 beq r2,zero,811375c0 <__lo0bits+0x80> +81137574: 0005883a mov r2,zero +81137578: 19403fcc andi r5,r3,255 +8113757c: 2800021e bne r5,zero,81137588 <__lo0bits+0x48> +81137580: 1806d23a srli r3,r3,8 +81137584: 10800204 addi r2,r2,8 +81137588: 194003cc andi r5,r3,15 +8113758c: 2800021e bne r5,zero,81137598 <__lo0bits+0x58> +81137590: 1806d13a srli r3,r3,4 +81137594: 10800104 addi r2,r2,4 +81137598: 194000cc andi r5,r3,3 +8113759c: 2800021e bne r5,zero,811375a8 <__lo0bits+0x68> +811375a0: 1806d0ba srli r3,r3,2 +811375a4: 10800084 addi r2,r2,2 +811375a8: 1940004c andi r5,r3,1 +811375ac: 2800081e bne r5,zero,811375d0 <__lo0bits+0x90> +811375b0: 1806d07a srli r3,r3,1 +811375b4: 1800051e bne r3,zero,811375cc <__lo0bits+0x8c> +811375b8: 00800804 movi r2,32 +811375bc: f800283a ret +811375c0: 1806d43a srli r3,r3,16 +811375c4: 00800404 movi r2,16 +811375c8: 003feb06 br 81137578 <__reset+0xfb117578> +811375cc: 10800044 addi r2,r2,1 +811375d0: 20c00015 stw r3,0(r4) +811375d4: f800283a ret +811375d8: 0005883a mov r2,zero +811375dc: f800283a ret +811375e0: 1806d07a srli r3,r3,1 +811375e4: 00800044 movi r2,1 +811375e8: 20c00015 stw r3,0(r4) +811375ec: f800283a ret + +811375f0 <__i2b>: +811375f0: defffd04 addi sp,sp,-12 +811375f4: dc000015 stw r16,0(sp) +811375f8: 04000044 movi r16,1 +811375fc: dc400115 stw r17,4(sp) +81137600: 2823883a mov r17,r5 +81137604: 800b883a mov r5,r16 +81137608: dfc00215 stw ra,8(sp) +8113760c: 11371e40 call 811371e4 <_Balloc> +81137610: 14400515 stw r17,20(r2) +81137614: 14000415 stw r16,16(r2) +81137618: dfc00217 ldw ra,8(sp) +8113761c: dc400117 ldw r17,4(sp) +81137620: dc000017 ldw r16,0(sp) +81137624: dec00304 addi sp,sp,12 +81137628: f800283a ret + +8113762c <__multiply>: +8113762c: defffa04 addi sp,sp,-24 +81137630: dcc00315 stw r19,12(sp) +81137634: dc800215 stw r18,8(sp) +81137638: 34c00417 ldw r19,16(r6) +8113763c: 2c800417 ldw r18,16(r5) +81137640: dd000415 stw r20,16(sp) +81137644: dc400115 stw r17,4(sp) +81137648: dfc00515 stw ra,20(sp) +8113764c: dc000015 stw r16,0(sp) +81137650: 2829883a mov r20,r5 +81137654: 3023883a mov r17,r6 +81137658: 94c0050e bge r18,r19,81137670 <__multiply+0x44> +8113765c: 9007883a mov r3,r18 +81137660: 3029883a mov r20,r6 +81137664: 9825883a mov r18,r19 +81137668: 2823883a mov r17,r5 +8113766c: 1827883a mov r19,r3 +81137670: a0800217 ldw r2,8(r20) +81137674: 94e1883a add r16,r18,r19 +81137678: a1400117 ldw r5,4(r20) +8113767c: 1400010e bge r2,r16,81137684 <__multiply+0x58> +81137680: 29400044 addi r5,r5,1 +81137684: 11371e40 call 811371e4 <_Balloc> +81137688: 8415883a add r10,r16,r16 +8113768c: 12c00504 addi r11,r2,20 +81137690: 5295883a add r10,r10,r10 +81137694: 5a95883a add r10,r11,r10 +81137698: 5807883a mov r3,r11 +8113769c: 5a80032e bgeu r11,r10,811376ac <__multiply+0x80> +811376a0: 18000015 stw zero,0(r3) +811376a4: 18c00104 addi r3,r3,4 +811376a8: 1abffd36 bltu r3,r10,811376a0 <__reset+0xfb1176a0> +811376ac: 9ce7883a add r19,r19,r19 +811376b0: 94a5883a add r18,r18,r18 +811376b4: 89800504 addi r6,r17,20 +811376b8: 9ce7883a add r19,r19,r19 +811376bc: a3400504 addi r13,r20,20 +811376c0: 94a5883a add r18,r18,r18 +811376c4: 34d9883a add r12,r6,r19 +811376c8: 6c93883a add r9,r13,r18 +811376cc: 3300422e bgeu r6,r12,811377d8 <__multiply+0x1ac> +811376d0: 37c00017 ldw ra,0(r6) +811376d4: fbffffcc andi r15,ra,65535 +811376d8: 78001b26 beq r15,zero,81137748 <__multiply+0x11c> +811376dc: 5811883a mov r8,r11 +811376e0: 681d883a mov r14,r13 +811376e4: 000f883a mov r7,zero +811376e8: 71000017 ldw r4,0(r14) +811376ec: 40c00017 ldw r3,0(r8) +811376f0: 73800104 addi r14,r14,4 +811376f4: 217fffcc andi r5,r4,65535 +811376f8: 2bcb383a mul r5,r5,r15 +811376fc: 2008d43a srli r4,r4,16 +81137700: 1c7fffcc andi r17,r3,65535 +81137704: 2c4b883a add r5,r5,r17 +81137708: 29cb883a add r5,r5,r7 +8113770c: 23c9383a mul r4,r4,r15 +81137710: 1806d43a srli r3,r3,16 +81137714: 280ed43a srli r7,r5,16 +81137718: 297fffcc andi r5,r5,65535 +8113771c: 20c7883a add r3,r4,r3 +81137720: 19c7883a add r3,r3,r7 +81137724: 1808943a slli r4,r3,16 +81137728: 4023883a mov r17,r8 +8113772c: 180ed43a srli r7,r3,16 +81137730: 214ab03a or r5,r4,r5 +81137734: 41400015 stw r5,0(r8) +81137738: 42000104 addi r8,r8,4 +8113773c: 727fea36 bltu r14,r9,811376e8 <__reset+0xfb1176e8> +81137740: 89c00115 stw r7,4(r17) +81137744: 37c00017 ldw ra,0(r6) +81137748: f83ed43a srli ra,ra,16 +8113774c: f8001f26 beq ra,zero,811377cc <__multiply+0x1a0> +81137750: 58c00017 ldw r3,0(r11) +81137754: 681d883a mov r14,r13 +81137758: 581f883a mov r15,r11 +8113775c: 1811883a mov r8,r3 +81137760: 5825883a mov r18,r11 +81137764: 000f883a mov r7,zero +81137768: 00000106 br 81137770 <__multiply+0x144> +8113776c: 8825883a mov r18,r17 +81137770: 7140000b ldhu r5,0(r14) +81137774: 4010d43a srli r8,r8,16 +81137778: 193fffcc andi r4,r3,65535 +8113777c: 2fcb383a mul r5,r5,ra +81137780: 7bc00104 addi r15,r15,4 +81137784: 73800104 addi r14,r14,4 +81137788: 2a0b883a add r5,r5,r8 +8113778c: 29cb883a add r5,r5,r7 +81137790: 2806943a slli r3,r5,16 +81137794: 94400104 addi r17,r18,4 +81137798: 280ad43a srli r5,r5,16 +8113779c: 1908b03a or r4,r3,r4 +811377a0: 793fff15 stw r4,-4(r15) +811377a4: 70ffff17 ldw r3,-4(r14) +811377a8: 8a000017 ldw r8,0(r17) +811377ac: 1806d43a srli r3,r3,16 +811377b0: 413fffcc andi r4,r8,65535 +811377b4: 1fc7383a mul r3,r3,ra +811377b8: 1907883a add r3,r3,r4 +811377bc: 1947883a add r3,r3,r5 +811377c0: 180ed43a srli r7,r3,16 +811377c4: 727fe936 bltu r14,r9,8113776c <__reset+0xfb11776c> +811377c8: 90c00115 stw r3,4(r18) +811377cc: 31800104 addi r6,r6,4 +811377d0: 5ac00104 addi r11,r11,4 +811377d4: 333fbe36 bltu r6,r12,811376d0 <__reset+0xfb1176d0> +811377d8: 0400090e bge zero,r16,81137800 <__multiply+0x1d4> +811377dc: 50ffff17 ldw r3,-4(r10) +811377e0: 52bfff04 addi r10,r10,-4 +811377e4: 18000326 beq r3,zero,811377f4 <__multiply+0x1c8> +811377e8: 00000506 br 81137800 <__multiply+0x1d4> +811377ec: 50c00017 ldw r3,0(r10) +811377f0: 1800031e bne r3,zero,81137800 <__multiply+0x1d4> +811377f4: 843fffc4 addi r16,r16,-1 +811377f8: 52bfff04 addi r10,r10,-4 +811377fc: 803ffb1e bne r16,zero,811377ec <__reset+0xfb1177ec> +81137800: 14000415 stw r16,16(r2) +81137804: dfc00517 ldw ra,20(sp) +81137808: dd000417 ldw r20,16(sp) +8113780c: dcc00317 ldw r19,12(sp) +81137810: dc800217 ldw r18,8(sp) +81137814: dc400117 ldw r17,4(sp) +81137818: dc000017 ldw r16,0(sp) +8113781c: dec00604 addi sp,sp,24 +81137820: f800283a ret + +81137824 <__pow5mult>: +81137824: defffa04 addi sp,sp,-24 +81137828: dcc00315 stw r19,12(sp) +8113782c: dc000015 stw r16,0(sp) +81137830: dfc00515 stw ra,20(sp) +81137834: dd000415 stw r20,16(sp) +81137838: dc800215 stw r18,8(sp) +8113783c: dc400115 stw r17,4(sp) +81137840: 308000cc andi r2,r6,3 +81137844: 3021883a mov r16,r6 +81137848: 2027883a mov r19,r4 +8113784c: 10002f1e bne r2,zero,8113790c <__pow5mult+0xe8> +81137850: 2825883a mov r18,r5 +81137854: 8021d0ba srai r16,r16,2 +81137858: 80001a26 beq r16,zero,811378c4 <__pow5mult+0xa0> +8113785c: 9c401217 ldw r17,72(r19) +81137860: 8800061e bne r17,zero,8113787c <__pow5mult+0x58> +81137864: 00003406 br 81137938 <__pow5mult+0x114> +81137868: 8021d07a srai r16,r16,1 +8113786c: 80001526 beq r16,zero,811378c4 <__pow5mult+0xa0> +81137870: 88800017 ldw r2,0(r17) +81137874: 10001c26 beq r2,zero,811378e8 <__pow5mult+0xc4> +81137878: 1023883a mov r17,r2 +8113787c: 8080004c andi r2,r16,1 +81137880: 103ff926 beq r2,zero,81137868 <__reset+0xfb117868> +81137884: 880d883a mov r6,r17 +81137888: 900b883a mov r5,r18 +8113788c: 9809883a mov r4,r19 +81137890: 113762c0 call 8113762c <__multiply> +81137894: 90001b26 beq r18,zero,81137904 <__pow5mult+0xe0> +81137898: 91000117 ldw r4,4(r18) +8113789c: 98c01317 ldw r3,76(r19) +811378a0: 8021d07a srai r16,r16,1 +811378a4: 2109883a add r4,r4,r4 +811378a8: 2109883a add r4,r4,r4 +811378ac: 1907883a add r3,r3,r4 +811378b0: 19000017 ldw r4,0(r3) +811378b4: 91000015 stw r4,0(r18) +811378b8: 1c800015 stw r18,0(r3) +811378bc: 1025883a mov r18,r2 +811378c0: 803feb1e bne r16,zero,81137870 <__reset+0xfb117870> +811378c4: 9005883a mov r2,r18 +811378c8: dfc00517 ldw ra,20(sp) +811378cc: dd000417 ldw r20,16(sp) +811378d0: dcc00317 ldw r19,12(sp) +811378d4: dc800217 ldw r18,8(sp) +811378d8: dc400117 ldw r17,4(sp) +811378dc: dc000017 ldw r16,0(sp) +811378e0: dec00604 addi sp,sp,24 +811378e4: f800283a ret +811378e8: 880d883a mov r6,r17 +811378ec: 880b883a mov r5,r17 +811378f0: 9809883a mov r4,r19 +811378f4: 113762c0 call 8113762c <__multiply> +811378f8: 88800015 stw r2,0(r17) +811378fc: 10000015 stw zero,0(r2) +81137900: 003fdd06 br 81137878 <__reset+0xfb117878> +81137904: 1025883a mov r18,r2 +81137908: 003fd706 br 81137868 <__reset+0xfb117868> +8113790c: 10bfffc4 addi r2,r2,-1 +81137910: 1085883a add r2,r2,r2 +81137914: 00e04574 movhi r3,33045 +81137918: 18feef04 addi r3,r3,-1092 +8113791c: 1085883a add r2,r2,r2 +81137920: 1885883a add r2,r3,r2 +81137924: 11800017 ldw r6,0(r2) +81137928: 000f883a mov r7,zero +8113792c: 11372b40 call 811372b4 <__multadd> +81137930: 1025883a mov r18,r2 +81137934: 003fc706 br 81137854 <__reset+0xfb117854> +81137938: 05000044 movi r20,1 +8113793c: a00b883a mov r5,r20 +81137940: 9809883a mov r4,r19 +81137944: 11371e40 call 811371e4 <_Balloc> +81137948: 1023883a mov r17,r2 +8113794c: 00809c44 movi r2,625 +81137950: 88800515 stw r2,20(r17) +81137954: 8d000415 stw r20,16(r17) +81137958: 9c401215 stw r17,72(r19) +8113795c: 88000015 stw zero,0(r17) +81137960: 003fc606 br 8113787c <__reset+0xfb11787c> + +81137964 <__lshift>: +81137964: defff904 addi sp,sp,-28 +81137968: dd400515 stw r21,20(sp) +8113796c: dcc00315 stw r19,12(sp) +81137970: 302bd17a srai r21,r6,5 +81137974: 2cc00417 ldw r19,16(r5) +81137978: 28800217 ldw r2,8(r5) +8113797c: dd000415 stw r20,16(sp) +81137980: ace7883a add r19,r21,r19 +81137984: dc800215 stw r18,8(sp) +81137988: dc400115 stw r17,4(sp) +8113798c: dc000015 stw r16,0(sp) +81137990: dfc00615 stw ra,24(sp) +81137994: 9c000044 addi r16,r19,1 +81137998: 2823883a mov r17,r5 +8113799c: 3029883a mov r20,r6 +811379a0: 2025883a mov r18,r4 +811379a4: 29400117 ldw r5,4(r5) +811379a8: 1400030e bge r2,r16,811379b8 <__lshift+0x54> +811379ac: 1085883a add r2,r2,r2 +811379b0: 29400044 addi r5,r5,1 +811379b4: 143ffd16 blt r2,r16,811379ac <__reset+0xfb1179ac> +811379b8: 9009883a mov r4,r18 +811379bc: 11371e40 call 811371e4 <_Balloc> +811379c0: 10c00504 addi r3,r2,20 +811379c4: 0540070e bge zero,r21,811379e4 <__lshift+0x80> +811379c8: ad6b883a add r21,r21,r21 +811379cc: ad6b883a add r21,r21,r21 +811379d0: 1809883a mov r4,r3 +811379d4: 1d47883a add r3,r3,r21 +811379d8: 20000015 stw zero,0(r4) 811379dc: 21000104 addi r4,r4,4 -811379e0: 394ab03a or r5,r7,r5 -811379e4: 197fff15 stw r5,-4(r3) -811379e8: 217fff17 ldw r5,-4(r4) -811379ec: 2a4ad83a srl r5,r5,r9 -811379f0: 223ff636 bltu r4,r8,811379cc <__reset+0xfb1179cc> -811379f4: 51400115 stw r5,4(r10) -811379f8: 28001a1e bne r5,zero,81137a64 <__lshift+0x140> -811379fc: 843fffc4 addi r16,r16,-1 -81137a00: 14000415 stw r16,16(r2) -81137a04: 88000826 beq r17,zero,81137a28 <__lshift+0x104> -81137a08: 89000117 ldw r4,4(r17) -81137a0c: 90c01317 ldw r3,76(r18) -81137a10: 2109883a add r4,r4,r4 -81137a14: 2109883a add r4,r4,r4 -81137a18: 1907883a add r3,r3,r4 -81137a1c: 19000017 ldw r4,0(r3) -81137a20: 89000015 stw r4,0(r17) -81137a24: 1c400015 stw r17,0(r3) -81137a28: dfc00617 ldw ra,24(sp) -81137a2c: dd400517 ldw r21,20(sp) -81137a30: dd000417 ldw r20,16(sp) -81137a34: dcc00317 ldw r19,12(sp) -81137a38: dc800217 ldw r18,8(sp) -81137a3c: dc400117 ldw r17,4(sp) -81137a40: dc000017 ldw r16,0(sp) -81137a44: dec00704 addi sp,sp,28 -81137a48: f800283a ret -81137a4c: 21400017 ldw r5,0(r4) -81137a50: 18c00104 addi r3,r3,4 -81137a54: 21000104 addi r4,r4,4 -81137a58: 197fff15 stw r5,-4(r3) -81137a5c: 223ffb36 bltu r4,r8,81137a4c <__reset+0xfb117a4c> -81137a60: 003fe606 br 811379fc <__reset+0xfb1179fc> -81137a64: 9c000084 addi r16,r19,2 -81137a68: 003fe406 br 811379fc <__reset+0xfb1179fc> - -81137a6c <__mcmp>: -81137a6c: 20800417 ldw r2,16(r4) -81137a70: 28c00417 ldw r3,16(r5) -81137a74: 10c5c83a sub r2,r2,r3 -81137a78: 1000111e bne r2,zero,81137ac0 <__mcmp+0x54> -81137a7c: 18c7883a add r3,r3,r3 -81137a80: 18c7883a add r3,r3,r3 -81137a84: 21000504 addi r4,r4,20 -81137a88: 29400504 addi r5,r5,20 -81137a8c: 20c5883a add r2,r4,r3 -81137a90: 28cb883a add r5,r5,r3 -81137a94: 00000106 br 81137a9c <__mcmp+0x30> -81137a98: 20800a2e bgeu r4,r2,81137ac4 <__mcmp+0x58> -81137a9c: 10bfff04 addi r2,r2,-4 -81137aa0: 297fff04 addi r5,r5,-4 -81137aa4: 11800017 ldw r6,0(r2) -81137aa8: 28c00017 ldw r3,0(r5) -81137aac: 30fffa26 beq r6,r3,81137a98 <__reset+0xfb117a98> -81137ab0: 30c00236 bltu r6,r3,81137abc <__mcmp+0x50> -81137ab4: 00800044 movi r2,1 -81137ab8: f800283a ret -81137abc: 00bfffc4 movi r2,-1 -81137ac0: f800283a ret -81137ac4: 0005883a mov r2,zero -81137ac8: f800283a ret - -81137acc <__mdiff>: -81137acc: 28c00417 ldw r3,16(r5) -81137ad0: 30800417 ldw r2,16(r6) -81137ad4: defffa04 addi sp,sp,-24 -81137ad8: dcc00315 stw r19,12(sp) -81137adc: dc800215 stw r18,8(sp) -81137ae0: dfc00515 stw ra,20(sp) -81137ae4: dd000415 stw r20,16(sp) -81137ae8: dc400115 stw r17,4(sp) -81137aec: dc000015 stw r16,0(sp) -81137af0: 1887c83a sub r3,r3,r2 -81137af4: 2825883a mov r18,r5 -81137af8: 3027883a mov r19,r6 -81137afc: 1800141e bne r3,zero,81137b50 <__mdiff+0x84> -81137b00: 1085883a add r2,r2,r2 -81137b04: 1085883a add r2,r2,r2 -81137b08: 2a000504 addi r8,r5,20 -81137b0c: 34000504 addi r16,r6,20 -81137b10: 4087883a add r3,r8,r2 -81137b14: 8085883a add r2,r16,r2 -81137b18: 00000106 br 81137b20 <__mdiff+0x54> -81137b1c: 40c0592e bgeu r8,r3,81137c84 <__mdiff+0x1b8> -81137b20: 18ffff04 addi r3,r3,-4 -81137b24: 10bfff04 addi r2,r2,-4 -81137b28: 19c00017 ldw r7,0(r3) -81137b2c: 11400017 ldw r5,0(r2) -81137b30: 397ffa26 beq r7,r5,81137b1c <__reset+0xfb117b1c> -81137b34: 3940592e bgeu r7,r5,81137c9c <__mdiff+0x1d0> -81137b38: 9005883a mov r2,r18 -81137b3c: 4023883a mov r17,r8 -81137b40: 9825883a mov r18,r19 -81137b44: 05000044 movi r20,1 -81137b48: 1027883a mov r19,r2 -81137b4c: 00000406 br 81137b60 <__mdiff+0x94> -81137b50: 18005616 blt r3,zero,81137cac <__mdiff+0x1e0> -81137b54: 34400504 addi r17,r6,20 -81137b58: 2c000504 addi r16,r5,20 -81137b5c: 0029883a mov r20,zero -81137b60: 91400117 ldw r5,4(r18) -81137b64: 11371a40 call 811371a4 <_Balloc> -81137b68: 92400417 ldw r9,16(r18) -81137b6c: 9b000417 ldw r12,16(r19) -81137b70: 12c00504 addi r11,r2,20 -81137b74: 4a51883a add r8,r9,r9 -81137b78: 6319883a add r12,r12,r12 -81137b7c: 4211883a add r8,r8,r8 -81137b80: 6319883a add r12,r12,r12 -81137b84: 15000315 stw r20,12(r2) -81137b88: 8211883a add r8,r16,r8 -81137b8c: 8b19883a add r12,r17,r12 -81137b90: 0007883a mov r3,zero -81137b94: 81400017 ldw r5,0(r16) -81137b98: 89c00017 ldw r7,0(r17) -81137b9c: 59800104 addi r6,r11,4 -81137ba0: 293fffcc andi r4,r5,65535 -81137ba4: 20c7883a add r3,r4,r3 -81137ba8: 393fffcc andi r4,r7,65535 -81137bac: 1909c83a sub r4,r3,r4 -81137bb0: 280ad43a srli r5,r5,16 -81137bb4: 380ed43a srli r7,r7,16 -81137bb8: 2007d43a srai r3,r4,16 -81137bbc: 213fffcc andi r4,r4,65535 -81137bc0: 29cbc83a sub r5,r5,r7 -81137bc4: 28c7883a add r3,r5,r3 -81137bc8: 180a943a slli r5,r3,16 -81137bcc: 8c400104 addi r17,r17,4 -81137bd0: 84000104 addi r16,r16,4 -81137bd4: 2908b03a or r4,r5,r4 -81137bd8: 59000015 stw r4,0(r11) -81137bdc: 1807d43a srai r3,r3,16 -81137be0: 3015883a mov r10,r6 -81137be4: 3017883a mov r11,r6 -81137be8: 8b3fea36 bltu r17,r12,81137b94 <__reset+0xfb117b94> -81137bec: 8200162e bgeu r16,r8,81137c48 <__mdiff+0x17c> -81137bf0: 8017883a mov r11,r16 -81137bf4: 59400017 ldw r5,0(r11) -81137bf8: 31800104 addi r6,r6,4 -81137bfc: 5ac00104 addi r11,r11,4 -81137c00: 293fffcc andi r4,r5,65535 -81137c04: 20c7883a add r3,r4,r3 -81137c08: 280ed43a srli r7,r5,16 -81137c0c: 180bd43a srai r5,r3,16 -81137c10: 193fffcc andi r4,r3,65535 -81137c14: 3947883a add r3,r7,r5 -81137c18: 180a943a slli r5,r3,16 +811379e0: 193ffd1e bne r3,r4,811379d8 <__reset+0xfb1179d8> +811379e4: 8a000417 ldw r8,16(r17) +811379e8: 89000504 addi r4,r17,20 +811379ec: a18007cc andi r6,r20,31 +811379f0: 4211883a add r8,r8,r8 +811379f4: 4211883a add r8,r8,r8 +811379f8: 2211883a add r8,r4,r8 +811379fc: 30002326 beq r6,zero,81137a8c <__lshift+0x128> +81137a00: 02400804 movi r9,32 +81137a04: 4993c83a sub r9,r9,r6 +81137a08: 000b883a mov r5,zero +81137a0c: 21c00017 ldw r7,0(r4) +81137a10: 1815883a mov r10,r3 +81137a14: 18c00104 addi r3,r3,4 +81137a18: 398e983a sll r7,r7,r6 +81137a1c: 21000104 addi r4,r4,4 +81137a20: 394ab03a or r5,r7,r5 +81137a24: 197fff15 stw r5,-4(r3) +81137a28: 217fff17 ldw r5,-4(r4) +81137a2c: 2a4ad83a srl r5,r5,r9 +81137a30: 223ff636 bltu r4,r8,81137a0c <__reset+0xfb117a0c> +81137a34: 51400115 stw r5,4(r10) +81137a38: 28001a1e bne r5,zero,81137aa4 <__lshift+0x140> +81137a3c: 843fffc4 addi r16,r16,-1 +81137a40: 14000415 stw r16,16(r2) +81137a44: 88000826 beq r17,zero,81137a68 <__lshift+0x104> +81137a48: 89000117 ldw r4,4(r17) +81137a4c: 90c01317 ldw r3,76(r18) +81137a50: 2109883a add r4,r4,r4 +81137a54: 2109883a add r4,r4,r4 +81137a58: 1907883a add r3,r3,r4 +81137a5c: 19000017 ldw r4,0(r3) +81137a60: 89000015 stw r4,0(r17) +81137a64: 1c400015 stw r17,0(r3) +81137a68: dfc00617 ldw ra,24(sp) +81137a6c: dd400517 ldw r21,20(sp) +81137a70: dd000417 ldw r20,16(sp) +81137a74: dcc00317 ldw r19,12(sp) +81137a78: dc800217 ldw r18,8(sp) +81137a7c: dc400117 ldw r17,4(sp) +81137a80: dc000017 ldw r16,0(sp) +81137a84: dec00704 addi sp,sp,28 +81137a88: f800283a ret +81137a8c: 21400017 ldw r5,0(r4) +81137a90: 18c00104 addi r3,r3,4 +81137a94: 21000104 addi r4,r4,4 +81137a98: 197fff15 stw r5,-4(r3) +81137a9c: 223ffb36 bltu r4,r8,81137a8c <__reset+0xfb117a8c> +81137aa0: 003fe606 br 81137a3c <__reset+0xfb117a3c> +81137aa4: 9c000084 addi r16,r19,2 +81137aa8: 003fe406 br 81137a3c <__reset+0xfb117a3c> + +81137aac <__mcmp>: +81137aac: 20800417 ldw r2,16(r4) +81137ab0: 28c00417 ldw r3,16(r5) +81137ab4: 10c5c83a sub r2,r2,r3 +81137ab8: 1000111e bne r2,zero,81137b00 <__mcmp+0x54> +81137abc: 18c7883a add r3,r3,r3 +81137ac0: 18c7883a add r3,r3,r3 +81137ac4: 21000504 addi r4,r4,20 +81137ac8: 29400504 addi r5,r5,20 +81137acc: 20c5883a add r2,r4,r3 +81137ad0: 28cb883a add r5,r5,r3 +81137ad4: 00000106 br 81137adc <__mcmp+0x30> +81137ad8: 20800a2e bgeu r4,r2,81137b04 <__mcmp+0x58> +81137adc: 10bfff04 addi r2,r2,-4 +81137ae0: 297fff04 addi r5,r5,-4 +81137ae4: 11800017 ldw r6,0(r2) +81137ae8: 28c00017 ldw r3,0(r5) +81137aec: 30fffa26 beq r6,r3,81137ad8 <__reset+0xfb117ad8> +81137af0: 30c00236 bltu r6,r3,81137afc <__mcmp+0x50> +81137af4: 00800044 movi r2,1 +81137af8: f800283a ret +81137afc: 00bfffc4 movi r2,-1 +81137b00: f800283a ret +81137b04: 0005883a mov r2,zero +81137b08: f800283a ret + +81137b0c <__mdiff>: +81137b0c: 28c00417 ldw r3,16(r5) +81137b10: 30800417 ldw r2,16(r6) +81137b14: defffa04 addi sp,sp,-24 +81137b18: dcc00315 stw r19,12(sp) +81137b1c: dc800215 stw r18,8(sp) +81137b20: dfc00515 stw ra,20(sp) +81137b24: dd000415 stw r20,16(sp) +81137b28: dc400115 stw r17,4(sp) +81137b2c: dc000015 stw r16,0(sp) +81137b30: 1887c83a sub r3,r3,r2 +81137b34: 2825883a mov r18,r5 +81137b38: 3027883a mov r19,r6 +81137b3c: 1800141e bne r3,zero,81137b90 <__mdiff+0x84> +81137b40: 1085883a add r2,r2,r2 +81137b44: 1085883a add r2,r2,r2 +81137b48: 2a000504 addi r8,r5,20 +81137b4c: 34000504 addi r16,r6,20 +81137b50: 4087883a add r3,r8,r2 +81137b54: 8085883a add r2,r16,r2 +81137b58: 00000106 br 81137b60 <__mdiff+0x54> +81137b5c: 40c0592e bgeu r8,r3,81137cc4 <__mdiff+0x1b8> +81137b60: 18ffff04 addi r3,r3,-4 +81137b64: 10bfff04 addi r2,r2,-4 +81137b68: 19c00017 ldw r7,0(r3) +81137b6c: 11400017 ldw r5,0(r2) +81137b70: 397ffa26 beq r7,r5,81137b5c <__reset+0xfb117b5c> +81137b74: 3940592e bgeu r7,r5,81137cdc <__mdiff+0x1d0> +81137b78: 9005883a mov r2,r18 +81137b7c: 4023883a mov r17,r8 +81137b80: 9825883a mov r18,r19 +81137b84: 05000044 movi r20,1 +81137b88: 1027883a mov r19,r2 +81137b8c: 00000406 br 81137ba0 <__mdiff+0x94> +81137b90: 18005616 blt r3,zero,81137cec <__mdiff+0x1e0> +81137b94: 34400504 addi r17,r6,20 +81137b98: 2c000504 addi r16,r5,20 +81137b9c: 0029883a mov r20,zero +81137ba0: 91400117 ldw r5,4(r18) +81137ba4: 11371e40 call 811371e4 <_Balloc> +81137ba8: 92400417 ldw r9,16(r18) +81137bac: 9b000417 ldw r12,16(r19) +81137bb0: 12c00504 addi r11,r2,20 +81137bb4: 4a51883a add r8,r9,r9 +81137bb8: 6319883a add r12,r12,r12 +81137bbc: 4211883a add r8,r8,r8 +81137bc0: 6319883a add r12,r12,r12 +81137bc4: 15000315 stw r20,12(r2) +81137bc8: 8211883a add r8,r16,r8 +81137bcc: 8b19883a add r12,r17,r12 +81137bd0: 0007883a mov r3,zero +81137bd4: 81400017 ldw r5,0(r16) +81137bd8: 89c00017 ldw r7,0(r17) +81137bdc: 59800104 addi r6,r11,4 +81137be0: 293fffcc andi r4,r5,65535 +81137be4: 20c7883a add r3,r4,r3 +81137be8: 393fffcc andi r4,r7,65535 +81137bec: 1909c83a sub r4,r3,r4 +81137bf0: 280ad43a srli r5,r5,16 +81137bf4: 380ed43a srli r7,r7,16 +81137bf8: 2007d43a srai r3,r4,16 +81137bfc: 213fffcc andi r4,r4,65535 +81137c00: 29cbc83a sub r5,r5,r7 +81137c04: 28c7883a add r3,r5,r3 +81137c08: 180a943a slli r5,r3,16 +81137c0c: 8c400104 addi r17,r17,4 +81137c10: 84000104 addi r16,r16,4 +81137c14: 2908b03a or r4,r5,r4 +81137c18: 59000015 stw r4,0(r11) 81137c1c: 1807d43a srai r3,r3,16 -81137c20: 2908b03a or r4,r5,r4 -81137c24: 313fff15 stw r4,-4(r6) -81137c28: 5a3ff236 bltu r11,r8,81137bf4 <__reset+0xfb117bf4> -81137c2c: 0406303a nor r3,zero,r16 -81137c30: 1a07883a add r3,r3,r8 -81137c34: 1806d0ba srli r3,r3,2 -81137c38: 18c00044 addi r3,r3,1 -81137c3c: 18c7883a add r3,r3,r3 -81137c40: 18c7883a add r3,r3,r3 -81137c44: 50d5883a add r10,r10,r3 -81137c48: 50ffff04 addi r3,r10,-4 -81137c4c: 2000041e bne r4,zero,81137c60 <__mdiff+0x194> -81137c50: 18ffff04 addi r3,r3,-4 -81137c54: 19000017 ldw r4,0(r3) -81137c58: 4a7fffc4 addi r9,r9,-1 -81137c5c: 203ffc26 beq r4,zero,81137c50 <__reset+0xfb117c50> -81137c60: 12400415 stw r9,16(r2) -81137c64: dfc00517 ldw ra,20(sp) -81137c68: dd000417 ldw r20,16(sp) -81137c6c: dcc00317 ldw r19,12(sp) -81137c70: dc800217 ldw r18,8(sp) -81137c74: dc400117 ldw r17,4(sp) -81137c78: dc000017 ldw r16,0(sp) -81137c7c: dec00604 addi sp,sp,24 -81137c80: f800283a ret -81137c84: 000b883a mov r5,zero -81137c88: 11371a40 call 811371a4 <_Balloc> -81137c8c: 00c00044 movi r3,1 -81137c90: 10c00415 stw r3,16(r2) -81137c94: 10000515 stw zero,20(r2) -81137c98: 003ff206 br 81137c64 <__reset+0xfb117c64> -81137c9c: 8023883a mov r17,r16 -81137ca0: 0029883a mov r20,zero -81137ca4: 4021883a mov r16,r8 -81137ca8: 003fad06 br 81137b60 <__reset+0xfb117b60> -81137cac: 9005883a mov r2,r18 -81137cb0: 94400504 addi r17,r18,20 -81137cb4: 9c000504 addi r16,r19,20 -81137cb8: 9825883a mov r18,r19 -81137cbc: 05000044 movi r20,1 -81137cc0: 1027883a mov r19,r2 -81137cc4: 003fa606 br 81137b60 <__reset+0xfb117b60> - -81137cc8 <__ulp>: -81137cc8: 295ffc2c andhi r5,r5,32752 -81137ccc: 00bf3034 movhi r2,64704 -81137cd0: 2887883a add r3,r5,r2 -81137cd4: 00c0020e bge zero,r3,81137ce0 <__ulp+0x18> -81137cd8: 0005883a mov r2,zero -81137cdc: f800283a ret -81137ce0: 00c7c83a sub r3,zero,r3 -81137ce4: 1807d53a srai r3,r3,20 -81137ce8: 008004c4 movi r2,19 -81137cec: 10c00b0e bge r2,r3,81137d1c <__ulp+0x54> -81137cf0: 18bffb04 addi r2,r3,-20 -81137cf4: 01000784 movi r4,30 -81137cf8: 0007883a mov r3,zero -81137cfc: 20800516 blt r4,r2,81137d14 <__ulp+0x4c> -81137d00: 010007c4 movi r4,31 -81137d04: 2089c83a sub r4,r4,r2 -81137d08: 00800044 movi r2,1 -81137d0c: 1104983a sll r2,r2,r4 -81137d10: f800283a ret -81137d14: 00800044 movi r2,1 -81137d18: f800283a ret -81137d1c: 01400234 movhi r5,8 -81137d20: 28c7d83a sra r3,r5,r3 -81137d24: 0005883a mov r2,zero -81137d28: f800283a ret - -81137d2c <__b2d>: -81137d2c: defffa04 addi sp,sp,-24 -81137d30: dc000015 stw r16,0(sp) -81137d34: 24000417 ldw r16,16(r4) -81137d38: dc400115 stw r17,4(sp) -81137d3c: 24400504 addi r17,r4,20 -81137d40: 8421883a add r16,r16,r16 -81137d44: 8421883a add r16,r16,r16 -81137d48: 8c21883a add r16,r17,r16 -81137d4c: dc800215 stw r18,8(sp) -81137d50: 84bfff17 ldw r18,-4(r16) -81137d54: dd000415 stw r20,16(sp) -81137d58: dcc00315 stw r19,12(sp) -81137d5c: 9009883a mov r4,r18 -81137d60: 2829883a mov r20,r5 -81137d64: dfc00515 stw ra,20(sp) -81137d68: 11374980 call 81137498 <__hi0bits> -81137d6c: 00c00804 movi r3,32 -81137d70: 1889c83a sub r4,r3,r2 -81137d74: a1000015 stw r4,0(r20) -81137d78: 01000284 movi r4,10 -81137d7c: 84ffff04 addi r19,r16,-4 -81137d80: 20801216 blt r4,r2,81137dcc <__b2d+0xa0> -81137d84: 018002c4 movi r6,11 -81137d88: 308dc83a sub r6,r6,r2 -81137d8c: 9186d83a srl r3,r18,r6 -81137d90: 18cffc34 orhi r3,r3,16368 -81137d94: 8cc0212e bgeu r17,r19,81137e1c <__b2d+0xf0> -81137d98: 813ffe17 ldw r4,-8(r16) -81137d9c: 218cd83a srl r6,r4,r6 -81137da0: 10800544 addi r2,r2,21 -81137da4: 9084983a sll r2,r18,r2 -81137da8: 1184b03a or r2,r2,r6 -81137dac: dfc00517 ldw ra,20(sp) -81137db0: dd000417 ldw r20,16(sp) -81137db4: dcc00317 ldw r19,12(sp) -81137db8: dc800217 ldw r18,8(sp) -81137dbc: dc400117 ldw r17,4(sp) -81137dc0: dc000017 ldw r16,0(sp) -81137dc4: dec00604 addi sp,sp,24 -81137dc8: f800283a ret -81137dcc: 8cc00f2e bgeu r17,r19,81137e0c <__b2d+0xe0> -81137dd0: 117ffd44 addi r5,r2,-11 -81137dd4: 80bffe17 ldw r2,-8(r16) -81137dd8: 28000e26 beq r5,zero,81137e14 <__b2d+0xe8> -81137ddc: 1949c83a sub r4,r3,r5 -81137de0: 9164983a sll r18,r18,r5 -81137de4: 1106d83a srl r3,r2,r4 -81137de8: 81bffe04 addi r6,r16,-8 -81137dec: 948ffc34 orhi r18,r18,16368 -81137df0: 90c6b03a or r3,r18,r3 -81137df4: 89800e2e bgeu r17,r6,81137e30 <__b2d+0x104> -81137df8: 81bffd17 ldw r6,-12(r16) -81137dfc: 1144983a sll r2,r2,r5 -81137e00: 310ad83a srl r5,r6,r4 -81137e04: 2884b03a or r2,r5,r2 -81137e08: 003fe806 br 81137dac <__reset+0xfb117dac> -81137e0c: 10bffd44 addi r2,r2,-11 -81137e10: 1000041e bne r2,zero,81137e24 <__b2d+0xf8> -81137e14: 90cffc34 orhi r3,r18,16368 -81137e18: 003fe406 br 81137dac <__reset+0xfb117dac> -81137e1c: 000d883a mov r6,zero -81137e20: 003fdf06 br 81137da0 <__reset+0xfb117da0> -81137e24: 90a4983a sll r18,r18,r2 -81137e28: 0005883a mov r2,zero -81137e2c: 003ff906 br 81137e14 <__reset+0xfb117e14> -81137e30: 1144983a sll r2,r2,r5 -81137e34: 003fdd06 br 81137dac <__reset+0xfb117dac> - -81137e38 <__d2b>: -81137e38: defff804 addi sp,sp,-32 -81137e3c: dc000215 stw r16,8(sp) -81137e40: 3021883a mov r16,r6 -81137e44: dc400315 stw r17,12(sp) -81137e48: 8022907a slli r17,r16,1 -81137e4c: dd000615 stw r20,24(sp) -81137e50: 2829883a mov r20,r5 -81137e54: 01400044 movi r5,1 -81137e58: dcc00515 stw r19,20(sp) -81137e5c: dc800415 stw r18,16(sp) -81137e60: dfc00715 stw ra,28(sp) -81137e64: 3825883a mov r18,r7 -81137e68: 8822d57a srli r17,r17,21 -81137e6c: 11371a40 call 811371a4 <_Balloc> -81137e70: 1027883a mov r19,r2 -81137e74: 00800434 movhi r2,16 -81137e78: 10bfffc4 addi r2,r2,-1 -81137e7c: 808c703a and r6,r16,r2 -81137e80: 88000126 beq r17,zero,81137e88 <__d2b+0x50> -81137e84: 31800434 orhi r6,r6,16 -81137e88: d9800015 stw r6,0(sp) -81137e8c: a0002426 beq r20,zero,81137f20 <__d2b+0xe8> -81137e90: d9000104 addi r4,sp,4 -81137e94: dd000115 stw r20,4(sp) -81137e98: 11375000 call 81137500 <__lo0bits> -81137e9c: d8c00017 ldw r3,0(sp) -81137ea0: 10002f1e bne r2,zero,81137f60 <__d2b+0x128> -81137ea4: d9000117 ldw r4,4(sp) -81137ea8: 99000515 stw r4,20(r19) -81137eac: 1821003a cmpeq r16,r3,zero -81137eb0: 01000084 movi r4,2 -81137eb4: 2421c83a sub r16,r4,r16 -81137eb8: 98c00615 stw r3,24(r19) -81137ebc: 9c000415 stw r16,16(r19) -81137ec0: 88001f1e bne r17,zero,81137f40 <__d2b+0x108> -81137ec4: 10bef384 addi r2,r2,-1074 -81137ec8: 90800015 stw r2,0(r18) -81137ecc: 00900034 movhi r2,16384 -81137ed0: 10bfffc4 addi r2,r2,-1 -81137ed4: 8085883a add r2,r16,r2 -81137ed8: 1085883a add r2,r2,r2 -81137edc: 1085883a add r2,r2,r2 -81137ee0: 9885883a add r2,r19,r2 -81137ee4: 11000517 ldw r4,20(r2) -81137ee8: 8020917a slli r16,r16,5 -81137eec: 11374980 call 81137498 <__hi0bits> -81137ef0: d8c00817 ldw r3,32(sp) -81137ef4: 8085c83a sub r2,r16,r2 -81137ef8: 18800015 stw r2,0(r3) -81137efc: 9805883a mov r2,r19 -81137f00: dfc00717 ldw ra,28(sp) -81137f04: dd000617 ldw r20,24(sp) -81137f08: dcc00517 ldw r19,20(sp) -81137f0c: dc800417 ldw r18,16(sp) -81137f10: dc400317 ldw r17,12(sp) -81137f14: dc000217 ldw r16,8(sp) -81137f18: dec00804 addi sp,sp,32 -81137f1c: f800283a ret -81137f20: d809883a mov r4,sp -81137f24: 11375000 call 81137500 <__lo0bits> -81137f28: d8c00017 ldw r3,0(sp) -81137f2c: 04000044 movi r16,1 -81137f30: 9c000415 stw r16,16(r19) -81137f34: 98c00515 stw r3,20(r19) -81137f38: 10800804 addi r2,r2,32 -81137f3c: 883fe126 beq r17,zero,81137ec4 <__reset+0xfb117ec4> -81137f40: 00c00d44 movi r3,53 -81137f44: 8c7ef344 addi r17,r17,-1075 -81137f48: 88a3883a add r17,r17,r2 -81137f4c: 1885c83a sub r2,r3,r2 -81137f50: d8c00817 ldw r3,32(sp) -81137f54: 94400015 stw r17,0(r18) -81137f58: 18800015 stw r2,0(r3) -81137f5c: 003fe706 br 81137efc <__reset+0xfb117efc> -81137f60: 01000804 movi r4,32 -81137f64: 2089c83a sub r4,r4,r2 -81137f68: 1908983a sll r4,r3,r4 -81137f6c: d9400117 ldw r5,4(sp) -81137f70: 1886d83a srl r3,r3,r2 -81137f74: 2148b03a or r4,r4,r5 -81137f78: 99000515 stw r4,20(r19) -81137f7c: d8c00015 stw r3,0(sp) -81137f80: 003fca06 br 81137eac <__reset+0xfb117eac> - -81137f84 <__ratio>: -81137f84: defff904 addi sp,sp,-28 -81137f88: dc400315 stw r17,12(sp) -81137f8c: 2823883a mov r17,r5 -81137f90: d9400104 addi r5,sp,4 -81137f94: dfc00615 stw ra,24(sp) -81137f98: dcc00515 stw r19,20(sp) -81137f9c: dc800415 stw r18,16(sp) -81137fa0: 2027883a mov r19,r4 -81137fa4: dc000215 stw r16,8(sp) -81137fa8: 1137d2c0 call 81137d2c <__b2d> -81137fac: d80b883a mov r5,sp -81137fb0: 8809883a mov r4,r17 -81137fb4: 1025883a mov r18,r2 -81137fb8: 1821883a mov r16,r3 -81137fbc: 1137d2c0 call 81137d2c <__b2d> -81137fc0: 8a000417 ldw r8,16(r17) -81137fc4: 99000417 ldw r4,16(r19) -81137fc8: d9400117 ldw r5,4(sp) -81137fcc: 2209c83a sub r4,r4,r8 -81137fd0: 2010917a slli r8,r4,5 -81137fd4: d9000017 ldw r4,0(sp) -81137fd8: 2909c83a sub r4,r5,r4 -81137fdc: 4109883a add r4,r8,r4 -81137fe0: 01000e0e bge zero,r4,8113801c <__ratio+0x98> -81137fe4: 2008953a slli r4,r4,20 -81137fe8: 2421883a add r16,r4,r16 -81137fec: 100d883a mov r6,r2 -81137ff0: 180f883a mov r7,r3 -81137ff4: 9009883a mov r4,r18 -81137ff8: 800b883a mov r5,r16 -81137ffc: 112935c0 call 8112935c <__divdf3> -81138000: dfc00617 ldw ra,24(sp) -81138004: dcc00517 ldw r19,20(sp) -81138008: dc800417 ldw r18,16(sp) -8113800c: dc400317 ldw r17,12(sp) -81138010: dc000217 ldw r16,8(sp) -81138014: dec00704 addi sp,sp,28 -81138018: f800283a ret -8113801c: 2008953a slli r4,r4,20 -81138020: 1907c83a sub r3,r3,r4 -81138024: 003ff106 br 81137fec <__reset+0xfb117fec> - -81138028 <_mprec_log10>: -81138028: defffe04 addi sp,sp,-8 -8113802c: dc000015 stw r16,0(sp) -81138030: dfc00115 stw ra,4(sp) -81138034: 008005c4 movi r2,23 -81138038: 2021883a mov r16,r4 -8113803c: 11000d0e bge r2,r4,81138074 <_mprec_log10+0x4c> -81138040: 0005883a mov r2,zero -81138044: 00cffc34 movhi r3,16368 -81138048: 843fffc4 addi r16,r16,-1 -8113804c: 000d883a mov r6,zero -81138050: 01d00934 movhi r7,16420 -81138054: 1009883a mov r4,r2 -81138058: 180b883a mov r5,r3 -8113805c: 1129d200 call 81129d20 <__muldf3> -81138060: 803ff91e bne r16,zero,81138048 <__reset+0xfb118048> -81138064: dfc00117 ldw ra,4(sp) -81138068: dc000017 ldw r16,0(sp) -8113806c: dec00204 addi sp,sp,8 -81138070: f800283a ret -81138074: 202090fa slli r16,r4,3 -81138078: 00a04574 movhi r2,33045 -8113807c: 10bef504 addi r2,r2,-1068 -81138080: 1421883a add r16,r2,r16 -81138084: 80800017 ldw r2,0(r16) -81138088: 80c00117 ldw r3,4(r16) -8113808c: dfc00117 ldw ra,4(sp) -81138090: dc000017 ldw r16,0(sp) -81138094: dec00204 addi sp,sp,8 -81138098: f800283a ret - -8113809c <__copybits>: -8113809c: 297fffc4 addi r5,r5,-1 -811380a0: 280fd17a srai r7,r5,5 -811380a4: 30c00417 ldw r3,16(r6) -811380a8: 30800504 addi r2,r6,20 -811380ac: 39c00044 addi r7,r7,1 -811380b0: 18c7883a add r3,r3,r3 -811380b4: 39cf883a add r7,r7,r7 -811380b8: 18c7883a add r3,r3,r3 -811380bc: 39cf883a add r7,r7,r7 -811380c0: 10c7883a add r3,r2,r3 -811380c4: 21cf883a add r7,r4,r7 -811380c8: 10c00d2e bgeu r2,r3,81138100 <__copybits+0x64> -811380cc: 200b883a mov r5,r4 -811380d0: 12000017 ldw r8,0(r2) -811380d4: 29400104 addi r5,r5,4 -811380d8: 10800104 addi r2,r2,4 -811380dc: 2a3fff15 stw r8,-4(r5) -811380e0: 10fffb36 bltu r2,r3,811380d0 <__reset+0xfb1180d0> -811380e4: 1985c83a sub r2,r3,r6 -811380e8: 10bffac4 addi r2,r2,-21 -811380ec: 1004d0ba srli r2,r2,2 -811380f0: 10800044 addi r2,r2,1 -811380f4: 1085883a add r2,r2,r2 -811380f8: 1085883a add r2,r2,r2 -811380fc: 2089883a add r4,r4,r2 -81138100: 21c0032e bgeu r4,r7,81138110 <__copybits+0x74> -81138104: 20000015 stw zero,0(r4) -81138108: 21000104 addi r4,r4,4 -8113810c: 21fffd36 bltu r4,r7,81138104 <__reset+0xfb118104> -81138110: f800283a ret - -81138114 <__any_on>: -81138114: 20c00417 ldw r3,16(r4) -81138118: 2805d17a srai r2,r5,5 -8113811c: 21000504 addi r4,r4,20 -81138120: 18800d0e bge r3,r2,81138158 <__any_on+0x44> -81138124: 18c7883a add r3,r3,r3 -81138128: 18c7883a add r3,r3,r3 -8113812c: 20c7883a add r3,r4,r3 -81138130: 20c0192e bgeu r4,r3,81138198 <__any_on+0x84> -81138134: 18bfff17 ldw r2,-4(r3) -81138138: 18ffff04 addi r3,r3,-4 -8113813c: 1000041e bne r2,zero,81138150 <__any_on+0x3c> -81138140: 20c0142e bgeu r4,r3,81138194 <__any_on+0x80> -81138144: 18ffff04 addi r3,r3,-4 -81138148: 19400017 ldw r5,0(r3) -8113814c: 283ffc26 beq r5,zero,81138140 <__reset+0xfb118140> -81138150: 00800044 movi r2,1 -81138154: f800283a ret -81138158: 10c00a0e bge r2,r3,81138184 <__any_on+0x70> -8113815c: 1085883a add r2,r2,r2 -81138160: 1085883a add r2,r2,r2 -81138164: 294007cc andi r5,r5,31 -81138168: 2087883a add r3,r4,r2 -8113816c: 283ff026 beq r5,zero,81138130 <__reset+0xfb118130> -81138170: 19800017 ldw r6,0(r3) -81138174: 3144d83a srl r2,r6,r5 -81138178: 114a983a sll r5,r2,r5 -8113817c: 317ff41e bne r6,r5,81138150 <__reset+0xfb118150> -81138180: 003feb06 br 81138130 <__reset+0xfb118130> -81138184: 1085883a add r2,r2,r2 -81138188: 1085883a add r2,r2,r2 -8113818c: 2087883a add r3,r4,r2 -81138190: 003fe706 br 81138130 <__reset+0xfb118130> +81137c20: 3015883a mov r10,r6 +81137c24: 3017883a mov r11,r6 +81137c28: 8b3fea36 bltu r17,r12,81137bd4 <__reset+0xfb117bd4> +81137c2c: 8200162e bgeu r16,r8,81137c88 <__mdiff+0x17c> +81137c30: 8017883a mov r11,r16 +81137c34: 59400017 ldw r5,0(r11) +81137c38: 31800104 addi r6,r6,4 +81137c3c: 5ac00104 addi r11,r11,4 +81137c40: 293fffcc andi r4,r5,65535 +81137c44: 20c7883a add r3,r4,r3 +81137c48: 280ed43a srli r7,r5,16 +81137c4c: 180bd43a srai r5,r3,16 +81137c50: 193fffcc andi r4,r3,65535 +81137c54: 3947883a add r3,r7,r5 +81137c58: 180a943a slli r5,r3,16 +81137c5c: 1807d43a srai r3,r3,16 +81137c60: 2908b03a or r4,r5,r4 +81137c64: 313fff15 stw r4,-4(r6) +81137c68: 5a3ff236 bltu r11,r8,81137c34 <__reset+0xfb117c34> +81137c6c: 0406303a nor r3,zero,r16 +81137c70: 1a07883a add r3,r3,r8 +81137c74: 1806d0ba srli r3,r3,2 +81137c78: 18c00044 addi r3,r3,1 +81137c7c: 18c7883a add r3,r3,r3 +81137c80: 18c7883a add r3,r3,r3 +81137c84: 50d5883a add r10,r10,r3 +81137c88: 50ffff04 addi r3,r10,-4 +81137c8c: 2000041e bne r4,zero,81137ca0 <__mdiff+0x194> +81137c90: 18ffff04 addi r3,r3,-4 +81137c94: 19000017 ldw r4,0(r3) +81137c98: 4a7fffc4 addi r9,r9,-1 +81137c9c: 203ffc26 beq r4,zero,81137c90 <__reset+0xfb117c90> +81137ca0: 12400415 stw r9,16(r2) +81137ca4: dfc00517 ldw ra,20(sp) +81137ca8: dd000417 ldw r20,16(sp) +81137cac: dcc00317 ldw r19,12(sp) +81137cb0: dc800217 ldw r18,8(sp) +81137cb4: dc400117 ldw r17,4(sp) +81137cb8: dc000017 ldw r16,0(sp) +81137cbc: dec00604 addi sp,sp,24 +81137cc0: f800283a ret +81137cc4: 000b883a mov r5,zero +81137cc8: 11371e40 call 811371e4 <_Balloc> +81137ccc: 00c00044 movi r3,1 +81137cd0: 10c00415 stw r3,16(r2) +81137cd4: 10000515 stw zero,20(r2) +81137cd8: 003ff206 br 81137ca4 <__reset+0xfb117ca4> +81137cdc: 8023883a mov r17,r16 +81137ce0: 0029883a mov r20,zero +81137ce4: 4021883a mov r16,r8 +81137ce8: 003fad06 br 81137ba0 <__reset+0xfb117ba0> +81137cec: 9005883a mov r2,r18 +81137cf0: 94400504 addi r17,r18,20 +81137cf4: 9c000504 addi r16,r19,20 +81137cf8: 9825883a mov r18,r19 +81137cfc: 05000044 movi r20,1 +81137d00: 1027883a mov r19,r2 +81137d04: 003fa606 br 81137ba0 <__reset+0xfb117ba0> + +81137d08 <__ulp>: +81137d08: 295ffc2c andhi r5,r5,32752 +81137d0c: 00bf3034 movhi r2,64704 +81137d10: 2887883a add r3,r5,r2 +81137d14: 00c0020e bge zero,r3,81137d20 <__ulp+0x18> +81137d18: 0005883a mov r2,zero +81137d1c: f800283a ret +81137d20: 00c7c83a sub r3,zero,r3 +81137d24: 1807d53a srai r3,r3,20 +81137d28: 008004c4 movi r2,19 +81137d2c: 10c00b0e bge r2,r3,81137d5c <__ulp+0x54> +81137d30: 18bffb04 addi r2,r3,-20 +81137d34: 01000784 movi r4,30 +81137d38: 0007883a mov r3,zero +81137d3c: 20800516 blt r4,r2,81137d54 <__ulp+0x4c> +81137d40: 010007c4 movi r4,31 +81137d44: 2089c83a sub r4,r4,r2 +81137d48: 00800044 movi r2,1 +81137d4c: 1104983a sll r2,r2,r4 +81137d50: f800283a ret +81137d54: 00800044 movi r2,1 +81137d58: f800283a ret +81137d5c: 01400234 movhi r5,8 +81137d60: 28c7d83a sra r3,r5,r3 +81137d64: 0005883a mov r2,zero +81137d68: f800283a ret + +81137d6c <__b2d>: +81137d6c: defffa04 addi sp,sp,-24 +81137d70: dc000015 stw r16,0(sp) +81137d74: 24000417 ldw r16,16(r4) +81137d78: dc400115 stw r17,4(sp) +81137d7c: 24400504 addi r17,r4,20 +81137d80: 8421883a add r16,r16,r16 +81137d84: 8421883a add r16,r16,r16 +81137d88: 8c21883a add r16,r17,r16 +81137d8c: dc800215 stw r18,8(sp) +81137d90: 84bfff17 ldw r18,-4(r16) +81137d94: dd000415 stw r20,16(sp) +81137d98: dcc00315 stw r19,12(sp) +81137d9c: 9009883a mov r4,r18 +81137da0: 2829883a mov r20,r5 +81137da4: dfc00515 stw ra,20(sp) +81137da8: 11374d80 call 811374d8 <__hi0bits> +81137dac: 00c00804 movi r3,32 +81137db0: 1889c83a sub r4,r3,r2 +81137db4: a1000015 stw r4,0(r20) +81137db8: 01000284 movi r4,10 +81137dbc: 84ffff04 addi r19,r16,-4 +81137dc0: 20801216 blt r4,r2,81137e0c <__b2d+0xa0> +81137dc4: 018002c4 movi r6,11 +81137dc8: 308dc83a sub r6,r6,r2 +81137dcc: 9186d83a srl r3,r18,r6 +81137dd0: 18cffc34 orhi r3,r3,16368 +81137dd4: 8cc0212e bgeu r17,r19,81137e5c <__b2d+0xf0> +81137dd8: 813ffe17 ldw r4,-8(r16) +81137ddc: 218cd83a srl r6,r4,r6 +81137de0: 10800544 addi r2,r2,21 +81137de4: 9084983a sll r2,r18,r2 +81137de8: 1184b03a or r2,r2,r6 +81137dec: dfc00517 ldw ra,20(sp) +81137df0: dd000417 ldw r20,16(sp) +81137df4: dcc00317 ldw r19,12(sp) +81137df8: dc800217 ldw r18,8(sp) +81137dfc: dc400117 ldw r17,4(sp) +81137e00: dc000017 ldw r16,0(sp) +81137e04: dec00604 addi sp,sp,24 +81137e08: f800283a ret +81137e0c: 8cc00f2e bgeu r17,r19,81137e4c <__b2d+0xe0> +81137e10: 117ffd44 addi r5,r2,-11 +81137e14: 80bffe17 ldw r2,-8(r16) +81137e18: 28000e26 beq r5,zero,81137e54 <__b2d+0xe8> +81137e1c: 1949c83a sub r4,r3,r5 +81137e20: 9164983a sll r18,r18,r5 +81137e24: 1106d83a srl r3,r2,r4 +81137e28: 81bffe04 addi r6,r16,-8 +81137e2c: 948ffc34 orhi r18,r18,16368 +81137e30: 90c6b03a or r3,r18,r3 +81137e34: 89800e2e bgeu r17,r6,81137e70 <__b2d+0x104> +81137e38: 81bffd17 ldw r6,-12(r16) +81137e3c: 1144983a sll r2,r2,r5 +81137e40: 310ad83a srl r5,r6,r4 +81137e44: 2884b03a or r2,r5,r2 +81137e48: 003fe806 br 81137dec <__reset+0xfb117dec> +81137e4c: 10bffd44 addi r2,r2,-11 +81137e50: 1000041e bne r2,zero,81137e64 <__b2d+0xf8> +81137e54: 90cffc34 orhi r3,r18,16368 +81137e58: 003fe406 br 81137dec <__reset+0xfb117dec> +81137e5c: 000d883a mov r6,zero +81137e60: 003fdf06 br 81137de0 <__reset+0xfb117de0> +81137e64: 90a4983a sll r18,r18,r2 +81137e68: 0005883a mov r2,zero +81137e6c: 003ff906 br 81137e54 <__reset+0xfb117e54> +81137e70: 1144983a sll r2,r2,r5 +81137e74: 003fdd06 br 81137dec <__reset+0xfb117dec> + +81137e78 <__d2b>: +81137e78: defff804 addi sp,sp,-32 +81137e7c: dc000215 stw r16,8(sp) +81137e80: 3021883a mov r16,r6 +81137e84: dc400315 stw r17,12(sp) +81137e88: 8022907a slli r17,r16,1 +81137e8c: dd000615 stw r20,24(sp) +81137e90: 2829883a mov r20,r5 +81137e94: 01400044 movi r5,1 +81137e98: dcc00515 stw r19,20(sp) +81137e9c: dc800415 stw r18,16(sp) +81137ea0: dfc00715 stw ra,28(sp) +81137ea4: 3825883a mov r18,r7 +81137ea8: 8822d57a srli r17,r17,21 +81137eac: 11371e40 call 811371e4 <_Balloc> +81137eb0: 1027883a mov r19,r2 +81137eb4: 00800434 movhi r2,16 +81137eb8: 10bfffc4 addi r2,r2,-1 +81137ebc: 808c703a and r6,r16,r2 +81137ec0: 88000126 beq r17,zero,81137ec8 <__d2b+0x50> +81137ec4: 31800434 orhi r6,r6,16 +81137ec8: d9800015 stw r6,0(sp) +81137ecc: a0002426 beq r20,zero,81137f60 <__d2b+0xe8> +81137ed0: d9000104 addi r4,sp,4 +81137ed4: dd000115 stw r20,4(sp) +81137ed8: 11375400 call 81137540 <__lo0bits> +81137edc: d8c00017 ldw r3,0(sp) +81137ee0: 10002f1e bne r2,zero,81137fa0 <__d2b+0x128> +81137ee4: d9000117 ldw r4,4(sp) +81137ee8: 99000515 stw r4,20(r19) +81137eec: 1821003a cmpeq r16,r3,zero +81137ef0: 01000084 movi r4,2 +81137ef4: 2421c83a sub r16,r4,r16 +81137ef8: 98c00615 stw r3,24(r19) +81137efc: 9c000415 stw r16,16(r19) +81137f00: 88001f1e bne r17,zero,81137f80 <__d2b+0x108> +81137f04: 10bef384 addi r2,r2,-1074 +81137f08: 90800015 stw r2,0(r18) +81137f0c: 00900034 movhi r2,16384 +81137f10: 10bfffc4 addi r2,r2,-1 +81137f14: 8085883a add r2,r16,r2 +81137f18: 1085883a add r2,r2,r2 +81137f1c: 1085883a add r2,r2,r2 +81137f20: 9885883a add r2,r19,r2 +81137f24: 11000517 ldw r4,20(r2) +81137f28: 8020917a slli r16,r16,5 +81137f2c: 11374d80 call 811374d8 <__hi0bits> +81137f30: d8c00817 ldw r3,32(sp) +81137f34: 8085c83a sub r2,r16,r2 +81137f38: 18800015 stw r2,0(r3) +81137f3c: 9805883a mov r2,r19 +81137f40: dfc00717 ldw ra,28(sp) +81137f44: dd000617 ldw r20,24(sp) +81137f48: dcc00517 ldw r19,20(sp) +81137f4c: dc800417 ldw r18,16(sp) +81137f50: dc400317 ldw r17,12(sp) +81137f54: dc000217 ldw r16,8(sp) +81137f58: dec00804 addi sp,sp,32 +81137f5c: f800283a ret +81137f60: d809883a mov r4,sp +81137f64: 11375400 call 81137540 <__lo0bits> +81137f68: d8c00017 ldw r3,0(sp) +81137f6c: 04000044 movi r16,1 +81137f70: 9c000415 stw r16,16(r19) +81137f74: 98c00515 stw r3,20(r19) +81137f78: 10800804 addi r2,r2,32 +81137f7c: 883fe126 beq r17,zero,81137f04 <__reset+0xfb117f04> +81137f80: 00c00d44 movi r3,53 +81137f84: 8c7ef344 addi r17,r17,-1075 +81137f88: 88a3883a add r17,r17,r2 +81137f8c: 1885c83a sub r2,r3,r2 +81137f90: d8c00817 ldw r3,32(sp) +81137f94: 94400015 stw r17,0(r18) +81137f98: 18800015 stw r2,0(r3) +81137f9c: 003fe706 br 81137f3c <__reset+0xfb117f3c> +81137fa0: 01000804 movi r4,32 +81137fa4: 2089c83a sub r4,r4,r2 +81137fa8: 1908983a sll r4,r3,r4 +81137fac: d9400117 ldw r5,4(sp) +81137fb0: 1886d83a srl r3,r3,r2 +81137fb4: 2148b03a or r4,r4,r5 +81137fb8: 99000515 stw r4,20(r19) +81137fbc: d8c00015 stw r3,0(sp) +81137fc0: 003fca06 br 81137eec <__reset+0xfb117eec> + +81137fc4 <__ratio>: +81137fc4: defff904 addi sp,sp,-28 +81137fc8: dc400315 stw r17,12(sp) +81137fcc: 2823883a mov r17,r5 +81137fd0: d9400104 addi r5,sp,4 +81137fd4: dfc00615 stw ra,24(sp) +81137fd8: dcc00515 stw r19,20(sp) +81137fdc: dc800415 stw r18,16(sp) +81137fe0: 2027883a mov r19,r4 +81137fe4: dc000215 stw r16,8(sp) +81137fe8: 1137d6c0 call 81137d6c <__b2d> +81137fec: d80b883a mov r5,sp +81137ff0: 8809883a mov r4,r17 +81137ff4: 1025883a mov r18,r2 +81137ff8: 1821883a mov r16,r3 +81137ffc: 1137d6c0 call 81137d6c <__b2d> +81138000: 8a000417 ldw r8,16(r17) +81138004: 99000417 ldw r4,16(r19) +81138008: d9400117 ldw r5,4(sp) +8113800c: 2209c83a sub r4,r4,r8 +81138010: 2010917a slli r8,r4,5 +81138014: d9000017 ldw r4,0(sp) +81138018: 2909c83a sub r4,r5,r4 +8113801c: 4109883a add r4,r8,r4 +81138020: 01000e0e bge zero,r4,8113805c <__ratio+0x98> +81138024: 2008953a slli r4,r4,20 +81138028: 2421883a add r16,r4,r16 +8113802c: 100d883a mov r6,r2 +81138030: 180f883a mov r7,r3 +81138034: 9009883a mov r4,r18 +81138038: 800b883a mov r5,r16 +8113803c: 112939c0 call 8112939c <__divdf3> +81138040: dfc00617 ldw ra,24(sp) +81138044: dcc00517 ldw r19,20(sp) +81138048: dc800417 ldw r18,16(sp) +8113804c: dc400317 ldw r17,12(sp) +81138050: dc000217 ldw r16,8(sp) +81138054: dec00704 addi sp,sp,28 +81138058: f800283a ret +8113805c: 2008953a slli r4,r4,20 +81138060: 1907c83a sub r3,r3,r4 +81138064: 003ff106 br 8113802c <__reset+0xfb11802c> + +81138068 <_mprec_log10>: +81138068: defffe04 addi sp,sp,-8 +8113806c: dc000015 stw r16,0(sp) +81138070: dfc00115 stw ra,4(sp) +81138074: 008005c4 movi r2,23 +81138078: 2021883a mov r16,r4 +8113807c: 11000d0e bge r2,r4,811380b4 <_mprec_log10+0x4c> +81138080: 0005883a mov r2,zero +81138084: 00cffc34 movhi r3,16368 +81138088: 843fffc4 addi r16,r16,-1 +8113808c: 000d883a mov r6,zero +81138090: 01d00934 movhi r7,16420 +81138094: 1009883a mov r4,r2 +81138098: 180b883a mov r5,r3 +8113809c: 1129d600 call 81129d60 <__muldf3> +811380a0: 803ff91e bne r16,zero,81138088 <__reset+0xfb118088> +811380a4: dfc00117 ldw ra,4(sp) +811380a8: dc000017 ldw r16,0(sp) +811380ac: dec00204 addi sp,sp,8 +811380b0: f800283a ret +811380b4: 202090fa slli r16,r4,3 +811380b8: 00a04574 movhi r2,33045 +811380bc: 10bf0604 addi r2,r2,-1000 +811380c0: 1421883a add r16,r2,r16 +811380c4: 80800017 ldw r2,0(r16) +811380c8: 80c00117 ldw r3,4(r16) +811380cc: dfc00117 ldw ra,4(sp) +811380d0: dc000017 ldw r16,0(sp) +811380d4: dec00204 addi sp,sp,8 +811380d8: f800283a ret + +811380dc <__copybits>: +811380dc: 297fffc4 addi r5,r5,-1 +811380e0: 280fd17a srai r7,r5,5 +811380e4: 30c00417 ldw r3,16(r6) +811380e8: 30800504 addi r2,r6,20 +811380ec: 39c00044 addi r7,r7,1 +811380f0: 18c7883a add r3,r3,r3 +811380f4: 39cf883a add r7,r7,r7 +811380f8: 18c7883a add r3,r3,r3 +811380fc: 39cf883a add r7,r7,r7 +81138100: 10c7883a add r3,r2,r3 +81138104: 21cf883a add r7,r4,r7 +81138108: 10c00d2e bgeu r2,r3,81138140 <__copybits+0x64> +8113810c: 200b883a mov r5,r4 +81138110: 12000017 ldw r8,0(r2) +81138114: 29400104 addi r5,r5,4 +81138118: 10800104 addi r2,r2,4 +8113811c: 2a3fff15 stw r8,-4(r5) +81138120: 10fffb36 bltu r2,r3,81138110 <__reset+0xfb118110> +81138124: 1985c83a sub r2,r3,r6 +81138128: 10bffac4 addi r2,r2,-21 +8113812c: 1004d0ba srli r2,r2,2 +81138130: 10800044 addi r2,r2,1 +81138134: 1085883a add r2,r2,r2 +81138138: 1085883a add r2,r2,r2 +8113813c: 2089883a add r4,r4,r2 +81138140: 21c0032e bgeu r4,r7,81138150 <__copybits+0x74> +81138144: 20000015 stw zero,0(r4) +81138148: 21000104 addi r4,r4,4 +8113814c: 21fffd36 bltu r4,r7,81138144 <__reset+0xfb118144> +81138150: f800283a ret + +81138154 <__any_on>: +81138154: 20c00417 ldw r3,16(r4) +81138158: 2805d17a srai r2,r5,5 +8113815c: 21000504 addi r4,r4,20 +81138160: 18800d0e bge r3,r2,81138198 <__any_on+0x44> +81138164: 18c7883a add r3,r3,r3 +81138168: 18c7883a add r3,r3,r3 +8113816c: 20c7883a add r3,r4,r3 +81138170: 20c0192e bgeu r4,r3,811381d8 <__any_on+0x84> +81138174: 18bfff17 ldw r2,-4(r3) +81138178: 18ffff04 addi r3,r3,-4 +8113817c: 1000041e bne r2,zero,81138190 <__any_on+0x3c> +81138180: 20c0142e bgeu r4,r3,811381d4 <__any_on+0x80> +81138184: 18ffff04 addi r3,r3,-4 +81138188: 19400017 ldw r5,0(r3) +8113818c: 283ffc26 beq r5,zero,81138180 <__reset+0xfb118180> +81138190: 00800044 movi r2,1 81138194: f800283a ret -81138198: 0005883a mov r2,zero -8113819c: f800283a ret - -811381a0 <_read_r>: -811381a0: defffd04 addi sp,sp,-12 -811381a4: 2805883a mov r2,r5 -811381a8: dc000015 stw r16,0(sp) -811381ac: 04204574 movhi r16,33045 -811381b0: dc400115 stw r17,4(sp) -811381b4: 300b883a mov r5,r6 -811381b8: 84076904 addi r16,r16,7588 -811381bc: 2023883a mov r17,r4 -811381c0: 380d883a mov r6,r7 -811381c4: 1009883a mov r4,r2 -811381c8: dfc00215 stw ra,8(sp) -811381cc: 80000015 stw zero,0(r16) -811381d0: 113f7640 call 8113f764 -811381d4: 00ffffc4 movi r3,-1 -811381d8: 10c00526 beq r2,r3,811381f0 <_read_r+0x50> -811381dc: dfc00217 ldw ra,8(sp) -811381e0: dc400117 ldw r17,4(sp) -811381e4: dc000017 ldw r16,0(sp) -811381e8: dec00304 addi sp,sp,12 -811381ec: f800283a ret -811381f0: 80c00017 ldw r3,0(r16) -811381f4: 183ff926 beq r3,zero,811381dc <__reset+0xfb1181dc> -811381f8: 88c00015 stw r3,0(r17) -811381fc: 003ff706 br 811381dc <__reset+0xfb1181dc> - -81138200 <_realloc_r>: -81138200: defff604 addi sp,sp,-40 -81138204: dc800215 stw r18,8(sp) -81138208: dfc00915 stw ra,36(sp) -8113820c: df000815 stw fp,32(sp) -81138210: ddc00715 stw r23,28(sp) -81138214: dd800615 stw r22,24(sp) -81138218: dd400515 stw r21,20(sp) -8113821c: dd000415 stw r20,16(sp) -81138220: dcc00315 stw r19,12(sp) -81138224: dc400115 stw r17,4(sp) -81138228: dc000015 stw r16,0(sp) -8113822c: 3025883a mov r18,r6 -81138230: 2800b726 beq r5,zero,81138510 <_realloc_r+0x310> -81138234: 282b883a mov r21,r5 -81138238: 2029883a mov r20,r4 -8113823c: 113fbf80 call 8113fbf8 <__malloc_lock> -81138240: a8bfff17 ldw r2,-4(r21) -81138244: 043fff04 movi r16,-4 -81138248: 90c002c4 addi r3,r18,11 -8113824c: 01000584 movi r4,22 -81138250: acfffe04 addi r19,r21,-8 -81138254: 1420703a and r16,r2,r16 -81138258: 20c0332e bgeu r4,r3,81138328 <_realloc_r+0x128> -8113825c: 047ffe04 movi r17,-8 -81138260: 1c62703a and r17,r3,r17 -81138264: 8807883a mov r3,r17 -81138268: 88005816 blt r17,zero,811383cc <_realloc_r+0x1cc> -8113826c: 8c805736 bltu r17,r18,811383cc <_realloc_r+0x1cc> -81138270: 80c0300e bge r16,r3,81138334 <_realloc_r+0x134> -81138274: 07204574 movhi fp,33045 -81138278: e700fa04 addi fp,fp,1000 -8113827c: e1c00217 ldw r7,8(fp) -81138280: 9c09883a add r4,r19,r16 -81138284: 22000117 ldw r8,4(r4) -81138288: 21c06326 beq r4,r7,81138418 <_realloc_r+0x218> -8113828c: 017fff84 movi r5,-2 -81138290: 414a703a and r5,r8,r5 -81138294: 214b883a add r5,r4,r5 -81138298: 29800117 ldw r6,4(r5) -8113829c: 3180004c andi r6,r6,1 -811382a0: 30003f26 beq r6,zero,811383a0 <_realloc_r+0x1a0> -811382a4: 1080004c andi r2,r2,1 -811382a8: 10008326 beq r2,zero,811384b8 <_realloc_r+0x2b8> -811382ac: 900b883a mov r5,r18 -811382b0: a009883a mov r4,r20 -811382b4: 112be3c0 call 8112be3c <_malloc_r> -811382b8: 1025883a mov r18,r2 -811382bc: 10011e26 beq r2,zero,81138738 <_realloc_r+0x538> -811382c0: a93fff17 ldw r4,-4(r21) -811382c4: 10fffe04 addi r3,r2,-8 -811382c8: 00bfff84 movi r2,-2 -811382cc: 2084703a and r2,r4,r2 -811382d0: 9885883a add r2,r19,r2 -811382d4: 1880ee26 beq r3,r2,81138690 <_realloc_r+0x490> -811382d8: 81bfff04 addi r6,r16,-4 -811382dc: 00800904 movi r2,36 -811382e0: 1180b836 bltu r2,r6,811385c4 <_realloc_r+0x3c4> -811382e4: 00c004c4 movi r3,19 -811382e8: 19809636 bltu r3,r6,81138544 <_realloc_r+0x344> -811382ec: 9005883a mov r2,r18 -811382f0: a807883a mov r3,r21 -811382f4: 19000017 ldw r4,0(r3) -811382f8: 11000015 stw r4,0(r2) -811382fc: 19000117 ldw r4,4(r3) -81138300: 11000115 stw r4,4(r2) -81138304: 18c00217 ldw r3,8(r3) -81138308: 10c00215 stw r3,8(r2) -8113830c: a80b883a mov r5,r21 -81138310: a009883a mov r4,r20 -81138314: 113622c0 call 8113622c <_free_r> -81138318: a009883a mov r4,r20 -8113831c: 113fca40 call 8113fca4 <__malloc_unlock> -81138320: 9005883a mov r2,r18 -81138324: 00001206 br 81138370 <_realloc_r+0x170> -81138328: 00c00404 movi r3,16 -8113832c: 1823883a mov r17,r3 -81138330: 003fce06 br 8113826c <__reset+0xfb11826c> -81138334: a825883a mov r18,r21 -81138338: 8445c83a sub r2,r16,r17 -8113833c: 00c003c4 movi r3,15 -81138340: 18802636 bltu r3,r2,811383dc <_realloc_r+0x1dc> -81138344: 99800117 ldw r6,4(r19) -81138348: 9c07883a add r3,r19,r16 -8113834c: 3180004c andi r6,r6,1 -81138350: 3420b03a or r16,r6,r16 -81138354: 9c000115 stw r16,4(r19) -81138358: 18800117 ldw r2,4(r3) -8113835c: 10800054 ori r2,r2,1 -81138360: 18800115 stw r2,4(r3) -81138364: a009883a mov r4,r20 -81138368: 113fca40 call 8113fca4 <__malloc_unlock> -8113836c: 9005883a mov r2,r18 -81138370: dfc00917 ldw ra,36(sp) -81138374: df000817 ldw fp,32(sp) -81138378: ddc00717 ldw r23,28(sp) -8113837c: dd800617 ldw r22,24(sp) -81138380: dd400517 ldw r21,20(sp) -81138384: dd000417 ldw r20,16(sp) -81138388: dcc00317 ldw r19,12(sp) -8113838c: dc800217 ldw r18,8(sp) -81138390: dc400117 ldw r17,4(sp) -81138394: dc000017 ldw r16,0(sp) -81138398: dec00a04 addi sp,sp,40 -8113839c: f800283a ret -811383a0: 017fff04 movi r5,-4 -811383a4: 414a703a and r5,r8,r5 -811383a8: 814d883a add r6,r16,r5 -811383ac: 30c01f16 blt r6,r3,8113842c <_realloc_r+0x22c> -811383b0: 20800317 ldw r2,12(r4) -811383b4: 20c00217 ldw r3,8(r4) -811383b8: a825883a mov r18,r21 -811383bc: 3021883a mov r16,r6 -811383c0: 18800315 stw r2,12(r3) -811383c4: 10c00215 stw r3,8(r2) -811383c8: 003fdb06 br 81138338 <__reset+0xfb118338> -811383cc: 00800304 movi r2,12 -811383d0: a0800015 stw r2,0(r20) -811383d4: 0005883a mov r2,zero -811383d8: 003fe506 br 81138370 <__reset+0xfb118370> -811383dc: 98c00117 ldw r3,4(r19) -811383e0: 9c4b883a add r5,r19,r17 -811383e4: 11000054 ori r4,r2,1 -811383e8: 18c0004c andi r3,r3,1 -811383ec: 1c62b03a or r17,r3,r17 -811383f0: 9c400115 stw r17,4(r19) -811383f4: 29000115 stw r4,4(r5) -811383f8: 2885883a add r2,r5,r2 -811383fc: 10c00117 ldw r3,4(r2) -81138400: 29400204 addi r5,r5,8 -81138404: a009883a mov r4,r20 -81138408: 18c00054 ori r3,r3,1 -8113840c: 10c00115 stw r3,4(r2) -81138410: 113622c0 call 8113622c <_free_r> -81138414: 003fd306 br 81138364 <__reset+0xfb118364> -81138418: 017fff04 movi r5,-4 -8113841c: 414a703a and r5,r8,r5 -81138420: 89800404 addi r6,r17,16 -81138424: 8151883a add r8,r16,r5 -81138428: 4180590e bge r8,r6,81138590 <_realloc_r+0x390> -8113842c: 1080004c andi r2,r2,1 -81138430: 103f9e1e bne r2,zero,811382ac <__reset+0xfb1182ac> -81138434: adbffe17 ldw r22,-8(r21) -81138438: 00bfff04 movi r2,-4 -8113843c: 9dadc83a sub r22,r19,r22 -81138440: b1800117 ldw r6,4(r22) -81138444: 3084703a and r2,r6,r2 -81138448: 20002026 beq r4,zero,811384cc <_realloc_r+0x2cc> -8113844c: 80af883a add r23,r16,r2 -81138450: b96f883a add r23,r23,r5 -81138454: 21c05f26 beq r4,r7,811385d4 <_realloc_r+0x3d4> -81138458: b8c01c16 blt r23,r3,811384cc <_realloc_r+0x2cc> -8113845c: 20800317 ldw r2,12(r4) -81138460: 20c00217 ldw r3,8(r4) -81138464: 81bfff04 addi r6,r16,-4 -81138468: 01000904 movi r4,36 -8113846c: 18800315 stw r2,12(r3) -81138470: 10c00215 stw r3,8(r2) -81138474: b0c00217 ldw r3,8(r22) -81138478: b0800317 ldw r2,12(r22) -8113847c: b4800204 addi r18,r22,8 -81138480: 18800315 stw r2,12(r3) -81138484: 10c00215 stw r3,8(r2) -81138488: 21801b36 bltu r4,r6,811384f8 <_realloc_r+0x2f8> -8113848c: 008004c4 movi r2,19 -81138490: 1180352e bgeu r2,r6,81138568 <_realloc_r+0x368> -81138494: a8800017 ldw r2,0(r21) -81138498: b0800215 stw r2,8(r22) -8113849c: a8800117 ldw r2,4(r21) -811384a0: b0800315 stw r2,12(r22) -811384a4: 008006c4 movi r2,27 -811384a8: 11807f36 bltu r2,r6,811386a8 <_realloc_r+0x4a8> -811384ac: b0800404 addi r2,r22,16 -811384b0: ad400204 addi r21,r21,8 -811384b4: 00002d06 br 8113856c <_realloc_r+0x36c> -811384b8: adbffe17 ldw r22,-8(r21) -811384bc: 00bfff04 movi r2,-4 -811384c0: 9dadc83a sub r22,r19,r22 -811384c4: b1000117 ldw r4,4(r22) -811384c8: 2084703a and r2,r4,r2 -811384cc: b03f7726 beq r22,zero,811382ac <__reset+0xfb1182ac> -811384d0: 80af883a add r23,r16,r2 -811384d4: b8ff7516 blt r23,r3,811382ac <__reset+0xfb1182ac> -811384d8: b0800317 ldw r2,12(r22) -811384dc: b0c00217 ldw r3,8(r22) -811384e0: 81bfff04 addi r6,r16,-4 -811384e4: 01000904 movi r4,36 -811384e8: 18800315 stw r2,12(r3) -811384ec: 10c00215 stw r3,8(r2) -811384f0: b4800204 addi r18,r22,8 -811384f4: 21bfe52e bgeu r4,r6,8113848c <__reset+0xfb11848c> -811384f8: a80b883a mov r5,r21 -811384fc: 9009883a mov r4,r18 -81138500: 11370480 call 81137048 -81138504: b821883a mov r16,r23 -81138508: b027883a mov r19,r22 -8113850c: 003f8a06 br 81138338 <__reset+0xfb118338> -81138510: 300b883a mov r5,r6 -81138514: dfc00917 ldw ra,36(sp) -81138518: df000817 ldw fp,32(sp) -8113851c: ddc00717 ldw r23,28(sp) -81138520: dd800617 ldw r22,24(sp) -81138524: dd400517 ldw r21,20(sp) -81138528: dd000417 ldw r20,16(sp) -8113852c: dcc00317 ldw r19,12(sp) -81138530: dc800217 ldw r18,8(sp) -81138534: dc400117 ldw r17,4(sp) -81138538: dc000017 ldw r16,0(sp) -8113853c: dec00a04 addi sp,sp,40 -81138540: 112be3c1 jmpi 8112be3c <_malloc_r> -81138544: a8c00017 ldw r3,0(r21) -81138548: 90c00015 stw r3,0(r18) -8113854c: a8c00117 ldw r3,4(r21) -81138550: 90c00115 stw r3,4(r18) -81138554: 00c006c4 movi r3,27 -81138558: 19804536 bltu r3,r6,81138670 <_realloc_r+0x470> -8113855c: 90800204 addi r2,r18,8 -81138560: a8c00204 addi r3,r21,8 -81138564: 003f6306 br 811382f4 <__reset+0xfb1182f4> -81138568: 9005883a mov r2,r18 -8113856c: a8c00017 ldw r3,0(r21) -81138570: b821883a mov r16,r23 -81138574: b027883a mov r19,r22 -81138578: 10c00015 stw r3,0(r2) -8113857c: a8c00117 ldw r3,4(r21) -81138580: 10c00115 stw r3,4(r2) -81138584: a8c00217 ldw r3,8(r21) -81138588: 10c00215 stw r3,8(r2) -8113858c: 003f6a06 br 81138338 <__reset+0xfb118338> -81138590: 9c67883a add r19,r19,r17 -81138594: 4445c83a sub r2,r8,r17 -81138598: e4c00215 stw r19,8(fp) -8113859c: 10800054 ori r2,r2,1 -811385a0: 98800115 stw r2,4(r19) -811385a4: a8bfff17 ldw r2,-4(r21) -811385a8: a009883a mov r4,r20 -811385ac: 1080004c andi r2,r2,1 -811385b0: 1462b03a or r17,r2,r17 -811385b4: ac7fff15 stw r17,-4(r21) -811385b8: 113fca40 call 8113fca4 <__malloc_unlock> -811385bc: a805883a mov r2,r21 -811385c0: 003f6b06 br 81138370 <__reset+0xfb118370> -811385c4: a80b883a mov r5,r21 -811385c8: 9009883a mov r4,r18 -811385cc: 11370480 call 81137048 -811385d0: 003f4e06 br 8113830c <__reset+0xfb11830c> -811385d4: 89000404 addi r4,r17,16 -811385d8: b93fbc16 blt r23,r4,811384cc <__reset+0xfb1184cc> -811385dc: b0800317 ldw r2,12(r22) -811385e0: b0c00217 ldw r3,8(r22) -811385e4: 81bfff04 addi r6,r16,-4 -811385e8: 01000904 movi r4,36 -811385ec: 18800315 stw r2,12(r3) -811385f0: 10c00215 stw r3,8(r2) -811385f4: b4800204 addi r18,r22,8 -811385f8: 21804336 bltu r4,r6,81138708 <_realloc_r+0x508> -811385fc: 008004c4 movi r2,19 -81138600: 11803f2e bgeu r2,r6,81138700 <_realloc_r+0x500> -81138604: a8800017 ldw r2,0(r21) -81138608: b0800215 stw r2,8(r22) -8113860c: a8800117 ldw r2,4(r21) -81138610: b0800315 stw r2,12(r22) -81138614: 008006c4 movi r2,27 -81138618: 11803f36 bltu r2,r6,81138718 <_realloc_r+0x518> -8113861c: b0800404 addi r2,r22,16 -81138620: ad400204 addi r21,r21,8 -81138624: a8c00017 ldw r3,0(r21) -81138628: 10c00015 stw r3,0(r2) -8113862c: a8c00117 ldw r3,4(r21) -81138630: 10c00115 stw r3,4(r2) -81138634: a8c00217 ldw r3,8(r21) -81138638: 10c00215 stw r3,8(r2) -8113863c: b447883a add r3,r22,r17 -81138640: bc45c83a sub r2,r23,r17 -81138644: e0c00215 stw r3,8(fp) -81138648: 10800054 ori r2,r2,1 -8113864c: 18800115 stw r2,4(r3) -81138650: b0800117 ldw r2,4(r22) -81138654: a009883a mov r4,r20 -81138658: 1080004c andi r2,r2,1 -8113865c: 1462b03a or r17,r2,r17 -81138660: b4400115 stw r17,4(r22) -81138664: 113fca40 call 8113fca4 <__malloc_unlock> -81138668: 9005883a mov r2,r18 -8113866c: 003f4006 br 81138370 <__reset+0xfb118370> -81138670: a8c00217 ldw r3,8(r21) -81138674: 90c00215 stw r3,8(r18) -81138678: a8c00317 ldw r3,12(r21) -8113867c: 90c00315 stw r3,12(r18) -81138680: 30801126 beq r6,r2,811386c8 <_realloc_r+0x4c8> -81138684: 90800404 addi r2,r18,16 -81138688: a8c00404 addi r3,r21,16 -8113868c: 003f1906 br 811382f4 <__reset+0xfb1182f4> -81138690: 90ffff17 ldw r3,-4(r18) -81138694: 00bfff04 movi r2,-4 -81138698: a825883a mov r18,r21 -8113869c: 1884703a and r2,r3,r2 -811386a0: 80a1883a add r16,r16,r2 -811386a4: 003f2406 br 81138338 <__reset+0xfb118338> -811386a8: a8800217 ldw r2,8(r21) -811386ac: b0800415 stw r2,16(r22) -811386b0: a8800317 ldw r2,12(r21) -811386b4: b0800515 stw r2,20(r22) -811386b8: 31000a26 beq r6,r4,811386e4 <_realloc_r+0x4e4> -811386bc: b0800604 addi r2,r22,24 -811386c0: ad400404 addi r21,r21,16 -811386c4: 003fa906 br 8113856c <__reset+0xfb11856c> -811386c8: a9000417 ldw r4,16(r21) -811386cc: 90800604 addi r2,r18,24 -811386d0: a8c00604 addi r3,r21,24 -811386d4: 91000415 stw r4,16(r18) -811386d8: a9000517 ldw r4,20(r21) -811386dc: 91000515 stw r4,20(r18) -811386e0: 003f0406 br 811382f4 <__reset+0xfb1182f4> -811386e4: a8c00417 ldw r3,16(r21) -811386e8: ad400604 addi r21,r21,24 -811386ec: b0800804 addi r2,r22,32 -811386f0: b0c00615 stw r3,24(r22) -811386f4: a8ffff17 ldw r3,-4(r21) -811386f8: b0c00715 stw r3,28(r22) -811386fc: 003f9b06 br 8113856c <__reset+0xfb11856c> -81138700: 9005883a mov r2,r18 -81138704: 003fc706 br 81138624 <__reset+0xfb118624> -81138708: a80b883a mov r5,r21 -8113870c: 9009883a mov r4,r18 -81138710: 11370480 call 81137048 -81138714: 003fc906 br 8113863c <__reset+0xfb11863c> -81138718: a8800217 ldw r2,8(r21) -8113871c: b0800415 stw r2,16(r22) -81138720: a8800317 ldw r2,12(r21) -81138724: b0800515 stw r2,20(r22) -81138728: 31000726 beq r6,r4,81138748 <_realloc_r+0x548> -8113872c: b0800604 addi r2,r22,24 -81138730: ad400404 addi r21,r21,16 -81138734: 003fbb06 br 81138624 <__reset+0xfb118624> -81138738: a009883a mov r4,r20 -8113873c: 113fca40 call 8113fca4 <__malloc_unlock> -81138740: 0005883a mov r2,zero -81138744: 003f0a06 br 81138370 <__reset+0xfb118370> -81138748: a8c00417 ldw r3,16(r21) -8113874c: ad400604 addi r21,r21,24 -81138750: b0800804 addi r2,r22,32 -81138754: b0c00615 stw r3,24(r22) -81138758: a8ffff17 ldw r3,-4(r21) -8113875c: b0c00715 stw r3,28(r22) -81138760: 003fb006 br 81138624 <__reset+0xfb118624> - -81138764 <__fpclassifyd>: -81138764: 00a00034 movhi r2,32768 -81138768: 10bfffc4 addi r2,r2,-1 -8113876c: 2884703a and r2,r5,r2 -81138770: 10000726 beq r2,zero,81138790 <__fpclassifyd+0x2c> -81138774: 00fffc34 movhi r3,65520 -81138778: 019ff834 movhi r6,32736 -8113877c: 28c7883a add r3,r5,r3 -81138780: 31bfffc4 addi r6,r6,-1 -81138784: 30c00536 bltu r6,r3,8113879c <__fpclassifyd+0x38> -81138788: 00800104 movi r2,4 -8113878c: f800283a ret -81138790: 2000021e bne r4,zero,8113879c <__fpclassifyd+0x38> -81138794: 00800084 movi r2,2 -81138798: f800283a ret -8113879c: 00dffc34 movhi r3,32752 -811387a0: 019ff834 movhi r6,32736 -811387a4: 28cb883a add r5,r5,r3 -811387a8: 31bfffc4 addi r6,r6,-1 -811387ac: 317ff62e bgeu r6,r5,81138788 <__reset+0xfb118788> -811387b0: 01400434 movhi r5,16 -811387b4: 297fffc4 addi r5,r5,-1 -811387b8: 28800236 bltu r5,r2,811387c4 <__fpclassifyd+0x60> -811387bc: 008000c4 movi r2,3 -811387c0: f800283a ret -811387c4: 10c00226 beq r2,r3,811387d0 <__fpclassifyd+0x6c> -811387c8: 0005883a mov r2,zero +81138198: 10c00a0e bge r2,r3,811381c4 <__any_on+0x70> +8113819c: 1085883a add r2,r2,r2 +811381a0: 1085883a add r2,r2,r2 +811381a4: 294007cc andi r5,r5,31 +811381a8: 2087883a add r3,r4,r2 +811381ac: 283ff026 beq r5,zero,81138170 <__reset+0xfb118170> +811381b0: 19800017 ldw r6,0(r3) +811381b4: 3144d83a srl r2,r6,r5 +811381b8: 114a983a sll r5,r2,r5 +811381bc: 317ff41e bne r6,r5,81138190 <__reset+0xfb118190> +811381c0: 003feb06 br 81138170 <__reset+0xfb118170> +811381c4: 1085883a add r2,r2,r2 +811381c8: 1085883a add r2,r2,r2 +811381cc: 2087883a add r3,r4,r2 +811381d0: 003fe706 br 81138170 <__reset+0xfb118170> +811381d4: f800283a ret +811381d8: 0005883a mov r2,zero +811381dc: f800283a ret + +811381e0 <_read_r>: +811381e0: defffd04 addi sp,sp,-12 +811381e4: 2805883a mov r2,r5 +811381e8: dc000015 stw r16,0(sp) +811381ec: 04204574 movhi r16,33045 +811381f0: dc400115 stw r17,4(sp) +811381f4: 300b883a mov r5,r6 +811381f8: 84077a04 addi r16,r16,7656 +811381fc: 2023883a mov r17,r4 +81138200: 380d883a mov r6,r7 +81138204: 1009883a mov r4,r2 +81138208: dfc00215 stw ra,8(sp) +8113820c: 80000015 stw zero,0(r16) +81138210: 113f7a40 call 8113f7a4 +81138214: 00ffffc4 movi r3,-1 +81138218: 10c00526 beq r2,r3,81138230 <_read_r+0x50> +8113821c: dfc00217 ldw ra,8(sp) +81138220: dc400117 ldw r17,4(sp) +81138224: dc000017 ldw r16,0(sp) +81138228: dec00304 addi sp,sp,12 +8113822c: f800283a ret +81138230: 80c00017 ldw r3,0(r16) +81138234: 183ff926 beq r3,zero,8113821c <__reset+0xfb11821c> +81138238: 88c00015 stw r3,0(r17) +8113823c: 003ff706 br 8113821c <__reset+0xfb11821c> + +81138240 <_realloc_r>: +81138240: defff604 addi sp,sp,-40 +81138244: dc800215 stw r18,8(sp) +81138248: dfc00915 stw ra,36(sp) +8113824c: df000815 stw fp,32(sp) +81138250: ddc00715 stw r23,28(sp) +81138254: dd800615 stw r22,24(sp) +81138258: dd400515 stw r21,20(sp) +8113825c: dd000415 stw r20,16(sp) +81138260: dcc00315 stw r19,12(sp) +81138264: dc400115 stw r17,4(sp) +81138268: dc000015 stw r16,0(sp) +8113826c: 3025883a mov r18,r6 +81138270: 2800b726 beq r5,zero,81138550 <_realloc_r+0x310> +81138274: 282b883a mov r21,r5 +81138278: 2029883a mov r20,r4 +8113827c: 113fc380 call 8113fc38 <__malloc_lock> +81138280: a8bfff17 ldw r2,-4(r21) +81138284: 043fff04 movi r16,-4 +81138288: 90c002c4 addi r3,r18,11 +8113828c: 01000584 movi r4,22 +81138290: acfffe04 addi r19,r21,-8 +81138294: 1420703a and r16,r2,r16 +81138298: 20c0332e bgeu r4,r3,81138368 <_realloc_r+0x128> +8113829c: 047ffe04 movi r17,-8 +811382a0: 1c62703a and r17,r3,r17 +811382a4: 8807883a mov r3,r17 +811382a8: 88005816 blt r17,zero,8113840c <_realloc_r+0x1cc> +811382ac: 8c805736 bltu r17,r18,8113840c <_realloc_r+0x1cc> +811382b0: 80c0300e bge r16,r3,81138374 <_realloc_r+0x134> +811382b4: 07204574 movhi fp,33045 +811382b8: e7010b04 addi fp,fp,1068 +811382bc: e1c00217 ldw r7,8(fp) +811382c0: 9c09883a add r4,r19,r16 +811382c4: 22000117 ldw r8,4(r4) +811382c8: 21c06326 beq r4,r7,81138458 <_realloc_r+0x218> +811382cc: 017fff84 movi r5,-2 +811382d0: 414a703a and r5,r8,r5 +811382d4: 214b883a add r5,r4,r5 +811382d8: 29800117 ldw r6,4(r5) +811382dc: 3180004c andi r6,r6,1 +811382e0: 30003f26 beq r6,zero,811383e0 <_realloc_r+0x1a0> +811382e4: 1080004c andi r2,r2,1 +811382e8: 10008326 beq r2,zero,811384f8 <_realloc_r+0x2b8> +811382ec: 900b883a mov r5,r18 +811382f0: a009883a mov r4,r20 +811382f4: 112be7c0 call 8112be7c <_malloc_r> +811382f8: 1025883a mov r18,r2 +811382fc: 10011e26 beq r2,zero,81138778 <_realloc_r+0x538> +81138300: a93fff17 ldw r4,-4(r21) +81138304: 10fffe04 addi r3,r2,-8 +81138308: 00bfff84 movi r2,-2 +8113830c: 2084703a and r2,r4,r2 +81138310: 9885883a add r2,r19,r2 +81138314: 1880ee26 beq r3,r2,811386d0 <_realloc_r+0x490> +81138318: 81bfff04 addi r6,r16,-4 +8113831c: 00800904 movi r2,36 +81138320: 1180b836 bltu r2,r6,81138604 <_realloc_r+0x3c4> +81138324: 00c004c4 movi r3,19 +81138328: 19809636 bltu r3,r6,81138584 <_realloc_r+0x344> +8113832c: 9005883a mov r2,r18 +81138330: a807883a mov r3,r21 +81138334: 19000017 ldw r4,0(r3) +81138338: 11000015 stw r4,0(r2) +8113833c: 19000117 ldw r4,4(r3) +81138340: 11000115 stw r4,4(r2) +81138344: 18c00217 ldw r3,8(r3) +81138348: 10c00215 stw r3,8(r2) +8113834c: a80b883a mov r5,r21 +81138350: a009883a mov r4,r20 +81138354: 113626c0 call 8113626c <_free_r> +81138358: a009883a mov r4,r20 +8113835c: 113fce40 call 8113fce4 <__malloc_unlock> +81138360: 9005883a mov r2,r18 +81138364: 00001206 br 811383b0 <_realloc_r+0x170> +81138368: 00c00404 movi r3,16 +8113836c: 1823883a mov r17,r3 +81138370: 003fce06 br 811382ac <__reset+0xfb1182ac> +81138374: a825883a mov r18,r21 +81138378: 8445c83a sub r2,r16,r17 +8113837c: 00c003c4 movi r3,15 +81138380: 18802636 bltu r3,r2,8113841c <_realloc_r+0x1dc> +81138384: 99800117 ldw r6,4(r19) +81138388: 9c07883a add r3,r19,r16 +8113838c: 3180004c andi r6,r6,1 +81138390: 3420b03a or r16,r6,r16 +81138394: 9c000115 stw r16,4(r19) +81138398: 18800117 ldw r2,4(r3) +8113839c: 10800054 ori r2,r2,1 +811383a0: 18800115 stw r2,4(r3) +811383a4: a009883a mov r4,r20 +811383a8: 113fce40 call 8113fce4 <__malloc_unlock> +811383ac: 9005883a mov r2,r18 +811383b0: dfc00917 ldw ra,36(sp) +811383b4: df000817 ldw fp,32(sp) +811383b8: ddc00717 ldw r23,28(sp) +811383bc: dd800617 ldw r22,24(sp) +811383c0: dd400517 ldw r21,20(sp) +811383c4: dd000417 ldw r20,16(sp) +811383c8: dcc00317 ldw r19,12(sp) +811383cc: dc800217 ldw r18,8(sp) +811383d0: dc400117 ldw r17,4(sp) +811383d4: dc000017 ldw r16,0(sp) +811383d8: dec00a04 addi sp,sp,40 +811383dc: f800283a ret +811383e0: 017fff04 movi r5,-4 +811383e4: 414a703a and r5,r8,r5 +811383e8: 814d883a add r6,r16,r5 +811383ec: 30c01f16 blt r6,r3,8113846c <_realloc_r+0x22c> +811383f0: 20800317 ldw r2,12(r4) +811383f4: 20c00217 ldw r3,8(r4) +811383f8: a825883a mov r18,r21 +811383fc: 3021883a mov r16,r6 +81138400: 18800315 stw r2,12(r3) +81138404: 10c00215 stw r3,8(r2) +81138408: 003fdb06 br 81138378 <__reset+0xfb118378> +8113840c: 00800304 movi r2,12 +81138410: a0800015 stw r2,0(r20) +81138414: 0005883a mov r2,zero +81138418: 003fe506 br 811383b0 <__reset+0xfb1183b0> +8113841c: 98c00117 ldw r3,4(r19) +81138420: 9c4b883a add r5,r19,r17 +81138424: 11000054 ori r4,r2,1 +81138428: 18c0004c andi r3,r3,1 +8113842c: 1c62b03a or r17,r3,r17 +81138430: 9c400115 stw r17,4(r19) +81138434: 29000115 stw r4,4(r5) +81138438: 2885883a add r2,r5,r2 +8113843c: 10c00117 ldw r3,4(r2) +81138440: 29400204 addi r5,r5,8 +81138444: a009883a mov r4,r20 +81138448: 18c00054 ori r3,r3,1 +8113844c: 10c00115 stw r3,4(r2) +81138450: 113626c0 call 8113626c <_free_r> +81138454: 003fd306 br 811383a4 <__reset+0xfb1183a4> +81138458: 017fff04 movi r5,-4 +8113845c: 414a703a and r5,r8,r5 +81138460: 89800404 addi r6,r17,16 +81138464: 8151883a add r8,r16,r5 +81138468: 4180590e bge r8,r6,811385d0 <_realloc_r+0x390> +8113846c: 1080004c andi r2,r2,1 +81138470: 103f9e1e bne r2,zero,811382ec <__reset+0xfb1182ec> +81138474: adbffe17 ldw r22,-8(r21) +81138478: 00bfff04 movi r2,-4 +8113847c: 9dadc83a sub r22,r19,r22 +81138480: b1800117 ldw r6,4(r22) +81138484: 3084703a and r2,r6,r2 +81138488: 20002026 beq r4,zero,8113850c <_realloc_r+0x2cc> +8113848c: 80af883a add r23,r16,r2 +81138490: b96f883a add r23,r23,r5 +81138494: 21c05f26 beq r4,r7,81138614 <_realloc_r+0x3d4> +81138498: b8c01c16 blt r23,r3,8113850c <_realloc_r+0x2cc> +8113849c: 20800317 ldw r2,12(r4) +811384a0: 20c00217 ldw r3,8(r4) +811384a4: 81bfff04 addi r6,r16,-4 +811384a8: 01000904 movi r4,36 +811384ac: 18800315 stw r2,12(r3) +811384b0: 10c00215 stw r3,8(r2) +811384b4: b0c00217 ldw r3,8(r22) +811384b8: b0800317 ldw r2,12(r22) +811384bc: b4800204 addi r18,r22,8 +811384c0: 18800315 stw r2,12(r3) +811384c4: 10c00215 stw r3,8(r2) +811384c8: 21801b36 bltu r4,r6,81138538 <_realloc_r+0x2f8> +811384cc: 008004c4 movi r2,19 +811384d0: 1180352e bgeu r2,r6,811385a8 <_realloc_r+0x368> +811384d4: a8800017 ldw r2,0(r21) +811384d8: b0800215 stw r2,8(r22) +811384dc: a8800117 ldw r2,4(r21) +811384e0: b0800315 stw r2,12(r22) +811384e4: 008006c4 movi r2,27 +811384e8: 11807f36 bltu r2,r6,811386e8 <_realloc_r+0x4a8> +811384ec: b0800404 addi r2,r22,16 +811384f0: ad400204 addi r21,r21,8 +811384f4: 00002d06 br 811385ac <_realloc_r+0x36c> +811384f8: adbffe17 ldw r22,-8(r21) +811384fc: 00bfff04 movi r2,-4 +81138500: 9dadc83a sub r22,r19,r22 +81138504: b1000117 ldw r4,4(r22) +81138508: 2084703a and r2,r4,r2 +8113850c: b03f7726 beq r22,zero,811382ec <__reset+0xfb1182ec> +81138510: 80af883a add r23,r16,r2 +81138514: b8ff7516 blt r23,r3,811382ec <__reset+0xfb1182ec> +81138518: b0800317 ldw r2,12(r22) +8113851c: b0c00217 ldw r3,8(r22) +81138520: 81bfff04 addi r6,r16,-4 +81138524: 01000904 movi r4,36 +81138528: 18800315 stw r2,12(r3) +8113852c: 10c00215 stw r3,8(r2) +81138530: b4800204 addi r18,r22,8 +81138534: 21bfe52e bgeu r4,r6,811384cc <__reset+0xfb1184cc> +81138538: a80b883a mov r5,r21 +8113853c: 9009883a mov r4,r18 +81138540: 11370880 call 81137088 +81138544: b821883a mov r16,r23 +81138548: b027883a mov r19,r22 +8113854c: 003f8a06 br 81138378 <__reset+0xfb118378> +81138550: 300b883a mov r5,r6 +81138554: dfc00917 ldw ra,36(sp) +81138558: df000817 ldw fp,32(sp) +8113855c: ddc00717 ldw r23,28(sp) +81138560: dd800617 ldw r22,24(sp) +81138564: dd400517 ldw r21,20(sp) +81138568: dd000417 ldw r20,16(sp) +8113856c: dcc00317 ldw r19,12(sp) +81138570: dc800217 ldw r18,8(sp) +81138574: dc400117 ldw r17,4(sp) +81138578: dc000017 ldw r16,0(sp) +8113857c: dec00a04 addi sp,sp,40 +81138580: 112be7c1 jmpi 8112be7c <_malloc_r> +81138584: a8c00017 ldw r3,0(r21) +81138588: 90c00015 stw r3,0(r18) +8113858c: a8c00117 ldw r3,4(r21) +81138590: 90c00115 stw r3,4(r18) +81138594: 00c006c4 movi r3,27 +81138598: 19804536 bltu r3,r6,811386b0 <_realloc_r+0x470> +8113859c: 90800204 addi r2,r18,8 +811385a0: a8c00204 addi r3,r21,8 +811385a4: 003f6306 br 81138334 <__reset+0xfb118334> +811385a8: 9005883a mov r2,r18 +811385ac: a8c00017 ldw r3,0(r21) +811385b0: b821883a mov r16,r23 +811385b4: b027883a mov r19,r22 +811385b8: 10c00015 stw r3,0(r2) +811385bc: a8c00117 ldw r3,4(r21) +811385c0: 10c00115 stw r3,4(r2) +811385c4: a8c00217 ldw r3,8(r21) +811385c8: 10c00215 stw r3,8(r2) +811385cc: 003f6a06 br 81138378 <__reset+0xfb118378> +811385d0: 9c67883a add r19,r19,r17 +811385d4: 4445c83a sub r2,r8,r17 +811385d8: e4c00215 stw r19,8(fp) +811385dc: 10800054 ori r2,r2,1 +811385e0: 98800115 stw r2,4(r19) +811385e4: a8bfff17 ldw r2,-4(r21) +811385e8: a009883a mov r4,r20 +811385ec: 1080004c andi r2,r2,1 +811385f0: 1462b03a or r17,r2,r17 +811385f4: ac7fff15 stw r17,-4(r21) +811385f8: 113fce40 call 8113fce4 <__malloc_unlock> +811385fc: a805883a mov r2,r21 +81138600: 003f6b06 br 811383b0 <__reset+0xfb1183b0> +81138604: a80b883a mov r5,r21 +81138608: 9009883a mov r4,r18 +8113860c: 11370880 call 81137088 +81138610: 003f4e06 br 8113834c <__reset+0xfb11834c> +81138614: 89000404 addi r4,r17,16 +81138618: b93fbc16 blt r23,r4,8113850c <__reset+0xfb11850c> +8113861c: b0800317 ldw r2,12(r22) +81138620: b0c00217 ldw r3,8(r22) +81138624: 81bfff04 addi r6,r16,-4 +81138628: 01000904 movi r4,36 +8113862c: 18800315 stw r2,12(r3) +81138630: 10c00215 stw r3,8(r2) +81138634: b4800204 addi r18,r22,8 +81138638: 21804336 bltu r4,r6,81138748 <_realloc_r+0x508> +8113863c: 008004c4 movi r2,19 +81138640: 11803f2e bgeu r2,r6,81138740 <_realloc_r+0x500> +81138644: a8800017 ldw r2,0(r21) +81138648: b0800215 stw r2,8(r22) +8113864c: a8800117 ldw r2,4(r21) +81138650: b0800315 stw r2,12(r22) +81138654: 008006c4 movi r2,27 +81138658: 11803f36 bltu r2,r6,81138758 <_realloc_r+0x518> +8113865c: b0800404 addi r2,r22,16 +81138660: ad400204 addi r21,r21,8 +81138664: a8c00017 ldw r3,0(r21) +81138668: 10c00015 stw r3,0(r2) +8113866c: a8c00117 ldw r3,4(r21) +81138670: 10c00115 stw r3,4(r2) +81138674: a8c00217 ldw r3,8(r21) +81138678: 10c00215 stw r3,8(r2) +8113867c: b447883a add r3,r22,r17 +81138680: bc45c83a sub r2,r23,r17 +81138684: e0c00215 stw r3,8(fp) +81138688: 10800054 ori r2,r2,1 +8113868c: 18800115 stw r2,4(r3) +81138690: b0800117 ldw r2,4(r22) +81138694: a009883a mov r4,r20 +81138698: 1080004c andi r2,r2,1 +8113869c: 1462b03a or r17,r2,r17 +811386a0: b4400115 stw r17,4(r22) +811386a4: 113fce40 call 8113fce4 <__malloc_unlock> +811386a8: 9005883a mov r2,r18 +811386ac: 003f4006 br 811383b0 <__reset+0xfb1183b0> +811386b0: a8c00217 ldw r3,8(r21) +811386b4: 90c00215 stw r3,8(r18) +811386b8: a8c00317 ldw r3,12(r21) +811386bc: 90c00315 stw r3,12(r18) +811386c0: 30801126 beq r6,r2,81138708 <_realloc_r+0x4c8> +811386c4: 90800404 addi r2,r18,16 +811386c8: a8c00404 addi r3,r21,16 +811386cc: 003f1906 br 81138334 <__reset+0xfb118334> +811386d0: 90ffff17 ldw r3,-4(r18) +811386d4: 00bfff04 movi r2,-4 +811386d8: a825883a mov r18,r21 +811386dc: 1884703a and r2,r3,r2 +811386e0: 80a1883a add r16,r16,r2 +811386e4: 003f2406 br 81138378 <__reset+0xfb118378> +811386e8: a8800217 ldw r2,8(r21) +811386ec: b0800415 stw r2,16(r22) +811386f0: a8800317 ldw r2,12(r21) +811386f4: b0800515 stw r2,20(r22) +811386f8: 31000a26 beq r6,r4,81138724 <_realloc_r+0x4e4> +811386fc: b0800604 addi r2,r22,24 +81138700: ad400404 addi r21,r21,16 +81138704: 003fa906 br 811385ac <__reset+0xfb1185ac> +81138708: a9000417 ldw r4,16(r21) +8113870c: 90800604 addi r2,r18,24 +81138710: a8c00604 addi r3,r21,24 +81138714: 91000415 stw r4,16(r18) +81138718: a9000517 ldw r4,20(r21) +8113871c: 91000515 stw r4,20(r18) +81138720: 003f0406 br 81138334 <__reset+0xfb118334> +81138724: a8c00417 ldw r3,16(r21) +81138728: ad400604 addi r21,r21,24 +8113872c: b0800804 addi r2,r22,32 +81138730: b0c00615 stw r3,24(r22) +81138734: a8ffff17 ldw r3,-4(r21) +81138738: b0c00715 stw r3,28(r22) +8113873c: 003f9b06 br 811385ac <__reset+0xfb1185ac> +81138740: 9005883a mov r2,r18 +81138744: 003fc706 br 81138664 <__reset+0xfb118664> +81138748: a80b883a mov r5,r21 +8113874c: 9009883a mov r4,r18 +81138750: 11370880 call 81137088 +81138754: 003fc906 br 8113867c <__reset+0xfb11867c> +81138758: a8800217 ldw r2,8(r21) +8113875c: b0800415 stw r2,16(r22) +81138760: a8800317 ldw r2,12(r21) +81138764: b0800515 stw r2,20(r22) +81138768: 31000726 beq r6,r4,81138788 <_realloc_r+0x548> +8113876c: b0800604 addi r2,r22,24 +81138770: ad400404 addi r21,r21,16 +81138774: 003fbb06 br 81138664 <__reset+0xfb118664> +81138778: a009883a mov r4,r20 +8113877c: 113fce40 call 8113fce4 <__malloc_unlock> +81138780: 0005883a mov r2,zero +81138784: 003f0a06 br 811383b0 <__reset+0xfb1183b0> +81138788: a8c00417 ldw r3,16(r21) +8113878c: ad400604 addi r21,r21,24 +81138790: b0800804 addi r2,r22,32 +81138794: b0c00615 stw r3,24(r22) +81138798: a8ffff17 ldw r3,-4(r21) +8113879c: b0c00715 stw r3,28(r22) +811387a0: 003fb006 br 81138664 <__reset+0xfb118664> + +811387a4 <__fpclassifyd>: +811387a4: 00a00034 movhi r2,32768 +811387a8: 10bfffc4 addi r2,r2,-1 +811387ac: 2884703a and r2,r5,r2 +811387b0: 10000726 beq r2,zero,811387d0 <__fpclassifyd+0x2c> +811387b4: 00fffc34 movhi r3,65520 +811387b8: 019ff834 movhi r6,32736 +811387bc: 28c7883a add r3,r5,r3 +811387c0: 31bfffc4 addi r6,r6,-1 +811387c4: 30c00536 bltu r6,r3,811387dc <__fpclassifyd+0x38> +811387c8: 00800104 movi r2,4 811387cc: f800283a ret -811387d0: 2005003a cmpeq r2,r4,zero -811387d4: f800283a ret - -811387d8 <__sccl>: -811387d8: 2a000003 ldbu r8,0(r5) -811387dc: 00801784 movi r2,94 -811387e0: 40802a26 beq r8,r2,8113888c <__sccl+0xb4> -811387e4: 29400044 addi r5,r5,1 -811387e8: 000f883a mov r7,zero -811387ec: 0013883a mov r9,zero -811387f0: 2007883a mov r3,r4 -811387f4: 21804004 addi r6,r4,256 -811387f8: 19c00005 stb r7,0(r3) -811387fc: 18c00044 addi r3,r3,1 -81138800: 19bffd1e bne r3,r6,811387f8 <__reset+0xfb1187f8> -81138804: 40001126 beq r8,zero,8113884c <__sccl+0x74> -81138808: 00800044 movi r2,1 -8113880c: 124fc83a sub r7,r2,r9 -81138810: 02800b44 movi r10,45 -81138814: 02c01744 movi r11,93 -81138818: 2205883a add r2,r4,r8 -8113881c: 11c00005 stb r7,0(r2) -81138820: 28800044 addi r2,r5,1 -81138824: 28c00003 ldbu r3,0(r5) -81138828: 1a800a26 beq r3,r10,81138854 <__sccl+0x7c> -8113882c: 1ac00426 beq r3,r11,81138840 <__sccl+0x68> -81138830: 18000426 beq r3,zero,81138844 <__sccl+0x6c> -81138834: 1811883a mov r8,r3 -81138838: 100b883a mov r5,r2 -8113883c: 003ff606 br 81138818 <__reset+0xfb118818> -81138840: f800283a ret -81138844: 2805883a mov r2,r5 -81138848: f800283a ret -8113884c: 28bfffc4 addi r2,r5,-1 -81138850: f800283a ret -81138854: 12400003 ldbu r9,0(r2) -81138858: 4ac01126 beq r9,r11,811388a0 <__sccl+0xc8> -8113885c: 4a001016 blt r9,r8,811388a0 <__sccl+0xc8> -81138860: 41800044 addi r6,r8,1 -81138864: 29400084 addi r5,r5,2 -81138868: 2187883a add r3,r4,r6 -8113886c: 00000106 br 81138874 <__sccl+0x9c> -81138870: 31800044 addi r6,r6,1 -81138874: 19c00005 stb r7,0(r3) -81138878: 3011883a mov r8,r6 -8113887c: 18c00044 addi r3,r3,1 -81138880: 327ffb16 blt r6,r9,81138870 <__reset+0xfb118870> -81138884: 10800084 addi r2,r2,2 -81138888: 003fe606 br 81138824 <__reset+0xfb118824> -8113888c: 2a000043 ldbu r8,1(r5) -81138890: 01c00044 movi r7,1 -81138894: 29400084 addi r5,r5,2 -81138898: 02400044 movi r9,1 -8113889c: 003fd406 br 811387f0 <__reset+0xfb1187f0> -811388a0: 5011883a mov r8,r10 -811388a4: 003fe406 br 81138838 <__reset+0xfb118838> - -811388a8 : -811388a8: 009ff034 movhi r2,32704 -811388ac: f800283a ret - -811388b0 : -811388b0: 2144b03a or r2,r4,r5 -811388b4: 108000cc andi r2,r2,3 -811388b8: 1000171e bne r2,zero,81138918 -811388bc: 20800017 ldw r2,0(r4) -811388c0: 28c00017 ldw r3,0(r5) -811388c4: 10c0141e bne r2,r3,81138918 -811388c8: 027fbff4 movhi r9,65279 -811388cc: 4a7fbfc4 addi r9,r9,-257 -811388d0: 0086303a nor r3,zero,r2 -811388d4: 02202074 movhi r8,32897 -811388d8: 1245883a add r2,r2,r9 -811388dc: 42202004 addi r8,r8,-32640 -811388e0: 10c4703a and r2,r2,r3 -811388e4: 1204703a and r2,r2,r8 -811388e8: 10000226 beq r2,zero,811388f4 -811388ec: 00002306 br 8113897c -811388f0: 1000221e bne r2,zero,8113897c -811388f4: 21000104 addi r4,r4,4 -811388f8: 20c00017 ldw r3,0(r4) -811388fc: 29400104 addi r5,r5,4 -81138900: 29800017 ldw r6,0(r5) -81138904: 1a4f883a add r7,r3,r9 -81138908: 00c4303a nor r2,zero,r3 -8113890c: 3884703a and r2,r7,r2 -81138910: 1204703a and r2,r2,r8 -81138914: 19bff626 beq r3,r6,811388f0 <__reset+0xfb1188f0> -81138918: 20800003 ldbu r2,0(r4) -8113891c: 10c03fcc andi r3,r2,255 -81138920: 18c0201c xori r3,r3,128 -81138924: 18ffe004 addi r3,r3,-128 -81138928: 18000c26 beq r3,zero,8113895c -8113892c: 29800007 ldb r6,0(r5) -81138930: 19800326 beq r3,r6,81138940 -81138934: 00001306 br 81138984 -81138938: 29800007 ldb r6,0(r5) -8113893c: 11800b1e bne r2,r6,8113896c -81138940: 21000044 addi r4,r4,1 -81138944: 20c00003 ldbu r3,0(r4) -81138948: 29400044 addi r5,r5,1 -8113894c: 18803fcc andi r2,r3,255 -81138950: 1080201c xori r2,r2,128 -81138954: 10bfe004 addi r2,r2,-128 -81138958: 103ff71e bne r2,zero,81138938 <__reset+0xfb118938> -8113895c: 0007883a mov r3,zero -81138960: 28800003 ldbu r2,0(r5) -81138964: 1885c83a sub r2,r3,r2 -81138968: f800283a ret -8113896c: 28800003 ldbu r2,0(r5) -81138970: 18c03fcc andi r3,r3,255 -81138974: 1885c83a sub r2,r3,r2 -81138978: f800283a ret -8113897c: 0005883a mov r2,zero -81138980: f800283a ret -81138984: 10c03fcc andi r3,r2,255 -81138988: 003ff506 br 81138960 <__reset+0xfb118960> - -8113898c : -8113898c: defffd04 addi sp,sp,-12 -81138990: dc400115 stw r17,4(sp) -81138994: 3023883a mov r17,r6 -81138998: dc000015 stw r16,0(sp) -8113899c: dfc00215 stw ra,8(sp) -811389a0: 2821883a mov r16,r5 -811389a4: 1137cc80 call 81137cc8 <__ulp> -811389a8: 88000c26 beq r17,zero,811389dc -811389ac: 841ffc2c andhi r16,r16,32752 -811389b0: 8020d53a srli r16,r16,20 -811389b4: 01c01ac4 movi r7,107 -811389b8: 3c21c83a sub r16,r7,r16 -811389bc: 0400070e bge zero,r16,811389dc -811389c0: 8020953a slli r16,r16,20 -811389c4: 01cffc34 movhi r7,16368 -811389c8: 000d883a mov r6,zero -811389cc: 81cf883a add r7,r16,r7 -811389d0: 1009883a mov r4,r2 -811389d4: 180b883a mov r5,r3 -811389d8: 1129d200 call 81129d20 <__muldf3> -811389dc: dfc00217 ldw ra,8(sp) -811389e0: dc400117 ldw r17,4(sp) -811389e4: dc000017 ldw r16,0(sp) -811389e8: dec00304 addi sp,sp,12 -811389ec: f800283a ret - -811389f0 <_strtod_r>: -811389f0: deffe204 addi sp,sp,-120 -811389f4: ddc01b15 stw r23,108(sp) -811389f8: dd001815 stw r20,96(sp) -811389fc: dc801615 stw r18,88(sp) -81138a00: dc401515 stw r17,84(sp) -81138a04: dc001415 stw r16,80(sp) -81138a08: d9400615 stw r5,24(sp) -81138a0c: dfc01d15 stw ra,116(sp) -81138a10: df001c15 stw fp,112(sp) -81138a14: dd801a15 stw r22,104(sp) -81138a18: dd401915 stw r21,100(sp) -81138a1c: dcc01715 stw r19,92(sp) -81138a20: 2021883a mov r16,r4 -81138a24: d8000515 stw zero,20(sp) -81138a28: 2809883a mov r4,r5 -81138a2c: 20800003 ldbu r2,0(r4) -81138a30: 01e04534 movhi r7,33044 -81138a34: 282f883a mov r23,r5 -81138a38: 10c03fcc andi r3,r2,255 -81138a3c: 01400b44 movi r5,45 -81138a40: 39e29904 addi r7,r7,-30108 -81138a44: 3029883a mov r20,r6 -81138a48: 0025883a mov r18,zero -81138a4c: 0023883a mov r17,zero -81138a50: 28c0ba36 bltu r5,r3,81138d3c <_strtod_r+0x34c> -81138a54: 180690ba slli r3,r3,2 -81138a58: 19c7883a add r3,r3,r7 -81138a5c: 18c00017 ldw r3,0(r3) -81138a60: 1800683a jmp r3 -81138a64: 81138b24 muli r4,r16,20012 -81138a68: 81138d3c xorhi r4,r16,20020 -81138a6c: 81138d3c xorhi r4,r16,20020 -81138a70: 81138d3c xorhi r4,r16,20020 -81138a74: 81138d3c xorhi r4,r16,20020 -81138a78: 81138d3c xorhi r4,r16,20020 -81138a7c: 81138d3c xorhi r4,r16,20020 -81138a80: 81138d3c xorhi r4,r16,20020 -81138a84: 81138d3c xorhi r4,r16,20020 -81138a88: 81138d28 cmpgeui r4,r16,20020 -81138a8c: 81138d28 cmpgeui r4,r16,20020 -81138a90: 81138d28 cmpgeui r4,r16,20020 -81138a94: 81138d28 cmpgeui r4,r16,20020 -81138a98: 81138d28 cmpgeui r4,r16,20020 -81138a9c: 81138d3c xorhi r4,r16,20020 -81138aa0: 81138d3c xorhi r4,r16,20020 -81138aa4: 81138d3c xorhi r4,r16,20020 -81138aa8: 81138d3c xorhi r4,r16,20020 -81138aac: 81138d3c xorhi r4,r16,20020 -81138ab0: 81138d3c xorhi r4,r16,20020 -81138ab4: 81138d3c xorhi r4,r16,20020 -81138ab8: 81138d3c xorhi r4,r16,20020 -81138abc: 81138d3c xorhi r4,r16,20020 -81138ac0: 81138d3c xorhi r4,r16,20020 -81138ac4: 81138d3c xorhi r4,r16,20020 -81138ac8: 81138d3c xorhi r4,r16,20020 -81138acc: 81138d3c xorhi r4,r16,20020 -81138ad0: 81138d3c xorhi r4,r16,20020 -81138ad4: 81138d3c xorhi r4,r16,20020 -81138ad8: 81138d3c xorhi r4,r16,20020 -81138adc: 81138d3c xorhi r4,r16,20020 -81138ae0: 81138d3c xorhi r4,r16,20020 -81138ae4: 81138d28 cmpgeui r4,r16,20020 -81138ae8: 81138d3c xorhi r4,r16,20020 -81138aec: 81138d3c xorhi r4,r16,20020 -81138af0: 81138d3c xorhi r4,r16,20020 -81138af4: 81138d3c xorhi r4,r16,20020 -81138af8: 81138d3c xorhi r4,r16,20020 -81138afc: 81138d3c xorhi r4,r16,20020 -81138b00: 81138d3c xorhi r4,r16,20020 -81138b04: 81138d3c xorhi r4,r16,20020 -81138b08: 81138d3c xorhi r4,r16,20020 -81138b0c: 81138d3c xorhi r4,r16,20020 -81138b10: 81138b7c xorhi r4,r16,20013 -81138b14: 81138d3c xorhi r4,r16,20020 -81138b18: 81138d1c xori r4,r16,20020 -81138b1c: 00801244 movi r2,73 -81138b20: 88843526 beq r17,r2,81139bf8 <_strtod_r+0x1208> -81138b24: 002b883a mov r21,zero -81138b28: 002d883a mov r22,zero -81138b2c: a0000526 beq r20,zero,81138b44 <_strtod_r+0x154> -81138b30: d8000715 stw zero,28(sp) -81138b34: a5c00015 stw r23,0(r20) -81138b38: d9000717 ldw r4,28(sp) -81138b3c: 20000126 beq r4,zero,81138b44 <_strtod_r+0x154> -81138b40: b5a0003c xorhi r22,r22,32768 -81138b44: a805883a mov r2,r21 -81138b48: b007883a mov r3,r22 -81138b4c: dfc01d17 ldw ra,116(sp) -81138b50: df001c17 ldw fp,112(sp) -81138b54: ddc01b17 ldw r23,108(sp) -81138b58: dd801a17 ldw r22,104(sp) -81138b5c: dd401917 ldw r21,100(sp) -81138b60: dd001817 ldw r20,96(sp) -81138b64: dcc01717 ldw r19,92(sp) -81138b68: dc801617 ldw r18,88(sp) -81138b6c: dc401517 ldw r17,84(sp) -81138b70: dc001417 ldw r16,80(sp) -81138b74: dec01e04 addi sp,sp,120 -81138b78: f800283a ret -81138b7c: d8000715 stw zero,28(sp) -81138b80: 24c00044 addi r19,r4,1 -81138b84: dcc00615 stw r19,24(sp) -81138b88: 20800043 ldbu r2,1(r4) -81138b8c: 10c03fcc andi r3,r2,255 -81138b90: 18c0201c xori r3,r3,128 -81138b94: 18ffe004 addi r3,r3,-128 -81138b98: 183fe226 beq r3,zero,81138b24 <__reset+0xfb118b24> -81138b9c: 11403fcc andi r5,r2,255 -81138ba0: 2940201c xori r5,r5,128 -81138ba4: 297fe004 addi r5,r5,-128 -81138ba8: 00c00c04 movi r3,48 -81138bac: 28c0e526 beq r5,r3,81138f44 <_strtod_r+0x554> -81138bb0: dcc00815 stw r19,32(sp) -81138bb4: 0025883a mov r18,zero -81138bb8: 10fff404 addi r3,r2,-48 -81138bbc: 18c03fcc andi r3,r3,255 -81138bc0: 01000244 movi r4,9 -81138bc4: 20c37536 bltu r4,r3,8113999c <_strtod_r+0xfac> -81138bc8: dd400817 ldw r21,32(sp) -81138bcc: 0027883a mov r19,zero -81138bd0: 0039883a mov fp,zero -81138bd4: 002d883a mov r22,zero -81138bd8: 01400204 movi r5,8 -81138bdc: 2d805a16 blt r5,r22,81138d48 <_strtod_r+0x358> -81138be0: e70002a4 muli fp,fp,10 -81138be4: 10c03fcc andi r3,r2,255 -81138be8: 18c0201c xori r3,r3,128 -81138bec: 18ffe004 addi r3,r3,-128 -81138bf0: e0f9883a add fp,fp,r3 -81138bf4: e73ff404 addi fp,fp,-48 -81138bf8: ad400044 addi r21,r21,1 -81138bfc: dd400615 stw r21,24(sp) -81138c00: a8800003 ldbu r2,0(r21) -81138c04: b5800044 addi r22,r22,1 -81138c08: 10fff404 addi r3,r2,-48 -81138c0c: 18c03fcc andi r3,r3,255 -81138c10: 20fff22e bgeu r4,r3,81138bdc <__reset+0xfb118bdc> -81138c14: 14403fcc andi r17,r2,255 -81138c18: 8c40201c xori r17,r17,128 -81138c1c: 8c7fe004 addi r17,r17,-128 -81138c20: 8009883a mov r4,r16 -81138c24: 1136cb00 call 81136cb0 <_localeconv_r> -81138c28: 11400017 ldw r5,0(r2) -81138c2c: 8009883a mov r4,r16 -81138c30: d9401315 stw r5,76(sp) -81138c34: 1136cb00 call 81136cb0 <_localeconv_r> -81138c38: 11000017 ldw r4,0(r2) -81138c3c: 112d86c0 call 8112d86c -81138c40: d9401317 ldw r5,76(sp) -81138c44: 100d883a mov r6,r2 -81138c48: a809883a mov r4,r21 -81138c4c: 113e2700 call 8113e270 -81138c50: 1000ce26 beq r2,zero,81138f8c <_strtod_r+0x59c> -81138c54: b013883a mov r9,r22 -81138c58: 000b883a mov r5,zero -81138c5c: 0015883a mov r10,zero -81138c60: 0017883a mov r11,zero -81138c64: 00801944 movi r2,101 -81138c68: 88807826 beq r17,r2,81138e4c <_strtod_r+0x45c> -81138c6c: 00801144 movi r2,69 -81138c70: 88807626 beq r17,r2,81138e4c <_strtod_r+0x45c> -81138c74: 002b883a mov r21,zero -81138c78: 48003d1e bne r9,zero,81138d70 <_strtod_r+0x380> -81138c7c: 2800391e bne r5,zero,81138d64 <_strtod_r+0x374> -81138c80: 9000381e bne r18,zero,81138d64 <_strtod_r+0x374> -81138c84: 583fa71e bne r11,zero,81138b24 <__reset+0xfb118b24> -81138c88: 00801384 movi r2,78 -81138c8c: 88800526 beq r17,r2,81138ca4 <_strtod_r+0x2b4> -81138c90: 147fa20e bge r2,r17,81138b1c <__reset+0xfb118b1c> -81138c94: 00801a44 movi r2,105 -81138c98: 8883d726 beq r17,r2,81139bf8 <_strtod_r+0x1208> -81138c9c: 00801b84 movi r2,110 -81138ca0: 88bfa01e bne r17,r2,81138b24 <__reset+0xfb118b24> -81138ca4: 01604574 movhi r5,33045 -81138ca8: d9000617 ldw r4,24(sp) -81138cac: 297f2a04 addi r5,r5,-856 -81138cb0: 01c00644 movi r7,25 -81138cb4: 00000b06 br 81138ce4 <_strtod_r+0x2f4> -81138cb8: 21000044 addi r4,r4,1 -81138cbc: 20800003 ldbu r2,0(r4) -81138cc0: 10ffefc4 addi r3,r2,-65 -81138cc4: 10803fcc andi r2,r2,255 -81138cc8: 1080201c xori r2,r2,128 -81138ccc: 18c03fcc andi r3,r3,255 -81138cd0: 10bfe004 addi r2,r2,-128 -81138cd4: 38c00136 bltu r7,r3,81138cdc <_strtod_r+0x2ec> -81138cd8: 10800804 addi r2,r2,32 -81138cdc: 29400044 addi r5,r5,1 -81138ce0: 11bf901e bne r2,r6,81138b24 <__reset+0xfb118b24> -81138ce4: 29800007 ldb r6,0(r5) -81138ce8: 303ff31e bne r6,zero,81138cb8 <__reset+0xfb118cb8> -81138cec: 20800044 addi r2,r4,1 -81138cf0: d8800615 stw r2,24(sp) -81138cf4: 20c00047 ldb r3,1(r4) -81138cf8: 00800a04 movi r2,40 -81138cfc: 18849d26 beq r3,r2,81139f74 <_strtod_r+0x1584> -81138d00: 047ffe34 movhi r17,65528 -81138d04: 0025883a mov r18,zero -81138d08: 902b883a mov r21,r18 -81138d0c: 882d883a mov r22,r17 -81138d10: a03f8926 beq r20,zero,81138b38 <__reset+0xfb118b38> -81138d14: ddc00617 ldw r23,24(sp) -81138d18: 003f8606 br 81138b34 <__reset+0xfb118b34> -81138d1c: 00800044 movi r2,1 -81138d20: d8800715 stw r2,28(sp) -81138d24: 003f9606 br 81138b80 <__reset+0xfb118b80> -81138d28: 21000044 addi r4,r4,1 -81138d2c: d9000615 stw r4,24(sp) -81138d30: 20800003 ldbu r2,0(r4) -81138d34: 10c03fcc andi r3,r2,255 -81138d38: 28ff462e bgeu r5,r3,81138a54 <__reset+0xfb118a54> -81138d3c: 2027883a mov r19,r4 -81138d40: d8000715 stw zero,28(sp) -81138d44: 003f9506 br 81138b9c <__reset+0xfb118b9c> -81138d48: 9cc002a4 muli r19,r19,10 -81138d4c: 10803fcc andi r2,r2,255 -81138d50: 1080201c xori r2,r2,128 -81138d54: 10bfe004 addi r2,r2,-128 -81138d58: 9885883a add r2,r19,r2 -81138d5c: 14fff404 addi r19,r2,-48 -81138d60: 003fa506 br 81138bf8 <__reset+0xfb118bf8> -81138d64: 002b883a mov r21,zero -81138d68: 002d883a mov r22,zero -81138d6c: 003fe806 br 81138d10 <__reset+0xfb118d10> -81138d70: aaabc83a sub r21,r21,r10 -81138d74: b000011e bne r22,zero,81138d7c <_strtod_r+0x38c> -81138d78: 482d883a mov r22,r9 -81138d7c: 00800404 movi r2,16 -81138d80: 482f883a mov r23,r9 -81138d84: 1240010e bge r2,r9,81138d8c <_strtod_r+0x39c> -81138d88: 102f883a mov r23,r2 -81138d8c: e009883a mov r4,fp -81138d90: da401315 stw r9,76(sp) -81138d94: 112ae900 call 8112ae90 <__floatunsidf> -81138d98: 1025883a mov r18,r2 -81138d9c: 00800244 movi r2,9 -81138da0: 1823883a mov r17,r3 -81138da4: da401317 ldw r9,76(sp) -81138da8: 15c0160e bge r2,r23,81138e04 <_strtod_r+0x414> -81138dac: b8bffdc4 addi r2,r23,-9 -81138db0: 100490fa slli r2,r2,3 -81138db4: 180b883a mov r5,r3 -81138db8: 00e04574 movhi r3,33045 -81138dbc: 18fef504 addi r3,r3,-1068 -81138dc0: 1885883a add r2,r3,r2 -81138dc4: 11800017 ldw r6,0(r2) -81138dc8: 11c00117 ldw r7,4(r2) -81138dcc: 9009883a mov r4,r18 -81138dd0: 1129d200 call 81129d20 <__muldf3> -81138dd4: 9809883a mov r4,r19 +811387d0: 2000021e bne r4,zero,811387dc <__fpclassifyd+0x38> +811387d4: 00800084 movi r2,2 +811387d8: f800283a ret +811387dc: 00dffc34 movhi r3,32752 +811387e0: 019ff834 movhi r6,32736 +811387e4: 28cb883a add r5,r5,r3 +811387e8: 31bfffc4 addi r6,r6,-1 +811387ec: 317ff62e bgeu r6,r5,811387c8 <__reset+0xfb1187c8> +811387f0: 01400434 movhi r5,16 +811387f4: 297fffc4 addi r5,r5,-1 +811387f8: 28800236 bltu r5,r2,81138804 <__fpclassifyd+0x60> +811387fc: 008000c4 movi r2,3 +81138800: f800283a ret +81138804: 10c00226 beq r2,r3,81138810 <__fpclassifyd+0x6c> +81138808: 0005883a mov r2,zero +8113880c: f800283a ret +81138810: 2005003a cmpeq r2,r4,zero +81138814: f800283a ret + +81138818 <__sccl>: +81138818: 2a000003 ldbu r8,0(r5) +8113881c: 00801784 movi r2,94 +81138820: 40802a26 beq r8,r2,811388cc <__sccl+0xb4> +81138824: 29400044 addi r5,r5,1 +81138828: 000f883a mov r7,zero +8113882c: 0013883a mov r9,zero +81138830: 2007883a mov r3,r4 +81138834: 21804004 addi r6,r4,256 +81138838: 19c00005 stb r7,0(r3) +8113883c: 18c00044 addi r3,r3,1 +81138840: 19bffd1e bne r3,r6,81138838 <__reset+0xfb118838> +81138844: 40001126 beq r8,zero,8113888c <__sccl+0x74> +81138848: 00800044 movi r2,1 +8113884c: 124fc83a sub r7,r2,r9 +81138850: 02800b44 movi r10,45 +81138854: 02c01744 movi r11,93 +81138858: 2205883a add r2,r4,r8 +8113885c: 11c00005 stb r7,0(r2) +81138860: 28800044 addi r2,r5,1 +81138864: 28c00003 ldbu r3,0(r5) +81138868: 1a800a26 beq r3,r10,81138894 <__sccl+0x7c> +8113886c: 1ac00426 beq r3,r11,81138880 <__sccl+0x68> +81138870: 18000426 beq r3,zero,81138884 <__sccl+0x6c> +81138874: 1811883a mov r8,r3 +81138878: 100b883a mov r5,r2 +8113887c: 003ff606 br 81138858 <__reset+0xfb118858> +81138880: f800283a ret +81138884: 2805883a mov r2,r5 +81138888: f800283a ret +8113888c: 28bfffc4 addi r2,r5,-1 +81138890: f800283a ret +81138894: 12400003 ldbu r9,0(r2) +81138898: 4ac01126 beq r9,r11,811388e0 <__sccl+0xc8> +8113889c: 4a001016 blt r9,r8,811388e0 <__sccl+0xc8> +811388a0: 41800044 addi r6,r8,1 +811388a4: 29400084 addi r5,r5,2 +811388a8: 2187883a add r3,r4,r6 +811388ac: 00000106 br 811388b4 <__sccl+0x9c> +811388b0: 31800044 addi r6,r6,1 +811388b4: 19c00005 stb r7,0(r3) +811388b8: 3011883a mov r8,r6 +811388bc: 18c00044 addi r3,r3,1 +811388c0: 327ffb16 blt r6,r9,811388b0 <__reset+0xfb1188b0> +811388c4: 10800084 addi r2,r2,2 +811388c8: 003fe606 br 81138864 <__reset+0xfb118864> +811388cc: 2a000043 ldbu r8,1(r5) +811388d0: 01c00044 movi r7,1 +811388d4: 29400084 addi r5,r5,2 +811388d8: 02400044 movi r9,1 +811388dc: 003fd406 br 81138830 <__reset+0xfb118830> +811388e0: 5011883a mov r8,r10 +811388e4: 003fe406 br 81138878 <__reset+0xfb118878> + +811388e8 : +811388e8: 009ff034 movhi r2,32704 +811388ec: f800283a ret + +811388f0 : +811388f0: 2144b03a or r2,r4,r5 +811388f4: 108000cc andi r2,r2,3 +811388f8: 1000171e bne r2,zero,81138958 +811388fc: 20800017 ldw r2,0(r4) +81138900: 28c00017 ldw r3,0(r5) +81138904: 10c0141e bne r2,r3,81138958 +81138908: 027fbff4 movhi r9,65279 +8113890c: 4a7fbfc4 addi r9,r9,-257 +81138910: 0086303a nor r3,zero,r2 +81138914: 02202074 movhi r8,32897 +81138918: 1245883a add r2,r2,r9 +8113891c: 42202004 addi r8,r8,-32640 +81138920: 10c4703a and r2,r2,r3 +81138924: 1204703a and r2,r2,r8 +81138928: 10000226 beq r2,zero,81138934 +8113892c: 00002306 br 811389bc +81138930: 1000221e bne r2,zero,811389bc +81138934: 21000104 addi r4,r4,4 +81138938: 20c00017 ldw r3,0(r4) +8113893c: 29400104 addi r5,r5,4 +81138940: 29800017 ldw r6,0(r5) +81138944: 1a4f883a add r7,r3,r9 +81138948: 00c4303a nor r2,zero,r3 +8113894c: 3884703a and r2,r7,r2 +81138950: 1204703a and r2,r2,r8 +81138954: 19bff626 beq r3,r6,81138930 <__reset+0xfb118930> +81138958: 20800003 ldbu r2,0(r4) +8113895c: 10c03fcc andi r3,r2,255 +81138960: 18c0201c xori r3,r3,128 +81138964: 18ffe004 addi r3,r3,-128 +81138968: 18000c26 beq r3,zero,8113899c +8113896c: 29800007 ldb r6,0(r5) +81138970: 19800326 beq r3,r6,81138980 +81138974: 00001306 br 811389c4 +81138978: 29800007 ldb r6,0(r5) +8113897c: 11800b1e bne r2,r6,811389ac +81138980: 21000044 addi r4,r4,1 +81138984: 20c00003 ldbu r3,0(r4) +81138988: 29400044 addi r5,r5,1 +8113898c: 18803fcc andi r2,r3,255 +81138990: 1080201c xori r2,r2,128 +81138994: 10bfe004 addi r2,r2,-128 +81138998: 103ff71e bne r2,zero,81138978 <__reset+0xfb118978> +8113899c: 0007883a mov r3,zero +811389a0: 28800003 ldbu r2,0(r5) +811389a4: 1885c83a sub r2,r3,r2 +811389a8: f800283a ret +811389ac: 28800003 ldbu r2,0(r5) +811389b0: 18c03fcc andi r3,r3,255 +811389b4: 1885c83a sub r2,r3,r2 +811389b8: f800283a ret +811389bc: 0005883a mov r2,zero +811389c0: f800283a ret +811389c4: 10c03fcc andi r3,r2,255 +811389c8: 003ff506 br 811389a0 <__reset+0xfb1189a0> + +811389cc : +811389cc: defffd04 addi sp,sp,-12 +811389d0: dc400115 stw r17,4(sp) +811389d4: 3023883a mov r17,r6 +811389d8: dc000015 stw r16,0(sp) +811389dc: dfc00215 stw ra,8(sp) +811389e0: 2821883a mov r16,r5 +811389e4: 1137d080 call 81137d08 <__ulp> +811389e8: 88000c26 beq r17,zero,81138a1c +811389ec: 841ffc2c andhi r16,r16,32752 +811389f0: 8020d53a srli r16,r16,20 +811389f4: 01c01ac4 movi r7,107 +811389f8: 3c21c83a sub r16,r7,r16 +811389fc: 0400070e bge zero,r16,81138a1c +81138a00: 8020953a slli r16,r16,20 +81138a04: 01cffc34 movhi r7,16368 +81138a08: 000d883a mov r6,zero +81138a0c: 81cf883a add r7,r16,r7 +81138a10: 1009883a mov r4,r2 +81138a14: 180b883a mov r5,r3 +81138a18: 1129d600 call 81129d60 <__muldf3> +81138a1c: dfc00217 ldw ra,8(sp) +81138a20: dc400117 ldw r17,4(sp) +81138a24: dc000017 ldw r16,0(sp) +81138a28: dec00304 addi sp,sp,12 +81138a2c: f800283a ret + +81138a30 <_strtod_r>: +81138a30: deffe204 addi sp,sp,-120 +81138a34: ddc01b15 stw r23,108(sp) +81138a38: dd001815 stw r20,96(sp) +81138a3c: dc801615 stw r18,88(sp) +81138a40: dc401515 stw r17,84(sp) +81138a44: dc001415 stw r16,80(sp) +81138a48: d9400615 stw r5,24(sp) +81138a4c: dfc01d15 stw ra,116(sp) +81138a50: df001c15 stw fp,112(sp) +81138a54: dd801a15 stw r22,104(sp) +81138a58: dd401915 stw r21,100(sp) +81138a5c: dcc01715 stw r19,92(sp) +81138a60: 2021883a mov r16,r4 +81138a64: d8000515 stw zero,20(sp) +81138a68: 2809883a mov r4,r5 +81138a6c: 20800003 ldbu r2,0(r4) +81138a70: 01e04534 movhi r7,33044 +81138a74: 282f883a mov r23,r5 +81138a78: 10c03fcc andi r3,r2,255 +81138a7c: 01400b44 movi r5,45 +81138a80: 39e2a904 addi r7,r7,-30044 +81138a84: 3029883a mov r20,r6 +81138a88: 0025883a mov r18,zero +81138a8c: 0023883a mov r17,zero +81138a90: 28c0ba36 bltu r5,r3,81138d7c <_strtod_r+0x34c> +81138a94: 180690ba slli r3,r3,2 +81138a98: 19c7883a add r3,r3,r7 +81138a9c: 18c00017 ldw r3,0(r3) +81138aa0: 1800683a jmp r3 +81138aa4: 81138b64 muli r4,r16,20013 +81138aa8: 81138d7c xorhi r4,r16,20021 +81138aac: 81138d7c xorhi r4,r16,20021 +81138ab0: 81138d7c xorhi r4,r16,20021 +81138ab4: 81138d7c xorhi r4,r16,20021 +81138ab8: 81138d7c xorhi r4,r16,20021 +81138abc: 81138d7c xorhi r4,r16,20021 +81138ac0: 81138d7c xorhi r4,r16,20021 +81138ac4: 81138d7c xorhi r4,r16,20021 +81138ac8: 81138d68 cmpgeui r4,r16,20021 +81138acc: 81138d68 cmpgeui r4,r16,20021 +81138ad0: 81138d68 cmpgeui r4,r16,20021 +81138ad4: 81138d68 cmpgeui r4,r16,20021 +81138ad8: 81138d68 cmpgeui r4,r16,20021 +81138adc: 81138d7c xorhi r4,r16,20021 +81138ae0: 81138d7c xorhi r4,r16,20021 +81138ae4: 81138d7c xorhi r4,r16,20021 +81138ae8: 81138d7c xorhi r4,r16,20021 +81138aec: 81138d7c xorhi r4,r16,20021 +81138af0: 81138d7c xorhi r4,r16,20021 +81138af4: 81138d7c xorhi r4,r16,20021 +81138af8: 81138d7c xorhi r4,r16,20021 +81138afc: 81138d7c xorhi r4,r16,20021 +81138b00: 81138d7c xorhi r4,r16,20021 +81138b04: 81138d7c xorhi r4,r16,20021 +81138b08: 81138d7c xorhi r4,r16,20021 +81138b0c: 81138d7c xorhi r4,r16,20021 +81138b10: 81138d7c xorhi r4,r16,20021 +81138b14: 81138d7c xorhi r4,r16,20021 +81138b18: 81138d7c xorhi r4,r16,20021 +81138b1c: 81138d7c xorhi r4,r16,20021 +81138b20: 81138d7c xorhi r4,r16,20021 +81138b24: 81138d68 cmpgeui r4,r16,20021 +81138b28: 81138d7c xorhi r4,r16,20021 +81138b2c: 81138d7c xorhi r4,r16,20021 +81138b30: 81138d7c xorhi r4,r16,20021 +81138b34: 81138d7c xorhi r4,r16,20021 +81138b38: 81138d7c xorhi r4,r16,20021 +81138b3c: 81138d7c xorhi r4,r16,20021 +81138b40: 81138d7c xorhi r4,r16,20021 +81138b44: 81138d7c xorhi r4,r16,20021 +81138b48: 81138d7c xorhi r4,r16,20021 +81138b4c: 81138d7c xorhi r4,r16,20021 +81138b50: 81138bbc xorhi r4,r16,20014 +81138b54: 81138d7c xorhi r4,r16,20021 +81138b58: 81138d5c xori r4,r16,20021 +81138b5c: 00801244 movi r2,73 +81138b60: 88843526 beq r17,r2,81139c38 <_strtod_r+0x1208> +81138b64: 002b883a mov r21,zero +81138b68: 002d883a mov r22,zero +81138b6c: a0000526 beq r20,zero,81138b84 <_strtod_r+0x154> +81138b70: d8000715 stw zero,28(sp) +81138b74: a5c00015 stw r23,0(r20) +81138b78: d9000717 ldw r4,28(sp) +81138b7c: 20000126 beq r4,zero,81138b84 <_strtod_r+0x154> +81138b80: b5a0003c xorhi r22,r22,32768 +81138b84: a805883a mov r2,r21 +81138b88: b007883a mov r3,r22 +81138b8c: dfc01d17 ldw ra,116(sp) +81138b90: df001c17 ldw fp,112(sp) +81138b94: ddc01b17 ldw r23,108(sp) +81138b98: dd801a17 ldw r22,104(sp) +81138b9c: dd401917 ldw r21,100(sp) +81138ba0: dd001817 ldw r20,96(sp) +81138ba4: dcc01717 ldw r19,92(sp) +81138ba8: dc801617 ldw r18,88(sp) +81138bac: dc401517 ldw r17,84(sp) +81138bb0: dc001417 ldw r16,80(sp) +81138bb4: dec01e04 addi sp,sp,120 +81138bb8: f800283a ret +81138bbc: d8000715 stw zero,28(sp) +81138bc0: 24c00044 addi r19,r4,1 +81138bc4: dcc00615 stw r19,24(sp) +81138bc8: 20800043 ldbu r2,1(r4) +81138bcc: 10c03fcc andi r3,r2,255 +81138bd0: 18c0201c xori r3,r3,128 +81138bd4: 18ffe004 addi r3,r3,-128 +81138bd8: 183fe226 beq r3,zero,81138b64 <__reset+0xfb118b64> +81138bdc: 11403fcc andi r5,r2,255 +81138be0: 2940201c xori r5,r5,128 +81138be4: 297fe004 addi r5,r5,-128 +81138be8: 00c00c04 movi r3,48 +81138bec: 28c0e526 beq r5,r3,81138f84 <_strtod_r+0x554> +81138bf0: dcc00815 stw r19,32(sp) +81138bf4: 0025883a mov r18,zero +81138bf8: 10fff404 addi r3,r2,-48 +81138bfc: 18c03fcc andi r3,r3,255 +81138c00: 01000244 movi r4,9 +81138c04: 20c37536 bltu r4,r3,811399dc <_strtod_r+0xfac> +81138c08: dd400817 ldw r21,32(sp) +81138c0c: 0027883a mov r19,zero +81138c10: 0039883a mov fp,zero +81138c14: 002d883a mov r22,zero +81138c18: 01400204 movi r5,8 +81138c1c: 2d805a16 blt r5,r22,81138d88 <_strtod_r+0x358> +81138c20: e70002a4 muli fp,fp,10 +81138c24: 10c03fcc andi r3,r2,255 +81138c28: 18c0201c xori r3,r3,128 +81138c2c: 18ffe004 addi r3,r3,-128 +81138c30: e0f9883a add fp,fp,r3 +81138c34: e73ff404 addi fp,fp,-48 +81138c38: ad400044 addi r21,r21,1 +81138c3c: dd400615 stw r21,24(sp) +81138c40: a8800003 ldbu r2,0(r21) +81138c44: b5800044 addi r22,r22,1 +81138c48: 10fff404 addi r3,r2,-48 +81138c4c: 18c03fcc andi r3,r3,255 +81138c50: 20fff22e bgeu r4,r3,81138c1c <__reset+0xfb118c1c> +81138c54: 14403fcc andi r17,r2,255 +81138c58: 8c40201c xori r17,r17,128 +81138c5c: 8c7fe004 addi r17,r17,-128 +81138c60: 8009883a mov r4,r16 +81138c64: 1136cf00 call 81136cf0 <_localeconv_r> +81138c68: 11400017 ldw r5,0(r2) +81138c6c: 8009883a mov r4,r16 +81138c70: d9401315 stw r5,76(sp) +81138c74: 1136cf00 call 81136cf0 <_localeconv_r> +81138c78: 11000017 ldw r4,0(r2) +81138c7c: 112d8ac0 call 8112d8ac +81138c80: d9401317 ldw r5,76(sp) +81138c84: 100d883a mov r6,r2 +81138c88: a809883a mov r4,r21 +81138c8c: 113e2b00 call 8113e2b0 +81138c90: 1000ce26 beq r2,zero,81138fcc <_strtod_r+0x59c> +81138c94: b013883a mov r9,r22 +81138c98: 000b883a mov r5,zero +81138c9c: 0015883a mov r10,zero +81138ca0: 0017883a mov r11,zero +81138ca4: 00801944 movi r2,101 +81138ca8: 88807826 beq r17,r2,81138e8c <_strtod_r+0x45c> +81138cac: 00801144 movi r2,69 +81138cb0: 88807626 beq r17,r2,81138e8c <_strtod_r+0x45c> +81138cb4: 002b883a mov r21,zero +81138cb8: 48003d1e bne r9,zero,81138db0 <_strtod_r+0x380> +81138cbc: 2800391e bne r5,zero,81138da4 <_strtod_r+0x374> +81138cc0: 9000381e bne r18,zero,81138da4 <_strtod_r+0x374> +81138cc4: 583fa71e bne r11,zero,81138b64 <__reset+0xfb118b64> +81138cc8: 00801384 movi r2,78 +81138ccc: 88800526 beq r17,r2,81138ce4 <_strtod_r+0x2b4> +81138cd0: 147fa20e bge r2,r17,81138b5c <__reset+0xfb118b5c> +81138cd4: 00801a44 movi r2,105 +81138cd8: 8883d726 beq r17,r2,81139c38 <_strtod_r+0x1208> +81138cdc: 00801b84 movi r2,110 +81138ce0: 88bfa01e bne r17,r2,81138b64 <__reset+0xfb118b64> +81138ce4: 01604574 movhi r5,33045 +81138ce8: d9000617 ldw r4,24(sp) +81138cec: 297f3b04 addi r5,r5,-788 +81138cf0: 01c00644 movi r7,25 +81138cf4: 00000b06 br 81138d24 <_strtod_r+0x2f4> +81138cf8: 21000044 addi r4,r4,1 +81138cfc: 20800003 ldbu r2,0(r4) +81138d00: 10ffefc4 addi r3,r2,-65 +81138d04: 10803fcc andi r2,r2,255 +81138d08: 1080201c xori r2,r2,128 +81138d0c: 18c03fcc andi r3,r3,255 +81138d10: 10bfe004 addi r2,r2,-128 +81138d14: 38c00136 bltu r7,r3,81138d1c <_strtod_r+0x2ec> +81138d18: 10800804 addi r2,r2,32 +81138d1c: 29400044 addi r5,r5,1 +81138d20: 11bf901e bne r2,r6,81138b64 <__reset+0xfb118b64> +81138d24: 29800007 ldb r6,0(r5) +81138d28: 303ff31e bne r6,zero,81138cf8 <__reset+0xfb118cf8> +81138d2c: 20800044 addi r2,r4,1 +81138d30: d8800615 stw r2,24(sp) +81138d34: 20c00047 ldb r3,1(r4) +81138d38: 00800a04 movi r2,40 +81138d3c: 18849d26 beq r3,r2,81139fb4 <_strtod_r+0x1584> +81138d40: 047ffe34 movhi r17,65528 +81138d44: 0025883a mov r18,zero +81138d48: 902b883a mov r21,r18 +81138d4c: 882d883a mov r22,r17 +81138d50: a03f8926 beq r20,zero,81138b78 <__reset+0xfb118b78> +81138d54: ddc00617 ldw r23,24(sp) +81138d58: 003f8606 br 81138b74 <__reset+0xfb118b74> +81138d5c: 00800044 movi r2,1 +81138d60: d8800715 stw r2,28(sp) +81138d64: 003f9606 br 81138bc0 <__reset+0xfb118bc0> +81138d68: 21000044 addi r4,r4,1 +81138d6c: d9000615 stw r4,24(sp) +81138d70: 20800003 ldbu r2,0(r4) +81138d74: 10c03fcc andi r3,r2,255 +81138d78: 28ff462e bgeu r5,r3,81138a94 <__reset+0xfb118a94> +81138d7c: 2027883a mov r19,r4 +81138d80: d8000715 stw zero,28(sp) +81138d84: 003f9506 br 81138bdc <__reset+0xfb118bdc> +81138d88: 9cc002a4 muli r19,r19,10 +81138d8c: 10803fcc andi r2,r2,255 +81138d90: 1080201c xori r2,r2,128 +81138d94: 10bfe004 addi r2,r2,-128 +81138d98: 9885883a add r2,r19,r2 +81138d9c: 14fff404 addi r19,r2,-48 +81138da0: 003fa506 br 81138c38 <__reset+0xfb118c38> +81138da4: 002b883a mov r21,zero +81138da8: 002d883a mov r22,zero +81138dac: 003fe806 br 81138d50 <__reset+0xfb118d50> +81138db0: aaabc83a sub r21,r21,r10 +81138db4: b000011e bne r22,zero,81138dbc <_strtod_r+0x38c> +81138db8: 482d883a mov r22,r9 +81138dbc: 00800404 movi r2,16 +81138dc0: 482f883a mov r23,r9 +81138dc4: 1240010e bge r2,r9,81138dcc <_strtod_r+0x39c> +81138dc8: 102f883a mov r23,r2 +81138dcc: e009883a mov r4,fp +81138dd0: da401315 stw r9,76(sp) +81138dd4: 112aed00 call 8112aed0 <__floatunsidf> 81138dd8: 1025883a mov r18,r2 -81138ddc: 1823883a mov r17,r3 -81138de0: 112ae900 call 8112ae90 <__floatunsidf> -81138de4: 9009883a mov r4,r18 -81138de8: 880b883a mov r5,r17 -81138dec: 100d883a mov r6,r2 -81138df0: 180f883a mov r7,r3 -81138df4: 1128ab00 call 81128ab0 <__adddf3> -81138df8: da401317 ldw r9,76(sp) -81138dfc: 1025883a mov r18,r2 -81138e00: 1823883a mov r17,r3 -81138e04: 008003c4 movi r2,15 -81138e08: 12407b16 blt r2,r9,81138ff8 <_strtod_r+0x608> -81138e0c: a83fbe26 beq r21,zero,81138d08 <__reset+0xfb118d08> -81138e10: 0543670e bge zero,r21,81139bb0 <_strtod_r+0x11c0> -81138e14: 00c00584 movi r3,22 -81138e18: 1d42e816 blt r3,r21,811399bc <_strtod_r+0xfcc> -81138e1c: a82a90fa slli r21,r21,3 -81138e20: 00a04574 movhi r2,33045 -81138e24: 10bef504 addi r2,r2,-1068 -81138e28: 1545883a add r2,r2,r21 -81138e2c: 11000017 ldw r4,0(r2) -81138e30: 11400117 ldw r5,4(r2) -81138e34: 900d883a mov r6,r18 -81138e38: 880f883a mov r7,r17 -81138e3c: 1129d200 call 81129d20 <__muldf3> -81138e40: 102b883a mov r21,r2 -81138e44: 182d883a mov r22,r3 -81138e48: 003fb106 br 81138d10 <__reset+0xfb118d10> -81138e4c: 4801b526 beq r9,zero,81139524 <_strtod_r+0xb34> -81138e50: ddc00617 ldw r23,24(sp) -81138e54: 00c00ac4 movi r3,43 -81138e58: b8800044 addi r2,r23,1 -81138e5c: d8800615 stw r2,24(sp) -81138e60: b8800047 ldb r2,1(r23) -81138e64: 10c1e926 beq r2,r3,8113960c <_strtod_r+0xc1c> -81138e68: 00c00b44 movi r3,45 -81138e6c: 10c1ec26 beq r2,r3,81139620 <_strtod_r+0xc30> -81138e70: 1023883a mov r17,r2 -81138e74: 0019883a mov r12,zero -81138e78: 88bff404 addi r2,r17,-48 -81138e7c: 00c00244 movi r3,9 -81138e80: 1881b736 bltu r3,r2,81139560 <_strtod_r+0xb70> -81138e84: 00800c04 movi r2,48 -81138e88: 8880071e bne r17,r2,81138ea8 <_strtod_r+0x4b8> -81138e8c: d8800617 ldw r2,24(sp) -81138e90: 8807883a mov r3,r17 -81138e94: 10800044 addi r2,r2,1 -81138e98: d8800615 stw r2,24(sp) -81138e9c: 14400007 ldb r17,0(r2) -81138ea0: 10800044 addi r2,r2,1 -81138ea4: 88fffc26 beq r17,r3,81138e98 <__reset+0xfb118e98> -81138ea8: 88bff3c4 addi r2,r17,-49 -81138eac: 00c00204 movi r3,8 -81138eb0: 18bf7036 bltu r3,r2,81138c74 <__reset+0xfb118c74> -81138eb4: db800617 ldw r14,24(sp) +81138ddc: 00800244 movi r2,9 +81138de0: 1823883a mov r17,r3 +81138de4: da401317 ldw r9,76(sp) +81138de8: 15c0160e bge r2,r23,81138e44 <_strtod_r+0x414> +81138dec: b8bffdc4 addi r2,r23,-9 +81138df0: 100490fa slli r2,r2,3 +81138df4: 180b883a mov r5,r3 +81138df8: 00e04574 movhi r3,33045 +81138dfc: 18ff0604 addi r3,r3,-1000 +81138e00: 1885883a add r2,r3,r2 +81138e04: 11800017 ldw r6,0(r2) +81138e08: 11c00117 ldw r7,4(r2) +81138e0c: 9009883a mov r4,r18 +81138e10: 1129d600 call 81129d60 <__muldf3> +81138e14: 9809883a mov r4,r19 +81138e18: 1025883a mov r18,r2 +81138e1c: 1823883a mov r17,r3 +81138e20: 112aed00 call 8112aed0 <__floatunsidf> +81138e24: 9009883a mov r4,r18 +81138e28: 880b883a mov r5,r17 +81138e2c: 100d883a mov r6,r2 +81138e30: 180f883a mov r7,r3 +81138e34: 1128af00 call 81128af0 <__adddf3> +81138e38: da401317 ldw r9,76(sp) +81138e3c: 1025883a mov r18,r2 +81138e40: 1823883a mov r17,r3 +81138e44: 008003c4 movi r2,15 +81138e48: 12407b16 blt r2,r9,81139038 <_strtod_r+0x608> +81138e4c: a83fbe26 beq r21,zero,81138d48 <__reset+0xfb118d48> +81138e50: 0543670e bge zero,r21,81139bf0 <_strtod_r+0x11c0> +81138e54: 00c00584 movi r3,22 +81138e58: 1d42e816 blt r3,r21,811399fc <_strtod_r+0xfcc> +81138e5c: a82a90fa slli r21,r21,3 +81138e60: 00a04574 movhi r2,33045 +81138e64: 10bf0604 addi r2,r2,-1000 +81138e68: 1545883a add r2,r2,r21 +81138e6c: 11000017 ldw r4,0(r2) +81138e70: 11400117 ldw r5,4(r2) +81138e74: 900d883a mov r6,r18 +81138e78: 880f883a mov r7,r17 +81138e7c: 1129d600 call 81129d60 <__muldf3> +81138e80: 102b883a mov r21,r2 +81138e84: 182d883a mov r22,r3 +81138e88: 003fb106 br 81138d50 <__reset+0xfb118d50> +81138e8c: 4801b526 beq r9,zero,81139564 <_strtod_r+0xb34> +81138e90: ddc00617 ldw r23,24(sp) +81138e94: 00c00ac4 movi r3,43 +81138e98: b8800044 addi r2,r23,1 +81138e9c: d8800615 stw r2,24(sp) +81138ea0: b8800047 ldb r2,1(r23) +81138ea4: 10c1e926 beq r2,r3,8113964c <_strtod_r+0xc1c> +81138ea8: 00c00b44 movi r3,45 +81138eac: 10c1ec26 beq r2,r3,81139660 <_strtod_r+0xc30> +81138eb0: 1023883a mov r17,r2 +81138eb4: 0019883a mov r12,zero 81138eb8: 88bff404 addi r2,r17,-48 -81138ebc: 03400244 movi r13,9 -81138ec0: 71c00044 addi r7,r14,1 -81138ec4: d9c00615 stw r7,24(sp) -81138ec8: 74400043 ldbu r17,1(r14) -81138ecc: 88fff404 addi r3,r17,-48 -81138ed0: 8c403fcc andi r17,r17,255 -81138ed4: 8c40201c xori r17,r17,128 -81138ed8: 18c03fcc andi r3,r3,255 -81138edc: 8c7fe004 addi r17,r17,-128 -81138ee0: 68c00e36 bltu r13,r3,81138f1c <_strtod_r+0x52c> -81138ee4: 71000084 addi r4,r14,2 -81138ee8: d9000615 stw r4,24(sp) -81138eec: 108002a4 muli r2,r2,10 -81138ef0: 21800003 ldbu r6,0(r4) -81138ef4: 200f883a mov r7,r4 -81138ef8: 1463883a add r17,r2,r17 -81138efc: 30fff404 addi r3,r6,-48 -81138f00: 88bff404 addi r2,r17,-48 -81138f04: 34403fcc andi r17,r6,255 -81138f08: 8c40201c xori r17,r17,128 -81138f0c: 18c03fcc andi r3,r3,255 -81138f10: 8c7fe004 addi r17,r17,-128 -81138f14: 21000044 addi r4,r4,1 -81138f18: 68fff32e bgeu r13,r3,81138ee8 <__reset+0xfb118ee8> -81138f1c: 3b8fc83a sub r7,r7,r14 -81138f20: 00c00204 movi r3,8 -81138f24: 19c29716 blt r3,r7,81139984 <_strtod_r+0xf94> -81138f28: 102b883a mov r21,r2 -81138f2c: 009387c4 movi r2,19999 -81138f30: 1540010e bge r2,r21,81138f38 <_strtod_r+0x548> -81138f34: 102b883a mov r21,r2 -81138f38: 603f4f26 beq r12,zero,81138c78 <__reset+0xfb118c78> -81138f3c: 056bc83a sub r21,zero,r21 -81138f40: 003f4d06 br 81138c78 <__reset+0xfb118c78> -81138f44: 98800047 ldb r2,1(r19) -81138f48: 00c01604 movi r3,88 -81138f4c: 10c1de26 beq r2,r3,811396c8 <_strtod_r+0xcd8> -81138f50: 00c01e04 movi r3,120 -81138f54: 10c1dc26 beq r2,r3,811396c8 <_strtod_r+0xcd8> -81138f58: 98c00044 addi r3,r19,1 -81138f5c: 1827883a mov r19,r3 -81138f60: d8c00615 stw r3,24(sp) -81138f64: 18c00044 addi r3,r3,1 -81138f68: 18bfffc3 ldbu r2,-1(r3) -81138f6c: 11003fcc andi r4,r2,255 -81138f70: 2100201c xori r4,r4,128 -81138f74: 213fe004 addi r4,r4,-128 -81138f78: 217ff826 beq r4,r5,81138f5c <__reset+0xfb118f5c> -81138f7c: 203f7926 beq r4,zero,81138d64 <__reset+0xfb118d64> -81138f80: dcc00815 stw r19,32(sp) -81138f84: 04800044 movi r18,1 -81138f88: 003f0b06 br 81138bb8 <__reset+0xfb118bb8> -81138f8c: 8009883a mov r4,r16 -81138f90: 1136cb00 call 81136cb0 <_localeconv_r> -81138f94: 11000017 ldw r4,0(r2) -81138f98: 112d86c0 call 8112d86c -81138f9c: d8c00617 ldw r3,24(sp) -81138fa0: 1885883a add r2,r3,r2 -81138fa4: d8800615 stw r2,24(sp) -81138fa8: 14400007 ldb r17,0(r2) -81138fac: b001881e bne r22,zero,811395d0 <_strtod_r+0xbe0> -81138fb0: 00c00c04 movi r3,48 -81138fb4: 88c3391e bne r17,r3,81139c9c <_strtod_r+0x12ac> -81138fb8: 10c00044 addi r3,r2,1 -81138fbc: 8809883a mov r4,r17 -81138fc0: d8c00615 stw r3,24(sp) -81138fc4: 1c400007 ldb r17,0(r3) -81138fc8: 188bc83a sub r5,r3,r2 -81138fcc: 18c00044 addi r3,r3,1 -81138fd0: 893ffb26 beq r17,r4,81138fc0 <__reset+0xfb118fc0> -81138fd4: 88bff3c4 addi r2,r17,-49 -81138fd8: 00c00204 movi r3,8 -81138fdc: 1882e72e bgeu r3,r2,81139b7c <_strtod_r+0x118c> -81138fe0: 00801944 movi r2,101 -81138fe4: 88814d26 beq r17,r2,8113951c <_strtod_r+0xb2c> -81138fe8: 0013883a mov r9,zero -81138fec: 0015883a mov r10,zero -81138ff0: 02c00044 movi r11,1 -81138ff4: 003f1d06 br 81138c6c <__reset+0xfb118c6c> -81138ff8: 4defc83a sub r23,r9,r23 -81138ffc: bd6f883a add r23,r23,r21 -81139000: 05c21e0e bge zero,r23,8113987c <_strtod_r+0xe8c> -81139004: b88003cc andi r2,r23,15 -81139008: 10000d26 beq r2,zero,81139040 <_strtod_r+0x650> -8113900c: 100490fa slli r2,r2,3 -81139010: 00e04574 movhi r3,33045 -81139014: 18fef504 addi r3,r3,-1068 -81139018: 1885883a add r2,r3,r2 -8113901c: 11000017 ldw r4,0(r2) -81139020: 11400117 ldw r5,4(r2) -81139024: 900d883a mov r6,r18 -81139028: 880f883a mov r7,r17 -8113902c: da401315 stw r9,76(sp) -81139030: 1129d200 call 81129d20 <__muldf3> -81139034: da401317 ldw r9,76(sp) -81139038: 1025883a mov r18,r2 -8113903c: 1823883a mov r17,r3 -81139040: 023ffc04 movi r8,-16 -81139044: ba10703a and r8,r23,r8 -81139048: 40003c26 beq r8,zero,8113913c <_strtod_r+0x74c> -8113904c: 00804d04 movi r2,308 -81139050: 1201cd16 blt r2,r8,81139788 <_strtod_r+0xd98> -81139054: 4011d13a srai r8,r8,4 -81139058: 03000044 movi r12,1 -8113905c: 62037c0e bge r12,r8,81139e50 <_strtod_r+0x1460> -81139060: 01204574 movhi r4,33045 -81139064: 213eeb04 addi r4,r4,-1108 -81139068: 2015883a mov r10,r4 -8113906c: 0027883a mov r19,zero -81139070: d9000915 stw r4,36(sp) -81139074: 9005883a mov r2,r18 -81139078: 8807883a mov r3,r17 -8113907c: dc000c15 stw r16,48(sp) -81139080: dc800e15 stw r18,56(sp) -81139084: 9821883a mov r16,r19 -81139088: 0009883a mov r4,zero -8113908c: 8827883a mov r19,r17 -81139090: 602f883a mov r23,r12 -81139094: da400a15 stw r9,40(sp) -81139098: 5025883a mov r18,r10 -8113909c: 4023883a mov r17,r8 -811390a0: 8980004c andi r6,r17,1 -811390a4: 30000626 beq r6,zero,811390c0 <_strtod_r+0x6d0> -811390a8: 91800017 ldw r6,0(r18) -811390ac: 91c00117 ldw r7,4(r18) -811390b0: 1009883a mov r4,r2 -811390b4: 180b883a mov r5,r3 -811390b8: 1129d200 call 81129d20 <__muldf3> -811390bc: 01000044 movi r4,1 -811390c0: 8823d07a srai r17,r17,1 -811390c4: 84000044 addi r16,r16,1 -811390c8: 94800204 addi r18,r18,8 -811390cc: 8dfff41e bne r17,r23,811390a0 <__reset+0xfb1190a0> -811390d0: 21003fcc andi r4,r4,255 -811390d4: 9823883a mov r17,r19 -811390d8: da400a17 ldw r9,40(sp) -811390dc: 8027883a mov r19,r16 -811390e0: dc800e17 ldw r18,56(sp) -811390e4: dc000c17 ldw r16,48(sp) -811390e8: 2003b11e bne r4,zero,81139fb0 <_strtod_r+0x15c0> -811390ec: 981690fa slli r11,r19,3 -811390f0: d8800917 ldw r2,36(sp) -811390f4: 01ff2c34 movhi r7,64688 -811390f8: 900d883a mov r6,r18 -811390fc: 12ef883a add r23,r2,r11 -81139100: b9000017 ldw r4,0(r23) -81139104: b9400117 ldw r5,4(r23) -81139108: 89cf883a add r7,r17,r7 -8113910c: da401315 stw r9,76(sp) -81139110: 1129d200 call 81129d20 <__muldf3> -81139114: 1025883a mov r18,r2 -81139118: 011f2834 movhi r4,31904 -8113911c: 189ffc2c andhi r2,r3,32752 -81139120: da401317 ldw r9,76(sp) -81139124: 20819836 bltu r4,r2,81139788 <_strtod_r+0xd98> -81139128: 011f2434 movhi r4,31888 -8113912c: 2083382e bgeu r4,r2,81139e10 <_strtod_r+0x1420> -81139130: 045ffc34 movhi r17,32752 -81139134: 8c7fffc4 addi r17,r17,-1 -81139138: 04bfffc4 movi r18,-1 -8113913c: d8000915 stw zero,36(sp) -81139140: d9400817 ldw r5,32(sp) -81139144: df000015 stw fp,0(sp) -81139148: 480f883a mov r7,r9 -8113914c: b00d883a mov r6,r22 -81139150: 8009883a mov r4,r16 -81139154: 11373940 call 81137394 <__s2b> -81139158: d8800815 stw r2,32(sp) -8113915c: 10018a26 beq r2,zero,81139788 <_strtod_r+0xd98> -81139160: a807d7fa srai r3,r21,31 -81139164: 0545c83a sub r2,zero,r21 -81139168: dd400a15 stw r21,40(sp) -8113916c: 1886703a and r3,r3,r2 -81139170: d8c00c15 stw r3,48(sp) -81139174: a8013516 blt r21,zero,8113964c <_strtod_r+0xc5c> -81139178: d9400817 ldw r5,32(sp) -8113917c: 0011883a mov r8,zero -81139180: 0027883a mov r19,zero -81139184: 29400304 addi r5,r5,12 -81139188: d9400f15 stw r5,60(sp) -8113918c: 402b883a mov r21,r8 -81139190: dd001215 stw r20,72(sp) -81139194: d8800817 ldw r2,32(sp) -81139198: 8009883a mov r4,r16 -8113919c: 11400117 ldw r5,4(r2) -811391a0: 11371a40 call 811371a4 <_Balloc> -811391a4: 1029883a mov r20,r2 -811391a8: 10012d26 beq r2,zero,81139660 <_strtod_r+0xc70> -811391ac: d8c00817 ldw r3,32(sp) -811391b0: d9400f17 ldw r5,60(sp) -811391b4: 11000304 addi r4,r2,12 -811391b8: 19800417 ldw r6,16(r3) -811391bc: 31800084 addi r6,r6,2 -811391c0: 318d883a add r6,r6,r6 -811391c4: 318d883a add r6,r6,r6 -811391c8: 112c6480 call 8112c648 -811391cc: d9000204 addi r4,sp,8 -811391d0: d9000015 stw r4,0(sp) -811391d4: d9c00404 addi r7,sp,16 -811391d8: 900b883a mov r5,r18 -811391dc: 880d883a mov r6,r17 -811391e0: 8009883a mov r4,r16 -811391e4: 1137e380 call 81137e38 <__d2b> -811391e8: d8800515 stw r2,20(sp) -811391ec: dc801115 stw r18,68(sp) -811391f0: dc401015 stw r17,64(sp) -811391f4: 10027c26 beq r2,zero,81139be8 <_strtod_r+0x11f8> -811391f8: 01400044 movi r5,1 -811391fc: 8009883a mov r4,r16 -81139200: 11375b00 call 811375b0 <__i2b> -81139204: 1027883a mov r19,r2 -81139208: 10011526 beq r2,zero,81139660 <_strtod_r+0xc70> -8113920c: d8800417 ldw r2,16(sp) -81139210: 1000c816 blt r2,zero,81139534 <_strtod_r+0xb44> -81139214: d9400c17 ldw r5,48(sp) -81139218: d9000a17 ldw r4,40(sp) -8113921c: 28ad883a add r22,r5,r2 -81139220: d9400917 ldw r5,36(sp) -81139224: df000217 ldw fp,8(sp) -81139228: 05ff0084 movi r23,-1022 -8113922c: 1147c83a sub r3,r2,r5 -81139230: 1f07883a add r3,r3,fp -81139234: 00800d84 movi r2,54 -81139238: 18ffffc4 addi r3,r3,-1 -8113923c: 1739c83a sub fp,r2,fp -81139240: 1dc0a50e bge r3,r23,811394d8 <_strtod_r+0xae8> -81139244: b8efc83a sub r23,r23,r3 -81139248: 008007c4 movi r2,31 -8113924c: e5f9c83a sub fp,fp,r23 -81139250: 15c0bc16 blt r2,r23,81139544 <_strtod_r+0xb54> -81139254: 00800044 movi r2,1 -81139258: 15c4983a sll r2,r2,r23 -8113925c: d8000b15 stw zero,44(sp) -81139260: d8800d15 stw r2,52(sp) -81139264: 2707883a add r3,r4,fp -81139268: d9000917 ldw r4,36(sp) -8113926c: b739883a add fp,r22,fp -81139270: 20ef883a add r23,r4,r3 -81139274: b009883a mov r4,r22 -81139278: e580010e bge fp,r22,81139280 <_strtod_r+0x890> -8113927c: e009883a mov r4,fp -81139280: b805883a mov r2,r23 -81139284: 25c0010e bge r4,r23,8113928c <_strtod_r+0x89c> -81139288: 2005883a mov r2,r4 -8113928c: 0080030e bge zero,r2,8113929c <_strtod_r+0x8ac> -81139290: e0b9c83a sub fp,fp,r2 -81139294: b8afc83a sub r23,r23,r2 -81139298: b0adc83a sub r22,r22,r2 -8113929c: d9400c17 ldw r5,48(sp) -811392a0: 28001126 beq r5,zero,811392e8 <_strtod_r+0x8f8> -811392a4: 280d883a mov r6,r5 -811392a8: 8009883a mov r4,r16 -811392ac: 980b883a mov r5,r19 -811392b0: 11377e40 call 811377e4 <__pow5mult> -811392b4: 1027883a mov r19,r2 -811392b8: 1000e926 beq r2,zero,81139660 <_strtod_r+0xc70> -811392bc: d9800517 ldw r6,20(sp) -811392c0: 100b883a mov r5,r2 -811392c4: 8009883a mov r4,r16 -811392c8: 11375ec0 call 811375ec <__multiply> -811392cc: 1000e426 beq r2,zero,81139660 <_strtod_r+0xc70> -811392d0: d9400517 ldw r5,20(sp) -811392d4: 8009883a mov r4,r16 -811392d8: d8801315 stw r2,76(sp) -811392dc: 113724c0 call 8113724c <_Bfree> -811392e0: d8801317 ldw r2,76(sp) -811392e4: d8800515 stw r2,20(sp) -811392e8: 0700060e bge zero,fp,81139304 <_strtod_r+0x914> -811392ec: d9400517 ldw r5,20(sp) -811392f0: e00d883a mov r6,fp -811392f4: 8009883a mov r4,r16 -811392f8: 11379240 call 81137924 <__lshift> -811392fc: d8800515 stw r2,20(sp) -81139300: 10023926 beq r2,zero,81139be8 <_strtod_r+0x11f8> -81139304: d8800a17 ldw r2,40(sp) -81139308: 10000626 beq r2,zero,81139324 <_strtod_r+0x934> -8113930c: d9800a17 ldw r6,40(sp) -81139310: a00b883a mov r5,r20 +81138ebc: 00c00244 movi r3,9 +81138ec0: 1881b736 bltu r3,r2,811395a0 <_strtod_r+0xb70> +81138ec4: 00800c04 movi r2,48 +81138ec8: 8880071e bne r17,r2,81138ee8 <_strtod_r+0x4b8> +81138ecc: d8800617 ldw r2,24(sp) +81138ed0: 8807883a mov r3,r17 +81138ed4: 10800044 addi r2,r2,1 +81138ed8: d8800615 stw r2,24(sp) +81138edc: 14400007 ldb r17,0(r2) +81138ee0: 10800044 addi r2,r2,1 +81138ee4: 88fffc26 beq r17,r3,81138ed8 <__reset+0xfb118ed8> +81138ee8: 88bff3c4 addi r2,r17,-49 +81138eec: 00c00204 movi r3,8 +81138ef0: 18bf7036 bltu r3,r2,81138cb4 <__reset+0xfb118cb4> +81138ef4: db800617 ldw r14,24(sp) +81138ef8: 88bff404 addi r2,r17,-48 +81138efc: 03400244 movi r13,9 +81138f00: 71c00044 addi r7,r14,1 +81138f04: d9c00615 stw r7,24(sp) +81138f08: 74400043 ldbu r17,1(r14) +81138f0c: 88fff404 addi r3,r17,-48 +81138f10: 8c403fcc andi r17,r17,255 +81138f14: 8c40201c xori r17,r17,128 +81138f18: 18c03fcc andi r3,r3,255 +81138f1c: 8c7fe004 addi r17,r17,-128 +81138f20: 68c00e36 bltu r13,r3,81138f5c <_strtod_r+0x52c> +81138f24: 71000084 addi r4,r14,2 +81138f28: d9000615 stw r4,24(sp) +81138f2c: 108002a4 muli r2,r2,10 +81138f30: 21800003 ldbu r6,0(r4) +81138f34: 200f883a mov r7,r4 +81138f38: 1463883a add r17,r2,r17 +81138f3c: 30fff404 addi r3,r6,-48 +81138f40: 88bff404 addi r2,r17,-48 +81138f44: 34403fcc andi r17,r6,255 +81138f48: 8c40201c xori r17,r17,128 +81138f4c: 18c03fcc andi r3,r3,255 +81138f50: 8c7fe004 addi r17,r17,-128 +81138f54: 21000044 addi r4,r4,1 +81138f58: 68fff32e bgeu r13,r3,81138f28 <__reset+0xfb118f28> +81138f5c: 3b8fc83a sub r7,r7,r14 +81138f60: 00c00204 movi r3,8 +81138f64: 19c29716 blt r3,r7,811399c4 <_strtod_r+0xf94> +81138f68: 102b883a mov r21,r2 +81138f6c: 009387c4 movi r2,19999 +81138f70: 1540010e bge r2,r21,81138f78 <_strtod_r+0x548> +81138f74: 102b883a mov r21,r2 +81138f78: 603f4f26 beq r12,zero,81138cb8 <__reset+0xfb118cb8> +81138f7c: 056bc83a sub r21,zero,r21 +81138f80: 003f4d06 br 81138cb8 <__reset+0xfb118cb8> +81138f84: 98800047 ldb r2,1(r19) +81138f88: 00c01604 movi r3,88 +81138f8c: 10c1de26 beq r2,r3,81139708 <_strtod_r+0xcd8> +81138f90: 00c01e04 movi r3,120 +81138f94: 10c1dc26 beq r2,r3,81139708 <_strtod_r+0xcd8> +81138f98: 98c00044 addi r3,r19,1 +81138f9c: 1827883a mov r19,r3 +81138fa0: d8c00615 stw r3,24(sp) +81138fa4: 18c00044 addi r3,r3,1 +81138fa8: 18bfffc3 ldbu r2,-1(r3) +81138fac: 11003fcc andi r4,r2,255 +81138fb0: 2100201c xori r4,r4,128 +81138fb4: 213fe004 addi r4,r4,-128 +81138fb8: 217ff826 beq r4,r5,81138f9c <__reset+0xfb118f9c> +81138fbc: 203f7926 beq r4,zero,81138da4 <__reset+0xfb118da4> +81138fc0: dcc00815 stw r19,32(sp) +81138fc4: 04800044 movi r18,1 +81138fc8: 003f0b06 br 81138bf8 <__reset+0xfb118bf8> +81138fcc: 8009883a mov r4,r16 +81138fd0: 1136cf00 call 81136cf0 <_localeconv_r> +81138fd4: 11000017 ldw r4,0(r2) +81138fd8: 112d8ac0 call 8112d8ac +81138fdc: d8c00617 ldw r3,24(sp) +81138fe0: 1885883a add r2,r3,r2 +81138fe4: d8800615 stw r2,24(sp) +81138fe8: 14400007 ldb r17,0(r2) +81138fec: b001881e bne r22,zero,81139610 <_strtod_r+0xbe0> +81138ff0: 00c00c04 movi r3,48 +81138ff4: 88c3391e bne r17,r3,81139cdc <_strtod_r+0x12ac> +81138ff8: 10c00044 addi r3,r2,1 +81138ffc: 8809883a mov r4,r17 +81139000: d8c00615 stw r3,24(sp) +81139004: 1c400007 ldb r17,0(r3) +81139008: 188bc83a sub r5,r3,r2 +8113900c: 18c00044 addi r3,r3,1 +81139010: 893ffb26 beq r17,r4,81139000 <__reset+0xfb119000> +81139014: 88bff3c4 addi r2,r17,-49 +81139018: 00c00204 movi r3,8 +8113901c: 1882e72e bgeu r3,r2,81139bbc <_strtod_r+0x118c> +81139020: 00801944 movi r2,101 +81139024: 88814d26 beq r17,r2,8113955c <_strtod_r+0xb2c> +81139028: 0013883a mov r9,zero +8113902c: 0015883a mov r10,zero +81139030: 02c00044 movi r11,1 +81139034: 003f1d06 br 81138cac <__reset+0xfb118cac> +81139038: 4defc83a sub r23,r9,r23 +8113903c: bd6f883a add r23,r23,r21 +81139040: 05c21e0e bge zero,r23,811398bc <_strtod_r+0xe8c> +81139044: b88003cc andi r2,r23,15 +81139048: 10000d26 beq r2,zero,81139080 <_strtod_r+0x650> +8113904c: 100490fa slli r2,r2,3 +81139050: 00e04574 movhi r3,33045 +81139054: 18ff0604 addi r3,r3,-1000 +81139058: 1885883a add r2,r3,r2 +8113905c: 11000017 ldw r4,0(r2) +81139060: 11400117 ldw r5,4(r2) +81139064: 900d883a mov r6,r18 +81139068: 880f883a mov r7,r17 +8113906c: da401315 stw r9,76(sp) +81139070: 1129d600 call 81129d60 <__muldf3> +81139074: da401317 ldw r9,76(sp) +81139078: 1025883a mov r18,r2 +8113907c: 1823883a mov r17,r3 +81139080: 023ffc04 movi r8,-16 +81139084: ba10703a and r8,r23,r8 +81139088: 40003c26 beq r8,zero,8113917c <_strtod_r+0x74c> +8113908c: 00804d04 movi r2,308 +81139090: 1201cd16 blt r2,r8,811397c8 <_strtod_r+0xd98> +81139094: 4011d13a srai r8,r8,4 +81139098: 03000044 movi r12,1 +8113909c: 62037c0e bge r12,r8,81139e90 <_strtod_r+0x1460> +811390a0: 01204574 movhi r4,33045 +811390a4: 213efc04 addi r4,r4,-1040 +811390a8: 2015883a mov r10,r4 +811390ac: 0027883a mov r19,zero +811390b0: d9000915 stw r4,36(sp) +811390b4: 9005883a mov r2,r18 +811390b8: 8807883a mov r3,r17 +811390bc: dc000c15 stw r16,48(sp) +811390c0: dc800e15 stw r18,56(sp) +811390c4: 9821883a mov r16,r19 +811390c8: 0009883a mov r4,zero +811390cc: 8827883a mov r19,r17 +811390d0: 602f883a mov r23,r12 +811390d4: da400a15 stw r9,40(sp) +811390d8: 5025883a mov r18,r10 +811390dc: 4023883a mov r17,r8 +811390e0: 8980004c andi r6,r17,1 +811390e4: 30000626 beq r6,zero,81139100 <_strtod_r+0x6d0> +811390e8: 91800017 ldw r6,0(r18) +811390ec: 91c00117 ldw r7,4(r18) +811390f0: 1009883a mov r4,r2 +811390f4: 180b883a mov r5,r3 +811390f8: 1129d600 call 81129d60 <__muldf3> +811390fc: 01000044 movi r4,1 +81139100: 8823d07a srai r17,r17,1 +81139104: 84000044 addi r16,r16,1 +81139108: 94800204 addi r18,r18,8 +8113910c: 8dfff41e bne r17,r23,811390e0 <__reset+0xfb1190e0> +81139110: 21003fcc andi r4,r4,255 +81139114: 9823883a mov r17,r19 +81139118: da400a17 ldw r9,40(sp) +8113911c: 8027883a mov r19,r16 +81139120: dc800e17 ldw r18,56(sp) +81139124: dc000c17 ldw r16,48(sp) +81139128: 2003b11e bne r4,zero,81139ff0 <_strtod_r+0x15c0> +8113912c: 981690fa slli r11,r19,3 +81139130: d8800917 ldw r2,36(sp) +81139134: 01ff2c34 movhi r7,64688 +81139138: 900d883a mov r6,r18 +8113913c: 12ef883a add r23,r2,r11 +81139140: b9000017 ldw r4,0(r23) +81139144: b9400117 ldw r5,4(r23) +81139148: 89cf883a add r7,r17,r7 +8113914c: da401315 stw r9,76(sp) +81139150: 1129d600 call 81129d60 <__muldf3> +81139154: 1025883a mov r18,r2 +81139158: 011f2834 movhi r4,31904 +8113915c: 189ffc2c andhi r2,r3,32752 +81139160: da401317 ldw r9,76(sp) +81139164: 20819836 bltu r4,r2,811397c8 <_strtod_r+0xd98> +81139168: 011f2434 movhi r4,31888 +8113916c: 2083382e bgeu r4,r2,81139e50 <_strtod_r+0x1420> +81139170: 045ffc34 movhi r17,32752 +81139174: 8c7fffc4 addi r17,r17,-1 +81139178: 04bfffc4 movi r18,-1 +8113917c: d8000915 stw zero,36(sp) +81139180: d9400817 ldw r5,32(sp) +81139184: df000015 stw fp,0(sp) +81139188: 480f883a mov r7,r9 +8113918c: b00d883a mov r6,r22 +81139190: 8009883a mov r4,r16 +81139194: 11373d40 call 811373d4 <__s2b> +81139198: d8800815 stw r2,32(sp) +8113919c: 10018a26 beq r2,zero,811397c8 <_strtod_r+0xd98> +811391a0: a807d7fa srai r3,r21,31 +811391a4: 0545c83a sub r2,zero,r21 +811391a8: dd400a15 stw r21,40(sp) +811391ac: 1886703a and r3,r3,r2 +811391b0: d8c00c15 stw r3,48(sp) +811391b4: a8013516 blt r21,zero,8113968c <_strtod_r+0xc5c> +811391b8: d9400817 ldw r5,32(sp) +811391bc: 0011883a mov r8,zero +811391c0: 0027883a mov r19,zero +811391c4: 29400304 addi r5,r5,12 +811391c8: d9400f15 stw r5,60(sp) +811391cc: 402b883a mov r21,r8 +811391d0: dd001215 stw r20,72(sp) +811391d4: d8800817 ldw r2,32(sp) +811391d8: 8009883a mov r4,r16 +811391dc: 11400117 ldw r5,4(r2) +811391e0: 11371e40 call 811371e4 <_Balloc> +811391e4: 1029883a mov r20,r2 +811391e8: 10012d26 beq r2,zero,811396a0 <_strtod_r+0xc70> +811391ec: d8c00817 ldw r3,32(sp) +811391f0: d9400f17 ldw r5,60(sp) +811391f4: 11000304 addi r4,r2,12 +811391f8: 19800417 ldw r6,16(r3) +811391fc: 31800084 addi r6,r6,2 +81139200: 318d883a add r6,r6,r6 +81139204: 318d883a add r6,r6,r6 +81139208: 112c6880 call 8112c688 +8113920c: d9000204 addi r4,sp,8 +81139210: d9000015 stw r4,0(sp) +81139214: d9c00404 addi r7,sp,16 +81139218: 900b883a mov r5,r18 +8113921c: 880d883a mov r6,r17 +81139220: 8009883a mov r4,r16 +81139224: 1137e780 call 81137e78 <__d2b> +81139228: d8800515 stw r2,20(sp) +8113922c: dc801115 stw r18,68(sp) +81139230: dc401015 stw r17,64(sp) +81139234: 10027c26 beq r2,zero,81139c28 <_strtod_r+0x11f8> +81139238: 01400044 movi r5,1 +8113923c: 8009883a mov r4,r16 +81139240: 11375f00 call 811375f0 <__i2b> +81139244: 1027883a mov r19,r2 +81139248: 10011526 beq r2,zero,811396a0 <_strtod_r+0xc70> +8113924c: d8800417 ldw r2,16(sp) +81139250: 1000c816 blt r2,zero,81139574 <_strtod_r+0xb44> +81139254: d9400c17 ldw r5,48(sp) +81139258: d9000a17 ldw r4,40(sp) +8113925c: 28ad883a add r22,r5,r2 +81139260: d9400917 ldw r5,36(sp) +81139264: df000217 ldw fp,8(sp) +81139268: 05ff0084 movi r23,-1022 +8113926c: 1147c83a sub r3,r2,r5 +81139270: 1f07883a add r3,r3,fp +81139274: 00800d84 movi r2,54 +81139278: 18ffffc4 addi r3,r3,-1 +8113927c: 1739c83a sub fp,r2,fp +81139280: 1dc0a50e bge r3,r23,81139518 <_strtod_r+0xae8> +81139284: b8efc83a sub r23,r23,r3 +81139288: 008007c4 movi r2,31 +8113928c: e5f9c83a sub fp,fp,r23 +81139290: 15c0bc16 blt r2,r23,81139584 <_strtod_r+0xb54> +81139294: 00800044 movi r2,1 +81139298: 15c4983a sll r2,r2,r23 +8113929c: d8000b15 stw zero,44(sp) +811392a0: d8800d15 stw r2,52(sp) +811392a4: 2707883a add r3,r4,fp +811392a8: d9000917 ldw r4,36(sp) +811392ac: b739883a add fp,r22,fp +811392b0: 20ef883a add r23,r4,r3 +811392b4: b009883a mov r4,r22 +811392b8: e580010e bge fp,r22,811392c0 <_strtod_r+0x890> +811392bc: e009883a mov r4,fp +811392c0: b805883a mov r2,r23 +811392c4: 25c0010e bge r4,r23,811392cc <_strtod_r+0x89c> +811392c8: 2005883a mov r2,r4 +811392cc: 0080030e bge zero,r2,811392dc <_strtod_r+0x8ac> +811392d0: e0b9c83a sub fp,fp,r2 +811392d4: b8afc83a sub r23,r23,r2 +811392d8: b0adc83a sub r22,r22,r2 +811392dc: d9400c17 ldw r5,48(sp) +811392e0: 28001126 beq r5,zero,81139328 <_strtod_r+0x8f8> +811392e4: 280d883a mov r6,r5 +811392e8: 8009883a mov r4,r16 +811392ec: 980b883a mov r5,r19 +811392f0: 11378240 call 81137824 <__pow5mult> +811392f4: 1027883a mov r19,r2 +811392f8: 1000e926 beq r2,zero,811396a0 <_strtod_r+0xc70> +811392fc: d9800517 ldw r6,20(sp) +81139300: 100b883a mov r5,r2 +81139304: 8009883a mov r4,r16 +81139308: 113762c0 call 8113762c <__multiply> +8113930c: 1000e426 beq r2,zero,811396a0 <_strtod_r+0xc70> +81139310: d9400517 ldw r5,20(sp) 81139314: 8009883a mov r4,r16 -81139318: 11377e40 call 811377e4 <__pow5mult> -8113931c: 1029883a mov r20,r2 -81139320: 1000cf26 beq r2,zero,81139660 <_strtod_r+0xc70> -81139324: 05c0060e bge zero,r23,81139340 <_strtod_r+0x950> -81139328: a00b883a mov r5,r20 -8113932c: b80d883a mov r6,r23 -81139330: 8009883a mov r4,r16 -81139334: 11379240 call 81137924 <__lshift> -81139338: 1029883a mov r20,r2 -8113933c: 1000c826 beq r2,zero,81139660 <_strtod_r+0xc70> -81139340: 0580060e bge zero,r22,8113935c <_strtod_r+0x96c> -81139344: 980b883a mov r5,r19 -81139348: b00d883a mov r6,r22 -8113934c: 8009883a mov r4,r16 -81139350: 11379240 call 81137924 <__lshift> -81139354: 1027883a mov r19,r2 -81139358: 1000c126 beq r2,zero,81139660 <_strtod_r+0xc70> -8113935c: d9400517 ldw r5,20(sp) -81139360: a00d883a mov r6,r20 -81139364: 8009883a mov r4,r16 -81139368: 1137acc0 call 81137acc <__mdiff> -8113936c: 102b883a mov r21,r2 -81139370: 1000bb26 beq r2,zero,81139660 <_strtod_r+0xc70> -81139374: 10c00317 ldw r3,12(r2) -81139378: 980b883a mov r5,r19 -8113937c: 10000315 stw zero,12(r2) -81139380: 1009883a mov r4,r2 -81139384: d8c00e15 stw r3,56(sp) -81139388: 1137a6c0 call 81137a6c <__mcmp> -8113938c: 10027616 blt r2,zero,81139d68 <_strtod_r+0x1378> -81139390: 10024426 beq r2,zero,81139ca4 <_strtod_r+0x12b4> -81139394: 980b883a mov r5,r19 -81139398: a809883a mov r4,r21 -8113939c: 1137f840 call 81137f84 <__ratio> -811393a0: 000d883a mov r6,zero -811393a4: 01d00034 movhi r7,16384 -811393a8: 1009883a mov r4,r2 -811393ac: 180b883a mov r5,r3 -811393b0: 102f883a mov r23,r2 -811393b4: 182d883a mov r22,r3 -811393b8: 113f1280 call 8113f128 <__ledf2> -811393bc: 00804a16 blt zero,r2,811394e8 <_strtod_r+0xaf8> -811393c0: d9400e17 ldw r5,56(sp) -811393c4: 28006b26 beq r5,zero,81139574 <_strtod_r+0xb84> -811393c8: 058ffc34 movhi r22,16368 -811393cc: d8000b15 stw zero,44(sp) -811393d0: d8000d15 stw zero,52(sp) -811393d4: b02f883a mov r23,r22 -811393d8: 8f1ffc2c andhi fp,r17,32752 -811393dc: 009ff834 movhi r2,32736 -811393e0: e080cd26 beq fp,r2,81139718 <_strtod_r+0xd28> -811393e4: d9400917 ldw r5,36(sp) -811393e8: 28001a26 beq r5,zero,81139454 <_strtod_r+0xa64> -811393ec: 0081a834 movhi r2,1696 -811393f0: 17001836 bltu r2,fp,81139454 <_strtod_r+0xa64> -811393f4: d9000d17 ldw r4,52(sp) -811393f8: 01d07834 movhi r7,16864 -811393fc: 39ffffc4 addi r7,r7,-1 -81139400: 01bff034 movhi r6,65472 -81139404: b80b883a mov r5,r23 -81139408: 113f1280 call 8113f128 <__ledf2> -8113940c: 00800e16 blt zero,r2,81139448 <_strtod_r+0xa58> -81139410: d9000d17 ldw r4,52(sp) -81139414: b80b883a mov r5,r23 -81139418: 11279640 call 81127964 <__fixunsdfsi> -8113941c: 10018126 beq r2,zero,81139a24 <_strtod_r+0x1034> -81139420: 1009883a mov r4,r2 -81139424: 112ae900 call 8112ae90 <__floatunsidf> -81139428: d8800d15 stw r2,52(sp) -8113942c: 182f883a mov r23,r3 -81139430: d8800e17 ldw r2,56(sp) -81139434: 1001791e bne r2,zero,81139a1c <_strtod_r+0x102c> -81139438: ba20003c xorhi r8,r23,32768 -8113943c: d8c00d17 ldw r3,52(sp) -81139440: 402d883a mov r22,r8 -81139444: d8c00b15 stw r3,44(sp) -81139448: 0081ac34 movhi r2,1712 -8113944c: b091883a add r8,r22,r2 -81139450: 472dc83a sub r22,r8,fp -81139454: 9009883a mov r4,r18 -81139458: 880b883a mov r5,r17 -8113945c: 1137cc80 call 81137cc8 <__ulp> -81139460: d9000b17 ldw r4,44(sp) -81139464: b00b883a mov r5,r22 -81139468: 100d883a mov r6,r2 -8113946c: 180f883a mov r7,r3 -81139470: 1129d200 call 81129d20 <__muldf3> -81139474: 900d883a mov r6,r18 -81139478: 880f883a mov r7,r17 -8113947c: 1009883a mov r4,r2 -81139480: 180b883a mov r5,r3 -81139484: 1128ab00 call 81128ab0 <__adddf3> -81139488: 1025883a mov r18,r2 -8113948c: 1823883a mov r17,r3 -81139490: 182d883a mov r22,r3 -81139494: d9000917 ldw r4,36(sp) -81139498: 2000021e bne r4,zero,811394a4 <_strtod_r+0xab4> -8113949c: b09ffc2c andhi r2,r22,32752 -811394a0: e080be26 beq fp,r2,8113979c <_strtod_r+0xdac> -811394a4: d9400517 ldw r5,20(sp) -811394a8: 8009883a mov r4,r16 -811394ac: 113724c0 call 8113724c <_Bfree> -811394b0: a00b883a mov r5,r20 -811394b4: 8009883a mov r4,r16 -811394b8: 113724c0 call 8113724c <_Bfree> -811394bc: 980b883a mov r5,r19 -811394c0: 8009883a mov r4,r16 -811394c4: 113724c0 call 8113724c <_Bfree> -811394c8: a80b883a mov r5,r21 -811394cc: 8009883a mov r4,r16 -811394d0: 113724c0 call 8113724c <_Bfree> -811394d4: 003f2f06 br 81139194 <__reset+0xfb119194> -811394d8: 00c00044 movi r3,1 -811394dc: d8000b15 stw zero,44(sp) -811394e0: d8c00d15 stw r3,52(sp) -811394e4: 003f5f06 br 81139264 <__reset+0xfb119264> -811394e8: b809883a mov r4,r23 -811394ec: 000d883a mov r6,zero -811394f0: 01cff834 movhi r7,16352 -811394f4: b00b883a mov r5,r22 -811394f8: 1129d200 call 81129d20 <__muldf3> -811394fc: d9000e17 ldw r4,56(sp) -81139500: d8800d15 stw r2,52(sp) -81139504: 182f883a mov r23,r3 -81139508: 2000181e bne r4,zero,8113956c <_strtod_r+0xb7c> -8113950c: 1a20003c xorhi r8,r3,32768 -81139510: d8800b15 stw r2,44(sp) -81139514: 402d883a mov r22,r8 -81139518: 003faf06 br 811393d8 <__reset+0xfb1193d8> -8113951c: 0015883a mov r10,zero -81139520: 02c00044 movi r11,1 -81139524: 2800011e bne r5,zero,8113952c <_strtod_r+0xb3c> -81139528: 903d7e26 beq r18,zero,81138b24 <__reset+0xfb118b24> -8113952c: 0013883a mov r9,zero -81139530: 003e4706 br 81138e50 <__reset+0xfb118e50> -81139534: d8c00a17 ldw r3,40(sp) -81139538: dd800c17 ldw r22,48(sp) -8113953c: 1889c83a sub r4,r3,r2 -81139540: 003f3706 br 81139220 <__reset+0xfb119220> -81139544: 00bef884 movi r2,-1054 -81139548: 05c00044 movi r23,1 -8113954c: 10c5c83a sub r2,r2,r3 -81139550: b884983a sll r2,r23,r2 -81139554: ddc00d15 stw r23,52(sp) -81139558: d8800b15 stw r2,44(sp) -8113955c: 003f4106 br 81139264 <__reset+0xfb119264> -81139560: ddc00615 stw r23,24(sp) -81139564: 002b883a mov r21,zero -81139568: 003dc306 br 81138c78 <__reset+0xfb118c78> -8113956c: 1811883a mov r8,r3 -81139570: 003fe706 br 81139510 <__reset+0xfb119510> -81139574: 90002e1e bne r18,zero,81139630 <_strtod_r+0xc40> -81139578: 00c00434 movhi r3,16 -8113957c: 18ffffc4 addi r3,r3,-1 -81139580: 88c4703a and r2,r17,r3 -81139584: 10002c1e bne r2,zero,81139638 <_strtod_r+0xc48> -81139588: 000d883a mov r6,zero -8113958c: 01cffc34 movhi r7,16368 -81139590: b809883a mov r4,r23 -81139594: b00b883a mov r5,r22 -81139598: 113f1280 call 8113f128 <__ledf2> -8113959c: 10021716 blt r2,zero,81139dfc <_strtod_r+0x140c> -811395a0: b809883a mov r4,r23 -811395a4: b00b883a mov r5,r22 -811395a8: 000d883a mov r6,zero -811395ac: 01cff834 movhi r7,16352 -811395b0: 1129d200 call 81129d20 <__muldf3> -811395b4: d8800d15 stw r2,52(sp) -811395b8: 182f883a mov r23,r3 -811395bc: 102d883a mov r22,r2 -811395c0: 1a20003c xorhi r8,r3,32768 -811395c4: dd800b15 stw r22,44(sp) -811395c8: 402d883a mov r22,r8 -811395cc: 003f8206 br 811393d8 <__reset+0xfb1193d8> -811395d0: b013883a mov r9,r22 -811395d4: 000b883a mov r5,zero -811395d8: 0015883a mov r10,zero -811395dc: 893ff404 addi r4,r17,-48 -811395e0: 00800244 movi r2,9 -811395e4: 11001036 bltu r2,r4,81139628 <_strtod_r+0xc38> -811395e8: 28c00044 addi r3,r5,1 -811395ec: 2023883a mov r17,r4 -811395f0: 20014d1e bne r4,zero,81139b28 <_strtod_r+0x1138> -811395f4: d9800617 ldw r6,24(sp) -811395f8: 180b883a mov r5,r3 -811395fc: 30800044 addi r2,r6,1 -81139600: d8800615 stw r2,24(sp) -81139604: 34400047 ldb r17,1(r6) -81139608: 003ff406 br 811395dc <__reset+0xfb1195dc> -8113960c: 0019883a mov r12,zero -81139610: b8800084 addi r2,r23,2 -81139614: d8800615 stw r2,24(sp) -81139618: bc400087 ldb r17,2(r23) -8113961c: 003e1606 br 81138e78 <__reset+0xfb118e78> -81139620: 03000044 movi r12,1 -81139624: 003ffa06 br 81139610 <__reset+0xfb119610> -81139628: 02c00044 movi r11,1 -8113962c: 003d8d06 br 81138c64 <__reset+0xfb118c64> -81139630: 00800044 movi r2,1 -81139634: 9080fe26 beq r18,r2,81139a30 <_strtod_r+0x1040> -81139638: d8000b15 stw zero,44(sp) -8113963c: 05affc34 movhi r22,49136 -81139640: d8000d15 stw zero,52(sp) -81139644: 05cffc34 movhi r23,16368 -81139648: 003f6306 br 811393d8 <__reset+0xfb1193d8> -8113964c: d8000a15 stw zero,40(sp) -81139650: 003ec906 br 81139178 <__reset+0xfb119178> -81139654: d9001117 ldw r4,68(sp) -81139658: 00bfffc4 movi r2,-1 -8113965c: 2080461e bne r4,r2,81139778 <_strtod_r+0xd88> -81139660: dd000a15 stw r20,40(sp) -81139664: dd001217 ldw r20,72(sp) -81139668: a811883a mov r8,r21 -8113966c: d8800517 ldw r2,20(sp) -81139670: 00c00884 movi r3,34 -81139674: 80c00015 stw r3,0(r16) -81139678: 002b883a mov r21,zero -8113967c: 059ffc34 movhi r22,32752 -81139680: 100b883a mov r5,r2 -81139684: 8009883a mov r4,r16 -81139688: da001315 stw r8,76(sp) -8113968c: 113724c0 call 8113724c <_Bfree> -81139690: d9400a17 ldw r5,40(sp) -81139694: 8009883a mov r4,r16 -81139698: 113724c0 call 8113724c <_Bfree> -8113969c: 980b883a mov r5,r19 -811396a0: 8009883a mov r4,r16 -811396a4: 113724c0 call 8113724c <_Bfree> -811396a8: d9400817 ldw r5,32(sp) -811396ac: 8009883a mov r4,r16 -811396b0: 113724c0 call 8113724c <_Bfree> -811396b4: da001317 ldw r8,76(sp) -811396b8: 8009883a mov r4,r16 -811396bc: 400b883a mov r5,r8 -811396c0: 113724c0 call 8113724c <_Bfree> -811396c4: 003d9206 br 81138d10 <__reset+0xfb118d10> -811396c8: d8c00717 ldw r3,28(sp) -811396cc: d8800504 addi r2,sp,20 -811396d0: 01a04574 movhi r6,33045 -811396d4: 31bf3004 addi r6,r6,-832 -811396d8: d8c00115 stw r3,4(sp) -811396dc: d8800015 stw r2,0(sp) -811396e0: d9c00404 addi r7,sp,16 -811396e4: d9400604 addi r5,sp,24 -811396e8: 8009883a mov r4,r16 -811396ec: 113d7e40 call 8113d7e4 <__gethex> -811396f0: 154001cc andi r21,r2,7 -811396f4: a83d9b26 beq r21,zero,81138d64 <__reset+0xfb118d64> -811396f8: 00c00184 movi r3,6 -811396fc: a8c0d61e bne r21,r3,81139a58 <_strtod_r+0x1068> -81139700: 9cc00044 addi r19,r19,1 -81139704: dcc00615 stw r19,24(sp) -81139708: 002b883a mov r21,zero -8113970c: 002d883a mov r22,zero -81139710: d8000715 stw zero,28(sp) -81139714: 003d7e06 br 81138d10 <__reset+0xfb118d10> -81139718: 00bf2c34 movhi r2,64688 -8113971c: 88a3883a add r17,r17,r2 -81139720: 9009883a mov r4,r18 -81139724: 880b883a mov r5,r17 -81139728: 1137cc80 call 81137cc8 <__ulp> -8113972c: d9000b17 ldw r4,44(sp) -81139730: 100d883a mov r6,r2 -81139734: 180f883a mov r7,r3 -81139738: b00b883a mov r5,r22 -8113973c: 1129d200 call 81129d20 <__muldf3> -81139740: 900d883a mov r6,r18 -81139744: 180b883a mov r5,r3 -81139748: 880f883a mov r7,r17 -8113974c: 1009883a mov r4,r2 -81139750: 1128ab00 call 81128ab0 <__adddf3> -81139754: 015f2834 movhi r5,31904 -81139758: 1025883a mov r18,r2 -8113975c: 297fffc4 addi r5,r5,-1 -81139760: 189ffc2c andhi r2,r3,32752 -81139764: 2880892e bgeu r5,r2,8113998c <_strtod_r+0xf9c> -81139768: d8801017 ldw r2,64(sp) -8113976c: 00dffc34 movhi r3,32752 -81139770: 18ffffc4 addi r3,r3,-1 -81139774: 10ffb726 beq r2,r3,81139654 <__reset+0xfb119654> -81139778: 045ffc34 movhi r17,32752 -8113977c: 8c7fffc4 addi r17,r17,-1 -81139780: 04bfffc4 movi r18,-1 -81139784: 003f4706 br 811394a4 <__reset+0xfb1194a4> -81139788: 00800884 movi r2,34 -8113978c: 80800015 stw r2,0(r16) -81139790: 002b883a mov r21,zero -81139794: 059ffc34 movhi r22,32752 -81139798: 003d5d06 br 81138d10 <__reset+0xfb118d10> -8113979c: d9000d17 ldw r4,52(sp) -811397a0: b80b883a mov r5,r23 -811397a4: 112ad340 call 8112ad34 <__fixdfsi> -811397a8: 1009883a mov r4,r2 -811397ac: 112adb40 call 8112adb4 <__floatsidf> -811397b0: d9000d17 ldw r4,52(sp) -811397b4: b80b883a mov r5,r23 -811397b8: 100d883a mov r6,r2 -811397bc: 180f883a mov r7,r3 -811397c0: 112a4380 call 8112a438 <__subdf3> -811397c4: d9400e17 ldw r5,56(sp) -811397c8: 1039883a mov fp,r2 -811397cc: 182f883a mov r23,r3 -811397d0: 2800141e bne r5,zero,81139824 <_strtod_r+0xe34> -811397d4: 9000131e bne r18,zero,81139824 <_strtod_r+0xe34> -811397d8: 00800434 movhi r2,16 -811397dc: 10bfffc4 addi r2,r2,-1 -811397e0: b0ac703a and r22,r22,r2 -811397e4: b0000f1e bne r22,zero,81139824 <_strtod_r+0xe34> -811397e8: 01a52834 movhi r6,38048 -811397ec: 01cff434 movhi r7,16336 -811397f0: 318d6544 addi r6,r6,13717 -811397f4: 39ffffc4 addi r7,r7,-1 -811397f8: e009883a mov r4,fp -811397fc: 180b883a mov r5,r3 -81139800: 113f1280 call 8113f128 <__ledf2> -81139804: 103f270e bge r2,zero,811394a4 <__reset+0xfb1194a4> -81139808: dd000a15 stw r20,40(sp) -8113980c: a811883a mov r8,r21 -81139810: dd001217 ldw r20,72(sp) -81139814: d8800517 ldw r2,20(sp) -81139818: 002b883a mov r21,zero -8113981c: 882d883a mov r22,r17 -81139820: 003f9706 br 81139680 <__reset+0xfb119680> -81139824: 01a52834 movhi r6,38048 -81139828: 01cff834 movhi r7,16352 -8113982c: 318d6544 addi r6,r6,13717 -81139830: 39ffffc4 addi r7,r7,-1 -81139834: e009883a mov r4,fp -81139838: b80b883a mov r5,r23 -8113983c: 113f1280 call 8113f128 <__ledf2> -81139840: 10000716 blt r2,zero,81139860 <_strtod_r+0xe70> -81139844: 018d6c34 movhi r6,13744 -81139848: 31b94d44 addi r6,r6,-6859 -8113984c: 01cff834 movhi r7,16352 -81139850: e009883a mov r4,fp -81139854: b80b883a mov r5,r23 -81139858: 1129c440 call 81129c44 <__gedf2> -8113985c: 00bf110e bge zero,r2,811394a4 <__reset+0xfb1194a4> -81139860: dd000a15 stw r20,40(sp) -81139864: a811883a mov r8,r21 -81139868: dd001217 ldw r20,72(sp) -8113986c: d8800517 ldw r2,20(sp) -81139870: 902b883a mov r21,r18 -81139874: 882d883a mov r22,r17 -81139878: 003f8106 br 81139680 <__reset+0xfb119680> -8113987c: b83e2f26 beq r23,zero,8113913c <__reset+0xfb11913c> -81139880: 05efc83a sub r23,zero,r23 -81139884: b88003cc andi r2,r23,15 -81139888: 10000d26 beq r2,zero,811398c0 <_strtod_r+0xed0> -8113988c: 100490fa slli r2,r2,3 -81139890: 00e04574 movhi r3,33045 -81139894: 18fef504 addi r3,r3,-1068 -81139898: 1885883a add r2,r3,r2 -8113989c: 11800017 ldw r6,0(r2) -811398a0: 11c00117 ldw r7,4(r2) -811398a4: 9009883a mov r4,r18 -811398a8: 880b883a mov r5,r17 -811398ac: da401315 stw r9,76(sp) -811398b0: 112935c0 call 8112935c <__divdf3> -811398b4: da401317 ldw r9,76(sp) -811398b8: 1025883a mov r18,r2 -811398bc: 1823883a mov r17,r3 -811398c0: b82fd13a srai r23,r23,4 -811398c4: b83e1d26 beq r23,zero,8113913c <__reset+0xfb11913c> -811398c8: 008007c4 movi r2,31 -811398cc: 15c02816 blt r2,r23,81139970 <_strtod_r+0xf80> -811398d0: b880040c andi r2,r23,16 -811398d4: 10019726 beq r2,zero,81139f34 <_strtod_r+0x1544> -811398d8: 05c1880e bge zero,r23,81139efc <_strtod_r+0x150c> -811398dc: 00c01a84 movi r3,106 -811398e0: d8c00915 stw r3,36(sp) -811398e4: 04e04574 movhi r19,33045 -811398e8: 9cff3504 addi r19,r19,-812 -811398ec: 8807883a mov r3,r17 -811398f0: 9005883a mov r2,r18 -811398f4: 8023883a mov r17,r16 -811398f8: 0009883a mov r4,zero -811398fc: 9821883a mov r16,r19 -81139900: da400a15 stw r9,40(sp) -81139904: 1827883a mov r19,r3 -81139908: b980004c andi r6,r23,1 -8113990c: 30000626 beq r6,zero,81139928 <_strtod_r+0xf38> -81139910: 81800017 ldw r6,0(r16) -81139914: 81c00117 ldw r7,4(r16) -81139918: 1009883a mov r4,r2 -8113991c: 180b883a mov r5,r3 -81139920: 1129d200 call 81129d20 <__muldf3> -81139924: 01000044 movi r4,1 -81139928: b82fd07a srai r23,r23,1 -8113992c: 84000204 addi r16,r16,8 -81139930: b83ff51e bne r23,zero,81139908 <__reset+0xfb119908> -81139934: 21003fcc andi r4,r4,255 -81139938: 8821883a mov r16,r17 -8113993c: da400a17 ldw r9,40(sp) -81139940: 9823883a mov r17,r19 -81139944: 2001a51e bne r4,zero,81139fdc <_strtod_r+0x15ec> -81139948: d9000917 ldw r4,36(sp) -8113994c: 20016d1e bne r4,zero,81139f04 <_strtod_r+0x1514> -81139950: 000d883a mov r6,zero -81139954: 000f883a mov r7,zero -81139958: 9009883a mov r4,r18 -8113995c: 880b883a mov r5,r17 -81139960: da401315 stw r9,76(sp) -81139964: 113f0a00 call 8113f0a0 <__eqdf2> -81139968: da401317 ldw r9,76(sp) -8113996c: 103df41e bne r2,zero,81139140 <__reset+0xfb119140> -81139970: 00800884 movi r2,34 -81139974: 80800015 stw r2,0(r16) -81139978: 002b883a mov r21,zero -8113997c: 002d883a mov r22,zero -81139980: 003ce306 br 81138d10 <__reset+0xfb118d10> -81139984: 055387c4 movi r21,19999 -81139988: 003d6b06 br 81138f38 <__reset+0xfb118f38> -8113998c: 0440d434 movhi r17,848 -81139990: 1c63883a add r17,r3,r17 -81139994: 882d883a mov r22,r17 -81139998: 003ebe06 br 81139494 <__reset+0xfb119494> -8113999c: 14403fcc andi r17,r2,255 -811399a0: 8c40201c xori r17,r17,128 -811399a4: 8c7fe004 addi r17,r17,-128 -811399a8: dd400817 ldw r21,32(sp) -811399ac: 0027883a mov r19,zero -811399b0: 0039883a mov fp,zero -811399b4: 002d883a mov r22,zero -811399b8: 003c9906 br 81138c20 <__reset+0xfb118c20> -811399bc: 00c00944 movi r3,37 -811399c0: 1a47c83a sub r3,r3,r9 -811399c4: 1d7d8c16 blt r3,r21,81138ff8 <__reset+0xfb118ff8> -811399c8: 1261c83a sub r16,r2,r9 -811399cc: 800490fa slli r2,r16,3 -811399d0: 04e04574 movhi r19,33045 -811399d4: 9cfef504 addi r19,r19,-1068 -811399d8: 9885883a add r2,r19,r2 -811399dc: 11000017 ldw r4,0(r2) -811399e0: 11400117 ldw r5,4(r2) -811399e4: 900d883a mov r6,r18 -811399e8: 880f883a mov r7,r17 -811399ec: 1129d200 call 81129d20 <__muldf3> -811399f0: ac0dc83a sub r6,r21,r16 -811399f4: 300c90fa slli r6,r6,3 -811399f8: 1009883a mov r4,r2 -811399fc: 180b883a mov r5,r3 -81139a00: 9985883a add r2,r19,r6 -81139a04: 11800017 ldw r6,0(r2) -81139a08: 11c00117 ldw r7,4(r2) -81139a0c: 1129d200 call 81129d20 <__muldf3> -81139a10: 102b883a mov r21,r2 -81139a14: 182d883a mov r22,r3 -81139a18: 003cbd06 br 81138d10 <__reset+0xfb118d10> -81139a1c: b811883a mov r8,r23 -81139a20: 003e8606 br 8113943c <__reset+0xfb11943c> -81139a24: d8000d15 stw zero,52(sp) -81139a28: 05cffc34 movhi r23,16368 -81139a2c: 003e8006 br 81139430 <__reset+0xfb119430> -81139a30: 883f011e bne r17,zero,81139638 <__reset+0xfb119638> -81139a34: dd000a15 stw r20,40(sp) -81139a38: dd001217 ldw r20,72(sp) -81139a3c: a811883a mov r8,r21 -81139a40: 00800884 movi r2,34 -81139a44: 80800015 stw r2,0(r16) -81139a48: 002b883a mov r21,zero -81139a4c: d8800517 ldw r2,20(sp) -81139a50: 002d883a mov r22,zero -81139a54: 003f0a06 br 81139680 <__reset+0xfb119680> -81139a58: d9800517 ldw r6,20(sp) -81139a5c: 30000826 beq r6,zero,81139a80 <_strtod_r+0x1090> -81139a60: 01400d44 movi r5,53 -81139a64: d9000204 addi r4,sp,8 -81139a68: d8801315 stw r2,76(sp) -81139a6c: 113809c0 call 8113809c <__copybits> -81139a70: d9400517 ldw r5,20(sp) -81139a74: 8009883a mov r4,r16 -81139a78: 113724c0 call 8113724c <_Bfree> -81139a7c: d8801317 ldw r2,76(sp) -81139a80: 00c00184 movi r3,6 -81139a84: 1d400f36 bltu r3,r21,81139ac4 <_strtod_r+0x10d4> -81139a88: a82a90ba slli r21,r21,2 -81139a8c: 00e04534 movhi r3,33044 -81139a90: 18e6a804 addi r3,r3,-25952 -81139a94: a8eb883a add r21,r21,r3 -81139a98: a8c00017 ldw r3,0(r21) -81139a9c: 1800683a jmp r3 -81139aa0: 81139b1c xori r4,r16,20076 -81139aa4: 81139af4 orhi r4,r16,20075 -81139aa8: 81139ad8 cmpnei r4,r16,20075 -81139aac: 81139abc xorhi r4,r16,20074 -81139ab0: 81139ae4 muli r4,r16,20075 -81139ab4: 81139af4 orhi r4,r16,20075 -81139ab8: 81139b1c xori r4,r16,20076 -81139abc: 045ffc34 movhi r17,32752 -81139ac0: 0025883a mov r18,zero -81139ac4: 1080020c andi r2,r2,8 -81139ac8: 902b883a mov r21,r18 -81139acc: 103c8f26 beq r2,zero,81138d0c <__reset+0xfb118d0c> -81139ad0: 8da00034 orhi r22,r17,32768 -81139ad4: 003c8e06 br 81138d10 <__reset+0xfb118d10> -81139ad8: dc800217 ldw r18,8(sp) -81139adc: dc400317 ldw r17,12(sp) -81139ae0: 003ff806 br 81139ac4 <__reset+0xfb119ac4> -81139ae4: 04600034 movhi r17,32768 -81139ae8: 8c7fffc4 addi r17,r17,-1 -81139aec: 04bfffc4 movi r18,-1 -81139af0: 003ff406 br 81139ac4 <__reset+0xfb119ac4> -81139af4: d8c00417 ldw r3,16(sp) -81139af8: dc400317 ldw r17,12(sp) -81139afc: 013ffc34 movhi r4,65520 -81139b00: 18c10cc4 addi r3,r3,1075 -81139b04: 1806953a slli r3,r3,20 -81139b08: 213fffc4 addi r4,r4,-1 -81139b0c: 8922703a and r17,r17,r4 -81139b10: dc800217 ldw r18,8(sp) -81139b14: 88e2b03a or r17,r17,r3 -81139b18: 003fea06 br 81139ac4 <__reset+0xfb119ac4> -81139b1c: 0023883a mov r17,zero -81139b20: 0025883a mov r18,zero -81139b24: 003fe706 br 81139ac4 <__reset+0xfb119ac4> -81139b28: 01800044 movi r6,1 -81139b2c: 50d5883a add r10,r10,r3 -81139b30: 2a4b883a add r5,r5,r9 -81139b34: 19811a26 beq r3,r6,81139fa0 <_strtod_r+0x15b0> -81139b38: 01800204 movi r6,8 -81139b3c: 01c00404 movi r7,16 -81139b40: 4a400044 addi r9,r9,1 -81139b44: 48bfffc4 addi r2,r9,-1 -81139b48: 30801616 blt r6,r2,81139ba4 <_strtod_r+0x11b4> -81139b4c: e70002a4 muli fp,fp,10 -81139b50: 497ffb1e bne r9,r5,81139b40 <__reset+0xfb119b40> -81139b54: d9800617 ldw r6,24(sp) -81139b58: 4a400044 addi r9,r9,1 -81139b5c: 00c00204 movi r3,8 -81139b60: 19400c0e bge r3,r5,81139b94 <_strtod_r+0x11a4> -81139b64: 00800404 movi r2,16 -81139b68: 000b883a mov r5,zero -81139b6c: 127ea316 blt r2,r9,811395fc <__reset+0xfb1195fc> -81139b70: 988002a4 muli r2,r19,10 -81139b74: 20a7883a add r19,r4,r2 -81139b78: 003ea006 br 811395fc <__reset+0xfb1195fc> -81139b7c: d8800617 ldw r2,24(sp) -81139b80: 8c7ff404 addi r17,r17,-48 -81139b84: 2a800044 addi r10,r5,1 -81139b88: d8800815 stw r2,32(sp) -81139b8c: 100d883a mov r6,r2 -81139b90: 02400044 movi r9,1 -81139b94: e08002a4 muli r2,fp,10 -81139b98: 000b883a mov r5,zero -81139b9c: 88b9883a add fp,r17,r2 -81139ba0: 003e9606 br 811395fc <__reset+0xfb1195fc> -81139ba4: 3a7fea16 blt r7,r9,81139b50 <__reset+0xfb119b50> -81139ba8: 9cc002a4 muli r19,r19,10 -81139bac: 003fe806 br 81139b50 <__reset+0xfb119b50> -81139bb0: 00bffa84 movi r2,-22 -81139bb4: a8bd1016 blt r21,r2,81138ff8 <__reset+0xfb118ff8> -81139bb8: a82a90fa slli r21,r21,3 -81139bbc: 00a04574 movhi r2,33045 -81139bc0: 10bef504 addi r2,r2,-1068 -81139bc4: 1545c83a sub r2,r2,r21 -81139bc8: 11800017 ldw r6,0(r2) -81139bcc: 11c00117 ldw r7,4(r2) -81139bd0: 9009883a mov r4,r18 -81139bd4: 880b883a mov r5,r17 -81139bd8: 112935c0 call 8112935c <__divdf3> -81139bdc: 102b883a mov r21,r2 -81139be0: 182d883a mov r22,r3 -81139be4: 003c4a06 br 81138d10 <__reset+0xfb118d10> -81139be8: dd000a15 stw r20,40(sp) -81139bec: a811883a mov r8,r21 -81139bf0: dd001217 ldw r20,72(sp) -81139bf4: 003e9e06 br 81139670 <__reset+0xfb119670> -81139bf8: 01604574 movhi r5,33045 -81139bfc: d9000617 ldw r4,24(sp) -81139c00: 297f2704 addi r5,r5,-868 -81139c04: 01c00644 movi r7,25 -81139c08: 00000b06 br 81139c38 <_strtod_r+0x1248> -81139c0c: 21000044 addi r4,r4,1 -81139c10: 20800003 ldbu r2,0(r4) -81139c14: 10ffefc4 addi r3,r2,-65 -81139c18: 10803fcc andi r2,r2,255 -81139c1c: 1080201c xori r2,r2,128 -81139c20: 18c03fcc andi r3,r3,255 -81139c24: 10bfe004 addi r2,r2,-128 -81139c28: 38c00136 bltu r7,r3,81139c30 <_strtod_r+0x1240> -81139c2c: 10800804 addi r2,r2,32 -81139c30: 29400044 addi r5,r5,1 -81139c34: 11bbbb1e bne r2,r6,81138b24 <__reset+0xfb118b24> -81139c38: 29800007 ldb r6,0(r5) -81139c3c: 303ff31e bne r6,zero,81139c0c <__reset+0xfb119c0c> -81139c40: 01a04574 movhi r6,33045 -81139c44: d9000615 stw r4,24(sp) -81139c48: 31bf2804 addi r6,r6,-864 -81139c4c: 200b883a mov r5,r4 -81139c50: 02000644 movi r8,25 -81139c54: 00000a06 br 81139c80 <_strtod_r+0x1290> -81139c58: 28800003 ldbu r2,0(r5) -81139c5c: 10ffefc4 addi r3,r2,-65 -81139c60: 10803fcc andi r2,r2,255 -81139c64: 1080201c xori r2,r2,128 -81139c68: 18c03fcc andi r3,r3,255 -81139c6c: 10bfe004 addi r2,r2,-128 -81139c70: 40c00136 bltu r8,r3,81139c78 <_strtod_r+0x1288> -81139c74: 10800804 addi r2,r2,32 -81139c78: 31800044 addi r6,r6,1 -81139c7c: 11c0711e bne r2,r7,81139e44 <_strtod_r+0x1454> -81139c80: 31c00007 ldb r7,0(r6) -81139c84: 29400044 addi r5,r5,1 -81139c88: 383ff31e bne r7,zero,81139c58 <__reset+0xfb119c58> -81139c8c: d9400615 stw r5,24(sp) -81139c90: 045ffc34 movhi r17,32752 -81139c94: 0025883a mov r18,zero -81139c98: 003c1b06 br 81138d08 <__reset+0xfb118d08> -81139c9c: 000b883a mov r5,zero -81139ca0: 003ccc06 br 81138fd4 <__reset+0xfb118fd4> -81139ca4: d9400e17 ldw r5,56(sp) -81139ca8: dd000a15 stw r20,40(sp) -81139cac: 00800434 movhi r2,16 -81139cb0: a811883a mov r8,r21 -81139cb4: dd001217 ldw r20,72(sp) -81139cb8: dd401117 ldw r21,68(sp) -81139cbc: dd801017 ldw r22,64(sp) -81139cc0: 10bfffc4 addi r2,r2,-1 -81139cc4: 28005626 beq r5,zero,81139e20 <_strtod_r+0x1430> -81139cc8: 8886703a and r3,r17,r2 -81139ccc: 18807826 beq r3,r2,81139eb0 <_strtod_r+0x14c0> -81139cd0: d8c00b17 ldw r3,44(sp) -81139cd4: 18005726 beq r3,zero,81139e34 <_strtod_r+0x1444> -81139cd8: 1c44703a and r2,r3,r17 -81139cdc: 10000f26 beq r2,zero,81139d1c <_strtod_r+0x132c> -81139ce0: d9000e17 ldw r4,56(sp) -81139ce4: d9800917 ldw r6,36(sp) -81139ce8: 20005e26 beq r4,zero,81139e64 <_strtod_r+0x1474> -81139cec: 9009883a mov r4,r18 -81139cf0: 880b883a mov r5,r17 -81139cf4: da001315 stw r8,76(sp) -81139cf8: 113898c0 call 8113898c -81139cfc: 100d883a mov r6,r2 -81139d00: 180f883a mov r7,r3 -81139d04: 9009883a mov r4,r18 -81139d08: 880b883a mov r5,r17 -81139d0c: 1128ab00 call 81128ab0 <__adddf3> -81139d10: da001317 ldw r8,76(sp) -81139d14: 102b883a mov r21,r2 -81139d18: 182d883a mov r22,r3 -81139d1c: d8c00917 ldw r3,36(sp) -81139d20: 18000f26 beq r3,zero,81139d60 <_strtod_r+0x1370> -81139d24: a809883a mov r4,r21 -81139d28: b00b883a mov r5,r22 -81139d2c: 000d883a mov r6,zero -81139d30: 01ce5434 movhi r7,14672 +81139318: d8801315 stw r2,76(sp) +8113931c: 113728c0 call 8113728c <_Bfree> +81139320: d8801317 ldw r2,76(sp) +81139324: d8800515 stw r2,20(sp) +81139328: 0700060e bge zero,fp,81139344 <_strtod_r+0x914> +8113932c: d9400517 ldw r5,20(sp) +81139330: e00d883a mov r6,fp +81139334: 8009883a mov r4,r16 +81139338: 11379640 call 81137964 <__lshift> +8113933c: d8800515 stw r2,20(sp) +81139340: 10023926 beq r2,zero,81139c28 <_strtod_r+0x11f8> +81139344: d8800a17 ldw r2,40(sp) +81139348: 10000626 beq r2,zero,81139364 <_strtod_r+0x934> +8113934c: d9800a17 ldw r6,40(sp) +81139350: a00b883a mov r5,r20 +81139354: 8009883a mov r4,r16 +81139358: 11378240 call 81137824 <__pow5mult> +8113935c: 1029883a mov r20,r2 +81139360: 1000cf26 beq r2,zero,811396a0 <_strtod_r+0xc70> +81139364: 05c0060e bge zero,r23,81139380 <_strtod_r+0x950> +81139368: a00b883a mov r5,r20 +8113936c: b80d883a mov r6,r23 +81139370: 8009883a mov r4,r16 +81139374: 11379640 call 81137964 <__lshift> +81139378: 1029883a mov r20,r2 +8113937c: 1000c826 beq r2,zero,811396a0 <_strtod_r+0xc70> +81139380: 0580060e bge zero,r22,8113939c <_strtod_r+0x96c> +81139384: 980b883a mov r5,r19 +81139388: b00d883a mov r6,r22 +8113938c: 8009883a mov r4,r16 +81139390: 11379640 call 81137964 <__lshift> +81139394: 1027883a mov r19,r2 +81139398: 1000c126 beq r2,zero,811396a0 <_strtod_r+0xc70> +8113939c: d9400517 ldw r5,20(sp) +811393a0: a00d883a mov r6,r20 +811393a4: 8009883a mov r4,r16 +811393a8: 1137b0c0 call 81137b0c <__mdiff> +811393ac: 102b883a mov r21,r2 +811393b0: 1000bb26 beq r2,zero,811396a0 <_strtod_r+0xc70> +811393b4: 10c00317 ldw r3,12(r2) +811393b8: 980b883a mov r5,r19 +811393bc: 10000315 stw zero,12(r2) +811393c0: 1009883a mov r4,r2 +811393c4: d8c00e15 stw r3,56(sp) +811393c8: 1137aac0 call 81137aac <__mcmp> +811393cc: 10027616 blt r2,zero,81139da8 <_strtod_r+0x1378> +811393d0: 10024426 beq r2,zero,81139ce4 <_strtod_r+0x12b4> +811393d4: 980b883a mov r5,r19 +811393d8: a809883a mov r4,r21 +811393dc: 1137fc40 call 81137fc4 <__ratio> +811393e0: 000d883a mov r6,zero +811393e4: 01d00034 movhi r7,16384 +811393e8: 1009883a mov r4,r2 +811393ec: 180b883a mov r5,r3 +811393f0: 102f883a mov r23,r2 +811393f4: 182d883a mov r22,r3 +811393f8: 113f1680 call 8113f168 <__ledf2> +811393fc: 00804a16 blt zero,r2,81139528 <_strtod_r+0xaf8> +81139400: d9400e17 ldw r5,56(sp) +81139404: 28006b26 beq r5,zero,811395b4 <_strtod_r+0xb84> +81139408: 058ffc34 movhi r22,16368 +8113940c: d8000b15 stw zero,44(sp) +81139410: d8000d15 stw zero,52(sp) +81139414: b02f883a mov r23,r22 +81139418: 8f1ffc2c andhi fp,r17,32752 +8113941c: 009ff834 movhi r2,32736 +81139420: e080cd26 beq fp,r2,81139758 <_strtod_r+0xd28> +81139424: d9400917 ldw r5,36(sp) +81139428: 28001a26 beq r5,zero,81139494 <_strtod_r+0xa64> +8113942c: 0081a834 movhi r2,1696 +81139430: 17001836 bltu r2,fp,81139494 <_strtod_r+0xa64> +81139434: d9000d17 ldw r4,52(sp) +81139438: 01d07834 movhi r7,16864 +8113943c: 39ffffc4 addi r7,r7,-1 +81139440: 01bff034 movhi r6,65472 +81139444: b80b883a mov r5,r23 +81139448: 113f1680 call 8113f168 <__ledf2> +8113944c: 00800e16 blt zero,r2,81139488 <_strtod_r+0xa58> +81139450: d9000d17 ldw r4,52(sp) +81139454: b80b883a mov r5,r23 +81139458: 11279a40 call 811279a4 <__fixunsdfsi> +8113945c: 10018126 beq r2,zero,81139a64 <_strtod_r+0x1034> +81139460: 1009883a mov r4,r2 +81139464: 112aed00 call 8112aed0 <__floatunsidf> +81139468: d8800d15 stw r2,52(sp) +8113946c: 182f883a mov r23,r3 +81139470: d8800e17 ldw r2,56(sp) +81139474: 1001791e bne r2,zero,81139a5c <_strtod_r+0x102c> +81139478: ba20003c xorhi r8,r23,32768 +8113947c: d8c00d17 ldw r3,52(sp) +81139480: 402d883a mov r22,r8 +81139484: d8c00b15 stw r3,44(sp) +81139488: 0081ac34 movhi r2,1712 +8113948c: b091883a add r8,r22,r2 +81139490: 472dc83a sub r22,r8,fp +81139494: 9009883a mov r4,r18 +81139498: 880b883a mov r5,r17 +8113949c: 1137d080 call 81137d08 <__ulp> +811394a0: d9000b17 ldw r4,44(sp) +811394a4: b00b883a mov r5,r22 +811394a8: 100d883a mov r6,r2 +811394ac: 180f883a mov r7,r3 +811394b0: 1129d600 call 81129d60 <__muldf3> +811394b4: 900d883a mov r6,r18 +811394b8: 880f883a mov r7,r17 +811394bc: 1009883a mov r4,r2 +811394c0: 180b883a mov r5,r3 +811394c4: 1128af00 call 81128af0 <__adddf3> +811394c8: 1025883a mov r18,r2 +811394cc: 1823883a mov r17,r3 +811394d0: 182d883a mov r22,r3 +811394d4: d9000917 ldw r4,36(sp) +811394d8: 2000021e bne r4,zero,811394e4 <_strtod_r+0xab4> +811394dc: b09ffc2c andhi r2,r22,32752 +811394e0: e080be26 beq fp,r2,811397dc <_strtod_r+0xdac> +811394e4: d9400517 ldw r5,20(sp) +811394e8: 8009883a mov r4,r16 +811394ec: 113728c0 call 8113728c <_Bfree> +811394f0: a00b883a mov r5,r20 +811394f4: 8009883a mov r4,r16 +811394f8: 113728c0 call 8113728c <_Bfree> +811394fc: 980b883a mov r5,r19 +81139500: 8009883a mov r4,r16 +81139504: 113728c0 call 8113728c <_Bfree> +81139508: a80b883a mov r5,r21 +8113950c: 8009883a mov r4,r16 +81139510: 113728c0 call 8113728c <_Bfree> +81139514: 003f2f06 br 811391d4 <__reset+0xfb1191d4> +81139518: 00c00044 movi r3,1 +8113951c: d8000b15 stw zero,44(sp) +81139520: d8c00d15 stw r3,52(sp) +81139524: 003f5f06 br 811392a4 <__reset+0xfb1192a4> +81139528: b809883a mov r4,r23 +8113952c: 000d883a mov r6,zero +81139530: 01cff834 movhi r7,16352 +81139534: b00b883a mov r5,r22 +81139538: 1129d600 call 81129d60 <__muldf3> +8113953c: d9000e17 ldw r4,56(sp) +81139540: d8800d15 stw r2,52(sp) +81139544: 182f883a mov r23,r3 +81139548: 2000181e bne r4,zero,811395ac <_strtod_r+0xb7c> +8113954c: 1a20003c xorhi r8,r3,32768 +81139550: d8800b15 stw r2,44(sp) +81139554: 402d883a mov r22,r8 +81139558: 003faf06 br 81139418 <__reset+0xfb119418> +8113955c: 0015883a mov r10,zero +81139560: 02c00044 movi r11,1 +81139564: 2800011e bne r5,zero,8113956c <_strtod_r+0xb3c> +81139568: 903d7e26 beq r18,zero,81138b64 <__reset+0xfb118b64> +8113956c: 0013883a mov r9,zero +81139570: 003e4706 br 81138e90 <__reset+0xfb118e90> +81139574: d8c00a17 ldw r3,40(sp) +81139578: dd800c17 ldw r22,48(sp) +8113957c: 1889c83a sub r4,r3,r2 +81139580: 003f3706 br 81139260 <__reset+0xfb119260> +81139584: 00bef884 movi r2,-1054 +81139588: 05c00044 movi r23,1 +8113958c: 10c5c83a sub r2,r2,r3 +81139590: b884983a sll r2,r23,r2 +81139594: ddc00d15 stw r23,52(sp) +81139598: d8800b15 stw r2,44(sp) +8113959c: 003f4106 br 811392a4 <__reset+0xfb1192a4> +811395a0: ddc00615 stw r23,24(sp) +811395a4: 002b883a mov r21,zero +811395a8: 003dc306 br 81138cb8 <__reset+0xfb118cb8> +811395ac: 1811883a mov r8,r3 +811395b0: 003fe706 br 81139550 <__reset+0xfb119550> +811395b4: 90002e1e bne r18,zero,81139670 <_strtod_r+0xc40> +811395b8: 00c00434 movhi r3,16 +811395bc: 18ffffc4 addi r3,r3,-1 +811395c0: 88c4703a and r2,r17,r3 +811395c4: 10002c1e bne r2,zero,81139678 <_strtod_r+0xc48> +811395c8: 000d883a mov r6,zero +811395cc: 01cffc34 movhi r7,16368 +811395d0: b809883a mov r4,r23 +811395d4: b00b883a mov r5,r22 +811395d8: 113f1680 call 8113f168 <__ledf2> +811395dc: 10021716 blt r2,zero,81139e3c <_strtod_r+0x140c> +811395e0: b809883a mov r4,r23 +811395e4: b00b883a mov r5,r22 +811395e8: 000d883a mov r6,zero +811395ec: 01cff834 movhi r7,16352 +811395f0: 1129d600 call 81129d60 <__muldf3> +811395f4: d8800d15 stw r2,52(sp) +811395f8: 182f883a mov r23,r3 +811395fc: 102d883a mov r22,r2 +81139600: 1a20003c xorhi r8,r3,32768 +81139604: dd800b15 stw r22,44(sp) +81139608: 402d883a mov r22,r8 +8113960c: 003f8206 br 81139418 <__reset+0xfb119418> +81139610: b013883a mov r9,r22 +81139614: 000b883a mov r5,zero +81139618: 0015883a mov r10,zero +8113961c: 893ff404 addi r4,r17,-48 +81139620: 00800244 movi r2,9 +81139624: 11001036 bltu r2,r4,81139668 <_strtod_r+0xc38> +81139628: 28c00044 addi r3,r5,1 +8113962c: 2023883a mov r17,r4 +81139630: 20014d1e bne r4,zero,81139b68 <_strtod_r+0x1138> +81139634: d9800617 ldw r6,24(sp) +81139638: 180b883a mov r5,r3 +8113963c: 30800044 addi r2,r6,1 +81139640: d8800615 stw r2,24(sp) +81139644: 34400047 ldb r17,1(r6) +81139648: 003ff406 br 8113961c <__reset+0xfb11961c> +8113964c: 0019883a mov r12,zero +81139650: b8800084 addi r2,r23,2 +81139654: d8800615 stw r2,24(sp) +81139658: bc400087 ldb r17,2(r23) +8113965c: 003e1606 br 81138eb8 <__reset+0xfb118eb8> +81139660: 03000044 movi r12,1 +81139664: 003ffa06 br 81139650 <__reset+0xfb119650> +81139668: 02c00044 movi r11,1 +8113966c: 003d8d06 br 81138ca4 <__reset+0xfb118ca4> +81139670: 00800044 movi r2,1 +81139674: 9080fe26 beq r18,r2,81139a70 <_strtod_r+0x1040> +81139678: d8000b15 stw zero,44(sp) +8113967c: 05affc34 movhi r22,49136 +81139680: d8000d15 stw zero,52(sp) +81139684: 05cffc34 movhi r23,16368 +81139688: 003f6306 br 81139418 <__reset+0xfb119418> +8113968c: d8000a15 stw zero,40(sp) +81139690: 003ec906 br 811391b8 <__reset+0xfb1191b8> +81139694: d9001117 ldw r4,68(sp) +81139698: 00bfffc4 movi r2,-1 +8113969c: 2080461e bne r4,r2,811397b8 <_strtod_r+0xd88> +811396a0: dd000a15 stw r20,40(sp) +811396a4: dd001217 ldw r20,72(sp) +811396a8: a811883a mov r8,r21 +811396ac: d8800517 ldw r2,20(sp) +811396b0: 00c00884 movi r3,34 +811396b4: 80c00015 stw r3,0(r16) +811396b8: 002b883a mov r21,zero +811396bc: 059ffc34 movhi r22,32752 +811396c0: 100b883a mov r5,r2 +811396c4: 8009883a mov r4,r16 +811396c8: da001315 stw r8,76(sp) +811396cc: 113728c0 call 8113728c <_Bfree> +811396d0: d9400a17 ldw r5,40(sp) +811396d4: 8009883a mov r4,r16 +811396d8: 113728c0 call 8113728c <_Bfree> +811396dc: 980b883a mov r5,r19 +811396e0: 8009883a mov r4,r16 +811396e4: 113728c0 call 8113728c <_Bfree> +811396e8: d9400817 ldw r5,32(sp) +811396ec: 8009883a mov r4,r16 +811396f0: 113728c0 call 8113728c <_Bfree> +811396f4: da001317 ldw r8,76(sp) +811396f8: 8009883a mov r4,r16 +811396fc: 400b883a mov r5,r8 +81139700: 113728c0 call 8113728c <_Bfree> +81139704: 003d9206 br 81138d50 <__reset+0xfb118d50> +81139708: d8c00717 ldw r3,28(sp) +8113970c: d8800504 addi r2,sp,20 +81139710: 01a04574 movhi r6,33045 +81139714: 31bf4104 addi r6,r6,-764 +81139718: d8c00115 stw r3,4(sp) +8113971c: d8800015 stw r2,0(sp) +81139720: d9c00404 addi r7,sp,16 +81139724: d9400604 addi r5,sp,24 +81139728: 8009883a mov r4,r16 +8113972c: 113d8240 call 8113d824 <__gethex> +81139730: 154001cc andi r21,r2,7 +81139734: a83d9b26 beq r21,zero,81138da4 <__reset+0xfb118da4> +81139738: 00c00184 movi r3,6 +8113973c: a8c0d61e bne r21,r3,81139a98 <_strtod_r+0x1068> +81139740: 9cc00044 addi r19,r19,1 +81139744: dcc00615 stw r19,24(sp) +81139748: 002b883a mov r21,zero +8113974c: 002d883a mov r22,zero +81139750: d8000715 stw zero,28(sp) +81139754: 003d7e06 br 81138d50 <__reset+0xfb118d50> +81139758: 00bf2c34 movhi r2,64688 +8113975c: 88a3883a add r17,r17,r2 +81139760: 9009883a mov r4,r18 +81139764: 880b883a mov r5,r17 +81139768: 1137d080 call 81137d08 <__ulp> +8113976c: d9000b17 ldw r4,44(sp) +81139770: 100d883a mov r6,r2 +81139774: 180f883a mov r7,r3 +81139778: b00b883a mov r5,r22 +8113977c: 1129d600 call 81129d60 <__muldf3> +81139780: 900d883a mov r6,r18 +81139784: 180b883a mov r5,r3 +81139788: 880f883a mov r7,r17 +8113978c: 1009883a mov r4,r2 +81139790: 1128af00 call 81128af0 <__adddf3> +81139794: 015f2834 movhi r5,31904 +81139798: 1025883a mov r18,r2 +8113979c: 297fffc4 addi r5,r5,-1 +811397a0: 189ffc2c andhi r2,r3,32752 +811397a4: 2880892e bgeu r5,r2,811399cc <_strtod_r+0xf9c> +811397a8: d8801017 ldw r2,64(sp) +811397ac: 00dffc34 movhi r3,32752 +811397b0: 18ffffc4 addi r3,r3,-1 +811397b4: 10ffb726 beq r2,r3,81139694 <__reset+0xfb119694> +811397b8: 045ffc34 movhi r17,32752 +811397bc: 8c7fffc4 addi r17,r17,-1 +811397c0: 04bfffc4 movi r18,-1 +811397c4: 003f4706 br 811394e4 <__reset+0xfb1194e4> +811397c8: 00800884 movi r2,34 +811397cc: 80800015 stw r2,0(r16) +811397d0: 002b883a mov r21,zero +811397d4: 059ffc34 movhi r22,32752 +811397d8: 003d5d06 br 81138d50 <__reset+0xfb118d50> +811397dc: d9000d17 ldw r4,52(sp) +811397e0: b80b883a mov r5,r23 +811397e4: 112ad740 call 8112ad74 <__fixdfsi> +811397e8: 1009883a mov r4,r2 +811397ec: 112adf40 call 8112adf4 <__floatsidf> +811397f0: d9000d17 ldw r4,52(sp) +811397f4: b80b883a mov r5,r23 +811397f8: 100d883a mov r6,r2 +811397fc: 180f883a mov r7,r3 +81139800: 112a4780 call 8112a478 <__subdf3> +81139804: d9400e17 ldw r5,56(sp) +81139808: 1039883a mov fp,r2 +8113980c: 182f883a mov r23,r3 +81139810: 2800141e bne r5,zero,81139864 <_strtod_r+0xe34> +81139814: 9000131e bne r18,zero,81139864 <_strtod_r+0xe34> +81139818: 00800434 movhi r2,16 +8113981c: 10bfffc4 addi r2,r2,-1 +81139820: b0ac703a and r22,r22,r2 +81139824: b0000f1e bne r22,zero,81139864 <_strtod_r+0xe34> +81139828: 01a52834 movhi r6,38048 +8113982c: 01cff434 movhi r7,16336 +81139830: 318d6544 addi r6,r6,13717 +81139834: 39ffffc4 addi r7,r7,-1 +81139838: e009883a mov r4,fp +8113983c: 180b883a mov r5,r3 +81139840: 113f1680 call 8113f168 <__ledf2> +81139844: 103f270e bge r2,zero,811394e4 <__reset+0xfb1194e4> +81139848: dd000a15 stw r20,40(sp) +8113984c: a811883a mov r8,r21 +81139850: dd001217 ldw r20,72(sp) +81139854: d8800517 ldw r2,20(sp) +81139858: 002b883a mov r21,zero +8113985c: 882d883a mov r22,r17 +81139860: 003f9706 br 811396c0 <__reset+0xfb1196c0> +81139864: 01a52834 movhi r6,38048 +81139868: 01cff834 movhi r7,16352 +8113986c: 318d6544 addi r6,r6,13717 +81139870: 39ffffc4 addi r7,r7,-1 +81139874: e009883a mov r4,fp +81139878: b80b883a mov r5,r23 +8113987c: 113f1680 call 8113f168 <__ledf2> +81139880: 10000716 blt r2,zero,811398a0 <_strtod_r+0xe70> +81139884: 018d6c34 movhi r6,13744 +81139888: 31b94d44 addi r6,r6,-6859 +8113988c: 01cff834 movhi r7,16352 +81139890: e009883a mov r4,fp +81139894: b80b883a mov r5,r23 +81139898: 1129c840 call 81129c84 <__gedf2> +8113989c: 00bf110e bge zero,r2,811394e4 <__reset+0xfb1194e4> +811398a0: dd000a15 stw r20,40(sp) +811398a4: a811883a mov r8,r21 +811398a8: dd001217 ldw r20,72(sp) +811398ac: d8800517 ldw r2,20(sp) +811398b0: 902b883a mov r21,r18 +811398b4: 882d883a mov r22,r17 +811398b8: 003f8106 br 811396c0 <__reset+0xfb1196c0> +811398bc: b83e2f26 beq r23,zero,8113917c <__reset+0xfb11917c> +811398c0: 05efc83a sub r23,zero,r23 +811398c4: b88003cc andi r2,r23,15 +811398c8: 10000d26 beq r2,zero,81139900 <_strtod_r+0xed0> +811398cc: 100490fa slli r2,r2,3 +811398d0: 00e04574 movhi r3,33045 +811398d4: 18ff0604 addi r3,r3,-1000 +811398d8: 1885883a add r2,r3,r2 +811398dc: 11800017 ldw r6,0(r2) +811398e0: 11c00117 ldw r7,4(r2) +811398e4: 9009883a mov r4,r18 +811398e8: 880b883a mov r5,r17 +811398ec: da401315 stw r9,76(sp) +811398f0: 112939c0 call 8112939c <__divdf3> +811398f4: da401317 ldw r9,76(sp) +811398f8: 1025883a mov r18,r2 +811398fc: 1823883a mov r17,r3 +81139900: b82fd13a srai r23,r23,4 +81139904: b83e1d26 beq r23,zero,8113917c <__reset+0xfb11917c> +81139908: 008007c4 movi r2,31 +8113990c: 15c02816 blt r2,r23,811399b0 <_strtod_r+0xf80> +81139910: b880040c andi r2,r23,16 +81139914: 10019726 beq r2,zero,81139f74 <_strtod_r+0x1544> +81139918: 05c1880e bge zero,r23,81139f3c <_strtod_r+0x150c> +8113991c: 00c01a84 movi r3,106 +81139920: d8c00915 stw r3,36(sp) +81139924: 04e04574 movhi r19,33045 +81139928: 9cff4604 addi r19,r19,-744 +8113992c: 8807883a mov r3,r17 +81139930: 9005883a mov r2,r18 +81139934: 8023883a mov r17,r16 +81139938: 0009883a mov r4,zero +8113993c: 9821883a mov r16,r19 +81139940: da400a15 stw r9,40(sp) +81139944: 1827883a mov r19,r3 +81139948: b980004c andi r6,r23,1 +8113994c: 30000626 beq r6,zero,81139968 <_strtod_r+0xf38> +81139950: 81800017 ldw r6,0(r16) +81139954: 81c00117 ldw r7,4(r16) +81139958: 1009883a mov r4,r2 +8113995c: 180b883a mov r5,r3 +81139960: 1129d600 call 81129d60 <__muldf3> +81139964: 01000044 movi r4,1 +81139968: b82fd07a srai r23,r23,1 +8113996c: 84000204 addi r16,r16,8 +81139970: b83ff51e bne r23,zero,81139948 <__reset+0xfb119948> +81139974: 21003fcc andi r4,r4,255 +81139978: 8821883a mov r16,r17 +8113997c: da400a17 ldw r9,40(sp) +81139980: 9823883a mov r17,r19 +81139984: 2001a51e bne r4,zero,8113a01c <_strtod_r+0x15ec> +81139988: d9000917 ldw r4,36(sp) +8113998c: 20016d1e bne r4,zero,81139f44 <_strtod_r+0x1514> +81139990: 000d883a mov r6,zero +81139994: 000f883a mov r7,zero +81139998: 9009883a mov r4,r18 +8113999c: 880b883a mov r5,r17 +811399a0: da401315 stw r9,76(sp) +811399a4: 113f0e00 call 8113f0e0 <__eqdf2> +811399a8: da401317 ldw r9,76(sp) +811399ac: 103df41e bne r2,zero,81139180 <__reset+0xfb119180> +811399b0: 00800884 movi r2,34 +811399b4: 80800015 stw r2,0(r16) +811399b8: 002b883a mov r21,zero +811399bc: 002d883a mov r22,zero +811399c0: 003ce306 br 81138d50 <__reset+0xfb118d50> +811399c4: 055387c4 movi r21,19999 +811399c8: 003d6b06 br 81138f78 <__reset+0xfb118f78> +811399cc: 0440d434 movhi r17,848 +811399d0: 1c63883a add r17,r3,r17 +811399d4: 882d883a mov r22,r17 +811399d8: 003ebe06 br 811394d4 <__reset+0xfb1194d4> +811399dc: 14403fcc andi r17,r2,255 +811399e0: 8c40201c xori r17,r17,128 +811399e4: 8c7fe004 addi r17,r17,-128 +811399e8: dd400817 ldw r21,32(sp) +811399ec: 0027883a mov r19,zero +811399f0: 0039883a mov fp,zero +811399f4: 002d883a mov r22,zero +811399f8: 003c9906 br 81138c60 <__reset+0xfb118c60> +811399fc: 00c00944 movi r3,37 +81139a00: 1a47c83a sub r3,r3,r9 +81139a04: 1d7d8c16 blt r3,r21,81139038 <__reset+0xfb119038> +81139a08: 1261c83a sub r16,r2,r9 +81139a0c: 800490fa slli r2,r16,3 +81139a10: 04e04574 movhi r19,33045 +81139a14: 9cff0604 addi r19,r19,-1000 +81139a18: 9885883a add r2,r19,r2 +81139a1c: 11000017 ldw r4,0(r2) +81139a20: 11400117 ldw r5,4(r2) +81139a24: 900d883a mov r6,r18 +81139a28: 880f883a mov r7,r17 +81139a2c: 1129d600 call 81129d60 <__muldf3> +81139a30: ac0dc83a sub r6,r21,r16 +81139a34: 300c90fa slli r6,r6,3 +81139a38: 1009883a mov r4,r2 +81139a3c: 180b883a mov r5,r3 +81139a40: 9985883a add r2,r19,r6 +81139a44: 11800017 ldw r6,0(r2) +81139a48: 11c00117 ldw r7,4(r2) +81139a4c: 1129d600 call 81129d60 <__muldf3> +81139a50: 102b883a mov r21,r2 +81139a54: 182d883a mov r22,r3 +81139a58: 003cbd06 br 81138d50 <__reset+0xfb118d50> +81139a5c: b811883a mov r8,r23 +81139a60: 003e8606 br 8113947c <__reset+0xfb11947c> +81139a64: d8000d15 stw zero,52(sp) +81139a68: 05cffc34 movhi r23,16368 +81139a6c: 003e8006 br 81139470 <__reset+0xfb119470> +81139a70: 883f011e bne r17,zero,81139678 <__reset+0xfb119678> +81139a74: dd000a15 stw r20,40(sp) +81139a78: dd001217 ldw r20,72(sp) +81139a7c: a811883a mov r8,r21 +81139a80: 00800884 movi r2,34 +81139a84: 80800015 stw r2,0(r16) +81139a88: 002b883a mov r21,zero +81139a8c: d8800517 ldw r2,20(sp) +81139a90: 002d883a mov r22,zero +81139a94: 003f0a06 br 811396c0 <__reset+0xfb1196c0> +81139a98: d9800517 ldw r6,20(sp) +81139a9c: 30000826 beq r6,zero,81139ac0 <_strtod_r+0x1090> +81139aa0: 01400d44 movi r5,53 +81139aa4: d9000204 addi r4,sp,8 +81139aa8: d8801315 stw r2,76(sp) +81139aac: 11380dc0 call 811380dc <__copybits> +81139ab0: d9400517 ldw r5,20(sp) +81139ab4: 8009883a mov r4,r16 +81139ab8: 113728c0 call 8113728c <_Bfree> +81139abc: d8801317 ldw r2,76(sp) +81139ac0: 00c00184 movi r3,6 +81139ac4: 1d400f36 bltu r3,r21,81139b04 <_strtod_r+0x10d4> +81139ac8: a82a90ba slli r21,r21,2 +81139acc: 00e04534 movhi r3,33044 +81139ad0: 18e6b804 addi r3,r3,-25888 +81139ad4: a8eb883a add r21,r21,r3 +81139ad8: a8c00017 ldw r3,0(r21) +81139adc: 1800683a jmp r3 +81139ae0: 81139b5c xori r4,r16,20077 +81139ae4: 81139b34 orhi r4,r16,20076 +81139ae8: 81139b18 cmpnei r4,r16,20076 +81139aec: 81139afc xorhi r4,r16,20075 +81139af0: 81139b24 muli r4,r16,20076 +81139af4: 81139b34 orhi r4,r16,20076 +81139af8: 81139b5c xori r4,r16,20077 +81139afc: 045ffc34 movhi r17,32752 +81139b00: 0025883a mov r18,zero +81139b04: 1080020c andi r2,r2,8 +81139b08: 902b883a mov r21,r18 +81139b0c: 103c8f26 beq r2,zero,81138d4c <__reset+0xfb118d4c> +81139b10: 8da00034 orhi r22,r17,32768 +81139b14: 003c8e06 br 81138d50 <__reset+0xfb118d50> +81139b18: dc800217 ldw r18,8(sp) +81139b1c: dc400317 ldw r17,12(sp) +81139b20: 003ff806 br 81139b04 <__reset+0xfb119b04> +81139b24: 04600034 movhi r17,32768 +81139b28: 8c7fffc4 addi r17,r17,-1 +81139b2c: 04bfffc4 movi r18,-1 +81139b30: 003ff406 br 81139b04 <__reset+0xfb119b04> +81139b34: d8c00417 ldw r3,16(sp) +81139b38: dc400317 ldw r17,12(sp) +81139b3c: 013ffc34 movhi r4,65520 +81139b40: 18c10cc4 addi r3,r3,1075 +81139b44: 1806953a slli r3,r3,20 +81139b48: 213fffc4 addi r4,r4,-1 +81139b4c: 8922703a and r17,r17,r4 +81139b50: dc800217 ldw r18,8(sp) +81139b54: 88e2b03a or r17,r17,r3 +81139b58: 003fea06 br 81139b04 <__reset+0xfb119b04> +81139b5c: 0023883a mov r17,zero +81139b60: 0025883a mov r18,zero +81139b64: 003fe706 br 81139b04 <__reset+0xfb119b04> +81139b68: 01800044 movi r6,1 +81139b6c: 50d5883a add r10,r10,r3 +81139b70: 2a4b883a add r5,r5,r9 +81139b74: 19811a26 beq r3,r6,81139fe0 <_strtod_r+0x15b0> +81139b78: 01800204 movi r6,8 +81139b7c: 01c00404 movi r7,16 +81139b80: 4a400044 addi r9,r9,1 +81139b84: 48bfffc4 addi r2,r9,-1 +81139b88: 30801616 blt r6,r2,81139be4 <_strtod_r+0x11b4> +81139b8c: e70002a4 muli fp,fp,10 +81139b90: 497ffb1e bne r9,r5,81139b80 <__reset+0xfb119b80> +81139b94: d9800617 ldw r6,24(sp) +81139b98: 4a400044 addi r9,r9,1 +81139b9c: 00c00204 movi r3,8 +81139ba0: 19400c0e bge r3,r5,81139bd4 <_strtod_r+0x11a4> +81139ba4: 00800404 movi r2,16 +81139ba8: 000b883a mov r5,zero +81139bac: 127ea316 blt r2,r9,8113963c <__reset+0xfb11963c> +81139bb0: 988002a4 muli r2,r19,10 +81139bb4: 20a7883a add r19,r4,r2 +81139bb8: 003ea006 br 8113963c <__reset+0xfb11963c> +81139bbc: d8800617 ldw r2,24(sp) +81139bc0: 8c7ff404 addi r17,r17,-48 +81139bc4: 2a800044 addi r10,r5,1 +81139bc8: d8800815 stw r2,32(sp) +81139bcc: 100d883a mov r6,r2 +81139bd0: 02400044 movi r9,1 +81139bd4: e08002a4 muli r2,fp,10 +81139bd8: 000b883a mov r5,zero +81139bdc: 88b9883a add fp,r17,r2 +81139be0: 003e9606 br 8113963c <__reset+0xfb11963c> +81139be4: 3a7fea16 blt r7,r9,81139b90 <__reset+0xfb119b90> +81139be8: 9cc002a4 muli r19,r19,10 +81139bec: 003fe806 br 81139b90 <__reset+0xfb119b90> +81139bf0: 00bffa84 movi r2,-22 +81139bf4: a8bd1016 blt r21,r2,81139038 <__reset+0xfb119038> +81139bf8: a82a90fa slli r21,r21,3 +81139bfc: 00a04574 movhi r2,33045 +81139c00: 10bf0604 addi r2,r2,-1000 +81139c04: 1545c83a sub r2,r2,r21 +81139c08: 11800017 ldw r6,0(r2) +81139c0c: 11c00117 ldw r7,4(r2) +81139c10: 9009883a mov r4,r18 +81139c14: 880b883a mov r5,r17 +81139c18: 112939c0 call 8112939c <__divdf3> +81139c1c: 102b883a mov r21,r2 +81139c20: 182d883a mov r22,r3 +81139c24: 003c4a06 br 81138d50 <__reset+0xfb118d50> +81139c28: dd000a15 stw r20,40(sp) +81139c2c: a811883a mov r8,r21 +81139c30: dd001217 ldw r20,72(sp) +81139c34: 003e9e06 br 811396b0 <__reset+0xfb1196b0> +81139c38: 01604574 movhi r5,33045 +81139c3c: d9000617 ldw r4,24(sp) +81139c40: 297f3804 addi r5,r5,-800 +81139c44: 01c00644 movi r7,25 +81139c48: 00000b06 br 81139c78 <_strtod_r+0x1248> +81139c4c: 21000044 addi r4,r4,1 +81139c50: 20800003 ldbu r2,0(r4) +81139c54: 10ffefc4 addi r3,r2,-65 +81139c58: 10803fcc andi r2,r2,255 +81139c5c: 1080201c xori r2,r2,128 +81139c60: 18c03fcc andi r3,r3,255 +81139c64: 10bfe004 addi r2,r2,-128 +81139c68: 38c00136 bltu r7,r3,81139c70 <_strtod_r+0x1240> +81139c6c: 10800804 addi r2,r2,32 +81139c70: 29400044 addi r5,r5,1 +81139c74: 11bbbb1e bne r2,r6,81138b64 <__reset+0xfb118b64> +81139c78: 29800007 ldb r6,0(r5) +81139c7c: 303ff31e bne r6,zero,81139c4c <__reset+0xfb119c4c> +81139c80: 01a04574 movhi r6,33045 +81139c84: d9000615 stw r4,24(sp) +81139c88: 31bf3904 addi r6,r6,-796 +81139c8c: 200b883a mov r5,r4 +81139c90: 02000644 movi r8,25 +81139c94: 00000a06 br 81139cc0 <_strtod_r+0x1290> +81139c98: 28800003 ldbu r2,0(r5) +81139c9c: 10ffefc4 addi r3,r2,-65 +81139ca0: 10803fcc andi r2,r2,255 +81139ca4: 1080201c xori r2,r2,128 +81139ca8: 18c03fcc andi r3,r3,255 +81139cac: 10bfe004 addi r2,r2,-128 +81139cb0: 40c00136 bltu r8,r3,81139cb8 <_strtod_r+0x1288> +81139cb4: 10800804 addi r2,r2,32 +81139cb8: 31800044 addi r6,r6,1 +81139cbc: 11c0711e bne r2,r7,81139e84 <_strtod_r+0x1454> +81139cc0: 31c00007 ldb r7,0(r6) +81139cc4: 29400044 addi r5,r5,1 +81139cc8: 383ff31e bne r7,zero,81139c98 <__reset+0xfb119c98> +81139ccc: d9400615 stw r5,24(sp) +81139cd0: 045ffc34 movhi r17,32752 +81139cd4: 0025883a mov r18,zero +81139cd8: 003c1b06 br 81138d48 <__reset+0xfb118d48> +81139cdc: 000b883a mov r5,zero +81139ce0: 003ccc06 br 81139014 <__reset+0xfb119014> +81139ce4: d9400e17 ldw r5,56(sp) +81139ce8: dd000a15 stw r20,40(sp) +81139cec: 00800434 movhi r2,16 +81139cf0: a811883a mov r8,r21 +81139cf4: dd001217 ldw r20,72(sp) +81139cf8: dd401117 ldw r21,68(sp) +81139cfc: dd801017 ldw r22,64(sp) +81139d00: 10bfffc4 addi r2,r2,-1 +81139d04: 28005626 beq r5,zero,81139e60 <_strtod_r+0x1430> +81139d08: 8886703a and r3,r17,r2 +81139d0c: 18807826 beq r3,r2,81139ef0 <_strtod_r+0x14c0> +81139d10: d8c00b17 ldw r3,44(sp) +81139d14: 18005726 beq r3,zero,81139e74 <_strtod_r+0x1444> +81139d18: 1c44703a and r2,r3,r17 +81139d1c: 10000f26 beq r2,zero,81139d5c <_strtod_r+0x132c> +81139d20: d9000e17 ldw r4,56(sp) +81139d24: d9800917 ldw r6,36(sp) +81139d28: 20005e26 beq r4,zero,81139ea4 <_strtod_r+0x1474> +81139d2c: 9009883a mov r4,r18 +81139d30: 880b883a mov r5,r17 81139d34: da001315 stw r8,76(sp) -81139d38: 1129d200 call 81129d20 <__muldf3> -81139d3c: 102b883a mov r21,r2 -81139d40: 182d883a mov r22,r3 -81139d44: da001317 ldw r8,76(sp) -81139d48: 1800051e bne r3,zero,81139d60 <_strtod_r+0x1370> -81139d4c: d8800517 ldw r2,20(sp) -81139d50: a83e4b1e bne r21,zero,81139680 <__reset+0xfb119680> -81139d54: 00c00884 movi r3,34 -81139d58: 80c00015 stw r3,0(r16) -81139d5c: 003e4806 br 81139680 <__reset+0xfb119680> -81139d60: d8800517 ldw r2,20(sp) -81139d64: 003e4606 br 81139680 <__reset+0xfb119680> -81139d68: d9000e17 ldw r4,56(sp) -81139d6c: dd000a15 stw r20,40(sp) -81139d70: a811883a mov r8,r21 -81139d74: dd001217 ldw r20,72(sp) -81139d78: dd401117 ldw r21,68(sp) -81139d7c: dd801017 ldw r22,64(sp) -81139d80: 203fe61e bne r4,zero,81139d1c <__reset+0xfb119d1c> -81139d84: 903fe51e bne r18,zero,81139d1c <__reset+0xfb119d1c> -81139d88: 00800434 movhi r2,16 -81139d8c: 10bfffc4 addi r2,r2,-1 -81139d90: 8884703a and r2,r17,r2 -81139d94: 103fe11e bne r2,zero,81139d1c <__reset+0xfb119d1c> -81139d98: 8ddffc2c andhi r23,r17,32752 -81139d9c: 0081ac34 movhi r2,1712 -81139da0: 15ffde2e bgeu r2,r23,81139d1c <__reset+0xfb119d1c> -81139da4: 40800517 ldw r2,20(r8) -81139da8: 1000031e bne r2,zero,81139db8 <_strtod_r+0x13c8> -81139dac: 40800417 ldw r2,16(r8) -81139db0: 00c00044 movi r3,1 -81139db4: 18bfd90e bge r3,r2,81139d1c <__reset+0xfb119d1c> -81139db8: 400b883a mov r5,r8 -81139dbc: 01800044 movi r6,1 -81139dc0: 8009883a mov r4,r16 -81139dc4: 11379240 call 81137924 <__lshift> -81139dc8: 980b883a mov r5,r19 -81139dcc: 1009883a mov r4,r2 -81139dd0: d8801315 stw r2,76(sp) -81139dd4: 1137a6c0 call 81137a6c <__mcmp> -81139dd8: da001317 ldw r8,76(sp) -81139ddc: 00bfcf0e bge zero,r2,81139d1c <__reset+0xfb119d1c> -81139de0: d8c00917 ldw r3,36(sp) -81139de4: 18005c26 beq r3,zero,81139f58 <_strtod_r+0x1568> -81139de8: 0081ac34 movhi r2,1712 -81139dec: 15c05a16 blt r2,r23,81139f58 <_strtod_r+0x1568> -81139df0: 0080dc34 movhi r2,880 -81139df4: 15ffcb16 blt r2,r23,81139d24 <__reset+0xfb119d24> -81139df8: 003f1106 br 81139a40 <__reset+0xfb119a40> -81139dfc: 002d883a mov r22,zero -81139e00: 022ff834 movhi r8,49120 -81139e04: d8000d15 stw zero,52(sp) -81139e08: 05cff834 movhi r23,16352 -81139e0c: 003ded06 br 811395c4 <__reset+0xfb1195c4> -81139e10: 0440d434 movhi r17,848 -81139e14: 1c63883a add r17,r3,r17 -81139e18: d8000915 stw zero,36(sp) -81139e1c: 003cc806 br 81139140 <__reset+0xfb119140> -81139e20: 8884703a and r2,r17,r2 -81139e24: 103faa1e bne r2,zero,81139cd0 <__reset+0xfb119cd0> -81139e28: 903fa91e bne r18,zero,81139cd0 <__reset+0xfb119cd0> -81139e2c: 8ddffc2c andhi r23,r17,32752 -81139e30: 003feb06 br 81139de0 <__reset+0xfb119de0> -81139e34: d8c00d17 ldw r3,52(sp) -81139e38: 1cae703a and r23,r3,r18 -81139e3c: b83fb726 beq r23,zero,81139d1c <__reset+0xfb119d1c> -81139e40: 003fa706 br 81139ce0 <__reset+0xfb119ce0> -81139e44: 21000044 addi r4,r4,1 -81139e48: d9000615 stw r4,24(sp) -81139e4c: 003f9006 br 81139c90 <__reset+0xfb119c90> -81139e50: 01604574 movhi r5,33045 -81139e54: 297eeb04 addi r5,r5,-1108 -81139e58: d9400915 stw r5,36(sp) -81139e5c: 0027883a mov r19,zero -81139e60: 003ca206 br 811390ec <__reset+0xfb1190ec> -81139e64: 9009883a mov r4,r18 -81139e68: 880b883a mov r5,r17 -81139e6c: da001315 stw r8,76(sp) -81139e70: 113898c0 call 8113898c -81139e74: 100d883a mov r6,r2 -81139e78: 180f883a mov r7,r3 -81139e7c: 9009883a mov r4,r18 -81139e80: 880b883a mov r5,r17 -81139e84: 112a4380 call 8112a438 <__subdf3> -81139e88: 000d883a mov r6,zero -81139e8c: 000f883a mov r7,zero -81139e90: 1009883a mov r4,r2 -81139e94: 180b883a mov r5,r3 -81139e98: 102b883a mov r21,r2 -81139e9c: 182d883a mov r22,r3 -81139ea0: 113f0a00 call 8113f0a0 <__eqdf2> -81139ea4: da001317 ldw r8,76(sp) -81139ea8: 103ee526 beq r2,zero,81139a40 <__reset+0xfb119a40> -81139eac: 003f9b06 br 81139d1c <__reset+0xfb119d1c> -81139eb0: d8800917 ldw r2,36(sp) -81139eb4: 10002226 beq r2,zero,81139f40 <_strtod_r+0x1550> -81139eb8: 889ffc2c andhi r2,r17,32752 -81139ebc: 00c1a834 movhi r3,1696 -81139ec0: 18801f36 bltu r3,r2,81139f40 <_strtod_r+0x1550> -81139ec4: 1004d53a srli r2,r2,20 -81139ec8: 00c01ac4 movi r3,107 -81139ecc: 1887c83a sub r3,r3,r2 -81139ed0: 00bfffc4 movi r2,-1 -81139ed4: 10c4983a sll r2,r2,r3 -81139ed8: 14bf7d1e bne r2,r18,81139cd0 <__reset+0xfb119cd0> -81139edc: 00dffc34 movhi r3,32752 -81139ee0: 18ffffc4 addi r3,r3,-1 -81139ee4: 88c03526 beq r17,r3,81139fbc <_strtod_r+0x15cc> -81139ee8: 8c5ffc2c andhi r17,r17,32752 -81139eec: 00800434 movhi r2,16 -81139ef0: 002b883a mov r21,zero -81139ef4: 88ad883a add r22,r17,r2 -81139ef8: 003f8806 br 81139d1c <__reset+0xfb119d1c> -81139efc: 01401a84 movi r5,106 -81139f00: d9400915 stw r5,36(sp) -81139f04: 8ddffc2c andhi r23,r17,32752 -81139f08: b82ed53a srli r23,r23,20 -81139f0c: 00801ac4 movi r2,107 -81139f10: 15c5c83a sub r2,r2,r23 -81139f14: 00be8e0e bge zero,r2,81139950 <__reset+0xfb119950> -81139f18: 00c007c4 movi r3,31 -81139f1c: 18800a0e bge r3,r2,81139f48 <_strtod_r+0x1558> -81139f20: 00c00d04 movi r3,52 -81139f24: 0025883a mov r18,zero -81139f28: 1880270e bge r3,r2,81139fc8 <_strtod_r+0x15d8> -81139f2c: 0440dc34 movhi r17,880 -81139f30: 003e8706 br 81139950 <__reset+0xfb119950> -81139f34: d8000915 stw zero,36(sp) -81139f38: 05fe6a16 blt zero,r23,811398e4 <__reset+0xfb1198e4> -81139f3c: 003e8406 br 81139950 <__reset+0xfb119950> -81139f40: 00bfffc4 movi r2,-1 -81139f44: 003fe406 br 81139ed8 <__reset+0xfb119ed8> -81139f48: 00ffffc4 movi r3,-1 -81139f4c: 1884983a sll r2,r3,r2 -81139f50: 14a4703a and r18,r2,r18 -81139f54: 003e7e06 br 81139950 <__reset+0xfb119950> -81139f58: 00bffc34 movhi r2,65520 -81139f5c: b8af883a add r23,r23,r2 -81139f60: 057fffc4 movi r21,-1 -81139f64: 00800434 movhi r2,16 -81139f68: 1545883a add r2,r2,r21 -81139f6c: b8acb03a or r22,r23,r2 -81139f70: 003f6a06 br 81139d1c <__reset+0xfb119d1c> -81139f74: 01604574 movhi r5,33045 -81139f78: 297f2b04 addi r5,r5,-852 -81139f7c: d9800204 addi r6,sp,8 -81139f80: d9000604 addi r4,sp,24 -81139f84: 113e0140 call 8113e014 <__hexnan> -81139f88: 00c00144 movi r3,5 -81139f8c: 10fb5c1e bne r2,r3,81138d00 <__reset+0xfb118d00> -81139f90: dc400317 ldw r17,12(sp) -81139f94: dc800217 ldw r18,8(sp) -81139f98: 8c5ffc34 orhi r17,r17,32752 -81139f9c: 003b5a06 br 81138d08 <__reset+0xfb118d08> -81139fa0: 480b883a mov r5,r9 -81139fa4: d9800617 ldw r6,24(sp) -81139fa8: 4a400044 addi r9,r9,1 -81139fac: 003eeb06 br 81139b5c <__reset+0xfb119b5c> -81139fb0: 1025883a mov r18,r2 -81139fb4: 1823883a mov r17,r3 -81139fb8: 003c4c06 br 811390ec <__reset+0xfb1190ec> -81139fbc: 00ffffc4 movi r3,-1 -81139fc0: 10ffc91e bne r2,r3,81139ee8 <__reset+0xfb119ee8> -81139fc4: 003da906 br 8113966c <__reset+0xfb11966c> -81139fc8: 10bff804 addi r2,r2,-32 -81139fcc: 00ffffc4 movi r3,-1 -81139fd0: 1884983a sll r2,r3,r2 -81139fd4: 1462703a and r17,r2,r17 -81139fd8: 003e5d06 br 81139950 <__reset+0xfb119950> -81139fdc: 1025883a mov r18,r2 -81139fe0: 1823883a mov r17,r3 -81139fe4: 003e5806 br 81139948 <__reset+0xfb119948> - -81139fe8 : -81139fe8: 00a04574 movhi r2,33045 -81139fec: 1086e204 addi r2,r2,7048 -81139ff0: 280d883a mov r6,r5 -81139ff4: 200b883a mov r5,r4 -81139ff8: 11000017 ldw r4,0(r2) -81139ffc: 11389f01 jmpi 811389f0 <_strtod_r> - -8113a000 : -8113a000: defffb04 addi sp,sp,-20 -8113a004: dcc00315 stw r19,12(sp) -8113a008: 04e04574 movhi r19,33045 -8113a00c: 9cc6e204 addi r19,r19,7048 -8113a010: 280d883a mov r6,r5 -8113a014: 200b883a mov r5,r4 -8113a018: 99000017 ldw r4,0(r19) -8113a01c: dfc00415 stw ra,16(sp) -8113a020: dc800215 stw r18,8(sp) -8113a024: dc400115 stw r17,4(sp) -8113a028: dc000015 stw r16,0(sp) -8113a02c: 11389f00 call 811389f0 <_strtod_r> -8113a030: 1009883a mov r4,r2 -8113a034: 180b883a mov r5,r3 -8113a038: 1025883a mov r18,r2 -8113a03c: 1823883a mov r17,r3 -8113a040: 112b0480 call 8112b048 <__truncdfsf2> -8113a044: 000b883a mov r5,zero -8113a048: 1009883a mov r4,r2 -8113a04c: 1021883a mov r16,r2 -8113a050: 1127e180 call 81127e18 <__eqsf2> -8113a054: 1000111e bne r2,zero,8113a09c -8113a058: 000d883a mov r6,zero -8113a05c: 000f883a mov r7,zero -8113a060: 9009883a mov r4,r18 -8113a064: 880b883a mov r5,r17 -8113a068: 113f0a00 call 8113f0a0 <__eqdf2> -8113a06c: 10000b26 beq r2,zero,8113a09c -8113a070: 98800017 ldw r2,0(r19) -8113a074: 00c00884 movi r3,34 -8113a078: 10c00015 stw r3,0(r2) -8113a07c: 8005883a mov r2,r16 -8113a080: dfc00417 ldw ra,16(sp) -8113a084: dcc00317 ldw r19,12(sp) -8113a088: dc800217 ldw r18,8(sp) -8113a08c: dc400117 ldw r17,4(sp) -8113a090: dc000017 ldw r16,0(sp) -8113a094: dec00504 addi sp,sp,20 -8113a098: f800283a ret -8113a09c: 015fe034 movhi r5,32640 -8113a0a0: 297fffc4 addi r5,r5,-1 -8113a0a4: 8009883a mov r4,r16 -8113a0a8: 1127e900 call 81127e90 <__gesf2> -8113a0ac: 0080070e bge zero,r2,8113a0cc -8113a0b0: 01dffc34 movhi r7,32752 -8113a0b4: 39ffffc4 addi r7,r7,-1 -8113a0b8: 01bfffc4 movi r6,-1 -8113a0bc: 9009883a mov r4,r18 -8113a0c0: 880b883a mov r5,r17 -8113a0c4: 1129c440 call 81129c44 <__gedf2> -8113a0c8: 00bfe90e bge zero,r2,8113a070 <__reset+0xfb11a070> -8113a0cc: 017fe034 movhi r5,65408 -8113a0d0: 297fffc4 addi r5,r5,-1 -8113a0d4: 8009883a mov r4,r16 -8113a0d8: 113efd80 call 8113efd8 <__lesf2> -8113a0dc: 103fe70e bge r2,zero,8113a07c <__reset+0xfb11a07c> -8113a0e0: 01fffc34 movhi r7,65520 -8113a0e4: 39ffffc4 addi r7,r7,-1 -8113a0e8: 01bfffc4 movi r6,-1 -8113a0ec: 9009883a mov r4,r18 -8113a0f0: 880b883a mov r5,r17 -8113a0f4: 113f1280 call 8113f128 <__ledf2> -8113a0f8: 103fdd0e bge r2,zero,8113a070 <__reset+0xfb11a070> -8113a0fc: 003fdf06 br 8113a07c <__reset+0xfb11a07c> - -8113a100 <_strtoul_r>: -8113a100: 00a04574 movhi r2,33045 -8113a104: defff604 addi sp,sp,-40 -8113a108: 1086e004 addi r2,r2,7040 -8113a10c: dc800315 stw r18,12(sp) -8113a110: 14800017 ldw r18,0(r2) -8113a114: dd400615 stw r21,24(sp) -8113a118: dd000515 stw r20,20(sp) -8113a11c: dcc00415 stw r19,16(sp) -8113a120: 2029883a mov r20,r4 -8113a124: dfc00915 stw ra,36(sp) -8113a128: ddc00815 stw r23,32(sp) -8113a12c: dd800715 stw r22,28(sp) -8113a130: dc400215 stw r17,8(sp) -8113a134: dc000115 stw r16,4(sp) -8113a138: 2827883a mov r19,r5 -8113a13c: 382b883a mov r21,r7 -8113a140: 2809883a mov r4,r5 -8113a144: 24000003 ldbu r16,0(r4) -8113a148: 24400044 addi r17,r4,1 -8113a14c: 2007883a mov r3,r4 -8113a150: 9405883a add r2,r18,r16 -8113a154: 10800043 ldbu r2,1(r2) -8113a158: 8809883a mov r4,r17 -8113a15c: 1080020c andi r2,r2,8 -8113a160: 103ff81e bne r2,zero,8113a144 <__reset+0xfb11a144> -8113a164: 00800b44 movi r2,45 -8113a168: 80805326 beq r16,r2,8113a2b8 <_strtoul_r+0x1b8> -8113a16c: 00800ac4 movi r2,43 -8113a170: 80805526 beq r16,r2,8113a2c8 <_strtoul_r+0x1c8> -8113a174: 002f883a mov r23,zero -8113a178: a8000f26 beq r21,zero,8113a1b8 <_strtoul_r+0xb8> -8113a17c: 00800404 movi r2,16 -8113a180: a8805f26 beq r21,r2,8113a300 <_strtoul_r+0x200> -8113a184: 013fffc4 movi r4,-1 -8113a188: a80b883a mov r5,r21 -8113a18c: d9800015 stw r6,0(sp) -8113a190: 112b34c0 call 8112b34c <__udivsi3> -8113a194: a80b883a mov r5,r21 -8113a198: 013fffc4 movi r4,-1 -8113a19c: 102d883a mov r22,r2 -8113a1a0: 112b3b00 call 8112b3b0 <__umodsi3> -8113a1a4: d9800017 ldw r6,0(sp) -8113a1a8: 1019883a mov r12,r2 -8113a1ac: a817883a mov r11,r21 -8113a1b0: b00f883a mov r7,r22 -8113a1b4: 00000706 br 8113a1d4 <_strtoul_r+0xd4> -8113a1b8: 00800c04 movi r2,48 -8113a1bc: 80804626 beq r16,r2,8113a2d8 <_strtoul_r+0x1d8> -8113a1c0: 05400284 movi r21,10 -8113a1c4: 01c666b4 movhi r7,6554 -8113a1c8: 03000144 movi r12,5 -8113a1cc: 39e66644 addi r7,r7,-26215 -8113a1d0: a817883a mov r11,r21 -8113a1d4: 9407883a add r3,r18,r16 -8113a1d8: 18c00043 ldbu r3,1(r3) -8113a1dc: 8809883a mov r4,r17 -8113a1e0: 0011883a mov r8,zero -8113a1e4: 1940010c andi r5,r3,4 -8113a1e8: 0005883a mov r2,zero -8113a1ec: 02800044 movi r10,1 -8113a1f0: 027fffc4 movi r9,-1 -8113a1f4: 28000e26 beq r5,zero,8113a230 <_strtoul_r+0x130> -8113a1f8: 843ff404 addi r16,r16,-48 -8113a1fc: 8540120e bge r16,r21,8113a248 <_strtoul_r+0x148> -8113a200: 42400526 beq r8,r9,8113a218 <_strtoul_r+0x118> -8113a204: 38802236 bltu r7,r2,8113a290 <_strtoul_r+0x190> -8113a208: 11c02026 beq r2,r7,8113a28c <_strtoul_r+0x18c> -8113a20c: 12c5383a mul r2,r2,r11 -8113a210: 02000044 movi r8,1 -8113a214: 8085883a add r2,r16,r2 -8113a218: 24000003 ldbu r16,0(r4) -8113a21c: 21000044 addi r4,r4,1 -8113a220: 9407883a add r3,r18,r16 -8113a224: 18c00043 ldbu r3,1(r3) -8113a228: 1940010c andi r5,r3,4 -8113a22c: 283ff21e bne r5,zero,8113a1f8 <__reset+0xfb11a1f8> -8113a230: 18c000cc andi r3,r3,3 -8113a234: 18000426 beq r3,zero,8113a248 <_strtoul_r+0x148> -8113a238: 1a801726 beq r3,r10,8113a298 <_strtoul_r+0x198> -8113a23c: 00c015c4 movi r3,87 -8113a240: 80e1c83a sub r16,r16,r3 -8113a244: 857fee16 blt r16,r21,8113a200 <__reset+0xfb11a200> -8113a248: 40001516 blt r8,zero,8113a2a0 <_strtoul_r+0x1a0> -8113a24c: b8000126 beq r23,zero,8113a254 <_strtoul_r+0x154> -8113a250: 0085c83a sub r2,zero,r2 -8113a254: 30000226 beq r6,zero,8113a260 <_strtoul_r+0x160> -8113a258: 4000151e bne r8,zero,8113a2b0 <_strtoul_r+0x1b0> -8113a25c: 34c00015 stw r19,0(r6) -8113a260: dfc00917 ldw ra,36(sp) -8113a264: ddc00817 ldw r23,32(sp) -8113a268: dd800717 ldw r22,28(sp) -8113a26c: dd400617 ldw r21,24(sp) -8113a270: dd000517 ldw r20,20(sp) -8113a274: dcc00417 ldw r19,16(sp) -8113a278: dc800317 ldw r18,12(sp) -8113a27c: dc400217 ldw r17,8(sp) -8113a280: dc000117 ldw r16,4(sp) -8113a284: dec00a04 addi sp,sp,40 -8113a288: f800283a ret -8113a28c: 643fdf0e bge r12,r16,8113a20c <__reset+0xfb11a20c> -8113a290: 023fffc4 movi r8,-1 -8113a294: 003fe006 br 8113a218 <__reset+0xfb11a218> -8113a298: 00c00dc4 movi r3,55 -8113a29c: 003fe806 br 8113a240 <__reset+0xfb11a240> -8113a2a0: 00800884 movi r2,34 -8113a2a4: a0800015 stw r2,0(r20) -8113a2a8: 00bfffc4 movi r2,-1 -8113a2ac: 303fec26 beq r6,zero,8113a260 <__reset+0xfb11a260> -8113a2b0: 24ffffc4 addi r19,r4,-1 -8113a2b4: 003fe906 br 8113a25c <__reset+0xfb11a25c> -8113a2b8: 1c400084 addi r17,r3,2 -8113a2bc: 1c000043 ldbu r16,1(r3) -8113a2c0: 05c00044 movi r23,1 -8113a2c4: 003fac06 br 8113a178 <__reset+0xfb11a178> -8113a2c8: 1c400084 addi r17,r3,2 -8113a2cc: 1c000043 ldbu r16,1(r3) -8113a2d0: 002f883a mov r23,zero -8113a2d4: 003fa806 br 8113a178 <__reset+0xfb11a178> -8113a2d8: 88800003 ldbu r2,0(r17) -8113a2dc: 00c01604 movi r3,88 -8113a2e0: 108037cc andi r2,r2,223 -8113a2e4: 10c00c26 beq r2,r3,8113a318 <_strtoul_r+0x218> -8113a2e8: 05400204 movi r21,8 -8113a2ec: 01c80034 movhi r7,8192 -8113a2f0: 030001c4 movi r12,7 -8113a2f4: 39ffffc4 addi r7,r7,-1 -8113a2f8: a817883a mov r11,r21 -8113a2fc: 003fb506 br 8113a1d4 <__reset+0xfb11a1d4> -8113a300: 00800c04 movi r2,48 -8113a304: 80800c1e bne r16,r2,8113a338 <_strtoul_r+0x238> -8113a308: 88800003 ldbu r2,0(r17) -8113a30c: 00c01604 movi r3,88 -8113a310: 108037cc andi r2,r2,223 -8113a314: 10c0081e bne r2,r3,8113a338 <_strtoul_r+0x238> -8113a318: 02c00404 movi r11,16 -8113a31c: 05840034 movhi r22,4096 -8113a320: 8c000043 ldbu r16,1(r17) -8113a324: 030003c4 movi r12,15 -8113a328: 8c400084 addi r17,r17,2 -8113a32c: b5bfffc4 addi r22,r22,-1 -8113a330: 582b883a mov r21,r11 -8113a334: 003f9e06 br 8113a1b0 <__reset+0xfb11a1b0> -8113a338: 01c40034 movhi r7,4096 -8113a33c: 030003c4 movi r12,15 -8113a340: 39ffffc4 addi r7,r7,-1 -8113a344: a817883a mov r11,r21 -8113a348: 003fa206 br 8113a1d4 <__reset+0xfb11a1d4> - -8113a34c : -8113a34c: 00a04574 movhi r2,33045 -8113a350: 1086e204 addi r2,r2,7048 -8113a354: 300f883a mov r7,r6 -8113a358: 280d883a mov r6,r5 -8113a35c: 200b883a mov r5,r4 -8113a360: 11000017 ldw r4,0(r2) -8113a364: 113a1001 jmpi 8113a100 <_strtoul_r> - -8113a368 <_strtoull_r>: -8113a368: 00a04574 movhi r2,33045 -8113a36c: defff404 addi sp,sp,-48 -8113a370: 1086e004 addi r2,r2,7040 -8113a374: dc400315 stw r17,12(sp) -8113a378: 14400017 ldw r17,0(r2) -8113a37c: dd400715 stw r21,28(sp) -8113a380: dd000615 stw r20,24(sp) -8113a384: dcc00515 stw r19,20(sp) -8113a388: dc800415 stw r18,16(sp) -8113a38c: dfc00b15 stw ra,44(sp) -8113a390: df000a15 stw fp,40(sp) -8113a394: ddc00915 stw r23,36(sp) -8113a398: dd800815 stw r22,32(sp) -8113a39c: dc000215 stw r16,8(sp) -8113a3a0: 2827883a mov r19,r5 -8113a3a4: 202b883a mov r21,r4 -8113a3a8: 3029883a mov r20,r6 -8113a3ac: 3825883a mov r18,r7 -8113a3b0: 2807883a mov r3,r5 -8113a3b4: 1c000003 ldbu r16,0(r3) -8113a3b8: 1f000044 addi fp,r3,1 -8113a3bc: 1809883a mov r4,r3 -8113a3c0: 8c05883a add r2,r17,r16 -8113a3c4: 10800043 ldbu r2,1(r2) -8113a3c8: e007883a mov r3,fp -8113a3cc: 1080020c andi r2,r2,8 -8113a3d0: 103ff81e bne r2,zero,8113a3b4 <__reset+0xfb11a3b4> -8113a3d4: 00800b44 movi r2,45 -8113a3d8: 80806e26 beq r16,r2,8113a594 <_strtoull_r+0x22c> -8113a3dc: 00800ac4 movi r2,43 -8113a3e0: 80807026 beq r16,r2,8113a5a4 <_strtoull_r+0x23c> -8113a3e4: 002f883a mov r23,zero -8113a3e8: 90001426 beq r18,zero,8113a43c <_strtoull_r+0xd4> -8113a3ec: 00800404 movi r2,16 -8113a3f0: 90807c26 beq r18,r2,8113a5e4 <_strtoull_r+0x27c> -8113a3f4: 902dd7fa srai r22,r18,31 -8113a3f8: 013fffc4 movi r4,-1 -8113a3fc: 200b883a mov r5,r4 -8113a400: 900d883a mov r6,r18 -8113a404: b00f883a mov r7,r22 -8113a408: 113e5280 call 8113e528 <__udivdi3> -8113a40c: 013fffc4 movi r4,-1 -8113a410: 900d883a mov r6,r18 -8113a414: b00f883a mov r7,r22 -8113a418: 200b883a mov r5,r4 -8113a41c: d8c00115 stw r3,4(sp) -8113a420: d8800015 stw r2,0(sp) -8113a424: 113eaa00 call 8113eaa0 <__umoddi3> -8113a428: 101b883a mov r13,r2 -8113a42c: 9015883a mov r10,r18 -8113a430: dac00017 ldw r11,0(sp) -8113a434: da000117 ldw r8,4(sp) -8113a438: 00000a06 br 8113a464 <_strtoull_r+0xfc> -8113a43c: 00800c04 movi r2,48 -8113a440: 80805c26 beq r16,r2,8113a5b4 <_strtoull_r+0x24c> -8113a444: 02800284 movi r10,10 -8113a448: 02e666b4 movhi r11,39322 -8113a44c: 020666b4 movhi r8,6554 -8113a450: 03400144 movi r13,5 -8113a454: 5ae66644 addi r11,r11,-26215 -8113a458: 42266644 addi r8,r8,-26215 -8113a45c: 002d883a mov r22,zero -8113a460: 5025883a mov r18,r10 -8113a464: 8c0b883a add r5,r17,r16 -8113a468: 29400043 ldbu r5,1(r5) -8113a46c: e009883a mov r4,fp -8113a470: 000f883a mov r7,zero -8113a474: 2980010c andi r6,r5,4 -8113a478: 0005883a mov r2,zero -8113a47c: 0007883a mov r3,zero -8113a480: 03000044 movi r12,1 -8113a484: 027fffc4 movi r9,-1 -8113a488: 30000d26 beq r6,zero,8113a4c0 <_strtoull_r+0x158> -8113a48c: 843ff404 addi r16,r16,-48 -8113a490: 8480110e bge r16,r18,8113a4d8 <_strtoull_r+0x170> -8113a494: 3a400426 beq r7,r9,8113a4a8 <_strtoull_r+0x140> -8113a498: 40c00236 bltu r8,r3,8113a4a4 <_strtoull_r+0x13c> -8113a49c: 1a00231e bne r3,r8,8113a52c <_strtoull_r+0x1c4> -8113a4a0: 5880222e bgeu r11,r2,8113a52c <_strtoull_r+0x1c4> -8113a4a4: 01ffffc4 movi r7,-1 -8113a4a8: 24000003 ldbu r16,0(r4) -8113a4ac: 21000044 addi r4,r4,1 -8113a4b0: 8c0b883a add r5,r17,r16 -8113a4b4: 29400043 ldbu r5,1(r5) -8113a4b8: 2980010c andi r6,r5,4 -8113a4bc: 303ff31e bne r6,zero,8113a48c <__reset+0xfb11a48c> -8113a4c0: 294000cc andi r5,r5,3 -8113a4c4: 28000426 beq r5,zero,8113a4d8 <_strtoull_r+0x170> -8113a4c8: 2b002626 beq r5,r12,8113a564 <_strtoull_r+0x1fc> -8113a4cc: 014015c4 movi r5,87 -8113a4d0: 8161c83a sub r16,r16,r5 -8113a4d4: 84bfef16 blt r16,r18,8113a494 <__reset+0xfb11a494> -8113a4d8: 38002716 blt r7,zero,8113a578 <_strtoull_r+0x210> -8113a4dc: b8000426 beq r23,zero,8113a4f0 <_strtoull_r+0x188> -8113a4e0: 0085c83a sub r2,zero,r2 -8113a4e4: 100ac03a cmpne r5,r2,zero -8113a4e8: 00e1c83a sub r16,zero,r3 -8113a4ec: 8147c83a sub r3,r16,r5 -8113a4f0: a0000226 beq r20,zero,8113a4fc <_strtoull_r+0x194> -8113a4f4: 3800251e bne r7,zero,8113a58c <_strtoull_r+0x224> -8113a4f8: a4c00015 stw r19,0(r20) -8113a4fc: dfc00b17 ldw ra,44(sp) -8113a500: df000a17 ldw fp,40(sp) -8113a504: ddc00917 ldw r23,36(sp) -8113a508: dd800817 ldw r22,32(sp) -8113a50c: dd400717 ldw r21,28(sp) -8113a510: dd000617 ldw r20,24(sp) -8113a514: dcc00517 ldw r19,20(sp) -8113a518: dc800417 ldw r18,16(sp) -8113a51c: dc400317 ldw r17,12(sp) -8113a520: dc000217 ldw r16,8(sp) -8113a524: dec00c04 addi sp,sp,48 -8113a528: f800283a ret -8113a52c: 12c00f26 beq r2,r11,8113a56c <_strtoull_r+0x204> -8113a530: b08d383a mul r6,r22,r2 -8113a534: 1a87383a mul r3,r3,r10 -8113a538: 128a383a mulxuu r5,r2,r10 -8113a53c: 1285383a mul r2,r2,r10 -8113a540: 800fd7fa srai r7,r16,31 -8113a544: 1987883a add r3,r3,r6 -8113a548: 8085883a add r2,r16,r2 -8113a54c: 1947883a add r3,r3,r5 -8113a550: 38c7883a add r3,r7,r3 -8113a554: 1421803a cmpltu r16,r2,r16 -8113a558: 80c7883a add r3,r16,r3 -8113a55c: 01c00044 movi r7,1 -8113a560: 003fd106 br 8113a4a8 <__reset+0xfb11a4a8> -8113a564: 01400dc4 movi r5,55 -8113a568: 003fd906 br 8113a4d0 <__reset+0xfb11a4d0> -8113a56c: 1a3ff01e bne r3,r8,8113a530 <__reset+0xfb11a530> -8113a570: 6c3fcc16 blt r13,r16,8113a4a4 <__reset+0xfb11a4a4> -8113a574: 003fee06 br 8113a530 <__reset+0xfb11a530> -8113a578: 00800884 movi r2,34 -8113a57c: a8800015 stw r2,0(r21) -8113a580: 00bfffc4 movi r2,-1 -8113a584: 1007883a mov r3,r2 -8113a588: a03fdc26 beq r20,zero,8113a4fc <__reset+0xfb11a4fc> -8113a58c: 24ffffc4 addi r19,r4,-1 -8113a590: 003fd906 br 8113a4f8 <__reset+0xfb11a4f8> -8113a594: 27000084 addi fp,r4,2 -8113a598: 24000043 ldbu r16,1(r4) -8113a59c: 05c00044 movi r23,1 -8113a5a0: 003f9106 br 8113a3e8 <__reset+0xfb11a3e8> -8113a5a4: 27000084 addi fp,r4,2 -8113a5a8: 24000043 ldbu r16,1(r4) -8113a5ac: 002f883a mov r23,zero -8113a5b0: 003f8d06 br 8113a3e8 <__reset+0xfb11a3e8> -8113a5b4: e0800003 ldbu r2,0(fp) -8113a5b8: 00c01604 movi r3,88 -8113a5bc: 108037cc andi r2,r2,223 -8113a5c0: 10c00e26 beq r2,r3,8113a5fc <_strtoull_r+0x294> -8113a5c4: 02800204 movi r10,8 -8113a5c8: 02ffffc4 movi r11,-1 -8113a5cc: 02080034 movhi r8,8192 -8113a5d0: 034001c4 movi r13,7 -8113a5d4: 42d1883a add r8,r8,r11 -8113a5d8: 002d883a mov r22,zero -8113a5dc: 5025883a mov r18,r10 -8113a5e0: 003fa006 br 8113a464 <__reset+0xfb11a464> -8113a5e4: 00800c04 movi r2,48 -8113a5e8: 80800e1e bne r16,r2,8113a624 <_strtoull_r+0x2bc> -8113a5ec: e0800003 ldbu r2,0(fp) -8113a5f0: 00c01604 movi r3,88 -8113a5f4: 108037cc andi r2,r2,223 -8113a5f8: 10c00a1e bne r2,r3,8113a624 <_strtoull_r+0x2bc> -8113a5fc: 02800404 movi r10,16 -8113a600: 02ffffc4 movi r11,-1 -8113a604: 02040034 movhi r8,4096 -8113a608: e4000043 ldbu r16,1(fp) -8113a60c: 034003c4 movi r13,15 -8113a610: e7000084 addi fp,fp,2 +81139d38: 11389cc0 call 811389cc +81139d3c: 100d883a mov r6,r2 +81139d40: 180f883a mov r7,r3 +81139d44: 9009883a mov r4,r18 +81139d48: 880b883a mov r5,r17 +81139d4c: 1128af00 call 81128af0 <__adddf3> +81139d50: da001317 ldw r8,76(sp) +81139d54: 102b883a mov r21,r2 +81139d58: 182d883a mov r22,r3 +81139d5c: d8c00917 ldw r3,36(sp) +81139d60: 18000f26 beq r3,zero,81139da0 <_strtod_r+0x1370> +81139d64: a809883a mov r4,r21 +81139d68: b00b883a mov r5,r22 +81139d6c: 000d883a mov r6,zero +81139d70: 01ce5434 movhi r7,14672 +81139d74: da001315 stw r8,76(sp) +81139d78: 1129d600 call 81129d60 <__muldf3> +81139d7c: 102b883a mov r21,r2 +81139d80: 182d883a mov r22,r3 +81139d84: da001317 ldw r8,76(sp) +81139d88: 1800051e bne r3,zero,81139da0 <_strtod_r+0x1370> +81139d8c: d8800517 ldw r2,20(sp) +81139d90: a83e4b1e bne r21,zero,811396c0 <__reset+0xfb1196c0> +81139d94: 00c00884 movi r3,34 +81139d98: 80c00015 stw r3,0(r16) +81139d9c: 003e4806 br 811396c0 <__reset+0xfb1196c0> +81139da0: d8800517 ldw r2,20(sp) +81139da4: 003e4606 br 811396c0 <__reset+0xfb1196c0> +81139da8: d9000e17 ldw r4,56(sp) +81139dac: dd000a15 stw r20,40(sp) +81139db0: a811883a mov r8,r21 +81139db4: dd001217 ldw r20,72(sp) +81139db8: dd401117 ldw r21,68(sp) +81139dbc: dd801017 ldw r22,64(sp) +81139dc0: 203fe61e bne r4,zero,81139d5c <__reset+0xfb119d5c> +81139dc4: 903fe51e bne r18,zero,81139d5c <__reset+0xfb119d5c> +81139dc8: 00800434 movhi r2,16 +81139dcc: 10bfffc4 addi r2,r2,-1 +81139dd0: 8884703a and r2,r17,r2 +81139dd4: 103fe11e bne r2,zero,81139d5c <__reset+0xfb119d5c> +81139dd8: 8ddffc2c andhi r23,r17,32752 +81139ddc: 0081ac34 movhi r2,1712 +81139de0: 15ffde2e bgeu r2,r23,81139d5c <__reset+0xfb119d5c> +81139de4: 40800517 ldw r2,20(r8) +81139de8: 1000031e bne r2,zero,81139df8 <_strtod_r+0x13c8> +81139dec: 40800417 ldw r2,16(r8) +81139df0: 00c00044 movi r3,1 +81139df4: 18bfd90e bge r3,r2,81139d5c <__reset+0xfb119d5c> +81139df8: 400b883a mov r5,r8 +81139dfc: 01800044 movi r6,1 +81139e00: 8009883a mov r4,r16 +81139e04: 11379640 call 81137964 <__lshift> +81139e08: 980b883a mov r5,r19 +81139e0c: 1009883a mov r4,r2 +81139e10: d8801315 stw r2,76(sp) +81139e14: 1137aac0 call 81137aac <__mcmp> +81139e18: da001317 ldw r8,76(sp) +81139e1c: 00bfcf0e bge zero,r2,81139d5c <__reset+0xfb119d5c> +81139e20: d8c00917 ldw r3,36(sp) +81139e24: 18005c26 beq r3,zero,81139f98 <_strtod_r+0x1568> +81139e28: 0081ac34 movhi r2,1712 +81139e2c: 15c05a16 blt r2,r23,81139f98 <_strtod_r+0x1568> +81139e30: 0080dc34 movhi r2,880 +81139e34: 15ffcb16 blt r2,r23,81139d64 <__reset+0xfb119d64> +81139e38: 003f1106 br 81139a80 <__reset+0xfb119a80> +81139e3c: 002d883a mov r22,zero +81139e40: 022ff834 movhi r8,49120 +81139e44: d8000d15 stw zero,52(sp) +81139e48: 05cff834 movhi r23,16352 +81139e4c: 003ded06 br 81139604 <__reset+0xfb119604> +81139e50: 0440d434 movhi r17,848 +81139e54: 1c63883a add r17,r3,r17 +81139e58: d8000915 stw zero,36(sp) +81139e5c: 003cc806 br 81139180 <__reset+0xfb119180> +81139e60: 8884703a and r2,r17,r2 +81139e64: 103faa1e bne r2,zero,81139d10 <__reset+0xfb119d10> +81139e68: 903fa91e bne r18,zero,81139d10 <__reset+0xfb119d10> +81139e6c: 8ddffc2c andhi r23,r17,32752 +81139e70: 003feb06 br 81139e20 <__reset+0xfb119e20> +81139e74: d8c00d17 ldw r3,52(sp) +81139e78: 1cae703a and r23,r3,r18 +81139e7c: b83fb726 beq r23,zero,81139d5c <__reset+0xfb119d5c> +81139e80: 003fa706 br 81139d20 <__reset+0xfb119d20> +81139e84: 21000044 addi r4,r4,1 +81139e88: d9000615 stw r4,24(sp) +81139e8c: 003f9006 br 81139cd0 <__reset+0xfb119cd0> +81139e90: 01604574 movhi r5,33045 +81139e94: 297efc04 addi r5,r5,-1040 +81139e98: d9400915 stw r5,36(sp) +81139e9c: 0027883a mov r19,zero +81139ea0: 003ca206 br 8113912c <__reset+0xfb11912c> +81139ea4: 9009883a mov r4,r18 +81139ea8: 880b883a mov r5,r17 +81139eac: da001315 stw r8,76(sp) +81139eb0: 11389cc0 call 811389cc +81139eb4: 100d883a mov r6,r2 +81139eb8: 180f883a mov r7,r3 +81139ebc: 9009883a mov r4,r18 +81139ec0: 880b883a mov r5,r17 +81139ec4: 112a4780 call 8112a478 <__subdf3> +81139ec8: 000d883a mov r6,zero +81139ecc: 000f883a mov r7,zero +81139ed0: 1009883a mov r4,r2 +81139ed4: 180b883a mov r5,r3 +81139ed8: 102b883a mov r21,r2 +81139edc: 182d883a mov r22,r3 +81139ee0: 113f0e00 call 8113f0e0 <__eqdf2> +81139ee4: da001317 ldw r8,76(sp) +81139ee8: 103ee526 beq r2,zero,81139a80 <__reset+0xfb119a80> +81139eec: 003f9b06 br 81139d5c <__reset+0xfb119d5c> +81139ef0: d8800917 ldw r2,36(sp) +81139ef4: 10002226 beq r2,zero,81139f80 <_strtod_r+0x1550> +81139ef8: 889ffc2c andhi r2,r17,32752 +81139efc: 00c1a834 movhi r3,1696 +81139f00: 18801f36 bltu r3,r2,81139f80 <_strtod_r+0x1550> +81139f04: 1004d53a srli r2,r2,20 +81139f08: 00c01ac4 movi r3,107 +81139f0c: 1887c83a sub r3,r3,r2 +81139f10: 00bfffc4 movi r2,-1 +81139f14: 10c4983a sll r2,r2,r3 +81139f18: 14bf7d1e bne r2,r18,81139d10 <__reset+0xfb119d10> +81139f1c: 00dffc34 movhi r3,32752 +81139f20: 18ffffc4 addi r3,r3,-1 +81139f24: 88c03526 beq r17,r3,81139ffc <_strtod_r+0x15cc> +81139f28: 8c5ffc2c andhi r17,r17,32752 +81139f2c: 00800434 movhi r2,16 +81139f30: 002b883a mov r21,zero +81139f34: 88ad883a add r22,r17,r2 +81139f38: 003f8806 br 81139d5c <__reset+0xfb119d5c> +81139f3c: 01401a84 movi r5,106 +81139f40: d9400915 stw r5,36(sp) +81139f44: 8ddffc2c andhi r23,r17,32752 +81139f48: b82ed53a srli r23,r23,20 +81139f4c: 00801ac4 movi r2,107 +81139f50: 15c5c83a sub r2,r2,r23 +81139f54: 00be8e0e bge zero,r2,81139990 <__reset+0xfb119990> +81139f58: 00c007c4 movi r3,31 +81139f5c: 18800a0e bge r3,r2,81139f88 <_strtod_r+0x1558> +81139f60: 00c00d04 movi r3,52 +81139f64: 0025883a mov r18,zero +81139f68: 1880270e bge r3,r2,8113a008 <_strtod_r+0x15d8> +81139f6c: 0440dc34 movhi r17,880 +81139f70: 003e8706 br 81139990 <__reset+0xfb119990> +81139f74: d8000915 stw zero,36(sp) +81139f78: 05fe6a16 blt zero,r23,81139924 <__reset+0xfb119924> +81139f7c: 003e8406 br 81139990 <__reset+0xfb119990> +81139f80: 00bfffc4 movi r2,-1 +81139f84: 003fe406 br 81139f18 <__reset+0xfb119f18> +81139f88: 00ffffc4 movi r3,-1 +81139f8c: 1884983a sll r2,r3,r2 +81139f90: 14a4703a and r18,r2,r18 +81139f94: 003e7e06 br 81139990 <__reset+0xfb119990> +81139f98: 00bffc34 movhi r2,65520 +81139f9c: b8af883a add r23,r23,r2 +81139fa0: 057fffc4 movi r21,-1 +81139fa4: 00800434 movhi r2,16 +81139fa8: 1545883a add r2,r2,r21 +81139fac: b8acb03a or r22,r23,r2 +81139fb0: 003f6a06 br 81139d5c <__reset+0xfb119d5c> +81139fb4: 01604574 movhi r5,33045 +81139fb8: 297f3c04 addi r5,r5,-784 +81139fbc: d9800204 addi r6,sp,8 +81139fc0: d9000604 addi r4,sp,24 +81139fc4: 113e0540 call 8113e054 <__hexnan> +81139fc8: 00c00144 movi r3,5 +81139fcc: 10fb5c1e bne r2,r3,81138d40 <__reset+0xfb118d40> +81139fd0: dc400317 ldw r17,12(sp) +81139fd4: dc800217 ldw r18,8(sp) +81139fd8: 8c5ffc34 orhi r17,r17,32752 +81139fdc: 003b5a06 br 81138d48 <__reset+0xfb118d48> +81139fe0: 480b883a mov r5,r9 +81139fe4: d9800617 ldw r6,24(sp) +81139fe8: 4a400044 addi r9,r9,1 +81139fec: 003eeb06 br 81139b9c <__reset+0xfb119b9c> +81139ff0: 1025883a mov r18,r2 +81139ff4: 1823883a mov r17,r3 +81139ff8: 003c4c06 br 8113912c <__reset+0xfb11912c> +81139ffc: 00ffffc4 movi r3,-1 +8113a000: 10ffc91e bne r2,r3,81139f28 <__reset+0xfb119f28> +8113a004: 003da906 br 811396ac <__reset+0xfb1196ac> +8113a008: 10bff804 addi r2,r2,-32 +8113a00c: 00ffffc4 movi r3,-1 +8113a010: 1884983a sll r2,r3,r2 +8113a014: 1462703a and r17,r2,r17 +8113a018: 003e5d06 br 81139990 <__reset+0xfb119990> +8113a01c: 1025883a mov r18,r2 +8113a020: 1823883a mov r17,r3 +8113a024: 003e5806 br 81139988 <__reset+0xfb119988> + +8113a028 : +8113a028: 00a04574 movhi r2,33045 +8113a02c: 1086f304 addi r2,r2,7116 +8113a030: 280d883a mov r6,r5 +8113a034: 200b883a mov r5,r4 +8113a038: 11000017 ldw r4,0(r2) +8113a03c: 1138a301 jmpi 81138a30 <_strtod_r> + +8113a040 : +8113a040: defffb04 addi sp,sp,-20 +8113a044: dcc00315 stw r19,12(sp) +8113a048: 04e04574 movhi r19,33045 +8113a04c: 9cc6f304 addi r19,r19,7116 +8113a050: 280d883a mov r6,r5 +8113a054: 200b883a mov r5,r4 +8113a058: 99000017 ldw r4,0(r19) +8113a05c: dfc00415 stw ra,16(sp) +8113a060: dc800215 stw r18,8(sp) +8113a064: dc400115 stw r17,4(sp) +8113a068: dc000015 stw r16,0(sp) +8113a06c: 1138a300 call 81138a30 <_strtod_r> +8113a070: 1009883a mov r4,r2 +8113a074: 180b883a mov r5,r3 +8113a078: 1025883a mov r18,r2 +8113a07c: 1823883a mov r17,r3 +8113a080: 112b0880 call 8112b088 <__truncdfsf2> +8113a084: 000b883a mov r5,zero +8113a088: 1009883a mov r4,r2 +8113a08c: 1021883a mov r16,r2 +8113a090: 1127e580 call 81127e58 <__eqsf2> +8113a094: 1000111e bne r2,zero,8113a0dc +8113a098: 000d883a mov r6,zero +8113a09c: 000f883a mov r7,zero +8113a0a0: 9009883a mov r4,r18 +8113a0a4: 880b883a mov r5,r17 +8113a0a8: 113f0e00 call 8113f0e0 <__eqdf2> +8113a0ac: 10000b26 beq r2,zero,8113a0dc +8113a0b0: 98800017 ldw r2,0(r19) +8113a0b4: 00c00884 movi r3,34 +8113a0b8: 10c00015 stw r3,0(r2) +8113a0bc: 8005883a mov r2,r16 +8113a0c0: dfc00417 ldw ra,16(sp) +8113a0c4: dcc00317 ldw r19,12(sp) +8113a0c8: dc800217 ldw r18,8(sp) +8113a0cc: dc400117 ldw r17,4(sp) +8113a0d0: dc000017 ldw r16,0(sp) +8113a0d4: dec00504 addi sp,sp,20 +8113a0d8: f800283a ret +8113a0dc: 015fe034 movhi r5,32640 +8113a0e0: 297fffc4 addi r5,r5,-1 +8113a0e4: 8009883a mov r4,r16 +8113a0e8: 1127ed00 call 81127ed0 <__gesf2> +8113a0ec: 0080070e bge zero,r2,8113a10c +8113a0f0: 01dffc34 movhi r7,32752 +8113a0f4: 39ffffc4 addi r7,r7,-1 +8113a0f8: 01bfffc4 movi r6,-1 +8113a0fc: 9009883a mov r4,r18 +8113a100: 880b883a mov r5,r17 +8113a104: 1129c840 call 81129c84 <__gedf2> +8113a108: 00bfe90e bge zero,r2,8113a0b0 <__reset+0xfb11a0b0> +8113a10c: 017fe034 movhi r5,65408 +8113a110: 297fffc4 addi r5,r5,-1 +8113a114: 8009883a mov r4,r16 +8113a118: 113f0180 call 8113f018 <__lesf2> +8113a11c: 103fe70e bge r2,zero,8113a0bc <__reset+0xfb11a0bc> +8113a120: 01fffc34 movhi r7,65520 +8113a124: 39ffffc4 addi r7,r7,-1 +8113a128: 01bfffc4 movi r6,-1 +8113a12c: 9009883a mov r4,r18 +8113a130: 880b883a mov r5,r17 +8113a134: 113f1680 call 8113f168 <__ledf2> +8113a138: 103fdd0e bge r2,zero,8113a0b0 <__reset+0xfb11a0b0> +8113a13c: 003fdf06 br 8113a0bc <__reset+0xfb11a0bc> + +8113a140 <_strtoul_r>: +8113a140: 00a04574 movhi r2,33045 +8113a144: defff604 addi sp,sp,-40 +8113a148: 1086f104 addi r2,r2,7108 +8113a14c: dc800315 stw r18,12(sp) +8113a150: 14800017 ldw r18,0(r2) +8113a154: dd400615 stw r21,24(sp) +8113a158: dd000515 stw r20,20(sp) +8113a15c: dcc00415 stw r19,16(sp) +8113a160: 2029883a mov r20,r4 +8113a164: dfc00915 stw ra,36(sp) +8113a168: ddc00815 stw r23,32(sp) +8113a16c: dd800715 stw r22,28(sp) +8113a170: dc400215 stw r17,8(sp) +8113a174: dc000115 stw r16,4(sp) +8113a178: 2827883a mov r19,r5 +8113a17c: 382b883a mov r21,r7 +8113a180: 2809883a mov r4,r5 +8113a184: 24000003 ldbu r16,0(r4) +8113a188: 24400044 addi r17,r4,1 +8113a18c: 2007883a mov r3,r4 +8113a190: 9405883a add r2,r18,r16 +8113a194: 10800043 ldbu r2,1(r2) +8113a198: 8809883a mov r4,r17 +8113a19c: 1080020c andi r2,r2,8 +8113a1a0: 103ff81e bne r2,zero,8113a184 <__reset+0xfb11a184> +8113a1a4: 00800b44 movi r2,45 +8113a1a8: 80805326 beq r16,r2,8113a2f8 <_strtoul_r+0x1b8> +8113a1ac: 00800ac4 movi r2,43 +8113a1b0: 80805526 beq r16,r2,8113a308 <_strtoul_r+0x1c8> +8113a1b4: 002f883a mov r23,zero +8113a1b8: a8000f26 beq r21,zero,8113a1f8 <_strtoul_r+0xb8> +8113a1bc: 00800404 movi r2,16 +8113a1c0: a8805f26 beq r21,r2,8113a340 <_strtoul_r+0x200> +8113a1c4: 013fffc4 movi r4,-1 +8113a1c8: a80b883a mov r5,r21 +8113a1cc: d9800015 stw r6,0(sp) +8113a1d0: 112b38c0 call 8112b38c <__udivsi3> +8113a1d4: a80b883a mov r5,r21 +8113a1d8: 013fffc4 movi r4,-1 +8113a1dc: 102d883a mov r22,r2 +8113a1e0: 112b3f00 call 8112b3f0 <__umodsi3> +8113a1e4: d9800017 ldw r6,0(sp) +8113a1e8: 1019883a mov r12,r2 +8113a1ec: a817883a mov r11,r21 +8113a1f0: b00f883a mov r7,r22 +8113a1f4: 00000706 br 8113a214 <_strtoul_r+0xd4> +8113a1f8: 00800c04 movi r2,48 +8113a1fc: 80804626 beq r16,r2,8113a318 <_strtoul_r+0x1d8> +8113a200: 05400284 movi r21,10 +8113a204: 01c666b4 movhi r7,6554 +8113a208: 03000144 movi r12,5 +8113a20c: 39e66644 addi r7,r7,-26215 +8113a210: a817883a mov r11,r21 +8113a214: 9407883a add r3,r18,r16 +8113a218: 18c00043 ldbu r3,1(r3) +8113a21c: 8809883a mov r4,r17 +8113a220: 0011883a mov r8,zero +8113a224: 1940010c andi r5,r3,4 +8113a228: 0005883a mov r2,zero +8113a22c: 02800044 movi r10,1 +8113a230: 027fffc4 movi r9,-1 +8113a234: 28000e26 beq r5,zero,8113a270 <_strtoul_r+0x130> +8113a238: 843ff404 addi r16,r16,-48 +8113a23c: 8540120e bge r16,r21,8113a288 <_strtoul_r+0x148> +8113a240: 42400526 beq r8,r9,8113a258 <_strtoul_r+0x118> +8113a244: 38802236 bltu r7,r2,8113a2d0 <_strtoul_r+0x190> +8113a248: 11c02026 beq r2,r7,8113a2cc <_strtoul_r+0x18c> +8113a24c: 12c5383a mul r2,r2,r11 +8113a250: 02000044 movi r8,1 +8113a254: 8085883a add r2,r16,r2 +8113a258: 24000003 ldbu r16,0(r4) +8113a25c: 21000044 addi r4,r4,1 +8113a260: 9407883a add r3,r18,r16 +8113a264: 18c00043 ldbu r3,1(r3) +8113a268: 1940010c andi r5,r3,4 +8113a26c: 283ff21e bne r5,zero,8113a238 <__reset+0xfb11a238> +8113a270: 18c000cc andi r3,r3,3 +8113a274: 18000426 beq r3,zero,8113a288 <_strtoul_r+0x148> +8113a278: 1a801726 beq r3,r10,8113a2d8 <_strtoul_r+0x198> +8113a27c: 00c015c4 movi r3,87 +8113a280: 80e1c83a sub r16,r16,r3 +8113a284: 857fee16 blt r16,r21,8113a240 <__reset+0xfb11a240> +8113a288: 40001516 blt r8,zero,8113a2e0 <_strtoul_r+0x1a0> +8113a28c: b8000126 beq r23,zero,8113a294 <_strtoul_r+0x154> +8113a290: 0085c83a sub r2,zero,r2 +8113a294: 30000226 beq r6,zero,8113a2a0 <_strtoul_r+0x160> +8113a298: 4000151e bne r8,zero,8113a2f0 <_strtoul_r+0x1b0> +8113a29c: 34c00015 stw r19,0(r6) +8113a2a0: dfc00917 ldw ra,36(sp) +8113a2a4: ddc00817 ldw r23,32(sp) +8113a2a8: dd800717 ldw r22,28(sp) +8113a2ac: dd400617 ldw r21,24(sp) +8113a2b0: dd000517 ldw r20,20(sp) +8113a2b4: dcc00417 ldw r19,16(sp) +8113a2b8: dc800317 ldw r18,12(sp) +8113a2bc: dc400217 ldw r17,8(sp) +8113a2c0: dc000117 ldw r16,4(sp) +8113a2c4: dec00a04 addi sp,sp,40 +8113a2c8: f800283a ret +8113a2cc: 643fdf0e bge r12,r16,8113a24c <__reset+0xfb11a24c> +8113a2d0: 023fffc4 movi r8,-1 +8113a2d4: 003fe006 br 8113a258 <__reset+0xfb11a258> +8113a2d8: 00c00dc4 movi r3,55 +8113a2dc: 003fe806 br 8113a280 <__reset+0xfb11a280> +8113a2e0: 00800884 movi r2,34 +8113a2e4: a0800015 stw r2,0(r20) +8113a2e8: 00bfffc4 movi r2,-1 +8113a2ec: 303fec26 beq r6,zero,8113a2a0 <__reset+0xfb11a2a0> +8113a2f0: 24ffffc4 addi r19,r4,-1 +8113a2f4: 003fe906 br 8113a29c <__reset+0xfb11a29c> +8113a2f8: 1c400084 addi r17,r3,2 +8113a2fc: 1c000043 ldbu r16,1(r3) +8113a300: 05c00044 movi r23,1 +8113a304: 003fac06 br 8113a1b8 <__reset+0xfb11a1b8> +8113a308: 1c400084 addi r17,r3,2 +8113a30c: 1c000043 ldbu r16,1(r3) +8113a310: 002f883a mov r23,zero +8113a314: 003fa806 br 8113a1b8 <__reset+0xfb11a1b8> +8113a318: 88800003 ldbu r2,0(r17) +8113a31c: 00c01604 movi r3,88 +8113a320: 108037cc andi r2,r2,223 +8113a324: 10c00c26 beq r2,r3,8113a358 <_strtoul_r+0x218> +8113a328: 05400204 movi r21,8 +8113a32c: 01c80034 movhi r7,8192 +8113a330: 030001c4 movi r12,7 +8113a334: 39ffffc4 addi r7,r7,-1 +8113a338: a817883a mov r11,r21 +8113a33c: 003fb506 br 8113a214 <__reset+0xfb11a214> +8113a340: 00800c04 movi r2,48 +8113a344: 80800c1e bne r16,r2,8113a378 <_strtoul_r+0x238> +8113a348: 88800003 ldbu r2,0(r17) +8113a34c: 00c01604 movi r3,88 +8113a350: 108037cc andi r2,r2,223 +8113a354: 10c0081e bne r2,r3,8113a378 <_strtoul_r+0x238> +8113a358: 02c00404 movi r11,16 +8113a35c: 05840034 movhi r22,4096 +8113a360: 8c000043 ldbu r16,1(r17) +8113a364: 030003c4 movi r12,15 +8113a368: 8c400084 addi r17,r17,2 +8113a36c: b5bfffc4 addi r22,r22,-1 +8113a370: 582b883a mov r21,r11 +8113a374: 003f9e06 br 8113a1f0 <__reset+0xfb11a1f0> +8113a378: 01c40034 movhi r7,4096 +8113a37c: 030003c4 movi r12,15 +8113a380: 39ffffc4 addi r7,r7,-1 +8113a384: a817883a mov r11,r21 +8113a388: 003fa206 br 8113a214 <__reset+0xfb11a214> + +8113a38c : +8113a38c: 00a04574 movhi r2,33045 +8113a390: 1086f304 addi r2,r2,7116 +8113a394: 300f883a mov r7,r6 +8113a398: 280d883a mov r6,r5 +8113a39c: 200b883a mov r5,r4 +8113a3a0: 11000017 ldw r4,0(r2) +8113a3a4: 113a1401 jmpi 8113a140 <_strtoul_r> + +8113a3a8 <_strtoull_r>: +8113a3a8: 00a04574 movhi r2,33045 +8113a3ac: defff404 addi sp,sp,-48 +8113a3b0: 1086f104 addi r2,r2,7108 +8113a3b4: dc400315 stw r17,12(sp) +8113a3b8: 14400017 ldw r17,0(r2) +8113a3bc: dd400715 stw r21,28(sp) +8113a3c0: dd000615 stw r20,24(sp) +8113a3c4: dcc00515 stw r19,20(sp) +8113a3c8: dc800415 stw r18,16(sp) +8113a3cc: dfc00b15 stw ra,44(sp) +8113a3d0: df000a15 stw fp,40(sp) +8113a3d4: ddc00915 stw r23,36(sp) +8113a3d8: dd800815 stw r22,32(sp) +8113a3dc: dc000215 stw r16,8(sp) +8113a3e0: 2827883a mov r19,r5 +8113a3e4: 202b883a mov r21,r4 +8113a3e8: 3029883a mov r20,r6 +8113a3ec: 3825883a mov r18,r7 +8113a3f0: 2807883a mov r3,r5 +8113a3f4: 1c000003 ldbu r16,0(r3) +8113a3f8: 1f000044 addi fp,r3,1 +8113a3fc: 1809883a mov r4,r3 +8113a400: 8c05883a add r2,r17,r16 +8113a404: 10800043 ldbu r2,1(r2) +8113a408: e007883a mov r3,fp +8113a40c: 1080020c andi r2,r2,8 +8113a410: 103ff81e bne r2,zero,8113a3f4 <__reset+0xfb11a3f4> +8113a414: 00800b44 movi r2,45 +8113a418: 80806e26 beq r16,r2,8113a5d4 <_strtoull_r+0x22c> +8113a41c: 00800ac4 movi r2,43 +8113a420: 80807026 beq r16,r2,8113a5e4 <_strtoull_r+0x23c> +8113a424: 002f883a mov r23,zero +8113a428: 90001426 beq r18,zero,8113a47c <_strtoull_r+0xd4> +8113a42c: 00800404 movi r2,16 +8113a430: 90807c26 beq r18,r2,8113a624 <_strtoull_r+0x27c> +8113a434: 902dd7fa srai r22,r18,31 +8113a438: 013fffc4 movi r4,-1 +8113a43c: 200b883a mov r5,r4 +8113a440: 900d883a mov r6,r18 +8113a444: b00f883a mov r7,r22 +8113a448: 113e5680 call 8113e568 <__udivdi3> +8113a44c: 013fffc4 movi r4,-1 +8113a450: 900d883a mov r6,r18 +8113a454: b00f883a mov r7,r22 +8113a458: 200b883a mov r5,r4 +8113a45c: d8c00115 stw r3,4(sp) +8113a460: d8800015 stw r2,0(sp) +8113a464: 113eae00 call 8113eae0 <__umoddi3> +8113a468: 101b883a mov r13,r2 +8113a46c: 9015883a mov r10,r18 +8113a470: dac00017 ldw r11,0(sp) +8113a474: da000117 ldw r8,4(sp) +8113a478: 00000a06 br 8113a4a4 <_strtoull_r+0xfc> +8113a47c: 00800c04 movi r2,48 +8113a480: 80805c26 beq r16,r2,8113a5f4 <_strtoull_r+0x24c> +8113a484: 02800284 movi r10,10 +8113a488: 02e666b4 movhi r11,39322 +8113a48c: 020666b4 movhi r8,6554 +8113a490: 03400144 movi r13,5 +8113a494: 5ae66644 addi r11,r11,-26215 +8113a498: 42266644 addi r8,r8,-26215 +8113a49c: 002d883a mov r22,zero +8113a4a0: 5025883a mov r18,r10 +8113a4a4: 8c0b883a add r5,r17,r16 +8113a4a8: 29400043 ldbu r5,1(r5) +8113a4ac: e009883a mov r4,fp +8113a4b0: 000f883a mov r7,zero +8113a4b4: 2980010c andi r6,r5,4 +8113a4b8: 0005883a mov r2,zero +8113a4bc: 0007883a mov r3,zero +8113a4c0: 03000044 movi r12,1 +8113a4c4: 027fffc4 movi r9,-1 +8113a4c8: 30000d26 beq r6,zero,8113a500 <_strtoull_r+0x158> +8113a4cc: 843ff404 addi r16,r16,-48 +8113a4d0: 8480110e bge r16,r18,8113a518 <_strtoull_r+0x170> +8113a4d4: 3a400426 beq r7,r9,8113a4e8 <_strtoull_r+0x140> +8113a4d8: 40c00236 bltu r8,r3,8113a4e4 <_strtoull_r+0x13c> +8113a4dc: 1a00231e bne r3,r8,8113a56c <_strtoull_r+0x1c4> +8113a4e0: 5880222e bgeu r11,r2,8113a56c <_strtoull_r+0x1c4> +8113a4e4: 01ffffc4 movi r7,-1 +8113a4e8: 24000003 ldbu r16,0(r4) +8113a4ec: 21000044 addi r4,r4,1 +8113a4f0: 8c0b883a add r5,r17,r16 +8113a4f4: 29400043 ldbu r5,1(r5) +8113a4f8: 2980010c andi r6,r5,4 +8113a4fc: 303ff31e bne r6,zero,8113a4cc <__reset+0xfb11a4cc> +8113a500: 294000cc andi r5,r5,3 +8113a504: 28000426 beq r5,zero,8113a518 <_strtoull_r+0x170> +8113a508: 2b002626 beq r5,r12,8113a5a4 <_strtoull_r+0x1fc> +8113a50c: 014015c4 movi r5,87 +8113a510: 8161c83a sub r16,r16,r5 +8113a514: 84bfef16 blt r16,r18,8113a4d4 <__reset+0xfb11a4d4> +8113a518: 38002716 blt r7,zero,8113a5b8 <_strtoull_r+0x210> +8113a51c: b8000426 beq r23,zero,8113a530 <_strtoull_r+0x188> +8113a520: 0085c83a sub r2,zero,r2 +8113a524: 100ac03a cmpne r5,r2,zero +8113a528: 00e1c83a sub r16,zero,r3 +8113a52c: 8147c83a sub r3,r16,r5 +8113a530: a0000226 beq r20,zero,8113a53c <_strtoull_r+0x194> +8113a534: 3800251e bne r7,zero,8113a5cc <_strtoull_r+0x224> +8113a538: a4c00015 stw r19,0(r20) +8113a53c: dfc00b17 ldw ra,44(sp) +8113a540: df000a17 ldw fp,40(sp) +8113a544: ddc00917 ldw r23,36(sp) +8113a548: dd800817 ldw r22,32(sp) +8113a54c: dd400717 ldw r21,28(sp) +8113a550: dd000617 ldw r20,24(sp) +8113a554: dcc00517 ldw r19,20(sp) +8113a558: dc800417 ldw r18,16(sp) +8113a55c: dc400317 ldw r17,12(sp) +8113a560: dc000217 ldw r16,8(sp) +8113a564: dec00c04 addi sp,sp,48 +8113a568: f800283a ret +8113a56c: 12c00f26 beq r2,r11,8113a5ac <_strtoull_r+0x204> +8113a570: b08d383a mul r6,r22,r2 +8113a574: 1a87383a mul r3,r3,r10 +8113a578: 128a383a mulxuu r5,r2,r10 +8113a57c: 1285383a mul r2,r2,r10 +8113a580: 800fd7fa srai r7,r16,31 +8113a584: 1987883a add r3,r3,r6 +8113a588: 8085883a add r2,r16,r2 +8113a58c: 1947883a add r3,r3,r5 +8113a590: 38c7883a add r3,r7,r3 +8113a594: 1421803a cmpltu r16,r2,r16 +8113a598: 80c7883a add r3,r16,r3 +8113a59c: 01c00044 movi r7,1 +8113a5a0: 003fd106 br 8113a4e8 <__reset+0xfb11a4e8> +8113a5a4: 01400dc4 movi r5,55 +8113a5a8: 003fd906 br 8113a510 <__reset+0xfb11a510> +8113a5ac: 1a3ff01e bne r3,r8,8113a570 <__reset+0xfb11a570> +8113a5b0: 6c3fcc16 blt r13,r16,8113a4e4 <__reset+0xfb11a4e4> +8113a5b4: 003fee06 br 8113a570 <__reset+0xfb11a570> +8113a5b8: 00800884 movi r2,34 +8113a5bc: a8800015 stw r2,0(r21) +8113a5c0: 00bfffc4 movi r2,-1 +8113a5c4: 1007883a mov r3,r2 +8113a5c8: a03fdc26 beq r20,zero,8113a53c <__reset+0xfb11a53c> +8113a5cc: 24ffffc4 addi r19,r4,-1 +8113a5d0: 003fd906 br 8113a538 <__reset+0xfb11a538> +8113a5d4: 27000084 addi fp,r4,2 +8113a5d8: 24000043 ldbu r16,1(r4) +8113a5dc: 05c00044 movi r23,1 +8113a5e0: 003f9106 br 8113a428 <__reset+0xfb11a428> +8113a5e4: 27000084 addi fp,r4,2 +8113a5e8: 24000043 ldbu r16,1(r4) +8113a5ec: 002f883a mov r23,zero +8113a5f0: 003f8d06 br 8113a428 <__reset+0xfb11a428> +8113a5f4: e0800003 ldbu r2,0(fp) +8113a5f8: 00c01604 movi r3,88 +8113a5fc: 108037cc andi r2,r2,223 +8113a600: 10c00e26 beq r2,r3,8113a63c <_strtoull_r+0x294> +8113a604: 02800204 movi r10,8 +8113a608: 02ffffc4 movi r11,-1 +8113a60c: 02080034 movhi r8,8192 +8113a610: 034001c4 movi r13,7 8113a614: 42d1883a add r8,r8,r11 8113a618: 002d883a mov r22,zero 8113a61c: 5025883a mov r18,r10 -8113a620: 003f9006 br 8113a464 <__reset+0xfb11a464> -8113a624: 02ffffc4 movi r11,-1 -8113a628: 02040034 movhi r8,4096 -8113a62c: 034003c4 movi r13,15 -8113a630: 42d1883a add r8,r8,r11 -8113a634: 9015883a mov r10,r18 -8113a638: 002d883a mov r22,zero -8113a63c: 003f8906 br 8113a464 <__reset+0xfb11a464> - -8113a640 <__ssprint_r>: -8113a640: 30800217 ldw r2,8(r6) -8113a644: defff604 addi sp,sp,-40 -8113a648: dc800215 stw r18,8(sp) -8113a64c: dfc00915 stw ra,36(sp) -8113a650: df000815 stw fp,32(sp) -8113a654: ddc00715 stw r23,28(sp) -8113a658: dd800615 stw r22,24(sp) -8113a65c: dd400515 stw r21,20(sp) -8113a660: dd000415 stw r20,16(sp) -8113a664: dcc00315 stw r19,12(sp) -8113a668: dc400115 stw r17,4(sp) -8113a66c: dc000015 stw r16,0(sp) -8113a670: 3025883a mov r18,r6 -8113a674: 10005826 beq r2,zero,8113a7d8 <__ssprint_r+0x198> -8113a678: 2027883a mov r19,r4 -8113a67c: 35c00017 ldw r23,0(r6) -8113a680: 29000017 ldw r4,0(r5) -8113a684: 28800217 ldw r2,8(r5) -8113a688: 2823883a mov r17,r5 -8113a68c: 0039883a mov fp,zero -8113a690: 0021883a mov r16,zero -8113a694: 80003926 beq r16,zero,8113a77c <__ssprint_r+0x13c> -8113a698: 102b883a mov r21,r2 -8113a69c: 102d883a mov r22,r2 -8113a6a0: 80803a36 bltu r16,r2,8113a78c <__ssprint_r+0x14c> -8113a6a4: 88c0030b ldhu r3,12(r17) -8113a6a8: 1881200c andi r2,r3,1152 -8113a6ac: 10002626 beq r2,zero,8113a748 <__ssprint_r+0x108> -8113a6b0: 88800517 ldw r2,20(r17) -8113a6b4: 89400417 ldw r5,16(r17) -8113a6b8: 81800044 addi r6,r16,1 -8113a6bc: 108f883a add r7,r2,r2 -8113a6c0: 3885883a add r2,r7,r2 -8113a6c4: 100ed7fa srli r7,r2,31 -8113a6c8: 216dc83a sub r22,r4,r5 -8113a6cc: 3589883a add r4,r6,r22 -8113a6d0: 3885883a add r2,r7,r2 -8113a6d4: 102bd07a srai r21,r2,1 -8113a6d8: a80d883a mov r6,r21 -8113a6dc: a900022e bgeu r21,r4,8113a6e8 <__ssprint_r+0xa8> -8113a6e0: 202b883a mov r21,r4 -8113a6e4: 200d883a mov r6,r4 -8113a6e8: 18c1000c andi r3,r3,1024 -8113a6ec: 18002a26 beq r3,zero,8113a798 <__ssprint_r+0x158> -8113a6f0: 300b883a mov r5,r6 -8113a6f4: 9809883a mov r4,r19 -8113a6f8: 112be3c0 call 8112be3c <_malloc_r> -8113a6fc: 1029883a mov r20,r2 -8113a700: 10002c26 beq r2,zero,8113a7b4 <__ssprint_r+0x174> -8113a704: 89400417 ldw r5,16(r17) -8113a708: b00d883a mov r6,r22 -8113a70c: 1009883a mov r4,r2 -8113a710: 112c6480 call 8112c648 -8113a714: 8880030b ldhu r2,12(r17) -8113a718: 00fedfc4 movi r3,-1153 -8113a71c: 10c4703a and r2,r2,r3 -8113a720: 10802014 ori r2,r2,128 -8113a724: 8880030d sth r2,12(r17) -8113a728: a589883a add r4,r20,r22 -8113a72c: adadc83a sub r22,r21,r22 -8113a730: 8d400515 stw r21,20(r17) -8113a734: 8d800215 stw r22,8(r17) -8113a738: 8d000415 stw r20,16(r17) -8113a73c: 89000015 stw r4,0(r17) -8113a740: 802b883a mov r21,r16 -8113a744: 802d883a mov r22,r16 +8113a620: 003fa006 br 8113a4a4 <__reset+0xfb11a4a4> +8113a624: 00800c04 movi r2,48 +8113a628: 80800e1e bne r16,r2,8113a664 <_strtoull_r+0x2bc> +8113a62c: e0800003 ldbu r2,0(fp) +8113a630: 00c01604 movi r3,88 +8113a634: 108037cc andi r2,r2,223 +8113a638: 10c00a1e bne r2,r3,8113a664 <_strtoull_r+0x2bc> +8113a63c: 02800404 movi r10,16 +8113a640: 02ffffc4 movi r11,-1 +8113a644: 02040034 movhi r8,4096 +8113a648: e4000043 ldbu r16,1(fp) +8113a64c: 034003c4 movi r13,15 +8113a650: e7000084 addi fp,fp,2 +8113a654: 42d1883a add r8,r8,r11 +8113a658: 002d883a mov r22,zero +8113a65c: 5025883a mov r18,r10 +8113a660: 003f9006 br 8113a4a4 <__reset+0xfb11a4a4> +8113a664: 02ffffc4 movi r11,-1 +8113a668: 02040034 movhi r8,4096 +8113a66c: 034003c4 movi r13,15 +8113a670: 42d1883a add r8,r8,r11 +8113a674: 9015883a mov r10,r18 +8113a678: 002d883a mov r22,zero +8113a67c: 003f8906 br 8113a4a4 <__reset+0xfb11a4a4> + +8113a680 <__ssprint_r>: +8113a680: 30800217 ldw r2,8(r6) +8113a684: defff604 addi sp,sp,-40 +8113a688: dc800215 stw r18,8(sp) +8113a68c: dfc00915 stw ra,36(sp) +8113a690: df000815 stw fp,32(sp) +8113a694: ddc00715 stw r23,28(sp) +8113a698: dd800615 stw r22,24(sp) +8113a69c: dd400515 stw r21,20(sp) +8113a6a0: dd000415 stw r20,16(sp) +8113a6a4: dcc00315 stw r19,12(sp) +8113a6a8: dc400115 stw r17,4(sp) +8113a6ac: dc000015 stw r16,0(sp) +8113a6b0: 3025883a mov r18,r6 +8113a6b4: 10005826 beq r2,zero,8113a818 <__ssprint_r+0x198> +8113a6b8: 2027883a mov r19,r4 +8113a6bc: 35c00017 ldw r23,0(r6) +8113a6c0: 29000017 ldw r4,0(r5) +8113a6c4: 28800217 ldw r2,8(r5) +8113a6c8: 2823883a mov r17,r5 +8113a6cc: 0039883a mov fp,zero +8113a6d0: 0021883a mov r16,zero +8113a6d4: 80003926 beq r16,zero,8113a7bc <__ssprint_r+0x13c> +8113a6d8: 102b883a mov r21,r2 +8113a6dc: 102d883a mov r22,r2 +8113a6e0: 80803a36 bltu r16,r2,8113a7cc <__ssprint_r+0x14c> +8113a6e4: 88c0030b ldhu r3,12(r17) +8113a6e8: 1881200c andi r2,r3,1152 +8113a6ec: 10002626 beq r2,zero,8113a788 <__ssprint_r+0x108> +8113a6f0: 88800517 ldw r2,20(r17) +8113a6f4: 89400417 ldw r5,16(r17) +8113a6f8: 81800044 addi r6,r16,1 +8113a6fc: 108f883a add r7,r2,r2 +8113a700: 3885883a add r2,r7,r2 +8113a704: 100ed7fa srli r7,r2,31 +8113a708: 216dc83a sub r22,r4,r5 +8113a70c: 3589883a add r4,r6,r22 +8113a710: 3885883a add r2,r7,r2 +8113a714: 102bd07a srai r21,r2,1 +8113a718: a80d883a mov r6,r21 +8113a71c: a900022e bgeu r21,r4,8113a728 <__ssprint_r+0xa8> +8113a720: 202b883a mov r21,r4 +8113a724: 200d883a mov r6,r4 +8113a728: 18c1000c andi r3,r3,1024 +8113a72c: 18002a26 beq r3,zero,8113a7d8 <__ssprint_r+0x158> +8113a730: 300b883a mov r5,r6 +8113a734: 9809883a mov r4,r19 +8113a738: 112be7c0 call 8112be7c <_malloc_r> +8113a73c: 1029883a mov r20,r2 +8113a740: 10002c26 beq r2,zero,8113a7f4 <__ssprint_r+0x174> +8113a744: 89400417 ldw r5,16(r17) 8113a748: b00d883a mov r6,r22 -8113a74c: e00b883a mov r5,fp -8113a750: 11370480 call 81137048 -8113a754: 88800217 ldw r2,8(r17) -8113a758: 89000017 ldw r4,0(r17) -8113a75c: 90c00217 ldw r3,8(r18) -8113a760: 1545c83a sub r2,r2,r21 -8113a764: 2589883a add r4,r4,r22 -8113a768: 88800215 stw r2,8(r17) -8113a76c: 89000015 stw r4,0(r17) -8113a770: 1c21c83a sub r16,r3,r16 -8113a774: 94000215 stw r16,8(r18) -8113a778: 80001726 beq r16,zero,8113a7d8 <__ssprint_r+0x198> -8113a77c: bf000017 ldw fp,0(r23) -8113a780: bc000117 ldw r16,4(r23) -8113a784: bdc00204 addi r23,r23,8 -8113a788: 003fc206 br 8113a694 <__reset+0xfb11a694> -8113a78c: 802b883a mov r21,r16 -8113a790: 802d883a mov r22,r16 -8113a794: 003fec06 br 8113a748 <__reset+0xfb11a748> -8113a798: 9809883a mov r4,r19 -8113a79c: 11382000 call 81138200 <_realloc_r> -8113a7a0: 1029883a mov r20,r2 -8113a7a4: 103fe01e bne r2,zero,8113a728 <__reset+0xfb11a728> -8113a7a8: 89400417 ldw r5,16(r17) -8113a7ac: 9809883a mov r4,r19 -8113a7b0: 113622c0 call 8113622c <_free_r> -8113a7b4: 88c0030b ldhu r3,12(r17) -8113a7b8: 00800304 movi r2,12 -8113a7bc: 98800015 stw r2,0(r19) -8113a7c0: 18c01014 ori r3,r3,64 -8113a7c4: 88c0030d sth r3,12(r17) -8113a7c8: 00bfffc4 movi r2,-1 -8113a7cc: 90000215 stw zero,8(r18) -8113a7d0: 90000115 stw zero,4(r18) -8113a7d4: 00000206 br 8113a7e0 <__ssprint_r+0x1a0> -8113a7d8: 90000115 stw zero,4(r18) -8113a7dc: 0005883a mov r2,zero -8113a7e0: dfc00917 ldw ra,36(sp) -8113a7e4: df000817 ldw fp,32(sp) -8113a7e8: ddc00717 ldw r23,28(sp) -8113a7ec: dd800617 ldw r22,24(sp) -8113a7f0: dd400517 ldw r21,20(sp) -8113a7f4: dd000417 ldw r20,16(sp) -8113a7f8: dcc00317 ldw r19,12(sp) -8113a7fc: dc800217 ldw r18,8(sp) -8113a800: dc400117 ldw r17,4(sp) -8113a804: dc000017 ldw r16,0(sp) -8113a808: dec00a04 addi sp,sp,40 -8113a80c: f800283a ret - -8113a810 <___svfiprintf_internal_r>: -8113a810: 2880030b ldhu r2,12(r5) -8113a814: deffc804 addi sp,sp,-224 -8113a818: dcc03115 stw r19,196(sp) -8113a81c: dfc03715 stw ra,220(sp) -8113a820: df003615 stw fp,216(sp) -8113a824: ddc03515 stw r23,212(sp) -8113a828: dd803415 stw r22,208(sp) -8113a82c: dd403315 stw r21,204(sp) -8113a830: dd003215 stw r20,200(sp) -8113a834: dc803015 stw r18,192(sp) -8113a838: dc402f15 stw r17,188(sp) -8113a83c: dc002e15 stw r16,184(sp) -8113a840: d9402715 stw r5,156(sp) -8113a844: d9002a15 stw r4,168(sp) -8113a848: 1080200c andi r2,r2,128 -8113a84c: d9c02315 stw r7,140(sp) -8113a850: 3027883a mov r19,r6 -8113a854: 10000226 beq r2,zero,8113a860 <___svfiprintf_internal_r+0x50> -8113a858: 28800417 ldw r2,16(r5) -8113a85c: 10041d26 beq r2,zero,8113b8d4 <___svfiprintf_internal_r+0x10c4> -8113a860: dac01a04 addi r11,sp,104 -8113a864: dac01e15 stw r11,120(sp) -8113a868: d8801e17 ldw r2,120(sp) -8113a86c: dac019c4 addi r11,sp,103 -8113a870: dd402a17 ldw r21,168(sp) -8113a874: ddc02717 ldw r23,156(sp) -8113a878: 05a04574 movhi r22,33045 -8113a87c: 05204574 movhi r20,33045 -8113a880: dac01f15 stw r11,124(sp) -8113a884: 12d7c83a sub r11,r2,r11 -8113a888: b5bf4304 addi r22,r22,-756 -8113a88c: a53f3f04 addi r20,r20,-772 -8113a890: dec01a15 stw sp,104(sp) -8113a894: d8001c15 stw zero,112(sp) -8113a898: d8001b15 stw zero,108(sp) -8113a89c: d811883a mov r8,sp -8113a8a0: d8002915 stw zero,164(sp) -8113a8a4: d8002515 stw zero,148(sp) -8113a8a8: dac02b15 stw r11,172(sp) -8113a8ac: 98800007 ldb r2,0(r19) -8113a8b0: 1002dd26 beq r2,zero,8113b428 <___svfiprintf_internal_r+0xc18> -8113a8b4: 00c00944 movi r3,37 -8113a8b8: 9823883a mov r17,r19 -8113a8bc: 10c0021e bne r2,r3,8113a8c8 <___svfiprintf_internal_r+0xb8> -8113a8c0: 00001406 br 8113a914 <___svfiprintf_internal_r+0x104> -8113a8c4: 10c00326 beq r2,r3,8113a8d4 <___svfiprintf_internal_r+0xc4> -8113a8c8: 8c400044 addi r17,r17,1 -8113a8cc: 88800007 ldb r2,0(r17) -8113a8d0: 103ffc1e bne r2,zero,8113a8c4 <__reset+0xfb11a8c4> -8113a8d4: 8ce1c83a sub r16,r17,r19 -8113a8d8: 80000e26 beq r16,zero,8113a914 <___svfiprintf_internal_r+0x104> -8113a8dc: d8c01c17 ldw r3,112(sp) -8113a8e0: d8801b17 ldw r2,108(sp) -8113a8e4: 44c00015 stw r19,0(r8) -8113a8e8: 1c07883a add r3,r3,r16 -8113a8ec: 10800044 addi r2,r2,1 -8113a8f0: d8c01c15 stw r3,112(sp) -8113a8f4: 44000115 stw r16,4(r8) -8113a8f8: d8801b15 stw r2,108(sp) -8113a8fc: 00c001c4 movi r3,7 -8113a900: 18831e16 blt r3,r2,8113b57c <___svfiprintf_internal_r+0xd6c> -8113a904: 42000204 addi r8,r8,8 -8113a908: dac02517 ldw r11,148(sp) -8113a90c: 5c17883a add r11,r11,r16 -8113a910: dac02515 stw r11,148(sp) -8113a914: 88800007 ldb r2,0(r17) -8113a918: 1002c526 beq r2,zero,8113b430 <___svfiprintf_internal_r+0xc20> -8113a91c: 88c00047 ldb r3,1(r17) -8113a920: 8cc00044 addi r19,r17,1 -8113a924: d8001d85 stb zero,118(sp) -8113a928: 0009883a mov r4,zero -8113a92c: 000f883a mov r7,zero -8113a930: 043fffc4 movi r16,-1 -8113a934: d8002415 stw zero,144(sp) -8113a938: 0025883a mov r18,zero -8113a93c: 01401604 movi r5,88 -8113a940: 01800244 movi r6,9 -8113a944: 02800a84 movi r10,42 -8113a948: 02401b04 movi r9,108 -8113a94c: 9cc00044 addi r19,r19,1 -8113a950: 18bff804 addi r2,r3,-32 -8113a954: 2881dd36 bltu r5,r2,8113b0cc <___svfiprintf_internal_r+0x8bc> -8113a958: 100490ba slli r2,r2,2 -8113a95c: 02e04534 movhi r11,33044 -8113a960: 5aea5c04 addi r11,r11,-22160 -8113a964: 12c5883a add r2,r2,r11 -8113a968: 10800017 ldw r2,0(r2) -8113a96c: 1000683a jmp r2 -8113a970: 8113ab6c andhi r4,r16,20141 -8113a974: 8113b0cc andi r4,r16,20163 -8113a978: 8113b0cc andi r4,r16,20163 -8113a97c: 8113ab60 cmpeqi r4,r16,20141 -8113a980: 8113b0cc andi r4,r16,20163 -8113a984: 8113b0cc andi r4,r16,20163 -8113a988: 8113b0cc andi r4,r16,20163 -8113a98c: 8113b0cc andi r4,r16,20163 -8113a990: 8113b0cc andi r4,r16,20163 -8113a994: 8113b0cc andi r4,r16,20163 -8113a998: 8113aad4 ori r4,r16,20139 -8113a99c: 8113acb0 cmpltui r4,r16,20146 -8113a9a0: 8113b0cc andi r4,r16,20163 -8113a9a4: 8113ab00 call 88113ab0 <__reset+0x20f3ab0> -8113a9a8: 8113b100 call 88113b10 <__reset+0x20f3b10> -8113a9ac: 8113b0cc andi r4,r16,20163 -8113a9b0: 8113b0f4 orhi r4,r16,20163 -8113a9b4: 8113b094 ori r4,r16,20162 -8113a9b8: 8113b094 ori r4,r16,20162 -8113a9bc: 8113b094 ori r4,r16,20162 -8113a9c0: 8113b094 ori r4,r16,20162 -8113a9c4: 8113b094 ori r4,r16,20162 -8113a9c8: 8113b094 ori r4,r16,20162 -8113a9cc: 8113b094 ori r4,r16,20162 -8113a9d0: 8113b094 ori r4,r16,20162 -8113a9d4: 8113b094 ori r4,r16,20162 -8113a9d8: 8113b0cc andi r4,r16,20163 -8113a9dc: 8113b0cc andi r4,r16,20163 -8113a9e0: 8113b0cc andi r4,r16,20163 -8113a9e4: 8113b0cc andi r4,r16,20163 -8113a9e8: 8113b0cc andi r4,r16,20163 -8113a9ec: 8113b0cc andi r4,r16,20163 -8113a9f0: 8113b0cc andi r4,r16,20163 -8113a9f4: 8113b0cc andi r4,r16,20163 -8113a9f8: 8113b0cc andi r4,r16,20163 -8113a9fc: 8113b0cc andi r4,r16,20163 -8113aa00: 8113b030 cmpltui r4,r16,20160 -8113aa04: 8113b0cc andi r4,r16,20163 -8113aa08: 8113b0cc andi r4,r16,20163 -8113aa0c: 8113b0cc andi r4,r16,20163 -8113aa10: 8113b0cc andi r4,r16,20163 -8113aa14: 8113b0cc andi r4,r16,20163 -8113aa18: 8113b0cc andi r4,r16,20163 -8113aa1c: 8113b0cc andi r4,r16,20163 -8113aa20: 8113b0cc andi r4,r16,20163 -8113aa24: 8113b0cc andi r4,r16,20163 -8113aa28: 8113b0cc andi r4,r16,20163 -8113aa2c: 8113b1a8 cmpgeui r4,r16,20166 -8113aa30: 8113b0cc andi r4,r16,20163 -8113aa34: 8113b0cc andi r4,r16,20163 -8113aa38: 8113b0cc andi r4,r16,20163 -8113aa3c: 8113b0cc andi r4,r16,20163 -8113aa40: 8113b0cc andi r4,r16,20163 -8113aa44: 8113b140 call 88113b14 <__reset+0x20f3b14> -8113aa48: 8113b0cc andi r4,r16,20163 -8113aa4c: 8113b0cc andi r4,r16,20163 -8113aa50: 8113ae74 orhi r4,r16,20153 -8113aa54: 8113b0cc andi r4,r16,20163 -8113aa58: 8113b0cc andi r4,r16,20163 -8113aa5c: 8113b0cc andi r4,r16,20163 -8113aa60: 8113b0cc andi r4,r16,20163 -8113aa64: 8113b0cc andi r4,r16,20163 -8113aa68: 8113b0cc andi r4,r16,20163 -8113aa6c: 8113b0cc andi r4,r16,20163 -8113aa70: 8113b0cc andi r4,r16,20163 -8113aa74: 8113b0cc andi r4,r16,20163 -8113aa78: 8113b0cc andi r4,r16,20163 -8113aa7c: 8113ad64 muli r4,r16,20149 -8113aa80: 8113af10 cmplti r4,r16,20156 -8113aa84: 8113b0cc andi r4,r16,20163 -8113aa88: 8113b0cc andi r4,r16,20163 -8113aa8c: 8113b0cc andi r4,r16,20163 -8113aa90: 8113af04 addi r4,r16,20156 -8113aa94: 8113af10 cmplti r4,r16,20156 -8113aa98: 8113b0cc andi r4,r16,20163 -8113aa9c: 8113b0cc andi r4,r16,20163 -8113aaa0: 8113aef4 orhi r4,r16,20155 -8113aaa4: 8113b0cc andi r4,r16,20163 -8113aaa8: 8113aeb8 rdprs r4,r16,20154 -8113aaac: 8113acc0 call 88113acc <__reset+0x20f3acc> -8113aab0: 8113ab0c andi r4,r16,20140 -8113aab4: 8113b024 muli r4,r16,20160 -8113aab8: 8113b0cc andi r4,r16,20163 -8113aabc: 8113afd8 cmpnei r4,r16,20159 -8113aac0: 8113b0cc andi r4,r16,20163 -8113aac4: 8113ac30 cmpltui r4,r16,20144 -8113aac8: 8113b0cc andi r4,r16,20163 -8113aacc: 8113b0cc andi r4,r16,20163 -8113aad0: 8113ab8c andi r4,r16,20142 -8113aad4: dac02317 ldw r11,140(sp) -8113aad8: 5ac00017 ldw r11,0(r11) -8113aadc: dac02415 stw r11,144(sp) -8113aae0: dac02317 ldw r11,140(sp) -8113aae4: 58800104 addi r2,r11,4 -8113aae8: dac02417 ldw r11,144(sp) -8113aaec: 5802e90e bge r11,zero,8113b694 <___svfiprintf_internal_r+0xe84> -8113aaf0: dac02417 ldw r11,144(sp) -8113aaf4: d8802315 stw r2,140(sp) -8113aaf8: 02d7c83a sub r11,zero,r11 -8113aafc: dac02415 stw r11,144(sp) -8113ab00: 94800114 ori r18,r18,4 -8113ab04: 98c00007 ldb r3,0(r19) -8113ab08: 003f9006 br 8113a94c <__reset+0xfb11a94c> -8113ab0c: 00800c04 movi r2,48 -8113ab10: dac02317 ldw r11,140(sp) -8113ab14: d8801d05 stb r2,116(sp) -8113ab18: 00801e04 movi r2,120 -8113ab1c: d8801d45 stb r2,117(sp) -8113ab20: d8001d85 stb zero,118(sp) -8113ab24: 58c00104 addi r3,r11,4 -8113ab28: 5f000017 ldw fp,0(r11) -8113ab2c: 0013883a mov r9,zero -8113ab30: 90800094 ori r2,r18,2 -8113ab34: 80032b16 blt r16,zero,8113b7e4 <___svfiprintf_internal_r+0xfd4> -8113ab38: 00bfdfc4 movi r2,-129 -8113ab3c: 90a4703a and r18,r18,r2 -8113ab40: d8c02315 stw r3,140(sp) -8113ab44: 94800094 ori r18,r18,2 -8113ab48: e002dc26 beq fp,zero,8113b6bc <___svfiprintf_internal_r+0xeac> -8113ab4c: 01204574 movhi r4,33045 -8113ab50: 213eb504 addi r4,r4,-1324 -8113ab54: 0015883a mov r10,zero -8113ab58: d9002915 stw r4,164(sp) -8113ab5c: 00002306 br 8113abec <___svfiprintf_internal_r+0x3dc> -8113ab60: 94800054 ori r18,r18,1 -8113ab64: 98c00007 ldb r3,0(r19) -8113ab68: 003f7806 br 8113a94c <__reset+0xfb11a94c> -8113ab6c: 38803fcc andi r2,r7,255 -8113ab70: 1080201c xori r2,r2,128 -8113ab74: 10bfe004 addi r2,r2,-128 -8113ab78: 1002f31e bne r2,zero,8113b748 <___svfiprintf_internal_r+0xf38> -8113ab7c: 01000044 movi r4,1 -8113ab80: 01c00804 movi r7,32 -8113ab84: 98c00007 ldb r3,0(r19) -8113ab88: 003f7006 br 8113a94c <__reset+0xfb11a94c> -8113ab8c: 21003fcc andi r4,r4,255 -8113ab90: 2003aa1e bne r4,zero,8113ba3c <___svfiprintf_internal_r+0x122c> -8113ab94: 00a04574 movhi r2,33045 -8113ab98: 10beb504 addi r2,r2,-1324 -8113ab9c: d8802915 stw r2,164(sp) -8113aba0: 9080080c andi r2,r18,32 -8113aba4: 1000ba26 beq r2,zero,8113ae90 <___svfiprintf_internal_r+0x680> -8113aba8: dac02317 ldw r11,140(sp) -8113abac: 5f000017 ldw fp,0(r11) -8113abb0: 5a400117 ldw r9,4(r11) -8113abb4: 5ac00204 addi r11,r11,8 -8113abb8: dac02315 stw r11,140(sp) -8113abbc: 9080004c andi r2,r18,1 -8113abc0: 10029026 beq r2,zero,8113b604 <___svfiprintf_internal_r+0xdf4> -8113abc4: e244b03a or r2,fp,r9 -8113abc8: 1002d41e bne r2,zero,8113b71c <___svfiprintf_internal_r+0xf0c> -8113abcc: d8001d85 stb zero,118(sp) -8113abd0: 80030b16 blt r16,zero,8113b800 <___svfiprintf_internal_r+0xff0> -8113abd4: 00bfdfc4 movi r2,-129 -8113abd8: 90a4703a and r18,r18,r2 -8113abdc: 0015883a mov r10,zero -8113abe0: 80002426 beq r16,zero,8113ac74 <___svfiprintf_internal_r+0x464> -8113abe4: 0039883a mov fp,zero -8113abe8: 0013883a mov r9,zero -8113abec: d9002917 ldw r4,164(sp) -8113abf0: dc401a04 addi r17,sp,104 -8113abf4: e08003cc andi r2,fp,15 -8113abf8: 4806973a slli r3,r9,28 -8113abfc: 2085883a add r2,r4,r2 -8113ac00: e038d13a srli fp,fp,4 -8113ac04: 10800003 ldbu r2,0(r2) -8113ac08: 4812d13a srli r9,r9,4 -8113ac0c: 8c7fffc4 addi r17,r17,-1 -8113ac10: 1f38b03a or fp,r3,fp -8113ac14: 88800005 stb r2,0(r17) -8113ac18: e244b03a or r2,fp,r9 -8113ac1c: 103ff51e bne r2,zero,8113abf4 <__reset+0xfb11abf4> -8113ac20: dac01e17 ldw r11,120(sp) -8113ac24: 5c57c83a sub r11,r11,r17 -8113ac28: dac02115 stw r11,132(sp) -8113ac2c: 00001406 br 8113ac80 <___svfiprintf_internal_r+0x470> -8113ac30: 21003fcc andi r4,r4,255 -8113ac34: 2003741e bne r4,zero,8113ba08 <___svfiprintf_internal_r+0x11f8> -8113ac38: 9080080c andi r2,r18,32 -8113ac3c: 10014526 beq r2,zero,8113b154 <___svfiprintf_internal_r+0x944> -8113ac40: dac02317 ldw r11,140(sp) -8113ac44: d8001d85 stb zero,118(sp) -8113ac48: 58c00204 addi r3,r11,8 -8113ac4c: 5f000017 ldw fp,0(r11) -8113ac50: 5a400117 ldw r9,4(r11) -8113ac54: 8002d916 blt r16,zero,8113b7bc <___svfiprintf_internal_r+0xfac> -8113ac58: 013fdfc4 movi r4,-129 -8113ac5c: e244b03a or r2,fp,r9 -8113ac60: d8c02315 stw r3,140(sp) -8113ac64: 9124703a and r18,r18,r4 -8113ac68: 0015883a mov r10,zero -8113ac6c: 1000b91e bne r2,zero,8113af54 <___svfiprintf_internal_r+0x744> -8113ac70: 8002e61e bne r16,zero,8113b80c <___svfiprintf_internal_r+0xffc> -8113ac74: 0021883a mov r16,zero -8113ac78: d8002115 stw zero,132(sp) -8113ac7c: dc401a04 addi r17,sp,104 -8113ac80: d8c02117 ldw r3,132(sp) -8113ac84: dc002015 stw r16,128(sp) -8113ac88: 80c0010e bge r16,r3,8113ac90 <___svfiprintf_internal_r+0x480> -8113ac8c: d8c02015 stw r3,128(sp) -8113ac90: 52803fcc andi r10,r10,255 -8113ac94: 5280201c xori r10,r10,128 -8113ac98: 52bfe004 addi r10,r10,-128 -8113ac9c: 50003c26 beq r10,zero,8113ad90 <___svfiprintf_internal_r+0x580> -8113aca0: dac02017 ldw r11,128(sp) -8113aca4: 5ac00044 addi r11,r11,1 -8113aca8: dac02015 stw r11,128(sp) -8113acac: 00003806 br 8113ad90 <___svfiprintf_internal_r+0x580> -8113acb0: 01000044 movi r4,1 -8113acb4: 01c00ac4 movi r7,43 -8113acb8: 98c00007 ldb r3,0(r19) -8113acbc: 003f2306 br 8113a94c <__reset+0xfb11a94c> -8113acc0: 21003fcc andi r4,r4,255 -8113acc4: 2003481e bne r4,zero,8113b9e8 <___svfiprintf_internal_r+0x11d8> -8113acc8: 9080080c andi r2,r18,32 -8113accc: 10013b26 beq r2,zero,8113b1bc <___svfiprintf_internal_r+0x9ac> -8113acd0: dac02317 ldw r11,140(sp) -8113acd4: d8001d85 stb zero,118(sp) -8113acd8: 58800204 addi r2,r11,8 -8113acdc: 5f000017 ldw fp,0(r11) -8113ace0: 5a400117 ldw r9,4(r11) -8113ace4: 8002a816 blt r16,zero,8113b788 <___svfiprintf_internal_r+0xf78> -8113ace8: 013fdfc4 movi r4,-129 -8113acec: e246b03a or r3,fp,r9 -8113acf0: d8802315 stw r2,140(sp) -8113acf4: 9124703a and r18,r18,r4 -8113acf8: 18013c26 beq r3,zero,8113b1ec <___svfiprintf_internal_r+0x9dc> -8113acfc: 0015883a mov r10,zero -8113ad00: dc401a04 addi r17,sp,104 -8113ad04: e006d0fa srli r3,fp,3 -8113ad08: 4808977a slli r4,r9,29 -8113ad0c: 4812d0fa srli r9,r9,3 -8113ad10: e70001cc andi fp,fp,7 -8113ad14: e0800c04 addi r2,fp,48 -8113ad18: 8c7fffc4 addi r17,r17,-1 -8113ad1c: 20f8b03a or fp,r4,r3 -8113ad20: 88800005 stb r2,0(r17) -8113ad24: e246b03a or r3,fp,r9 -8113ad28: 183ff61e bne r3,zero,8113ad04 <__reset+0xfb11ad04> -8113ad2c: 90c0004c andi r3,r18,1 -8113ad30: 1800a526 beq r3,zero,8113afc8 <___svfiprintf_internal_r+0x7b8> -8113ad34: 10803fcc andi r2,r2,255 -8113ad38: 1080201c xori r2,r2,128 -8113ad3c: 10bfe004 addi r2,r2,-128 -8113ad40: 00c00c04 movi r3,48 -8113ad44: 10ffb626 beq r2,r3,8113ac20 <__reset+0xfb11ac20> -8113ad48: 88ffffc5 stb r3,-1(r17) -8113ad4c: d8c01e17 ldw r3,120(sp) -8113ad50: 88bfffc4 addi r2,r17,-1 -8113ad54: 1023883a mov r17,r2 -8113ad58: 1887c83a sub r3,r3,r2 -8113ad5c: d8c02115 stw r3,132(sp) -8113ad60: 003fc706 br 8113ac80 <__reset+0xfb11ac80> -8113ad64: dac02317 ldw r11,140(sp) -8113ad68: 00c00044 movi r3,1 -8113ad6c: d8c02015 stw r3,128(sp) -8113ad70: 58800017 ldw r2,0(r11) -8113ad74: 5ac00104 addi r11,r11,4 -8113ad78: d8001d85 stb zero,118(sp) -8113ad7c: d8801005 stb r2,64(sp) -8113ad80: dac02315 stw r11,140(sp) -8113ad84: d8c02115 stw r3,132(sp) -8113ad88: dc401004 addi r17,sp,64 -8113ad8c: 0021883a mov r16,zero -8113ad90: 90c0008c andi r3,r18,2 -8113ad94: d8c02215 stw r3,136(sp) -8113ad98: 18000326 beq r3,zero,8113ada8 <___svfiprintf_internal_r+0x598> -8113ad9c: dac02017 ldw r11,128(sp) -8113ada0: 5ac00084 addi r11,r11,2 -8113ada4: dac02015 stw r11,128(sp) -8113ada8: 90c0210c andi r3,r18,132 -8113adac: d8c02615 stw r3,152(sp) -8113adb0: 1801131e bne r3,zero,8113b200 <___svfiprintf_internal_r+0x9f0> -8113adb4: dac02417 ldw r11,144(sp) -8113adb8: d8c02017 ldw r3,128(sp) -8113adbc: 58f9c83a sub fp,r11,r3 -8113adc0: 07010f0e bge zero,fp,8113b200 <___svfiprintf_internal_r+0x9f0> -8113adc4: 02400404 movi r9,16 -8113adc8: d8c01c17 ldw r3,112(sp) -8113adcc: d8801b17 ldw r2,108(sp) -8113add0: 4f02d60e bge r9,fp,8113b92c <___svfiprintf_internal_r+0x111c> -8113add4: 01604574 movhi r5,33045 -8113add8: 297f4304 addi r5,r5,-756 -8113addc: d9402815 stw r5,160(sp) -8113ade0: 028001c4 movi r10,7 -8113ade4: 00000306 br 8113adf4 <___svfiprintf_internal_r+0x5e4> -8113ade8: e73ffc04 addi fp,fp,-16 -8113adec: 42000204 addi r8,r8,8 -8113adf0: 4f00150e bge r9,fp,8113ae48 <___svfiprintf_internal_r+0x638> -8113adf4: 18c00404 addi r3,r3,16 -8113adf8: 10800044 addi r2,r2,1 -8113adfc: 45800015 stw r22,0(r8) -8113ae00: 42400115 stw r9,4(r8) -8113ae04: d8c01c15 stw r3,112(sp) -8113ae08: d8801b15 stw r2,108(sp) -8113ae0c: 50bff60e bge r10,r2,8113ade8 <__reset+0xfb11ade8> -8113ae10: d9801a04 addi r6,sp,104 -8113ae14: b80b883a mov r5,r23 -8113ae18: a809883a mov r4,r21 -8113ae1c: da402c15 stw r9,176(sp) -8113ae20: da802d15 stw r10,180(sp) -8113ae24: 113a6400 call 8113a640 <__ssprint_r> -8113ae28: da402c17 ldw r9,176(sp) -8113ae2c: da802d17 ldw r10,180(sp) -8113ae30: 1001851e bne r2,zero,8113b448 <___svfiprintf_internal_r+0xc38> -8113ae34: e73ffc04 addi fp,fp,-16 -8113ae38: d8c01c17 ldw r3,112(sp) -8113ae3c: d8801b17 ldw r2,108(sp) -8113ae40: d811883a mov r8,sp -8113ae44: 4f3feb16 blt r9,fp,8113adf4 <__reset+0xfb11adf4> -8113ae48: dac02817 ldw r11,160(sp) -8113ae4c: e0c7883a add r3,fp,r3 -8113ae50: 10800044 addi r2,r2,1 -8113ae54: 42c00015 stw r11,0(r8) -8113ae58: 47000115 stw fp,4(r8) -8113ae5c: d8c01c15 stw r3,112(sp) -8113ae60: d8801b15 stw r2,108(sp) -8113ae64: 010001c4 movi r4,7 -8113ae68: 2081ee16 blt r4,r2,8113b624 <___svfiprintf_internal_r+0xe14> -8113ae6c: 42000204 addi r8,r8,8 -8113ae70: 0000e506 br 8113b208 <___svfiprintf_internal_r+0x9f8> -8113ae74: 21003fcc andi r4,r4,255 -8113ae78: 2002dd1e bne r4,zero,8113b9f0 <___svfiprintf_internal_r+0x11e0> -8113ae7c: 00a04574 movhi r2,33045 -8113ae80: 10beb004 addi r2,r2,-1344 -8113ae84: d8802915 stw r2,164(sp) -8113ae88: 9080080c andi r2,r18,32 -8113ae8c: 103f461e bne r2,zero,8113aba8 <__reset+0xfb11aba8> -8113ae90: 9080040c andi r2,r18,16 -8113ae94: 10022e1e bne r2,zero,8113b750 <___svfiprintf_internal_r+0xf40> -8113ae98: 9080100c andi r2,r18,64 -8113ae9c: dac02317 ldw r11,140(sp) -8113aea0: 10027326 beq r2,zero,8113b870 <___svfiprintf_internal_r+0x1060> -8113aea4: 5f00000b ldhu fp,0(r11) -8113aea8: 5ac00104 addi r11,r11,4 -8113aeac: 0013883a mov r9,zero -8113aeb0: dac02315 stw r11,140(sp) -8113aeb4: 003f4106 br 8113abbc <__reset+0xfb11abbc> -8113aeb8: 21003fcc andi r4,r4,255 -8113aebc: 2002e11e bne r4,zero,8113ba44 <___svfiprintf_internal_r+0x1234> -8113aec0: 9080080c andi r2,r18,32 -8113aec4: 1002011e bne r2,zero,8113b6cc <___svfiprintf_internal_r+0xebc> -8113aec8: 9080040c andi r2,r18,16 -8113aecc: 10023e1e bne r2,zero,8113b7c8 <___svfiprintf_internal_r+0xfb8> -8113aed0: 9480100c andi r18,r18,64 -8113aed4: 90023c26 beq r18,zero,8113b7c8 <___svfiprintf_internal_r+0xfb8> -8113aed8: dac02317 ldw r11,140(sp) -8113aedc: 58800017 ldw r2,0(r11) -8113aee0: 5ac00104 addi r11,r11,4 -8113aee4: dac02315 stw r11,140(sp) -8113aee8: dac02517 ldw r11,148(sp) -8113aeec: 12c0000d sth r11,0(r2) -8113aef0: 003e6e06 br 8113a8ac <__reset+0xfb11a8ac> -8113aef4: 98c00007 ldb r3,0(r19) -8113aef8: 1a422926 beq r3,r9,8113b7a0 <___svfiprintf_internal_r+0xf90> -8113aefc: 94800414 ori r18,r18,16 -8113af00: 003e9206 br 8113a94c <__reset+0xfb11a94c> -8113af04: 94801014 ori r18,r18,64 -8113af08: 98c00007 ldb r3,0(r19) -8113af0c: 003e8f06 br 8113a94c <__reset+0xfb11a94c> -8113af10: 21003fcc andi r4,r4,255 -8113af14: 2002c71e bne r4,zero,8113ba34 <___svfiprintf_internal_r+0x1224> -8113af18: 9080080c andi r2,r18,32 -8113af1c: 10004926 beq r2,zero,8113b044 <___svfiprintf_internal_r+0x834> -8113af20: dac02317 ldw r11,140(sp) -8113af24: 58800117 ldw r2,4(r11) -8113af28: 5f000017 ldw fp,0(r11) -8113af2c: 5ac00204 addi r11,r11,8 -8113af30: dac02315 stw r11,140(sp) -8113af34: 1013883a mov r9,r2 -8113af38: 10004b16 blt r2,zero,8113b068 <___svfiprintf_internal_r+0x858> -8113af3c: da801d83 ldbu r10,118(sp) -8113af40: 8001cb16 blt r16,zero,8113b670 <___svfiprintf_internal_r+0xe60> -8113af44: 00ffdfc4 movi r3,-129 -8113af48: e244b03a or r2,fp,r9 -8113af4c: 90e4703a and r18,r18,r3 -8113af50: 103f4726 beq r2,zero,8113ac70 <__reset+0xfb11ac70> -8113af54: 48008c26 beq r9,zero,8113b188 <___svfiprintf_internal_r+0x978> -8113af58: dc802015 stw r18,128(sp) -8113af5c: dc002115 stw r16,132(sp) -8113af60: dc401a04 addi r17,sp,104 -8113af64: e021883a mov r16,fp -8113af68: da002215 stw r8,136(sp) -8113af6c: 5039883a mov fp,r10 -8113af70: 4825883a mov r18,r9 -8113af74: 8009883a mov r4,r16 -8113af78: 900b883a mov r5,r18 -8113af7c: 01800284 movi r6,10 -8113af80: 000f883a mov r7,zero -8113af84: 113eaa00 call 8113eaa0 <__umoddi3> -8113af88: 10800c04 addi r2,r2,48 -8113af8c: 8c7fffc4 addi r17,r17,-1 -8113af90: 8009883a mov r4,r16 -8113af94: 900b883a mov r5,r18 -8113af98: 88800005 stb r2,0(r17) -8113af9c: 01800284 movi r6,10 -8113afa0: 000f883a mov r7,zero -8113afa4: 113e5280 call 8113e528 <__udivdi3> -8113afa8: 1021883a mov r16,r2 -8113afac: 10c4b03a or r2,r2,r3 -8113afb0: 1825883a mov r18,r3 -8113afb4: 103fef1e bne r2,zero,8113af74 <__reset+0xfb11af74> -8113afb8: dc802017 ldw r18,128(sp) -8113afbc: dc002117 ldw r16,132(sp) -8113afc0: da002217 ldw r8,136(sp) -8113afc4: e015883a mov r10,fp -8113afc8: d8c01e17 ldw r3,120(sp) -8113afcc: 1c47c83a sub r3,r3,r17 -8113afd0: d8c02115 stw r3,132(sp) -8113afd4: 003f2a06 br 8113ac80 <__reset+0xfb11ac80> -8113afd8: dac02317 ldw r11,140(sp) -8113afdc: d8001d85 stb zero,118(sp) -8113afe0: 5c400017 ldw r17,0(r11) -8113afe4: 5f000104 addi fp,r11,4 -8113afe8: 88022f26 beq r17,zero,8113b8a8 <___svfiprintf_internal_r+0x1098> -8113afec: 80022516 blt r16,zero,8113b884 <___svfiprintf_internal_r+0x1074> -8113aff0: 800d883a mov r6,r16 -8113aff4: 000b883a mov r5,zero -8113aff8: 8809883a mov r4,r17 -8113affc: da002c15 stw r8,176(sp) -8113b000: 1136f640 call 81136f64 -8113b004: da002c17 ldw r8,176(sp) -8113b008: 10026426 beq r2,zero,8113b99c <___svfiprintf_internal_r+0x118c> -8113b00c: 1445c83a sub r2,r2,r17 -8113b010: d8802115 stw r2,132(sp) -8113b014: da801d83 ldbu r10,118(sp) -8113b018: df002315 stw fp,140(sp) -8113b01c: 0021883a mov r16,zero -8113b020: 003f1706 br 8113ac80 <__reset+0xfb11ac80> -8113b024: 94800814 ori r18,r18,32 -8113b028: 98c00007 ldb r3,0(r19) -8113b02c: 003e4706 br 8113a94c <__reset+0xfb11a94c> -8113b030: 21003fcc andi r4,r4,255 -8113b034: 2002701e bne r4,zero,8113b9f8 <___svfiprintf_internal_r+0x11e8> -8113b038: 94800414 ori r18,r18,16 -8113b03c: 9080080c andi r2,r18,32 -8113b040: 103fb71e bne r2,zero,8113af20 <__reset+0xfb11af20> -8113b044: 9080040c andi r2,r18,16 -8113b048: 1001ab26 beq r2,zero,8113b6f8 <___svfiprintf_internal_r+0xee8> -8113b04c: dac02317 ldw r11,140(sp) -8113b050: 5f000017 ldw fp,0(r11) -8113b054: 5ac00104 addi r11,r11,4 -8113b058: dac02315 stw r11,140(sp) -8113b05c: e013d7fa srai r9,fp,31 -8113b060: 4805883a mov r2,r9 -8113b064: 103fb50e bge r2,zero,8113af3c <__reset+0xfb11af3c> -8113b068: 0739c83a sub fp,zero,fp -8113b06c: 02800b44 movi r10,45 -8113b070: e004c03a cmpne r2,fp,zero -8113b074: 0253c83a sub r9,zero,r9 -8113b078: da801d85 stb r10,118(sp) -8113b07c: 4893c83a sub r9,r9,r2 -8113b080: 80023016 blt r16,zero,8113b944 <___svfiprintf_internal_r+0x1134> -8113b084: 00bfdfc4 movi r2,-129 -8113b088: 90a4703a and r18,r18,r2 -8113b08c: 483fb21e bne r9,zero,8113af58 <__reset+0xfb11af58> -8113b090: 00003d06 br 8113b188 <___svfiprintf_internal_r+0x978> -8113b094: 9817883a mov r11,r19 -8113b098: d8002415 stw zero,144(sp) -8113b09c: 18bff404 addi r2,r3,-48 -8113b0a0: 0019883a mov r12,zero -8113b0a4: 58c00007 ldb r3,0(r11) -8113b0a8: 630002a4 muli r12,r12,10 -8113b0ac: 9cc00044 addi r19,r19,1 -8113b0b0: 9817883a mov r11,r19 -8113b0b4: 1319883a add r12,r2,r12 -8113b0b8: 18bff404 addi r2,r3,-48 -8113b0bc: 30bff92e bgeu r6,r2,8113b0a4 <__reset+0xfb11b0a4> -8113b0c0: db002415 stw r12,144(sp) -8113b0c4: 18bff804 addi r2,r3,-32 -8113b0c8: 28be232e bgeu r5,r2,8113a958 <__reset+0xfb11a958> -8113b0cc: 21003fcc andi r4,r4,255 -8113b0d0: 20024b1e bne r4,zero,8113ba00 <___svfiprintf_internal_r+0x11f0> -8113b0d4: 1800d626 beq r3,zero,8113b430 <___svfiprintf_internal_r+0xc20> -8113b0d8: 02c00044 movi r11,1 -8113b0dc: dac02015 stw r11,128(sp) -8113b0e0: d8c01005 stb r3,64(sp) -8113b0e4: d8001d85 stb zero,118(sp) -8113b0e8: dac02115 stw r11,132(sp) -8113b0ec: dc401004 addi r17,sp,64 -8113b0f0: 003f2606 br 8113ad8c <__reset+0xfb11ad8c> -8113b0f4: 94802014 ori r18,r18,128 -8113b0f8: 98c00007 ldb r3,0(r19) -8113b0fc: 003e1306 br 8113a94c <__reset+0xfb11a94c> -8113b100: 98c00007 ldb r3,0(r19) -8113b104: 9ac00044 addi r11,r19,1 -8113b108: 1a822b26 beq r3,r10,8113b9b8 <___svfiprintf_internal_r+0x11a8> -8113b10c: 18bff404 addi r2,r3,-48 -8113b110: 0021883a mov r16,zero -8113b114: 30821e36 bltu r6,r2,8113b990 <___svfiprintf_internal_r+0x1180> -8113b118: 58c00007 ldb r3,0(r11) -8113b11c: 840002a4 muli r16,r16,10 -8113b120: 5cc00044 addi r19,r11,1 -8113b124: 9817883a mov r11,r19 -8113b128: 80a1883a add r16,r16,r2 -8113b12c: 18bff404 addi r2,r3,-48 -8113b130: 30bff92e bgeu r6,r2,8113b118 <__reset+0xfb11b118> -8113b134: 803e060e bge r16,zero,8113a950 <__reset+0xfb11a950> -8113b138: 043fffc4 movi r16,-1 -8113b13c: 003e0406 br 8113a950 <__reset+0xfb11a950> -8113b140: 21003fcc andi r4,r4,255 -8113b144: 2002371e bne r4,zero,8113ba24 <___svfiprintf_internal_r+0x1214> -8113b148: 94800414 ori r18,r18,16 -8113b14c: 9080080c andi r2,r18,32 -8113b150: 103ebb1e bne r2,zero,8113ac40 <__reset+0xfb11ac40> -8113b154: 9080040c andi r2,r18,16 -8113b158: 10013b26 beq r2,zero,8113b648 <___svfiprintf_internal_r+0xe38> -8113b15c: dac02317 ldw r11,140(sp) -8113b160: d8001d85 stb zero,118(sp) -8113b164: 0013883a mov r9,zero -8113b168: 58800104 addi r2,r11,4 -8113b16c: 5f000017 ldw fp,0(r11) -8113b170: 80013d16 blt r16,zero,8113b668 <___svfiprintf_internal_r+0xe58> -8113b174: 00ffdfc4 movi r3,-129 -8113b178: d8802315 stw r2,140(sp) -8113b17c: 90e4703a and r18,r18,r3 -8113b180: 0015883a mov r10,zero -8113b184: e03eba26 beq fp,zero,8113ac70 <__reset+0xfb11ac70> -8113b188: 00800244 movi r2,9 -8113b18c: 173f7236 bltu r2,fp,8113af58 <__reset+0xfb11af58> -8113b190: dac02b17 ldw r11,172(sp) -8113b194: e7000c04 addi fp,fp,48 -8113b198: df0019c5 stb fp,103(sp) -8113b19c: dac02115 stw r11,132(sp) -8113b1a0: dc4019c4 addi r17,sp,103 -8113b1a4: 003eb606 br 8113ac80 <__reset+0xfb11ac80> -8113b1a8: 21003fcc andi r4,r4,255 -8113b1ac: 20021f1e bne r4,zero,8113ba2c <___svfiprintf_internal_r+0x121c> -8113b1b0: 94800414 ori r18,r18,16 -8113b1b4: 9080080c andi r2,r18,32 -8113b1b8: 103ec51e bne r2,zero,8113acd0 <__reset+0xfb11acd0> -8113b1bc: 9080040c andi r2,r18,16 -8113b1c0: 10016926 beq r2,zero,8113b768 <___svfiprintf_internal_r+0xf58> -8113b1c4: dac02317 ldw r11,140(sp) -8113b1c8: d8001d85 stb zero,118(sp) -8113b1cc: 0013883a mov r9,zero -8113b1d0: 58800104 addi r2,r11,4 -8113b1d4: 5f000017 ldw fp,0(r11) -8113b1d8: 80016b16 blt r16,zero,8113b788 <___svfiprintf_internal_r+0xf78> -8113b1dc: 00ffdfc4 movi r3,-129 -8113b1e0: d8802315 stw r2,140(sp) -8113b1e4: 90e4703a and r18,r18,r3 -8113b1e8: e03ec41e bne fp,zero,8113acfc <__reset+0xfb11acfc> -8113b1ec: 0015883a mov r10,zero -8113b1f0: 8001c226 beq r16,zero,8113b8fc <___svfiprintf_internal_r+0x10ec> -8113b1f4: 0039883a mov fp,zero -8113b1f8: 0013883a mov r9,zero -8113b1fc: 003ec006 br 8113ad00 <__reset+0xfb11ad00> -8113b200: d8c01c17 ldw r3,112(sp) -8113b204: d8801b17 ldw r2,108(sp) -8113b208: d9001d87 ldb r4,118(sp) -8113b20c: 20000b26 beq r4,zero,8113b23c <___svfiprintf_internal_r+0xa2c> -8113b210: d9001d84 addi r4,sp,118 -8113b214: 18c00044 addi r3,r3,1 -8113b218: 10800044 addi r2,r2,1 -8113b21c: 41000015 stw r4,0(r8) -8113b220: 01000044 movi r4,1 -8113b224: 41000115 stw r4,4(r8) -8113b228: d8c01c15 stw r3,112(sp) -8113b22c: d8801b15 stw r2,108(sp) -8113b230: 010001c4 movi r4,7 -8113b234: 2080e116 blt r4,r2,8113b5bc <___svfiprintf_internal_r+0xdac> -8113b238: 42000204 addi r8,r8,8 -8113b23c: dac02217 ldw r11,136(sp) -8113b240: 58000b26 beq r11,zero,8113b270 <___svfiprintf_internal_r+0xa60> -8113b244: d9001d04 addi r4,sp,116 -8113b248: 18c00084 addi r3,r3,2 -8113b24c: 10800044 addi r2,r2,1 -8113b250: 41000015 stw r4,0(r8) -8113b254: 01000084 movi r4,2 -8113b258: 41000115 stw r4,4(r8) -8113b25c: d8c01c15 stw r3,112(sp) -8113b260: d8801b15 stw r2,108(sp) -8113b264: 010001c4 movi r4,7 -8113b268: 2080dd16 blt r4,r2,8113b5e0 <___svfiprintf_internal_r+0xdd0> -8113b26c: 42000204 addi r8,r8,8 -8113b270: dac02617 ldw r11,152(sp) -8113b274: 01002004 movi r4,128 -8113b278: 59008426 beq r11,r4,8113b48c <___svfiprintf_internal_r+0xc7c> -8113b27c: dac02117 ldw r11,132(sp) -8113b280: 82e1c83a sub r16,r16,r11 -8113b284: 0400270e bge zero,r16,8113b324 <___svfiprintf_internal_r+0xb14> -8113b288: 01c00404 movi r7,16 -8113b28c: 3c016a0e bge r7,r16,8113b838 <___svfiprintf_internal_r+0x1028> -8113b290: 01604574 movhi r5,33045 -8113b294: 297f3f04 addi r5,r5,-772 -8113b298: d9402215 stw r5,136(sp) -8113b29c: 070001c4 movi fp,7 -8113b2a0: 00000306 br 8113b2b0 <___svfiprintf_internal_r+0xaa0> -8113b2a4: 843ffc04 addi r16,r16,-16 -8113b2a8: 42000204 addi r8,r8,8 -8113b2ac: 3c00130e bge r7,r16,8113b2fc <___svfiprintf_internal_r+0xaec> -8113b2b0: 18c00404 addi r3,r3,16 -8113b2b4: 10800044 addi r2,r2,1 -8113b2b8: 45000015 stw r20,0(r8) -8113b2bc: 41c00115 stw r7,4(r8) -8113b2c0: d8c01c15 stw r3,112(sp) -8113b2c4: d8801b15 stw r2,108(sp) -8113b2c8: e0bff60e bge fp,r2,8113b2a4 <__reset+0xfb11b2a4> -8113b2cc: d9801a04 addi r6,sp,104 -8113b2d0: b80b883a mov r5,r23 -8113b2d4: a809883a mov r4,r21 -8113b2d8: d9c02c15 stw r7,176(sp) -8113b2dc: 113a6400 call 8113a640 <__ssprint_r> -8113b2e0: d9c02c17 ldw r7,176(sp) -8113b2e4: 1000581e bne r2,zero,8113b448 <___svfiprintf_internal_r+0xc38> -8113b2e8: 843ffc04 addi r16,r16,-16 -8113b2ec: d8c01c17 ldw r3,112(sp) -8113b2f0: d8801b17 ldw r2,108(sp) -8113b2f4: d811883a mov r8,sp -8113b2f8: 3c3fed16 blt r7,r16,8113b2b0 <__reset+0xfb11b2b0> -8113b2fc: dac02217 ldw r11,136(sp) -8113b300: 1c07883a add r3,r3,r16 -8113b304: 10800044 addi r2,r2,1 -8113b308: 42c00015 stw r11,0(r8) -8113b30c: 44000115 stw r16,4(r8) -8113b310: d8c01c15 stw r3,112(sp) -8113b314: d8801b15 stw r2,108(sp) -8113b318: 010001c4 movi r4,7 -8113b31c: 20809e16 blt r4,r2,8113b598 <___svfiprintf_internal_r+0xd88> -8113b320: 42000204 addi r8,r8,8 -8113b324: dac02117 ldw r11,132(sp) -8113b328: 10800044 addi r2,r2,1 -8113b32c: 44400015 stw r17,0(r8) -8113b330: 58c7883a add r3,r11,r3 -8113b334: 42c00115 stw r11,4(r8) -8113b338: d8c01c15 stw r3,112(sp) -8113b33c: d8801b15 stw r2,108(sp) -8113b340: 010001c4 movi r4,7 -8113b344: 20807f16 blt r4,r2,8113b544 <___svfiprintf_internal_r+0xd34> -8113b348: 42000204 addi r8,r8,8 -8113b34c: 9480010c andi r18,r18,4 -8113b350: 90002926 beq r18,zero,8113b3f8 <___svfiprintf_internal_r+0xbe8> -8113b354: dac02417 ldw r11,144(sp) -8113b358: d8802017 ldw r2,128(sp) -8113b35c: 58a1c83a sub r16,r11,r2 -8113b360: 0400250e bge zero,r16,8113b3f8 <___svfiprintf_internal_r+0xbe8> -8113b364: 04400404 movi r17,16 -8113b368: d8801b17 ldw r2,108(sp) -8113b36c: 8c017c0e bge r17,r16,8113b960 <___svfiprintf_internal_r+0x1150> -8113b370: 01604574 movhi r5,33045 -8113b374: 297f4304 addi r5,r5,-756 -8113b378: d9402815 stw r5,160(sp) -8113b37c: 048001c4 movi r18,7 -8113b380: 00000306 br 8113b390 <___svfiprintf_internal_r+0xb80> -8113b384: 843ffc04 addi r16,r16,-16 +8113a74c: 1009883a mov r4,r2 +8113a750: 112c6880 call 8112c688 +8113a754: 8880030b ldhu r2,12(r17) +8113a758: 00fedfc4 movi r3,-1153 +8113a75c: 10c4703a and r2,r2,r3 +8113a760: 10802014 ori r2,r2,128 +8113a764: 8880030d sth r2,12(r17) +8113a768: a589883a add r4,r20,r22 +8113a76c: adadc83a sub r22,r21,r22 +8113a770: 8d400515 stw r21,20(r17) +8113a774: 8d800215 stw r22,8(r17) +8113a778: 8d000415 stw r20,16(r17) +8113a77c: 89000015 stw r4,0(r17) +8113a780: 802b883a mov r21,r16 +8113a784: 802d883a mov r22,r16 +8113a788: b00d883a mov r6,r22 +8113a78c: e00b883a mov r5,fp +8113a790: 11370880 call 81137088 +8113a794: 88800217 ldw r2,8(r17) +8113a798: 89000017 ldw r4,0(r17) +8113a79c: 90c00217 ldw r3,8(r18) +8113a7a0: 1545c83a sub r2,r2,r21 +8113a7a4: 2589883a add r4,r4,r22 +8113a7a8: 88800215 stw r2,8(r17) +8113a7ac: 89000015 stw r4,0(r17) +8113a7b0: 1c21c83a sub r16,r3,r16 +8113a7b4: 94000215 stw r16,8(r18) +8113a7b8: 80001726 beq r16,zero,8113a818 <__ssprint_r+0x198> +8113a7bc: bf000017 ldw fp,0(r23) +8113a7c0: bc000117 ldw r16,4(r23) +8113a7c4: bdc00204 addi r23,r23,8 +8113a7c8: 003fc206 br 8113a6d4 <__reset+0xfb11a6d4> +8113a7cc: 802b883a mov r21,r16 +8113a7d0: 802d883a mov r22,r16 +8113a7d4: 003fec06 br 8113a788 <__reset+0xfb11a788> +8113a7d8: 9809883a mov r4,r19 +8113a7dc: 11382400 call 81138240 <_realloc_r> +8113a7e0: 1029883a mov r20,r2 +8113a7e4: 103fe01e bne r2,zero,8113a768 <__reset+0xfb11a768> +8113a7e8: 89400417 ldw r5,16(r17) +8113a7ec: 9809883a mov r4,r19 +8113a7f0: 113626c0 call 8113626c <_free_r> +8113a7f4: 88c0030b ldhu r3,12(r17) +8113a7f8: 00800304 movi r2,12 +8113a7fc: 98800015 stw r2,0(r19) +8113a800: 18c01014 ori r3,r3,64 +8113a804: 88c0030d sth r3,12(r17) +8113a808: 00bfffc4 movi r2,-1 +8113a80c: 90000215 stw zero,8(r18) +8113a810: 90000115 stw zero,4(r18) +8113a814: 00000206 br 8113a820 <__ssprint_r+0x1a0> +8113a818: 90000115 stw zero,4(r18) +8113a81c: 0005883a mov r2,zero +8113a820: dfc00917 ldw ra,36(sp) +8113a824: df000817 ldw fp,32(sp) +8113a828: ddc00717 ldw r23,28(sp) +8113a82c: dd800617 ldw r22,24(sp) +8113a830: dd400517 ldw r21,20(sp) +8113a834: dd000417 ldw r20,16(sp) +8113a838: dcc00317 ldw r19,12(sp) +8113a83c: dc800217 ldw r18,8(sp) +8113a840: dc400117 ldw r17,4(sp) +8113a844: dc000017 ldw r16,0(sp) +8113a848: dec00a04 addi sp,sp,40 +8113a84c: f800283a ret + +8113a850 <___svfiprintf_internal_r>: +8113a850: 2880030b ldhu r2,12(r5) +8113a854: deffc804 addi sp,sp,-224 +8113a858: dcc03115 stw r19,196(sp) +8113a85c: dfc03715 stw ra,220(sp) +8113a860: df003615 stw fp,216(sp) +8113a864: ddc03515 stw r23,212(sp) +8113a868: dd803415 stw r22,208(sp) +8113a86c: dd403315 stw r21,204(sp) +8113a870: dd003215 stw r20,200(sp) +8113a874: dc803015 stw r18,192(sp) +8113a878: dc402f15 stw r17,188(sp) +8113a87c: dc002e15 stw r16,184(sp) +8113a880: d9402715 stw r5,156(sp) +8113a884: d9002a15 stw r4,168(sp) +8113a888: 1080200c andi r2,r2,128 +8113a88c: d9c02315 stw r7,140(sp) +8113a890: 3027883a mov r19,r6 +8113a894: 10000226 beq r2,zero,8113a8a0 <___svfiprintf_internal_r+0x50> +8113a898: 28800417 ldw r2,16(r5) +8113a89c: 10041d26 beq r2,zero,8113b914 <___svfiprintf_internal_r+0x10c4> +8113a8a0: dac01a04 addi r11,sp,104 +8113a8a4: dac01e15 stw r11,120(sp) +8113a8a8: d8801e17 ldw r2,120(sp) +8113a8ac: dac019c4 addi r11,sp,103 +8113a8b0: dd402a17 ldw r21,168(sp) +8113a8b4: ddc02717 ldw r23,156(sp) +8113a8b8: 05a04574 movhi r22,33045 +8113a8bc: 05204574 movhi r20,33045 +8113a8c0: dac01f15 stw r11,124(sp) +8113a8c4: 12d7c83a sub r11,r2,r11 +8113a8c8: b5bf5404 addi r22,r22,-688 +8113a8cc: a53f5004 addi r20,r20,-704 +8113a8d0: dec01a15 stw sp,104(sp) +8113a8d4: d8001c15 stw zero,112(sp) +8113a8d8: d8001b15 stw zero,108(sp) +8113a8dc: d811883a mov r8,sp +8113a8e0: d8002915 stw zero,164(sp) +8113a8e4: d8002515 stw zero,148(sp) +8113a8e8: dac02b15 stw r11,172(sp) +8113a8ec: 98800007 ldb r2,0(r19) +8113a8f0: 1002dd26 beq r2,zero,8113b468 <___svfiprintf_internal_r+0xc18> +8113a8f4: 00c00944 movi r3,37 +8113a8f8: 9823883a mov r17,r19 +8113a8fc: 10c0021e bne r2,r3,8113a908 <___svfiprintf_internal_r+0xb8> +8113a900: 00001406 br 8113a954 <___svfiprintf_internal_r+0x104> +8113a904: 10c00326 beq r2,r3,8113a914 <___svfiprintf_internal_r+0xc4> +8113a908: 8c400044 addi r17,r17,1 +8113a90c: 88800007 ldb r2,0(r17) +8113a910: 103ffc1e bne r2,zero,8113a904 <__reset+0xfb11a904> +8113a914: 8ce1c83a sub r16,r17,r19 +8113a918: 80000e26 beq r16,zero,8113a954 <___svfiprintf_internal_r+0x104> +8113a91c: d8c01c17 ldw r3,112(sp) +8113a920: d8801b17 ldw r2,108(sp) +8113a924: 44c00015 stw r19,0(r8) +8113a928: 1c07883a add r3,r3,r16 +8113a92c: 10800044 addi r2,r2,1 +8113a930: d8c01c15 stw r3,112(sp) +8113a934: 44000115 stw r16,4(r8) +8113a938: d8801b15 stw r2,108(sp) +8113a93c: 00c001c4 movi r3,7 +8113a940: 18831e16 blt r3,r2,8113b5bc <___svfiprintf_internal_r+0xd6c> +8113a944: 42000204 addi r8,r8,8 +8113a948: dac02517 ldw r11,148(sp) +8113a94c: 5c17883a add r11,r11,r16 +8113a950: dac02515 stw r11,148(sp) +8113a954: 88800007 ldb r2,0(r17) +8113a958: 1002c526 beq r2,zero,8113b470 <___svfiprintf_internal_r+0xc20> +8113a95c: 88c00047 ldb r3,1(r17) +8113a960: 8cc00044 addi r19,r17,1 +8113a964: d8001d85 stb zero,118(sp) +8113a968: 0009883a mov r4,zero +8113a96c: 000f883a mov r7,zero +8113a970: 043fffc4 movi r16,-1 +8113a974: d8002415 stw zero,144(sp) +8113a978: 0025883a mov r18,zero +8113a97c: 01401604 movi r5,88 +8113a980: 01800244 movi r6,9 +8113a984: 02800a84 movi r10,42 +8113a988: 02401b04 movi r9,108 +8113a98c: 9cc00044 addi r19,r19,1 +8113a990: 18bff804 addi r2,r3,-32 +8113a994: 2881dd36 bltu r5,r2,8113b10c <___svfiprintf_internal_r+0x8bc> +8113a998: 100490ba slli r2,r2,2 +8113a99c: 02e04534 movhi r11,33044 +8113a9a0: 5aea6c04 addi r11,r11,-22096 +8113a9a4: 12c5883a add r2,r2,r11 +8113a9a8: 10800017 ldw r2,0(r2) +8113a9ac: 1000683a jmp r2 +8113a9b0: 8113abac andhi r4,r16,20142 +8113a9b4: 8113b10c andi r4,r16,20164 +8113a9b8: 8113b10c andi r4,r16,20164 +8113a9bc: 8113aba0 cmpeqi r4,r16,20142 +8113a9c0: 8113b10c andi r4,r16,20164 +8113a9c4: 8113b10c andi r4,r16,20164 +8113a9c8: 8113b10c andi r4,r16,20164 +8113a9cc: 8113b10c andi r4,r16,20164 +8113a9d0: 8113b10c andi r4,r16,20164 +8113a9d4: 8113b10c andi r4,r16,20164 +8113a9d8: 8113ab14 ori r4,r16,20140 +8113a9dc: 8113acf0 cmpltui r4,r16,20147 +8113a9e0: 8113b10c andi r4,r16,20164 +8113a9e4: 8113ab40 call 88113ab4 <__reset+0x20f3ab4> +8113a9e8: 8113b140 call 88113b14 <__reset+0x20f3b14> +8113a9ec: 8113b10c andi r4,r16,20164 +8113a9f0: 8113b134 orhi r4,r16,20164 +8113a9f4: 8113b0d4 ori r4,r16,20163 +8113a9f8: 8113b0d4 ori r4,r16,20163 +8113a9fc: 8113b0d4 ori r4,r16,20163 +8113aa00: 8113b0d4 ori r4,r16,20163 +8113aa04: 8113b0d4 ori r4,r16,20163 +8113aa08: 8113b0d4 ori r4,r16,20163 +8113aa0c: 8113b0d4 ori r4,r16,20163 +8113aa10: 8113b0d4 ori r4,r16,20163 +8113aa14: 8113b0d4 ori r4,r16,20163 +8113aa18: 8113b10c andi r4,r16,20164 +8113aa1c: 8113b10c andi r4,r16,20164 +8113aa20: 8113b10c andi r4,r16,20164 +8113aa24: 8113b10c andi r4,r16,20164 +8113aa28: 8113b10c andi r4,r16,20164 +8113aa2c: 8113b10c andi r4,r16,20164 +8113aa30: 8113b10c andi r4,r16,20164 +8113aa34: 8113b10c andi r4,r16,20164 +8113aa38: 8113b10c andi r4,r16,20164 +8113aa3c: 8113b10c andi r4,r16,20164 +8113aa40: 8113b070 cmpltui r4,r16,20161 +8113aa44: 8113b10c andi r4,r16,20164 +8113aa48: 8113b10c andi r4,r16,20164 +8113aa4c: 8113b10c andi r4,r16,20164 +8113aa50: 8113b10c andi r4,r16,20164 +8113aa54: 8113b10c andi r4,r16,20164 +8113aa58: 8113b10c andi r4,r16,20164 +8113aa5c: 8113b10c andi r4,r16,20164 +8113aa60: 8113b10c andi r4,r16,20164 +8113aa64: 8113b10c andi r4,r16,20164 +8113aa68: 8113b10c andi r4,r16,20164 +8113aa6c: 8113b1e8 cmpgeui r4,r16,20167 +8113aa70: 8113b10c andi r4,r16,20164 +8113aa74: 8113b10c andi r4,r16,20164 +8113aa78: 8113b10c andi r4,r16,20164 +8113aa7c: 8113b10c andi r4,r16,20164 +8113aa80: 8113b10c andi r4,r16,20164 +8113aa84: 8113b180 call 88113b18 <__reset+0x20f3b18> +8113aa88: 8113b10c andi r4,r16,20164 +8113aa8c: 8113b10c andi r4,r16,20164 +8113aa90: 8113aeb4 orhi r4,r16,20154 +8113aa94: 8113b10c andi r4,r16,20164 +8113aa98: 8113b10c andi r4,r16,20164 +8113aa9c: 8113b10c andi r4,r16,20164 +8113aaa0: 8113b10c andi r4,r16,20164 +8113aaa4: 8113b10c andi r4,r16,20164 +8113aaa8: 8113b10c andi r4,r16,20164 +8113aaac: 8113b10c andi r4,r16,20164 +8113aab0: 8113b10c andi r4,r16,20164 +8113aab4: 8113b10c andi r4,r16,20164 +8113aab8: 8113b10c andi r4,r16,20164 +8113aabc: 8113ada4 muli r4,r16,20150 +8113aac0: 8113af50 cmplti r4,r16,20157 +8113aac4: 8113b10c andi r4,r16,20164 +8113aac8: 8113b10c andi r4,r16,20164 +8113aacc: 8113b10c andi r4,r16,20164 +8113aad0: 8113af44 addi r4,r16,20157 +8113aad4: 8113af50 cmplti r4,r16,20157 +8113aad8: 8113b10c andi r4,r16,20164 +8113aadc: 8113b10c andi r4,r16,20164 +8113aae0: 8113af34 orhi r4,r16,20156 +8113aae4: 8113b10c andi r4,r16,20164 +8113aae8: 8113aef8 rdprs r4,r16,20155 +8113aaec: 8113ad00 call 88113ad0 <__reset+0x20f3ad0> +8113aaf0: 8113ab4c andi r4,r16,20141 +8113aaf4: 8113b064 muli r4,r16,20161 +8113aaf8: 8113b10c andi r4,r16,20164 +8113aafc: 8113b018 cmpnei r4,r16,20160 +8113ab00: 8113b10c andi r4,r16,20164 +8113ab04: 8113ac70 cmpltui r4,r16,20145 +8113ab08: 8113b10c andi r4,r16,20164 +8113ab0c: 8113b10c andi r4,r16,20164 +8113ab10: 8113abcc andi r4,r16,20143 +8113ab14: dac02317 ldw r11,140(sp) +8113ab18: 5ac00017 ldw r11,0(r11) +8113ab1c: dac02415 stw r11,144(sp) +8113ab20: dac02317 ldw r11,140(sp) +8113ab24: 58800104 addi r2,r11,4 +8113ab28: dac02417 ldw r11,144(sp) +8113ab2c: 5802e90e bge r11,zero,8113b6d4 <___svfiprintf_internal_r+0xe84> +8113ab30: dac02417 ldw r11,144(sp) +8113ab34: d8802315 stw r2,140(sp) +8113ab38: 02d7c83a sub r11,zero,r11 +8113ab3c: dac02415 stw r11,144(sp) +8113ab40: 94800114 ori r18,r18,4 +8113ab44: 98c00007 ldb r3,0(r19) +8113ab48: 003f9006 br 8113a98c <__reset+0xfb11a98c> +8113ab4c: 00800c04 movi r2,48 +8113ab50: dac02317 ldw r11,140(sp) +8113ab54: d8801d05 stb r2,116(sp) +8113ab58: 00801e04 movi r2,120 +8113ab5c: d8801d45 stb r2,117(sp) +8113ab60: d8001d85 stb zero,118(sp) +8113ab64: 58c00104 addi r3,r11,4 +8113ab68: 5f000017 ldw fp,0(r11) +8113ab6c: 0013883a mov r9,zero +8113ab70: 90800094 ori r2,r18,2 +8113ab74: 80032b16 blt r16,zero,8113b824 <___svfiprintf_internal_r+0xfd4> +8113ab78: 00bfdfc4 movi r2,-129 +8113ab7c: 90a4703a and r18,r18,r2 +8113ab80: d8c02315 stw r3,140(sp) +8113ab84: 94800094 ori r18,r18,2 +8113ab88: e002dc26 beq fp,zero,8113b6fc <___svfiprintf_internal_r+0xeac> +8113ab8c: 01204574 movhi r4,33045 +8113ab90: 213ec604 addi r4,r4,-1256 +8113ab94: 0015883a mov r10,zero +8113ab98: d9002915 stw r4,164(sp) +8113ab9c: 00002306 br 8113ac2c <___svfiprintf_internal_r+0x3dc> +8113aba0: 94800054 ori r18,r18,1 +8113aba4: 98c00007 ldb r3,0(r19) +8113aba8: 003f7806 br 8113a98c <__reset+0xfb11a98c> +8113abac: 38803fcc andi r2,r7,255 +8113abb0: 1080201c xori r2,r2,128 +8113abb4: 10bfe004 addi r2,r2,-128 +8113abb8: 1002f31e bne r2,zero,8113b788 <___svfiprintf_internal_r+0xf38> +8113abbc: 01000044 movi r4,1 +8113abc0: 01c00804 movi r7,32 +8113abc4: 98c00007 ldb r3,0(r19) +8113abc8: 003f7006 br 8113a98c <__reset+0xfb11a98c> +8113abcc: 21003fcc andi r4,r4,255 +8113abd0: 2003aa1e bne r4,zero,8113ba7c <___svfiprintf_internal_r+0x122c> +8113abd4: 00a04574 movhi r2,33045 +8113abd8: 10bec604 addi r2,r2,-1256 +8113abdc: d8802915 stw r2,164(sp) +8113abe0: 9080080c andi r2,r18,32 +8113abe4: 1000ba26 beq r2,zero,8113aed0 <___svfiprintf_internal_r+0x680> +8113abe8: dac02317 ldw r11,140(sp) +8113abec: 5f000017 ldw fp,0(r11) +8113abf0: 5a400117 ldw r9,4(r11) +8113abf4: 5ac00204 addi r11,r11,8 +8113abf8: dac02315 stw r11,140(sp) +8113abfc: 9080004c andi r2,r18,1 +8113ac00: 10029026 beq r2,zero,8113b644 <___svfiprintf_internal_r+0xdf4> +8113ac04: e244b03a or r2,fp,r9 +8113ac08: 1002d41e bne r2,zero,8113b75c <___svfiprintf_internal_r+0xf0c> +8113ac0c: d8001d85 stb zero,118(sp) +8113ac10: 80030b16 blt r16,zero,8113b840 <___svfiprintf_internal_r+0xff0> +8113ac14: 00bfdfc4 movi r2,-129 +8113ac18: 90a4703a and r18,r18,r2 +8113ac1c: 0015883a mov r10,zero +8113ac20: 80002426 beq r16,zero,8113acb4 <___svfiprintf_internal_r+0x464> +8113ac24: 0039883a mov fp,zero +8113ac28: 0013883a mov r9,zero +8113ac2c: d9002917 ldw r4,164(sp) +8113ac30: dc401a04 addi r17,sp,104 +8113ac34: e08003cc andi r2,fp,15 +8113ac38: 4806973a slli r3,r9,28 +8113ac3c: 2085883a add r2,r4,r2 +8113ac40: e038d13a srli fp,fp,4 +8113ac44: 10800003 ldbu r2,0(r2) +8113ac48: 4812d13a srli r9,r9,4 +8113ac4c: 8c7fffc4 addi r17,r17,-1 +8113ac50: 1f38b03a or fp,r3,fp +8113ac54: 88800005 stb r2,0(r17) +8113ac58: e244b03a or r2,fp,r9 +8113ac5c: 103ff51e bne r2,zero,8113ac34 <__reset+0xfb11ac34> +8113ac60: dac01e17 ldw r11,120(sp) +8113ac64: 5c57c83a sub r11,r11,r17 +8113ac68: dac02115 stw r11,132(sp) +8113ac6c: 00001406 br 8113acc0 <___svfiprintf_internal_r+0x470> +8113ac70: 21003fcc andi r4,r4,255 +8113ac74: 2003741e bne r4,zero,8113ba48 <___svfiprintf_internal_r+0x11f8> +8113ac78: 9080080c andi r2,r18,32 +8113ac7c: 10014526 beq r2,zero,8113b194 <___svfiprintf_internal_r+0x944> +8113ac80: dac02317 ldw r11,140(sp) +8113ac84: d8001d85 stb zero,118(sp) +8113ac88: 58c00204 addi r3,r11,8 +8113ac8c: 5f000017 ldw fp,0(r11) +8113ac90: 5a400117 ldw r9,4(r11) +8113ac94: 8002d916 blt r16,zero,8113b7fc <___svfiprintf_internal_r+0xfac> +8113ac98: 013fdfc4 movi r4,-129 +8113ac9c: e244b03a or r2,fp,r9 +8113aca0: d8c02315 stw r3,140(sp) +8113aca4: 9124703a and r18,r18,r4 +8113aca8: 0015883a mov r10,zero +8113acac: 1000b91e bne r2,zero,8113af94 <___svfiprintf_internal_r+0x744> +8113acb0: 8002e61e bne r16,zero,8113b84c <___svfiprintf_internal_r+0xffc> +8113acb4: 0021883a mov r16,zero +8113acb8: d8002115 stw zero,132(sp) +8113acbc: dc401a04 addi r17,sp,104 +8113acc0: d8c02117 ldw r3,132(sp) +8113acc4: dc002015 stw r16,128(sp) +8113acc8: 80c0010e bge r16,r3,8113acd0 <___svfiprintf_internal_r+0x480> +8113accc: d8c02015 stw r3,128(sp) +8113acd0: 52803fcc andi r10,r10,255 +8113acd4: 5280201c xori r10,r10,128 +8113acd8: 52bfe004 addi r10,r10,-128 +8113acdc: 50003c26 beq r10,zero,8113add0 <___svfiprintf_internal_r+0x580> +8113ace0: dac02017 ldw r11,128(sp) +8113ace4: 5ac00044 addi r11,r11,1 +8113ace8: dac02015 stw r11,128(sp) +8113acec: 00003806 br 8113add0 <___svfiprintf_internal_r+0x580> +8113acf0: 01000044 movi r4,1 +8113acf4: 01c00ac4 movi r7,43 +8113acf8: 98c00007 ldb r3,0(r19) +8113acfc: 003f2306 br 8113a98c <__reset+0xfb11a98c> +8113ad00: 21003fcc andi r4,r4,255 +8113ad04: 2003481e bne r4,zero,8113ba28 <___svfiprintf_internal_r+0x11d8> +8113ad08: 9080080c andi r2,r18,32 +8113ad0c: 10013b26 beq r2,zero,8113b1fc <___svfiprintf_internal_r+0x9ac> +8113ad10: dac02317 ldw r11,140(sp) +8113ad14: d8001d85 stb zero,118(sp) +8113ad18: 58800204 addi r2,r11,8 +8113ad1c: 5f000017 ldw fp,0(r11) +8113ad20: 5a400117 ldw r9,4(r11) +8113ad24: 8002a816 blt r16,zero,8113b7c8 <___svfiprintf_internal_r+0xf78> +8113ad28: 013fdfc4 movi r4,-129 +8113ad2c: e246b03a or r3,fp,r9 +8113ad30: d8802315 stw r2,140(sp) +8113ad34: 9124703a and r18,r18,r4 +8113ad38: 18013c26 beq r3,zero,8113b22c <___svfiprintf_internal_r+0x9dc> +8113ad3c: 0015883a mov r10,zero +8113ad40: dc401a04 addi r17,sp,104 +8113ad44: e006d0fa srli r3,fp,3 +8113ad48: 4808977a slli r4,r9,29 +8113ad4c: 4812d0fa srli r9,r9,3 +8113ad50: e70001cc andi fp,fp,7 +8113ad54: e0800c04 addi r2,fp,48 +8113ad58: 8c7fffc4 addi r17,r17,-1 +8113ad5c: 20f8b03a or fp,r4,r3 +8113ad60: 88800005 stb r2,0(r17) +8113ad64: e246b03a or r3,fp,r9 +8113ad68: 183ff61e bne r3,zero,8113ad44 <__reset+0xfb11ad44> +8113ad6c: 90c0004c andi r3,r18,1 +8113ad70: 1800a526 beq r3,zero,8113b008 <___svfiprintf_internal_r+0x7b8> +8113ad74: 10803fcc andi r2,r2,255 +8113ad78: 1080201c xori r2,r2,128 +8113ad7c: 10bfe004 addi r2,r2,-128 +8113ad80: 00c00c04 movi r3,48 +8113ad84: 10ffb626 beq r2,r3,8113ac60 <__reset+0xfb11ac60> +8113ad88: 88ffffc5 stb r3,-1(r17) +8113ad8c: d8c01e17 ldw r3,120(sp) +8113ad90: 88bfffc4 addi r2,r17,-1 +8113ad94: 1023883a mov r17,r2 +8113ad98: 1887c83a sub r3,r3,r2 +8113ad9c: d8c02115 stw r3,132(sp) +8113ada0: 003fc706 br 8113acc0 <__reset+0xfb11acc0> +8113ada4: dac02317 ldw r11,140(sp) +8113ada8: 00c00044 movi r3,1 +8113adac: d8c02015 stw r3,128(sp) +8113adb0: 58800017 ldw r2,0(r11) +8113adb4: 5ac00104 addi r11,r11,4 +8113adb8: d8001d85 stb zero,118(sp) +8113adbc: d8801005 stb r2,64(sp) +8113adc0: dac02315 stw r11,140(sp) +8113adc4: d8c02115 stw r3,132(sp) +8113adc8: dc401004 addi r17,sp,64 +8113adcc: 0021883a mov r16,zero +8113add0: 90c0008c andi r3,r18,2 +8113add4: d8c02215 stw r3,136(sp) +8113add8: 18000326 beq r3,zero,8113ade8 <___svfiprintf_internal_r+0x598> +8113addc: dac02017 ldw r11,128(sp) +8113ade0: 5ac00084 addi r11,r11,2 +8113ade4: dac02015 stw r11,128(sp) +8113ade8: 90c0210c andi r3,r18,132 +8113adec: d8c02615 stw r3,152(sp) +8113adf0: 1801131e bne r3,zero,8113b240 <___svfiprintf_internal_r+0x9f0> +8113adf4: dac02417 ldw r11,144(sp) +8113adf8: d8c02017 ldw r3,128(sp) +8113adfc: 58f9c83a sub fp,r11,r3 +8113ae00: 07010f0e bge zero,fp,8113b240 <___svfiprintf_internal_r+0x9f0> +8113ae04: 02400404 movi r9,16 +8113ae08: d8c01c17 ldw r3,112(sp) +8113ae0c: d8801b17 ldw r2,108(sp) +8113ae10: 4f02d60e bge r9,fp,8113b96c <___svfiprintf_internal_r+0x111c> +8113ae14: 01604574 movhi r5,33045 +8113ae18: 297f5404 addi r5,r5,-688 +8113ae1c: d9402815 stw r5,160(sp) +8113ae20: 028001c4 movi r10,7 +8113ae24: 00000306 br 8113ae34 <___svfiprintf_internal_r+0x5e4> +8113ae28: e73ffc04 addi fp,fp,-16 +8113ae2c: 42000204 addi r8,r8,8 +8113ae30: 4f00150e bge r9,fp,8113ae88 <___svfiprintf_internal_r+0x638> +8113ae34: 18c00404 addi r3,r3,16 +8113ae38: 10800044 addi r2,r2,1 +8113ae3c: 45800015 stw r22,0(r8) +8113ae40: 42400115 stw r9,4(r8) +8113ae44: d8c01c15 stw r3,112(sp) +8113ae48: d8801b15 stw r2,108(sp) +8113ae4c: 50bff60e bge r10,r2,8113ae28 <__reset+0xfb11ae28> +8113ae50: d9801a04 addi r6,sp,104 +8113ae54: b80b883a mov r5,r23 +8113ae58: a809883a mov r4,r21 +8113ae5c: da402c15 stw r9,176(sp) +8113ae60: da802d15 stw r10,180(sp) +8113ae64: 113a6800 call 8113a680 <__ssprint_r> +8113ae68: da402c17 ldw r9,176(sp) +8113ae6c: da802d17 ldw r10,180(sp) +8113ae70: 1001851e bne r2,zero,8113b488 <___svfiprintf_internal_r+0xc38> +8113ae74: e73ffc04 addi fp,fp,-16 +8113ae78: d8c01c17 ldw r3,112(sp) +8113ae7c: d8801b17 ldw r2,108(sp) +8113ae80: d811883a mov r8,sp +8113ae84: 4f3feb16 blt r9,fp,8113ae34 <__reset+0xfb11ae34> +8113ae88: dac02817 ldw r11,160(sp) +8113ae8c: e0c7883a add r3,fp,r3 +8113ae90: 10800044 addi r2,r2,1 +8113ae94: 42c00015 stw r11,0(r8) +8113ae98: 47000115 stw fp,4(r8) +8113ae9c: d8c01c15 stw r3,112(sp) +8113aea0: d8801b15 stw r2,108(sp) +8113aea4: 010001c4 movi r4,7 +8113aea8: 2081ee16 blt r4,r2,8113b664 <___svfiprintf_internal_r+0xe14> +8113aeac: 42000204 addi r8,r8,8 +8113aeb0: 0000e506 br 8113b248 <___svfiprintf_internal_r+0x9f8> +8113aeb4: 21003fcc andi r4,r4,255 +8113aeb8: 2002dd1e bne r4,zero,8113ba30 <___svfiprintf_internal_r+0x11e0> +8113aebc: 00a04574 movhi r2,33045 +8113aec0: 10bec104 addi r2,r2,-1276 +8113aec4: d8802915 stw r2,164(sp) +8113aec8: 9080080c andi r2,r18,32 +8113aecc: 103f461e bne r2,zero,8113abe8 <__reset+0xfb11abe8> +8113aed0: 9080040c andi r2,r18,16 +8113aed4: 10022e1e bne r2,zero,8113b790 <___svfiprintf_internal_r+0xf40> +8113aed8: 9080100c andi r2,r18,64 +8113aedc: dac02317 ldw r11,140(sp) +8113aee0: 10027326 beq r2,zero,8113b8b0 <___svfiprintf_internal_r+0x1060> +8113aee4: 5f00000b ldhu fp,0(r11) +8113aee8: 5ac00104 addi r11,r11,4 +8113aeec: 0013883a mov r9,zero +8113aef0: dac02315 stw r11,140(sp) +8113aef4: 003f4106 br 8113abfc <__reset+0xfb11abfc> +8113aef8: 21003fcc andi r4,r4,255 +8113aefc: 2002e11e bne r4,zero,8113ba84 <___svfiprintf_internal_r+0x1234> +8113af00: 9080080c andi r2,r18,32 +8113af04: 1002011e bne r2,zero,8113b70c <___svfiprintf_internal_r+0xebc> +8113af08: 9080040c andi r2,r18,16 +8113af0c: 10023e1e bne r2,zero,8113b808 <___svfiprintf_internal_r+0xfb8> +8113af10: 9480100c andi r18,r18,64 +8113af14: 90023c26 beq r18,zero,8113b808 <___svfiprintf_internal_r+0xfb8> +8113af18: dac02317 ldw r11,140(sp) +8113af1c: 58800017 ldw r2,0(r11) +8113af20: 5ac00104 addi r11,r11,4 +8113af24: dac02315 stw r11,140(sp) +8113af28: dac02517 ldw r11,148(sp) +8113af2c: 12c0000d sth r11,0(r2) +8113af30: 003e6e06 br 8113a8ec <__reset+0xfb11a8ec> +8113af34: 98c00007 ldb r3,0(r19) +8113af38: 1a422926 beq r3,r9,8113b7e0 <___svfiprintf_internal_r+0xf90> +8113af3c: 94800414 ori r18,r18,16 +8113af40: 003e9206 br 8113a98c <__reset+0xfb11a98c> +8113af44: 94801014 ori r18,r18,64 +8113af48: 98c00007 ldb r3,0(r19) +8113af4c: 003e8f06 br 8113a98c <__reset+0xfb11a98c> +8113af50: 21003fcc andi r4,r4,255 +8113af54: 2002c71e bne r4,zero,8113ba74 <___svfiprintf_internal_r+0x1224> +8113af58: 9080080c andi r2,r18,32 +8113af5c: 10004926 beq r2,zero,8113b084 <___svfiprintf_internal_r+0x834> +8113af60: dac02317 ldw r11,140(sp) +8113af64: 58800117 ldw r2,4(r11) +8113af68: 5f000017 ldw fp,0(r11) +8113af6c: 5ac00204 addi r11,r11,8 +8113af70: dac02315 stw r11,140(sp) +8113af74: 1013883a mov r9,r2 +8113af78: 10004b16 blt r2,zero,8113b0a8 <___svfiprintf_internal_r+0x858> +8113af7c: da801d83 ldbu r10,118(sp) +8113af80: 8001cb16 blt r16,zero,8113b6b0 <___svfiprintf_internal_r+0xe60> +8113af84: 00ffdfc4 movi r3,-129 +8113af88: e244b03a or r2,fp,r9 +8113af8c: 90e4703a and r18,r18,r3 +8113af90: 103f4726 beq r2,zero,8113acb0 <__reset+0xfb11acb0> +8113af94: 48008c26 beq r9,zero,8113b1c8 <___svfiprintf_internal_r+0x978> +8113af98: dc802015 stw r18,128(sp) +8113af9c: dc002115 stw r16,132(sp) +8113afa0: dc401a04 addi r17,sp,104 +8113afa4: e021883a mov r16,fp +8113afa8: da002215 stw r8,136(sp) +8113afac: 5039883a mov fp,r10 +8113afb0: 4825883a mov r18,r9 +8113afb4: 8009883a mov r4,r16 +8113afb8: 900b883a mov r5,r18 +8113afbc: 01800284 movi r6,10 +8113afc0: 000f883a mov r7,zero +8113afc4: 113eae00 call 8113eae0 <__umoddi3> +8113afc8: 10800c04 addi r2,r2,48 +8113afcc: 8c7fffc4 addi r17,r17,-1 +8113afd0: 8009883a mov r4,r16 +8113afd4: 900b883a mov r5,r18 +8113afd8: 88800005 stb r2,0(r17) +8113afdc: 01800284 movi r6,10 +8113afe0: 000f883a mov r7,zero +8113afe4: 113e5680 call 8113e568 <__udivdi3> +8113afe8: 1021883a mov r16,r2 +8113afec: 10c4b03a or r2,r2,r3 +8113aff0: 1825883a mov r18,r3 +8113aff4: 103fef1e bne r2,zero,8113afb4 <__reset+0xfb11afb4> +8113aff8: dc802017 ldw r18,128(sp) +8113affc: dc002117 ldw r16,132(sp) +8113b000: da002217 ldw r8,136(sp) +8113b004: e015883a mov r10,fp +8113b008: d8c01e17 ldw r3,120(sp) +8113b00c: 1c47c83a sub r3,r3,r17 +8113b010: d8c02115 stw r3,132(sp) +8113b014: 003f2a06 br 8113acc0 <__reset+0xfb11acc0> +8113b018: dac02317 ldw r11,140(sp) +8113b01c: d8001d85 stb zero,118(sp) +8113b020: 5c400017 ldw r17,0(r11) +8113b024: 5f000104 addi fp,r11,4 +8113b028: 88022f26 beq r17,zero,8113b8e8 <___svfiprintf_internal_r+0x1098> +8113b02c: 80022516 blt r16,zero,8113b8c4 <___svfiprintf_internal_r+0x1074> +8113b030: 800d883a mov r6,r16 +8113b034: 000b883a mov r5,zero +8113b038: 8809883a mov r4,r17 +8113b03c: da002c15 stw r8,176(sp) +8113b040: 1136fa40 call 81136fa4 +8113b044: da002c17 ldw r8,176(sp) +8113b048: 10026426 beq r2,zero,8113b9dc <___svfiprintf_internal_r+0x118c> +8113b04c: 1445c83a sub r2,r2,r17 +8113b050: d8802115 stw r2,132(sp) +8113b054: da801d83 ldbu r10,118(sp) +8113b058: df002315 stw fp,140(sp) +8113b05c: 0021883a mov r16,zero +8113b060: 003f1706 br 8113acc0 <__reset+0xfb11acc0> +8113b064: 94800814 ori r18,r18,32 +8113b068: 98c00007 ldb r3,0(r19) +8113b06c: 003e4706 br 8113a98c <__reset+0xfb11a98c> +8113b070: 21003fcc andi r4,r4,255 +8113b074: 2002701e bne r4,zero,8113ba38 <___svfiprintf_internal_r+0x11e8> +8113b078: 94800414 ori r18,r18,16 +8113b07c: 9080080c andi r2,r18,32 +8113b080: 103fb71e bne r2,zero,8113af60 <__reset+0xfb11af60> +8113b084: 9080040c andi r2,r18,16 +8113b088: 1001ab26 beq r2,zero,8113b738 <___svfiprintf_internal_r+0xee8> +8113b08c: dac02317 ldw r11,140(sp) +8113b090: 5f000017 ldw fp,0(r11) +8113b094: 5ac00104 addi r11,r11,4 +8113b098: dac02315 stw r11,140(sp) +8113b09c: e013d7fa srai r9,fp,31 +8113b0a0: 4805883a mov r2,r9 +8113b0a4: 103fb50e bge r2,zero,8113af7c <__reset+0xfb11af7c> +8113b0a8: 0739c83a sub fp,zero,fp +8113b0ac: 02800b44 movi r10,45 +8113b0b0: e004c03a cmpne r2,fp,zero +8113b0b4: 0253c83a sub r9,zero,r9 +8113b0b8: da801d85 stb r10,118(sp) +8113b0bc: 4893c83a sub r9,r9,r2 +8113b0c0: 80023016 blt r16,zero,8113b984 <___svfiprintf_internal_r+0x1134> +8113b0c4: 00bfdfc4 movi r2,-129 +8113b0c8: 90a4703a and r18,r18,r2 +8113b0cc: 483fb21e bne r9,zero,8113af98 <__reset+0xfb11af98> +8113b0d0: 00003d06 br 8113b1c8 <___svfiprintf_internal_r+0x978> +8113b0d4: 9817883a mov r11,r19 +8113b0d8: d8002415 stw zero,144(sp) +8113b0dc: 18bff404 addi r2,r3,-48 +8113b0e0: 0019883a mov r12,zero +8113b0e4: 58c00007 ldb r3,0(r11) +8113b0e8: 630002a4 muli r12,r12,10 +8113b0ec: 9cc00044 addi r19,r19,1 +8113b0f0: 9817883a mov r11,r19 +8113b0f4: 1319883a add r12,r2,r12 +8113b0f8: 18bff404 addi r2,r3,-48 +8113b0fc: 30bff92e bgeu r6,r2,8113b0e4 <__reset+0xfb11b0e4> +8113b100: db002415 stw r12,144(sp) +8113b104: 18bff804 addi r2,r3,-32 +8113b108: 28be232e bgeu r5,r2,8113a998 <__reset+0xfb11a998> +8113b10c: 21003fcc andi r4,r4,255 +8113b110: 20024b1e bne r4,zero,8113ba40 <___svfiprintf_internal_r+0x11f0> +8113b114: 1800d626 beq r3,zero,8113b470 <___svfiprintf_internal_r+0xc20> +8113b118: 02c00044 movi r11,1 +8113b11c: dac02015 stw r11,128(sp) +8113b120: d8c01005 stb r3,64(sp) +8113b124: d8001d85 stb zero,118(sp) +8113b128: dac02115 stw r11,132(sp) +8113b12c: dc401004 addi r17,sp,64 +8113b130: 003f2606 br 8113adcc <__reset+0xfb11adcc> +8113b134: 94802014 ori r18,r18,128 +8113b138: 98c00007 ldb r3,0(r19) +8113b13c: 003e1306 br 8113a98c <__reset+0xfb11a98c> +8113b140: 98c00007 ldb r3,0(r19) +8113b144: 9ac00044 addi r11,r19,1 +8113b148: 1a822b26 beq r3,r10,8113b9f8 <___svfiprintf_internal_r+0x11a8> +8113b14c: 18bff404 addi r2,r3,-48 +8113b150: 0021883a mov r16,zero +8113b154: 30821e36 bltu r6,r2,8113b9d0 <___svfiprintf_internal_r+0x1180> +8113b158: 58c00007 ldb r3,0(r11) +8113b15c: 840002a4 muli r16,r16,10 +8113b160: 5cc00044 addi r19,r11,1 +8113b164: 9817883a mov r11,r19 +8113b168: 80a1883a add r16,r16,r2 +8113b16c: 18bff404 addi r2,r3,-48 +8113b170: 30bff92e bgeu r6,r2,8113b158 <__reset+0xfb11b158> +8113b174: 803e060e bge r16,zero,8113a990 <__reset+0xfb11a990> +8113b178: 043fffc4 movi r16,-1 +8113b17c: 003e0406 br 8113a990 <__reset+0xfb11a990> +8113b180: 21003fcc andi r4,r4,255 +8113b184: 2002371e bne r4,zero,8113ba64 <___svfiprintf_internal_r+0x1214> +8113b188: 94800414 ori r18,r18,16 +8113b18c: 9080080c andi r2,r18,32 +8113b190: 103ebb1e bne r2,zero,8113ac80 <__reset+0xfb11ac80> +8113b194: 9080040c andi r2,r18,16 +8113b198: 10013b26 beq r2,zero,8113b688 <___svfiprintf_internal_r+0xe38> +8113b19c: dac02317 ldw r11,140(sp) +8113b1a0: d8001d85 stb zero,118(sp) +8113b1a4: 0013883a mov r9,zero +8113b1a8: 58800104 addi r2,r11,4 +8113b1ac: 5f000017 ldw fp,0(r11) +8113b1b0: 80013d16 blt r16,zero,8113b6a8 <___svfiprintf_internal_r+0xe58> +8113b1b4: 00ffdfc4 movi r3,-129 +8113b1b8: d8802315 stw r2,140(sp) +8113b1bc: 90e4703a and r18,r18,r3 +8113b1c0: 0015883a mov r10,zero +8113b1c4: e03eba26 beq fp,zero,8113acb0 <__reset+0xfb11acb0> +8113b1c8: 00800244 movi r2,9 +8113b1cc: 173f7236 bltu r2,fp,8113af98 <__reset+0xfb11af98> +8113b1d0: dac02b17 ldw r11,172(sp) +8113b1d4: e7000c04 addi fp,fp,48 +8113b1d8: df0019c5 stb fp,103(sp) +8113b1dc: dac02115 stw r11,132(sp) +8113b1e0: dc4019c4 addi r17,sp,103 +8113b1e4: 003eb606 br 8113acc0 <__reset+0xfb11acc0> +8113b1e8: 21003fcc andi r4,r4,255 +8113b1ec: 20021f1e bne r4,zero,8113ba6c <___svfiprintf_internal_r+0x121c> +8113b1f0: 94800414 ori r18,r18,16 +8113b1f4: 9080080c andi r2,r18,32 +8113b1f8: 103ec51e bne r2,zero,8113ad10 <__reset+0xfb11ad10> +8113b1fc: 9080040c andi r2,r18,16 +8113b200: 10016926 beq r2,zero,8113b7a8 <___svfiprintf_internal_r+0xf58> +8113b204: dac02317 ldw r11,140(sp) +8113b208: d8001d85 stb zero,118(sp) +8113b20c: 0013883a mov r9,zero +8113b210: 58800104 addi r2,r11,4 +8113b214: 5f000017 ldw fp,0(r11) +8113b218: 80016b16 blt r16,zero,8113b7c8 <___svfiprintf_internal_r+0xf78> +8113b21c: 00ffdfc4 movi r3,-129 +8113b220: d8802315 stw r2,140(sp) +8113b224: 90e4703a and r18,r18,r3 +8113b228: e03ec41e bne fp,zero,8113ad3c <__reset+0xfb11ad3c> +8113b22c: 0015883a mov r10,zero +8113b230: 8001c226 beq r16,zero,8113b93c <___svfiprintf_internal_r+0x10ec> +8113b234: 0039883a mov fp,zero +8113b238: 0013883a mov r9,zero +8113b23c: 003ec006 br 8113ad40 <__reset+0xfb11ad40> +8113b240: d8c01c17 ldw r3,112(sp) +8113b244: d8801b17 ldw r2,108(sp) +8113b248: d9001d87 ldb r4,118(sp) +8113b24c: 20000b26 beq r4,zero,8113b27c <___svfiprintf_internal_r+0xa2c> +8113b250: d9001d84 addi r4,sp,118 +8113b254: 18c00044 addi r3,r3,1 +8113b258: 10800044 addi r2,r2,1 +8113b25c: 41000015 stw r4,0(r8) +8113b260: 01000044 movi r4,1 +8113b264: 41000115 stw r4,4(r8) +8113b268: d8c01c15 stw r3,112(sp) +8113b26c: d8801b15 stw r2,108(sp) +8113b270: 010001c4 movi r4,7 +8113b274: 2080e116 blt r4,r2,8113b5fc <___svfiprintf_internal_r+0xdac> +8113b278: 42000204 addi r8,r8,8 +8113b27c: dac02217 ldw r11,136(sp) +8113b280: 58000b26 beq r11,zero,8113b2b0 <___svfiprintf_internal_r+0xa60> +8113b284: d9001d04 addi r4,sp,116 +8113b288: 18c00084 addi r3,r3,2 +8113b28c: 10800044 addi r2,r2,1 +8113b290: 41000015 stw r4,0(r8) +8113b294: 01000084 movi r4,2 +8113b298: 41000115 stw r4,4(r8) +8113b29c: d8c01c15 stw r3,112(sp) +8113b2a0: d8801b15 stw r2,108(sp) +8113b2a4: 010001c4 movi r4,7 +8113b2a8: 2080dd16 blt r4,r2,8113b620 <___svfiprintf_internal_r+0xdd0> +8113b2ac: 42000204 addi r8,r8,8 +8113b2b0: dac02617 ldw r11,152(sp) +8113b2b4: 01002004 movi r4,128 +8113b2b8: 59008426 beq r11,r4,8113b4cc <___svfiprintf_internal_r+0xc7c> +8113b2bc: dac02117 ldw r11,132(sp) +8113b2c0: 82e1c83a sub r16,r16,r11 +8113b2c4: 0400270e bge zero,r16,8113b364 <___svfiprintf_internal_r+0xb14> +8113b2c8: 01c00404 movi r7,16 +8113b2cc: 3c016a0e bge r7,r16,8113b878 <___svfiprintf_internal_r+0x1028> +8113b2d0: 01604574 movhi r5,33045 +8113b2d4: 297f5004 addi r5,r5,-704 +8113b2d8: d9402215 stw r5,136(sp) +8113b2dc: 070001c4 movi fp,7 +8113b2e0: 00000306 br 8113b2f0 <___svfiprintf_internal_r+0xaa0> +8113b2e4: 843ffc04 addi r16,r16,-16 +8113b2e8: 42000204 addi r8,r8,8 +8113b2ec: 3c00130e bge r7,r16,8113b33c <___svfiprintf_internal_r+0xaec> +8113b2f0: 18c00404 addi r3,r3,16 +8113b2f4: 10800044 addi r2,r2,1 +8113b2f8: 45000015 stw r20,0(r8) +8113b2fc: 41c00115 stw r7,4(r8) +8113b300: d8c01c15 stw r3,112(sp) +8113b304: d8801b15 stw r2,108(sp) +8113b308: e0bff60e bge fp,r2,8113b2e4 <__reset+0xfb11b2e4> +8113b30c: d9801a04 addi r6,sp,104 +8113b310: b80b883a mov r5,r23 +8113b314: a809883a mov r4,r21 +8113b318: d9c02c15 stw r7,176(sp) +8113b31c: 113a6800 call 8113a680 <__ssprint_r> +8113b320: d9c02c17 ldw r7,176(sp) +8113b324: 1000581e bne r2,zero,8113b488 <___svfiprintf_internal_r+0xc38> +8113b328: 843ffc04 addi r16,r16,-16 +8113b32c: d8c01c17 ldw r3,112(sp) +8113b330: d8801b17 ldw r2,108(sp) +8113b334: d811883a mov r8,sp +8113b338: 3c3fed16 blt r7,r16,8113b2f0 <__reset+0xfb11b2f0> +8113b33c: dac02217 ldw r11,136(sp) +8113b340: 1c07883a add r3,r3,r16 +8113b344: 10800044 addi r2,r2,1 +8113b348: 42c00015 stw r11,0(r8) +8113b34c: 44000115 stw r16,4(r8) +8113b350: d8c01c15 stw r3,112(sp) +8113b354: d8801b15 stw r2,108(sp) +8113b358: 010001c4 movi r4,7 +8113b35c: 20809e16 blt r4,r2,8113b5d8 <___svfiprintf_internal_r+0xd88> +8113b360: 42000204 addi r8,r8,8 +8113b364: dac02117 ldw r11,132(sp) +8113b368: 10800044 addi r2,r2,1 +8113b36c: 44400015 stw r17,0(r8) +8113b370: 58c7883a add r3,r11,r3 +8113b374: 42c00115 stw r11,4(r8) +8113b378: d8c01c15 stw r3,112(sp) +8113b37c: d8801b15 stw r2,108(sp) +8113b380: 010001c4 movi r4,7 +8113b384: 20807f16 blt r4,r2,8113b584 <___svfiprintf_internal_r+0xd34> 8113b388: 42000204 addi r8,r8,8 -8113b38c: 8c00110e bge r17,r16,8113b3d4 <___svfiprintf_internal_r+0xbc4> -8113b390: 18c00404 addi r3,r3,16 -8113b394: 10800044 addi r2,r2,1 -8113b398: 45800015 stw r22,0(r8) -8113b39c: 44400115 stw r17,4(r8) -8113b3a0: d8c01c15 stw r3,112(sp) -8113b3a4: d8801b15 stw r2,108(sp) -8113b3a8: 90bff60e bge r18,r2,8113b384 <__reset+0xfb11b384> -8113b3ac: d9801a04 addi r6,sp,104 -8113b3b0: b80b883a mov r5,r23 -8113b3b4: a809883a mov r4,r21 -8113b3b8: 113a6400 call 8113a640 <__ssprint_r> -8113b3bc: 1000221e bne r2,zero,8113b448 <___svfiprintf_internal_r+0xc38> -8113b3c0: 843ffc04 addi r16,r16,-16 -8113b3c4: d8c01c17 ldw r3,112(sp) -8113b3c8: d8801b17 ldw r2,108(sp) -8113b3cc: d811883a mov r8,sp -8113b3d0: 8c3fef16 blt r17,r16,8113b390 <__reset+0xfb11b390> -8113b3d4: dac02817 ldw r11,160(sp) -8113b3d8: 1c07883a add r3,r3,r16 -8113b3dc: 10800044 addi r2,r2,1 -8113b3e0: 42c00015 stw r11,0(r8) -8113b3e4: 44000115 stw r16,4(r8) -8113b3e8: d8c01c15 stw r3,112(sp) -8113b3ec: d8801b15 stw r2,108(sp) -8113b3f0: 010001c4 movi r4,7 -8113b3f4: 2080aa16 blt r4,r2,8113b6a0 <___svfiprintf_internal_r+0xe90> -8113b3f8: d8802417 ldw r2,144(sp) -8113b3fc: dac02017 ldw r11,128(sp) -8113b400: 12c0010e bge r2,r11,8113b408 <___svfiprintf_internal_r+0xbf8> -8113b404: 5805883a mov r2,r11 -8113b408: dac02517 ldw r11,148(sp) -8113b40c: 5897883a add r11,r11,r2 -8113b410: dac02515 stw r11,148(sp) -8113b414: 1800531e bne r3,zero,8113b564 <___svfiprintf_internal_r+0xd54> -8113b418: 98800007 ldb r2,0(r19) -8113b41c: d8001b15 stw zero,108(sp) -8113b420: d811883a mov r8,sp -8113b424: 103d231e bne r2,zero,8113a8b4 <__reset+0xfb11a8b4> -8113b428: 9823883a mov r17,r19 -8113b42c: 003d3906 br 8113a914 <__reset+0xfb11a914> -8113b430: d8801c17 ldw r2,112(sp) -8113b434: 10000426 beq r2,zero,8113b448 <___svfiprintf_internal_r+0xc38> -8113b438: d9402717 ldw r5,156(sp) -8113b43c: d9002a17 ldw r4,168(sp) -8113b440: d9801a04 addi r6,sp,104 -8113b444: 113a6400 call 8113a640 <__ssprint_r> -8113b448: dac02717 ldw r11,156(sp) -8113b44c: d8802517 ldw r2,148(sp) -8113b450: 58c0030b ldhu r3,12(r11) -8113b454: 18c0100c andi r3,r3,64 -8113b458: 1801381e bne r3,zero,8113b93c <___svfiprintf_internal_r+0x112c> -8113b45c: dfc03717 ldw ra,220(sp) -8113b460: df003617 ldw fp,216(sp) -8113b464: ddc03517 ldw r23,212(sp) -8113b468: dd803417 ldw r22,208(sp) -8113b46c: dd403317 ldw r21,204(sp) -8113b470: dd003217 ldw r20,200(sp) -8113b474: dcc03117 ldw r19,196(sp) -8113b478: dc803017 ldw r18,192(sp) -8113b47c: dc402f17 ldw r17,188(sp) -8113b480: dc002e17 ldw r16,184(sp) -8113b484: dec03804 addi sp,sp,224 -8113b488: f800283a ret -8113b48c: dac02417 ldw r11,144(sp) -8113b490: d9002017 ldw r4,128(sp) -8113b494: 5939c83a sub fp,r11,r4 -8113b498: 073f780e bge zero,fp,8113b27c <__reset+0xfb11b27c> -8113b49c: 02400404 movi r9,16 -8113b4a0: 4f01370e bge r9,fp,8113b980 <___svfiprintf_internal_r+0x1170> -8113b4a4: 02e04574 movhi r11,33045 -8113b4a8: 5aff3f04 addi r11,r11,-772 -8113b4ac: dac02215 stw r11,136(sp) -8113b4b0: 028001c4 movi r10,7 -8113b4b4: 00000306 br 8113b4c4 <___svfiprintf_internal_r+0xcb4> -8113b4b8: e73ffc04 addi fp,fp,-16 -8113b4bc: 42000204 addi r8,r8,8 -8113b4c0: 4f00150e bge r9,fp,8113b518 <___svfiprintf_internal_r+0xd08> -8113b4c4: 18c00404 addi r3,r3,16 -8113b4c8: 10800044 addi r2,r2,1 -8113b4cc: 45000015 stw r20,0(r8) -8113b4d0: 42400115 stw r9,4(r8) -8113b4d4: d8c01c15 stw r3,112(sp) -8113b4d8: d8801b15 stw r2,108(sp) -8113b4dc: 50bff60e bge r10,r2,8113b4b8 <__reset+0xfb11b4b8> -8113b4e0: d9801a04 addi r6,sp,104 -8113b4e4: b80b883a mov r5,r23 -8113b4e8: a809883a mov r4,r21 -8113b4ec: da402c15 stw r9,176(sp) -8113b4f0: da802d15 stw r10,180(sp) -8113b4f4: 113a6400 call 8113a640 <__ssprint_r> -8113b4f8: da402c17 ldw r9,176(sp) -8113b4fc: da802d17 ldw r10,180(sp) -8113b500: 103fd11e bne r2,zero,8113b448 <__reset+0xfb11b448> -8113b504: e73ffc04 addi fp,fp,-16 -8113b508: d8c01c17 ldw r3,112(sp) -8113b50c: d8801b17 ldw r2,108(sp) -8113b510: d811883a mov r8,sp -8113b514: 4f3feb16 blt r9,fp,8113b4c4 <__reset+0xfb11b4c4> -8113b518: dac02217 ldw r11,136(sp) -8113b51c: 1f07883a add r3,r3,fp -8113b520: 10800044 addi r2,r2,1 -8113b524: 42c00015 stw r11,0(r8) -8113b528: 47000115 stw fp,4(r8) -8113b52c: d8c01c15 stw r3,112(sp) -8113b530: d8801b15 stw r2,108(sp) -8113b534: 010001c4 movi r4,7 -8113b538: 2080b616 blt r4,r2,8113b814 <___svfiprintf_internal_r+0x1004> -8113b53c: 42000204 addi r8,r8,8 -8113b540: 003f4e06 br 8113b27c <__reset+0xfb11b27c> -8113b544: d9801a04 addi r6,sp,104 -8113b548: b80b883a mov r5,r23 -8113b54c: a809883a mov r4,r21 -8113b550: 113a6400 call 8113a640 <__ssprint_r> -8113b554: 103fbc1e bne r2,zero,8113b448 <__reset+0xfb11b448> -8113b558: d8c01c17 ldw r3,112(sp) -8113b55c: d811883a mov r8,sp -8113b560: 003f7a06 br 8113b34c <__reset+0xfb11b34c> -8113b564: d9801a04 addi r6,sp,104 -8113b568: b80b883a mov r5,r23 -8113b56c: a809883a mov r4,r21 -8113b570: 113a6400 call 8113a640 <__ssprint_r> -8113b574: 103fa826 beq r2,zero,8113b418 <__reset+0xfb11b418> -8113b578: 003fb306 br 8113b448 <__reset+0xfb11b448> -8113b57c: d9801a04 addi r6,sp,104 -8113b580: b80b883a mov r5,r23 -8113b584: a809883a mov r4,r21 -8113b588: 113a6400 call 8113a640 <__ssprint_r> -8113b58c: 103fae1e bne r2,zero,8113b448 <__reset+0xfb11b448> -8113b590: d811883a mov r8,sp -8113b594: 003cdc06 br 8113a908 <__reset+0xfb11a908> -8113b598: d9801a04 addi r6,sp,104 -8113b59c: b80b883a mov r5,r23 -8113b5a0: a809883a mov r4,r21 -8113b5a4: 113a6400 call 8113a640 <__ssprint_r> -8113b5a8: 103fa71e bne r2,zero,8113b448 <__reset+0xfb11b448> -8113b5ac: d8c01c17 ldw r3,112(sp) -8113b5b0: d8801b17 ldw r2,108(sp) -8113b5b4: d811883a mov r8,sp -8113b5b8: 003f5a06 br 8113b324 <__reset+0xfb11b324> +8113b38c: 9480010c andi r18,r18,4 +8113b390: 90002926 beq r18,zero,8113b438 <___svfiprintf_internal_r+0xbe8> +8113b394: dac02417 ldw r11,144(sp) +8113b398: d8802017 ldw r2,128(sp) +8113b39c: 58a1c83a sub r16,r11,r2 +8113b3a0: 0400250e bge zero,r16,8113b438 <___svfiprintf_internal_r+0xbe8> +8113b3a4: 04400404 movi r17,16 +8113b3a8: d8801b17 ldw r2,108(sp) +8113b3ac: 8c017c0e bge r17,r16,8113b9a0 <___svfiprintf_internal_r+0x1150> +8113b3b0: 01604574 movhi r5,33045 +8113b3b4: 297f5404 addi r5,r5,-688 +8113b3b8: d9402815 stw r5,160(sp) +8113b3bc: 048001c4 movi r18,7 +8113b3c0: 00000306 br 8113b3d0 <___svfiprintf_internal_r+0xb80> +8113b3c4: 843ffc04 addi r16,r16,-16 +8113b3c8: 42000204 addi r8,r8,8 +8113b3cc: 8c00110e bge r17,r16,8113b414 <___svfiprintf_internal_r+0xbc4> +8113b3d0: 18c00404 addi r3,r3,16 +8113b3d4: 10800044 addi r2,r2,1 +8113b3d8: 45800015 stw r22,0(r8) +8113b3dc: 44400115 stw r17,4(r8) +8113b3e0: d8c01c15 stw r3,112(sp) +8113b3e4: d8801b15 stw r2,108(sp) +8113b3e8: 90bff60e bge r18,r2,8113b3c4 <__reset+0xfb11b3c4> +8113b3ec: d9801a04 addi r6,sp,104 +8113b3f0: b80b883a mov r5,r23 +8113b3f4: a809883a mov r4,r21 +8113b3f8: 113a6800 call 8113a680 <__ssprint_r> +8113b3fc: 1000221e bne r2,zero,8113b488 <___svfiprintf_internal_r+0xc38> +8113b400: 843ffc04 addi r16,r16,-16 +8113b404: d8c01c17 ldw r3,112(sp) +8113b408: d8801b17 ldw r2,108(sp) +8113b40c: d811883a mov r8,sp +8113b410: 8c3fef16 blt r17,r16,8113b3d0 <__reset+0xfb11b3d0> +8113b414: dac02817 ldw r11,160(sp) +8113b418: 1c07883a add r3,r3,r16 +8113b41c: 10800044 addi r2,r2,1 +8113b420: 42c00015 stw r11,0(r8) +8113b424: 44000115 stw r16,4(r8) +8113b428: d8c01c15 stw r3,112(sp) +8113b42c: d8801b15 stw r2,108(sp) +8113b430: 010001c4 movi r4,7 +8113b434: 2080aa16 blt r4,r2,8113b6e0 <___svfiprintf_internal_r+0xe90> +8113b438: d8802417 ldw r2,144(sp) +8113b43c: dac02017 ldw r11,128(sp) +8113b440: 12c0010e bge r2,r11,8113b448 <___svfiprintf_internal_r+0xbf8> +8113b444: 5805883a mov r2,r11 +8113b448: dac02517 ldw r11,148(sp) +8113b44c: 5897883a add r11,r11,r2 +8113b450: dac02515 stw r11,148(sp) +8113b454: 1800531e bne r3,zero,8113b5a4 <___svfiprintf_internal_r+0xd54> +8113b458: 98800007 ldb r2,0(r19) +8113b45c: d8001b15 stw zero,108(sp) +8113b460: d811883a mov r8,sp +8113b464: 103d231e bne r2,zero,8113a8f4 <__reset+0xfb11a8f4> +8113b468: 9823883a mov r17,r19 +8113b46c: 003d3906 br 8113a954 <__reset+0xfb11a954> +8113b470: d8801c17 ldw r2,112(sp) +8113b474: 10000426 beq r2,zero,8113b488 <___svfiprintf_internal_r+0xc38> +8113b478: d9402717 ldw r5,156(sp) +8113b47c: d9002a17 ldw r4,168(sp) +8113b480: d9801a04 addi r6,sp,104 +8113b484: 113a6800 call 8113a680 <__ssprint_r> +8113b488: dac02717 ldw r11,156(sp) +8113b48c: d8802517 ldw r2,148(sp) +8113b490: 58c0030b ldhu r3,12(r11) +8113b494: 18c0100c andi r3,r3,64 +8113b498: 1801381e bne r3,zero,8113b97c <___svfiprintf_internal_r+0x112c> +8113b49c: dfc03717 ldw ra,220(sp) +8113b4a0: df003617 ldw fp,216(sp) +8113b4a4: ddc03517 ldw r23,212(sp) +8113b4a8: dd803417 ldw r22,208(sp) +8113b4ac: dd403317 ldw r21,204(sp) +8113b4b0: dd003217 ldw r20,200(sp) +8113b4b4: dcc03117 ldw r19,196(sp) +8113b4b8: dc803017 ldw r18,192(sp) +8113b4bc: dc402f17 ldw r17,188(sp) +8113b4c0: dc002e17 ldw r16,184(sp) +8113b4c4: dec03804 addi sp,sp,224 +8113b4c8: f800283a ret +8113b4cc: dac02417 ldw r11,144(sp) +8113b4d0: d9002017 ldw r4,128(sp) +8113b4d4: 5939c83a sub fp,r11,r4 +8113b4d8: 073f780e bge zero,fp,8113b2bc <__reset+0xfb11b2bc> +8113b4dc: 02400404 movi r9,16 +8113b4e0: 4f01370e bge r9,fp,8113b9c0 <___svfiprintf_internal_r+0x1170> +8113b4e4: 02e04574 movhi r11,33045 +8113b4e8: 5aff5004 addi r11,r11,-704 +8113b4ec: dac02215 stw r11,136(sp) +8113b4f0: 028001c4 movi r10,7 +8113b4f4: 00000306 br 8113b504 <___svfiprintf_internal_r+0xcb4> +8113b4f8: e73ffc04 addi fp,fp,-16 +8113b4fc: 42000204 addi r8,r8,8 +8113b500: 4f00150e bge r9,fp,8113b558 <___svfiprintf_internal_r+0xd08> +8113b504: 18c00404 addi r3,r3,16 +8113b508: 10800044 addi r2,r2,1 +8113b50c: 45000015 stw r20,0(r8) +8113b510: 42400115 stw r9,4(r8) +8113b514: d8c01c15 stw r3,112(sp) +8113b518: d8801b15 stw r2,108(sp) +8113b51c: 50bff60e bge r10,r2,8113b4f8 <__reset+0xfb11b4f8> +8113b520: d9801a04 addi r6,sp,104 +8113b524: b80b883a mov r5,r23 +8113b528: a809883a mov r4,r21 +8113b52c: da402c15 stw r9,176(sp) +8113b530: da802d15 stw r10,180(sp) +8113b534: 113a6800 call 8113a680 <__ssprint_r> +8113b538: da402c17 ldw r9,176(sp) +8113b53c: da802d17 ldw r10,180(sp) +8113b540: 103fd11e bne r2,zero,8113b488 <__reset+0xfb11b488> +8113b544: e73ffc04 addi fp,fp,-16 +8113b548: d8c01c17 ldw r3,112(sp) +8113b54c: d8801b17 ldw r2,108(sp) +8113b550: d811883a mov r8,sp +8113b554: 4f3feb16 blt r9,fp,8113b504 <__reset+0xfb11b504> +8113b558: dac02217 ldw r11,136(sp) +8113b55c: 1f07883a add r3,r3,fp +8113b560: 10800044 addi r2,r2,1 +8113b564: 42c00015 stw r11,0(r8) +8113b568: 47000115 stw fp,4(r8) +8113b56c: d8c01c15 stw r3,112(sp) +8113b570: d8801b15 stw r2,108(sp) +8113b574: 010001c4 movi r4,7 +8113b578: 2080b616 blt r4,r2,8113b854 <___svfiprintf_internal_r+0x1004> +8113b57c: 42000204 addi r8,r8,8 +8113b580: 003f4e06 br 8113b2bc <__reset+0xfb11b2bc> +8113b584: d9801a04 addi r6,sp,104 +8113b588: b80b883a mov r5,r23 +8113b58c: a809883a mov r4,r21 +8113b590: 113a6800 call 8113a680 <__ssprint_r> +8113b594: 103fbc1e bne r2,zero,8113b488 <__reset+0xfb11b488> +8113b598: d8c01c17 ldw r3,112(sp) +8113b59c: d811883a mov r8,sp +8113b5a0: 003f7a06 br 8113b38c <__reset+0xfb11b38c> +8113b5a4: d9801a04 addi r6,sp,104 +8113b5a8: b80b883a mov r5,r23 +8113b5ac: a809883a mov r4,r21 +8113b5b0: 113a6800 call 8113a680 <__ssprint_r> +8113b5b4: 103fa826 beq r2,zero,8113b458 <__reset+0xfb11b458> +8113b5b8: 003fb306 br 8113b488 <__reset+0xfb11b488> 8113b5bc: d9801a04 addi r6,sp,104 8113b5c0: b80b883a mov r5,r23 8113b5c4: a809883a mov r4,r21 -8113b5c8: 113a6400 call 8113a640 <__ssprint_r> -8113b5cc: 103f9e1e bne r2,zero,8113b448 <__reset+0xfb11b448> -8113b5d0: d8c01c17 ldw r3,112(sp) -8113b5d4: d8801b17 ldw r2,108(sp) -8113b5d8: d811883a mov r8,sp -8113b5dc: 003f1706 br 8113b23c <__reset+0xfb11b23c> -8113b5e0: d9801a04 addi r6,sp,104 -8113b5e4: b80b883a mov r5,r23 -8113b5e8: a809883a mov r4,r21 -8113b5ec: 113a6400 call 8113a640 <__ssprint_r> -8113b5f0: 103f951e bne r2,zero,8113b448 <__reset+0xfb11b448> -8113b5f4: d8c01c17 ldw r3,112(sp) -8113b5f8: d8801b17 ldw r2,108(sp) -8113b5fc: d811883a mov r8,sp -8113b600: 003f1b06 br 8113b270 <__reset+0xfb11b270> -8113b604: d8001d85 stb zero,118(sp) -8113b608: 80007b16 blt r16,zero,8113b7f8 <___svfiprintf_internal_r+0xfe8> -8113b60c: 00ffdfc4 movi r3,-129 -8113b610: e244b03a or r2,fp,r9 -8113b614: 90e4703a and r18,r18,r3 -8113b618: 103d7026 beq r2,zero,8113abdc <__reset+0xfb11abdc> -8113b61c: 0015883a mov r10,zero -8113b620: 003d7206 br 8113abec <__reset+0xfb11abec> -8113b624: d9801a04 addi r6,sp,104 -8113b628: b80b883a mov r5,r23 -8113b62c: a809883a mov r4,r21 -8113b630: 113a6400 call 8113a640 <__ssprint_r> -8113b634: 103f841e bne r2,zero,8113b448 <__reset+0xfb11b448> -8113b638: d8c01c17 ldw r3,112(sp) -8113b63c: d8801b17 ldw r2,108(sp) -8113b640: d811883a mov r8,sp -8113b644: 003ef006 br 8113b208 <__reset+0xfb11b208> -8113b648: 9080100c andi r2,r18,64 -8113b64c: d8001d85 stb zero,118(sp) -8113b650: dac02317 ldw r11,140(sp) -8113b654: 10008126 beq r2,zero,8113b85c <___svfiprintf_internal_r+0x104c> -8113b658: 58800104 addi r2,r11,4 -8113b65c: 5f00000b ldhu fp,0(r11) -8113b660: 0013883a mov r9,zero -8113b664: 803ec30e bge r16,zero,8113b174 <__reset+0xfb11b174> -8113b668: d8802315 stw r2,140(sp) -8113b66c: 0015883a mov r10,zero -8113b670: e244b03a or r2,fp,r9 -8113b674: 103e371e bne r2,zero,8113af54 <__reset+0xfb11af54> -8113b678: 00800044 movi r2,1 -8113b67c: 10803fcc andi r2,r2,255 -8113b680: 00c00044 movi r3,1 -8113b684: 10c06126 beq r2,r3,8113b80c <___svfiprintf_internal_r+0xffc> -8113b688: 00c00084 movi r3,2 -8113b68c: 10fd5526 beq r2,r3,8113abe4 <__reset+0xfb11abe4> -8113b690: 003ed806 br 8113b1f4 <__reset+0xfb11b1f4> -8113b694: d8802315 stw r2,140(sp) -8113b698: 98c00007 ldb r3,0(r19) -8113b69c: 003cab06 br 8113a94c <__reset+0xfb11a94c> -8113b6a0: d9801a04 addi r6,sp,104 -8113b6a4: b80b883a mov r5,r23 -8113b6a8: a809883a mov r4,r21 -8113b6ac: 113a6400 call 8113a640 <__ssprint_r> -8113b6b0: 103f651e bne r2,zero,8113b448 <__reset+0xfb11b448> -8113b6b4: d8c01c17 ldw r3,112(sp) -8113b6b8: 003f4f06 br 8113b3f8 <__reset+0xfb11b3f8> -8113b6bc: 00a04574 movhi r2,33045 -8113b6c0: 10beb504 addi r2,r2,-1324 -8113b6c4: d8802915 stw r2,164(sp) -8113b6c8: 003d4406 br 8113abdc <__reset+0xfb11abdc> -8113b6cc: dac02317 ldw r11,140(sp) -8113b6d0: 58800017 ldw r2,0(r11) -8113b6d4: dac02517 ldw r11,148(sp) -8113b6d8: 5807d7fa srai r3,r11,31 -8113b6dc: dac02317 ldw r11,140(sp) -8113b6e0: 10c00115 stw r3,4(r2) -8113b6e4: 5ac00104 addi r11,r11,4 -8113b6e8: dac02315 stw r11,140(sp) -8113b6ec: dac02517 ldw r11,148(sp) -8113b6f0: 12c00015 stw r11,0(r2) -8113b6f4: 003c6d06 br 8113a8ac <__reset+0xfb11a8ac> -8113b6f8: 9080100c andi r2,r18,64 -8113b6fc: dac02317 ldw r11,140(sp) -8113b700: 103e5326 beq r2,zero,8113b050 <__reset+0xfb11b050> -8113b704: 5f00000f ldh fp,0(r11) -8113b708: 5ac00104 addi r11,r11,4 -8113b70c: dac02315 stw r11,140(sp) -8113b710: e013d7fa srai r9,fp,31 -8113b714: 4805883a mov r2,r9 -8113b718: 003e0706 br 8113af38 <__reset+0xfb11af38> -8113b71c: 00800c04 movi r2,48 -8113b720: d8801d05 stb r2,116(sp) -8113b724: d8c01d45 stb r3,117(sp) -8113b728: d8001d85 stb zero,118(sp) -8113b72c: 90800094 ori r2,r18,2 -8113b730: 80008f16 blt r16,zero,8113b970 <___svfiprintf_internal_r+0x1160> -8113b734: 00bfdfc4 movi r2,-129 -8113b738: 90a4703a and r18,r18,r2 -8113b73c: 94800094 ori r18,r18,2 -8113b740: 0015883a mov r10,zero -8113b744: 003d2906 br 8113abec <__reset+0xfb11abec> -8113b748: 98c00007 ldb r3,0(r19) -8113b74c: 003c7f06 br 8113a94c <__reset+0xfb11a94c> -8113b750: dac02317 ldw r11,140(sp) -8113b754: 0013883a mov r9,zero -8113b758: 5f000017 ldw fp,0(r11) -8113b75c: 5ac00104 addi r11,r11,4 -8113b760: dac02315 stw r11,140(sp) -8113b764: 003d1506 br 8113abbc <__reset+0xfb11abbc> -8113b768: 9080100c andi r2,r18,64 -8113b76c: d8001d85 stb zero,118(sp) -8113b770: dac02317 ldw r11,140(sp) -8113b774: 10003426 beq r2,zero,8113b848 <___svfiprintf_internal_r+0x1038> -8113b778: 58800104 addi r2,r11,4 -8113b77c: 5f00000b ldhu fp,0(r11) -8113b780: 0013883a mov r9,zero -8113b784: 803e950e bge r16,zero,8113b1dc <__reset+0xfb11b1dc> -8113b788: e246b03a or r3,fp,r9 -8113b78c: d8802315 stw r2,140(sp) -8113b790: 183d5a1e bne r3,zero,8113acfc <__reset+0xfb11acfc> -8113b794: 0015883a mov r10,zero -8113b798: 0005883a mov r2,zero -8113b79c: 003fb706 br 8113b67c <__reset+0xfb11b67c> -8113b7a0: 98c00043 ldbu r3,1(r19) -8113b7a4: 94800814 ori r18,r18,32 -8113b7a8: 9cc00044 addi r19,r19,1 -8113b7ac: 18c03fcc andi r3,r3,255 -8113b7b0: 18c0201c xori r3,r3,128 -8113b7b4: 18ffe004 addi r3,r3,-128 -8113b7b8: 003c6406 br 8113a94c <__reset+0xfb11a94c> -8113b7bc: d8c02315 stw r3,140(sp) -8113b7c0: 0015883a mov r10,zero -8113b7c4: 003faa06 br 8113b670 <__reset+0xfb11b670> -8113b7c8: dac02317 ldw r11,140(sp) -8113b7cc: 58800017 ldw r2,0(r11) -8113b7d0: 5ac00104 addi r11,r11,4 -8113b7d4: dac02315 stw r11,140(sp) -8113b7d8: dac02517 ldw r11,148(sp) -8113b7dc: 12c00015 stw r11,0(r2) -8113b7e0: 003c3206 br 8113a8ac <__reset+0xfb11a8ac> -8113b7e4: 01204574 movhi r4,33045 -8113b7e8: 213eb504 addi r4,r4,-1324 -8113b7ec: d9002915 stw r4,164(sp) -8113b7f0: d8c02315 stw r3,140(sp) -8113b7f4: 1025883a mov r18,r2 -8113b7f8: e244b03a or r2,fp,r9 -8113b7fc: 103f871e bne r2,zero,8113b61c <__reset+0xfb11b61c> +8113b5c8: 113a6800 call 8113a680 <__ssprint_r> +8113b5cc: 103fae1e bne r2,zero,8113b488 <__reset+0xfb11b488> +8113b5d0: d811883a mov r8,sp +8113b5d4: 003cdc06 br 8113a948 <__reset+0xfb11a948> +8113b5d8: d9801a04 addi r6,sp,104 +8113b5dc: b80b883a mov r5,r23 +8113b5e0: a809883a mov r4,r21 +8113b5e4: 113a6800 call 8113a680 <__ssprint_r> +8113b5e8: 103fa71e bne r2,zero,8113b488 <__reset+0xfb11b488> +8113b5ec: d8c01c17 ldw r3,112(sp) +8113b5f0: d8801b17 ldw r2,108(sp) +8113b5f4: d811883a mov r8,sp +8113b5f8: 003f5a06 br 8113b364 <__reset+0xfb11b364> +8113b5fc: d9801a04 addi r6,sp,104 +8113b600: b80b883a mov r5,r23 +8113b604: a809883a mov r4,r21 +8113b608: 113a6800 call 8113a680 <__ssprint_r> +8113b60c: 103f9e1e bne r2,zero,8113b488 <__reset+0xfb11b488> +8113b610: d8c01c17 ldw r3,112(sp) +8113b614: d8801b17 ldw r2,108(sp) +8113b618: d811883a mov r8,sp +8113b61c: 003f1706 br 8113b27c <__reset+0xfb11b27c> +8113b620: d9801a04 addi r6,sp,104 +8113b624: b80b883a mov r5,r23 +8113b628: a809883a mov r4,r21 +8113b62c: 113a6800 call 8113a680 <__ssprint_r> +8113b630: 103f951e bne r2,zero,8113b488 <__reset+0xfb11b488> +8113b634: d8c01c17 ldw r3,112(sp) +8113b638: d8801b17 ldw r2,108(sp) +8113b63c: d811883a mov r8,sp +8113b640: 003f1b06 br 8113b2b0 <__reset+0xfb11b2b0> +8113b644: d8001d85 stb zero,118(sp) +8113b648: 80007b16 blt r16,zero,8113b838 <___svfiprintf_internal_r+0xfe8> +8113b64c: 00ffdfc4 movi r3,-129 +8113b650: e244b03a or r2,fp,r9 +8113b654: 90e4703a and r18,r18,r3 +8113b658: 103d7026 beq r2,zero,8113ac1c <__reset+0xfb11ac1c> +8113b65c: 0015883a mov r10,zero +8113b660: 003d7206 br 8113ac2c <__reset+0xfb11ac2c> +8113b664: d9801a04 addi r6,sp,104 +8113b668: b80b883a mov r5,r23 +8113b66c: a809883a mov r4,r21 +8113b670: 113a6800 call 8113a680 <__ssprint_r> +8113b674: 103f841e bne r2,zero,8113b488 <__reset+0xfb11b488> +8113b678: d8c01c17 ldw r3,112(sp) +8113b67c: d8801b17 ldw r2,108(sp) +8113b680: d811883a mov r8,sp +8113b684: 003ef006 br 8113b248 <__reset+0xfb11b248> +8113b688: 9080100c andi r2,r18,64 +8113b68c: d8001d85 stb zero,118(sp) +8113b690: dac02317 ldw r11,140(sp) +8113b694: 10008126 beq r2,zero,8113b89c <___svfiprintf_internal_r+0x104c> +8113b698: 58800104 addi r2,r11,4 +8113b69c: 5f00000b ldhu fp,0(r11) +8113b6a0: 0013883a mov r9,zero +8113b6a4: 803ec30e bge r16,zero,8113b1b4 <__reset+0xfb11b1b4> +8113b6a8: d8802315 stw r2,140(sp) +8113b6ac: 0015883a mov r10,zero +8113b6b0: e244b03a or r2,fp,r9 +8113b6b4: 103e371e bne r2,zero,8113af94 <__reset+0xfb11af94> +8113b6b8: 00800044 movi r2,1 +8113b6bc: 10803fcc andi r2,r2,255 +8113b6c0: 00c00044 movi r3,1 +8113b6c4: 10c06126 beq r2,r3,8113b84c <___svfiprintf_internal_r+0xffc> +8113b6c8: 00c00084 movi r3,2 +8113b6cc: 10fd5526 beq r2,r3,8113ac24 <__reset+0xfb11ac24> +8113b6d0: 003ed806 br 8113b234 <__reset+0xfb11b234> +8113b6d4: d8802315 stw r2,140(sp) +8113b6d8: 98c00007 ldb r3,0(r19) +8113b6dc: 003cab06 br 8113a98c <__reset+0xfb11a98c> +8113b6e0: d9801a04 addi r6,sp,104 +8113b6e4: b80b883a mov r5,r23 +8113b6e8: a809883a mov r4,r21 +8113b6ec: 113a6800 call 8113a680 <__ssprint_r> +8113b6f0: 103f651e bne r2,zero,8113b488 <__reset+0xfb11b488> +8113b6f4: d8c01c17 ldw r3,112(sp) +8113b6f8: 003f4f06 br 8113b438 <__reset+0xfb11b438> +8113b6fc: 00a04574 movhi r2,33045 +8113b700: 10bec604 addi r2,r2,-1256 +8113b704: d8802915 stw r2,164(sp) +8113b708: 003d4406 br 8113ac1c <__reset+0xfb11ac1c> +8113b70c: dac02317 ldw r11,140(sp) +8113b710: 58800017 ldw r2,0(r11) +8113b714: dac02517 ldw r11,148(sp) +8113b718: 5807d7fa srai r3,r11,31 +8113b71c: dac02317 ldw r11,140(sp) +8113b720: 10c00115 stw r3,4(r2) +8113b724: 5ac00104 addi r11,r11,4 +8113b728: dac02315 stw r11,140(sp) +8113b72c: dac02517 ldw r11,148(sp) +8113b730: 12c00015 stw r11,0(r2) +8113b734: 003c6d06 br 8113a8ec <__reset+0xfb11a8ec> +8113b738: 9080100c andi r2,r18,64 +8113b73c: dac02317 ldw r11,140(sp) +8113b740: 103e5326 beq r2,zero,8113b090 <__reset+0xfb11b090> +8113b744: 5f00000f ldh fp,0(r11) +8113b748: 5ac00104 addi r11,r11,4 +8113b74c: dac02315 stw r11,140(sp) +8113b750: e013d7fa srai r9,fp,31 +8113b754: 4805883a mov r2,r9 +8113b758: 003e0706 br 8113af78 <__reset+0xfb11af78> +8113b75c: 00800c04 movi r2,48 +8113b760: d8801d05 stb r2,116(sp) +8113b764: d8c01d45 stb r3,117(sp) +8113b768: d8001d85 stb zero,118(sp) +8113b76c: 90800094 ori r2,r18,2 +8113b770: 80008f16 blt r16,zero,8113b9b0 <___svfiprintf_internal_r+0x1160> +8113b774: 00bfdfc4 movi r2,-129 +8113b778: 90a4703a and r18,r18,r2 +8113b77c: 94800094 ori r18,r18,2 +8113b780: 0015883a mov r10,zero +8113b784: 003d2906 br 8113ac2c <__reset+0xfb11ac2c> +8113b788: 98c00007 ldb r3,0(r19) +8113b78c: 003c7f06 br 8113a98c <__reset+0xfb11a98c> +8113b790: dac02317 ldw r11,140(sp) +8113b794: 0013883a mov r9,zero +8113b798: 5f000017 ldw fp,0(r11) +8113b79c: 5ac00104 addi r11,r11,4 +8113b7a0: dac02315 stw r11,140(sp) +8113b7a4: 003d1506 br 8113abfc <__reset+0xfb11abfc> +8113b7a8: 9080100c andi r2,r18,64 +8113b7ac: d8001d85 stb zero,118(sp) +8113b7b0: dac02317 ldw r11,140(sp) +8113b7b4: 10003426 beq r2,zero,8113b888 <___svfiprintf_internal_r+0x1038> +8113b7b8: 58800104 addi r2,r11,4 +8113b7bc: 5f00000b ldhu fp,0(r11) +8113b7c0: 0013883a mov r9,zero +8113b7c4: 803e950e bge r16,zero,8113b21c <__reset+0xfb11b21c> +8113b7c8: e246b03a or r3,fp,r9 +8113b7cc: d8802315 stw r2,140(sp) +8113b7d0: 183d5a1e bne r3,zero,8113ad3c <__reset+0xfb11ad3c> +8113b7d4: 0015883a mov r10,zero +8113b7d8: 0005883a mov r2,zero +8113b7dc: 003fb706 br 8113b6bc <__reset+0xfb11b6bc> +8113b7e0: 98c00043 ldbu r3,1(r19) +8113b7e4: 94800814 ori r18,r18,32 +8113b7e8: 9cc00044 addi r19,r19,1 +8113b7ec: 18c03fcc andi r3,r3,255 +8113b7f0: 18c0201c xori r3,r3,128 +8113b7f4: 18ffe004 addi r3,r3,-128 +8113b7f8: 003c6406 br 8113a98c <__reset+0xfb11a98c> +8113b7fc: d8c02315 stw r3,140(sp) 8113b800: 0015883a mov r10,zero -8113b804: 00800084 movi r2,2 -8113b808: 003f9c06 br 8113b67c <__reset+0xfb11b67c> -8113b80c: 0039883a mov fp,zero -8113b810: 003e5f06 br 8113b190 <__reset+0xfb11b190> -8113b814: d9801a04 addi r6,sp,104 -8113b818: b80b883a mov r5,r23 -8113b81c: a809883a mov r4,r21 -8113b820: 113a6400 call 8113a640 <__ssprint_r> -8113b824: 103f081e bne r2,zero,8113b448 <__reset+0xfb11b448> -8113b828: d8c01c17 ldw r3,112(sp) -8113b82c: d8801b17 ldw r2,108(sp) -8113b830: d811883a mov r8,sp -8113b834: 003e9106 br 8113b27c <__reset+0xfb11b27c> -8113b838: 01204574 movhi r4,33045 -8113b83c: 213f3f04 addi r4,r4,-772 -8113b840: d9002215 stw r4,136(sp) -8113b844: 003ead06 br 8113b2fc <__reset+0xfb11b2fc> -8113b848: 58800104 addi r2,r11,4 -8113b84c: 5f000017 ldw fp,0(r11) -8113b850: 0013883a mov r9,zero -8113b854: 803e610e bge r16,zero,8113b1dc <__reset+0xfb11b1dc> -8113b858: 003fcb06 br 8113b788 <__reset+0xfb11b788> -8113b85c: 58800104 addi r2,r11,4 -8113b860: 5f000017 ldw fp,0(r11) -8113b864: 0013883a mov r9,zero -8113b868: 803e420e bge r16,zero,8113b174 <__reset+0xfb11b174> -8113b86c: 003f7e06 br 8113b668 <__reset+0xfb11b668> -8113b870: 5f000017 ldw fp,0(r11) -8113b874: 5ac00104 addi r11,r11,4 -8113b878: 0013883a mov r9,zero -8113b87c: dac02315 stw r11,140(sp) -8113b880: 003cce06 br 8113abbc <__reset+0xfb11abbc> -8113b884: 8809883a mov r4,r17 -8113b888: da002c15 stw r8,176(sp) -8113b88c: 112d86c0 call 8112d86c -8113b890: d8802115 stw r2,132(sp) -8113b894: da801d83 ldbu r10,118(sp) -8113b898: df002315 stw fp,140(sp) -8113b89c: 0021883a mov r16,zero -8113b8a0: da002c17 ldw r8,176(sp) -8113b8a4: 003cf606 br 8113ac80 <__reset+0xfb11ac80> -8113b8a8: 00800184 movi r2,6 -8113b8ac: 1400012e bgeu r2,r16,8113b8b4 <___svfiprintf_internal_r+0x10a4> -8113b8b0: 1021883a mov r16,r2 -8113b8b4: dc002115 stw r16,132(sp) -8113b8b8: 8005883a mov r2,r16 -8113b8bc: 80003c16 blt r16,zero,8113b9b0 <___svfiprintf_internal_r+0x11a0> -8113b8c0: 04604574 movhi r17,33045 -8113b8c4: d8802015 stw r2,128(sp) -8113b8c8: df002315 stw fp,140(sp) -8113b8cc: 8c7eba04 addi r17,r17,-1304 -8113b8d0: 003d2e06 br 8113ad8c <__reset+0xfb11ad8c> -8113b8d4: 04001004 movi r16,64 -8113b8d8: 800b883a mov r5,r16 -8113b8dc: 112be3c0 call 8112be3c <_malloc_r> -8113b8e0: dac02717 ldw r11,156(sp) -8113b8e4: 58800015 stw r2,0(r11) -8113b8e8: 58800415 stw r2,16(r11) -8113b8ec: 10004826 beq r2,zero,8113ba10 <___svfiprintf_internal_r+0x1200> -8113b8f0: dac02717 ldw r11,156(sp) -8113b8f4: 5c000515 stw r16,20(r11) -8113b8f8: 003bd906 br 8113a860 <__reset+0xfb11a860> -8113b8fc: 9080004c andi r2,r18,1 -8113b900: 0015883a mov r10,zero -8113b904: 10000626 beq r2,zero,8113b920 <___svfiprintf_internal_r+0x1110> -8113b908: dac02b17 ldw r11,172(sp) -8113b90c: 00800c04 movi r2,48 -8113b910: d88019c5 stb r2,103(sp) -8113b914: dac02115 stw r11,132(sp) -8113b918: dc4019c4 addi r17,sp,103 -8113b91c: 003cd806 br 8113ac80 <__reset+0xfb11ac80> -8113b920: d8002115 stw zero,132(sp) -8113b924: dc401a04 addi r17,sp,104 -8113b928: 003cd506 br 8113ac80 <__reset+0xfb11ac80> -8113b92c: 01204574 movhi r4,33045 -8113b930: 213f4304 addi r4,r4,-756 -8113b934: d9002815 stw r4,160(sp) -8113b938: 003d4306 br 8113ae48 <__reset+0xfb11ae48> -8113b93c: 00bfffc4 movi r2,-1 -8113b940: 003ec606 br 8113b45c <__reset+0xfb11b45c> -8113b944: 00800044 movi r2,1 -8113b948: 10803fcc andi r2,r2,255 -8113b94c: 00c00044 movi r3,1 -8113b950: 10fd8026 beq r2,r3,8113af54 <__reset+0xfb11af54> -8113b954: 00c00084 movi r3,2 -8113b958: 10fca426 beq r2,r3,8113abec <__reset+0xfb11abec> -8113b95c: 003ce806 br 8113ad00 <__reset+0xfb11ad00> -8113b960: 01204574 movhi r4,33045 -8113b964: 213f4304 addi r4,r4,-756 -8113b968: d9002815 stw r4,160(sp) -8113b96c: 003e9906 br 8113b3d4 <__reset+0xfb11b3d4> -8113b970: 1025883a mov r18,r2 -8113b974: 0015883a mov r10,zero -8113b978: 00800084 movi r2,2 -8113b97c: 003ff206 br 8113b948 <__reset+0xfb11b948> -8113b980: 01604574 movhi r5,33045 -8113b984: 297f3f04 addi r5,r5,-772 -8113b988: d9402215 stw r5,136(sp) -8113b98c: 003ee206 br 8113b518 <__reset+0xfb11b518> -8113b990: 5827883a mov r19,r11 -8113b994: 0021883a mov r16,zero -8113b998: 003bed06 br 8113a950 <__reset+0xfb11a950> -8113b99c: dc002115 stw r16,132(sp) -8113b9a0: da801d83 ldbu r10,118(sp) -8113b9a4: df002315 stw fp,140(sp) -8113b9a8: 0021883a mov r16,zero -8113b9ac: 003cb406 br 8113ac80 <__reset+0xfb11ac80> -8113b9b0: 0005883a mov r2,zero -8113b9b4: 003fc206 br 8113b8c0 <__reset+0xfb11b8c0> -8113b9b8: d8802317 ldw r2,140(sp) -8113b9bc: 98c00043 ldbu r3,1(r19) -8113b9c0: 5827883a mov r19,r11 -8113b9c4: 14000017 ldw r16,0(r2) -8113b9c8: 10800104 addi r2,r2,4 -8113b9cc: d8802315 stw r2,140(sp) -8113b9d0: 803f760e bge r16,zero,8113b7ac <__reset+0xfb11b7ac> -8113b9d4: 18c03fcc andi r3,r3,255 -8113b9d8: 18c0201c xori r3,r3,128 -8113b9dc: 043fffc4 movi r16,-1 -8113b9e0: 18ffe004 addi r3,r3,-128 -8113b9e4: 003bd906 br 8113a94c <__reset+0xfb11a94c> -8113b9e8: d9c01d85 stb r7,118(sp) -8113b9ec: 003cb606 br 8113acc8 <__reset+0xfb11acc8> -8113b9f0: d9c01d85 stb r7,118(sp) -8113b9f4: 003d2106 br 8113ae7c <__reset+0xfb11ae7c> -8113b9f8: d9c01d85 stb r7,118(sp) -8113b9fc: 003d8e06 br 8113b038 <__reset+0xfb11b038> -8113ba00: d9c01d85 stb r7,118(sp) -8113ba04: 003db306 br 8113b0d4 <__reset+0xfb11b0d4> -8113ba08: d9c01d85 stb r7,118(sp) -8113ba0c: 003c8a06 br 8113ac38 <__reset+0xfb11ac38> -8113ba10: dac02a17 ldw r11,168(sp) -8113ba14: 00800304 movi r2,12 -8113ba18: 58800015 stw r2,0(r11) -8113ba1c: 00bfffc4 movi r2,-1 -8113ba20: 003e8e06 br 8113b45c <__reset+0xfb11b45c> -8113ba24: d9c01d85 stb r7,118(sp) -8113ba28: 003dc706 br 8113b148 <__reset+0xfb11b148> -8113ba2c: d9c01d85 stb r7,118(sp) -8113ba30: 003ddf06 br 8113b1b0 <__reset+0xfb11b1b0> -8113ba34: d9c01d85 stb r7,118(sp) -8113ba38: 003d3706 br 8113af18 <__reset+0xfb11af18> -8113ba3c: d9c01d85 stb r7,118(sp) -8113ba40: 003c5406 br 8113ab94 <__reset+0xfb11ab94> -8113ba44: d9c01d85 stb r7,118(sp) -8113ba48: 003d1d06 br 8113aec0 <__reset+0xfb11aec0> - -8113ba4c <__submore>: -8113ba4c: defffa04 addi sp,sp,-24 -8113ba50: dc000015 stw r16,0(sp) -8113ba54: 2821883a mov r16,r5 -8113ba58: 29400c17 ldw r5,48(r5) -8113ba5c: dfc00515 stw ra,20(sp) -8113ba60: dd000415 stw r20,16(sp) -8113ba64: dcc00315 stw r19,12(sp) -8113ba68: dc800215 stw r18,8(sp) -8113ba6c: dc400115 stw r17,4(sp) -8113ba70: 80801004 addi r2,r16,64 -8113ba74: 28801726 beq r5,r2,8113bad4 <__submore+0x88> -8113ba78: 84400d17 ldw r17,52(r16) -8113ba7c: 8c67883a add r19,r17,r17 -8113ba80: 980d883a mov r6,r19 -8113ba84: 11382000 call 81138200 <_realloc_r> -8113ba88: 1025883a mov r18,r2 -8113ba8c: 10002226 beq r2,zero,8113bb18 <__submore+0xcc> -8113ba90: 1469883a add r20,r2,r17 -8113ba94: 880d883a mov r6,r17 -8113ba98: 100b883a mov r5,r2 -8113ba9c: a009883a mov r4,r20 -8113baa0: 112c6480 call 8112c648 -8113baa4: 0005883a mov r2,zero -8113baa8: 85000015 stw r20,0(r16) -8113baac: 84800c15 stw r18,48(r16) -8113bab0: 84c00d15 stw r19,52(r16) -8113bab4: dfc00517 ldw ra,20(sp) -8113bab8: dd000417 ldw r20,16(sp) -8113babc: dcc00317 ldw r19,12(sp) -8113bac0: dc800217 ldw r18,8(sp) -8113bac4: dc400117 ldw r17,4(sp) -8113bac8: dc000017 ldw r16,0(sp) -8113bacc: dec00604 addi sp,sp,24 -8113bad0: f800283a ret -8113bad4: 04410004 movi r17,1024 -8113bad8: 880b883a mov r5,r17 -8113badc: 112be3c0 call 8112be3c <_malloc_r> -8113bae0: 1007883a mov r3,r2 -8113bae4: 10000c26 beq r2,zero,8113bb18 <__submore+0xcc> -8113bae8: 80801083 ldbu r2,66(r16) -8113baec: 80c00c15 stw r3,48(r16) -8113baf0: 84400d15 stw r17,52(r16) -8113baf4: 1880ffc5 stb r2,1023(r3) -8113baf8: 81401043 ldbu r5,65(r16) -8113bafc: 1900ff44 addi r4,r3,1021 -8113bb00: 0005883a mov r2,zero -8113bb04: 1940ff85 stb r5,1022(r3) -8113bb08: 81401003 ldbu r5,64(r16) -8113bb0c: 1940ff45 stb r5,1021(r3) -8113bb10: 81000015 stw r4,0(r16) -8113bb14: 003fe706 br 8113bab4 <__reset+0xfb11bab4> -8113bb18: 00bfffc4 movi r2,-1 -8113bb1c: 003fe506 br 8113bab4 <__reset+0xfb11bab4> - -8113bb20 <_ungetc_r>: -8113bb20: 00bfffc4 movi r2,-1 -8113bb24: 28806126 beq r5,r2,8113bcac <_ungetc_r+0x18c> -8113bb28: defffb04 addi sp,sp,-20 -8113bb2c: dcc00315 stw r19,12(sp) -8113bb30: dc400115 stw r17,4(sp) -8113bb34: dc000015 stw r16,0(sp) -8113bb38: dfc00415 stw ra,16(sp) -8113bb3c: dc800215 stw r18,8(sp) -8113bb40: 2023883a mov r17,r4 -8113bb44: 3021883a mov r16,r6 -8113bb48: 2827883a mov r19,r5 -8113bb4c: 20000226 beq r4,zero,8113bb58 <_ungetc_r+0x38> -8113bb50: 20800e17 ldw r2,56(r4) -8113bb54: 10002e26 beq r2,zero,8113bc10 <_ungetc_r+0xf0> -8113bb58: 80c0030b ldhu r3,12(r16) -8113bb5c: 1888000c andi r2,r3,8192 -8113bb60: 1000051e bne r2,zero,8113bb78 <_ungetc_r+0x58> -8113bb64: 81001917 ldw r4,100(r16) -8113bb68: 00b7ffc4 movi r2,-8193 -8113bb6c: 18c80014 ori r3,r3,8192 -8113bb70: 2084703a and r2,r4,r2 -8113bb74: 80801915 stw r2,100(r16) -8113bb78: 00bff7c4 movi r2,-33 -8113bb7c: 1884703a and r2,r3,r2 -8113bb80: 8080030d sth r2,12(r16) -8113bb84: 1900010c andi r4,r3,4 -8113bb88: 2000061e bne r4,zero,8113bba4 <_ungetc_r+0x84> -8113bb8c: 1900040c andi r4,r3,16 -8113bb90: 20001d26 beq r4,zero,8113bc08 <_ungetc_r+0xe8> -8113bb94: 18c0020c andi r3,r3,8 -8113bb98: 1800331e bne r3,zero,8113bc68 <_ungetc_r+0x148> -8113bb9c: 10800114 ori r2,r2,4 -8113bba0: 8080030d sth r2,12(r16) -8113bba4: 80800c17 ldw r2,48(r16) -8113bba8: 9c803fcc andi r18,r19,255 -8113bbac: 10001a26 beq r2,zero,8113bc18 <_ungetc_r+0xf8> -8113bbb0: 80c00117 ldw r3,4(r16) -8113bbb4: 80800d17 ldw r2,52(r16) -8113bbb8: 18800f0e bge r3,r2,8113bbf8 <_ungetc_r+0xd8> -8113bbbc: 80c00017 ldw r3,0(r16) -8113bbc0: 9005883a mov r2,r18 -8113bbc4: 193fffc4 addi r4,r3,-1 -8113bbc8: 81000015 stw r4,0(r16) -8113bbcc: 1cffffc5 stb r19,-1(r3) -8113bbd0: 80c00117 ldw r3,4(r16) -8113bbd4: 18c00044 addi r3,r3,1 -8113bbd8: 80c00115 stw r3,4(r16) -8113bbdc: dfc00417 ldw ra,16(sp) -8113bbe0: dcc00317 ldw r19,12(sp) -8113bbe4: dc800217 ldw r18,8(sp) -8113bbe8: dc400117 ldw r17,4(sp) -8113bbec: dc000017 ldw r16,0(sp) -8113bbf0: dec00504 addi sp,sp,20 -8113bbf4: f800283a ret -8113bbf8: 800b883a mov r5,r16 -8113bbfc: 8809883a mov r4,r17 -8113bc00: 113ba4c0 call 8113ba4c <__submore> -8113bc04: 103fed26 beq r2,zero,8113bbbc <__reset+0xfb11bbbc> -8113bc08: 00bfffc4 movi r2,-1 -8113bc0c: 003ff306 br 8113bbdc <__reset+0xfb11bbdc> -8113bc10: 1135dac0 call 81135dac <__sinit> -8113bc14: 003fd006 br 8113bb58 <__reset+0xfb11bb58> -8113bc18: 80c00417 ldw r3,16(r16) -8113bc1c: 80800017 ldw r2,0(r16) -8113bc20: 18000326 beq r3,zero,8113bc30 <_ungetc_r+0x110> -8113bc24: 1880022e bgeu r3,r2,8113bc30 <_ungetc_r+0x110> -8113bc28: 10ffffc3 ldbu r3,-1(r2) -8113bc2c: 90c01826 beq r18,r3,8113bc90 <_ungetc_r+0x170> -8113bc30: 81400117 ldw r5,4(r16) -8113bc34: 80800e15 stw r2,56(r16) -8113bc38: 008000c4 movi r2,3 -8113bc3c: 81001004 addi r4,r16,64 -8113bc40: 80c01084 addi r3,r16,66 -8113bc44: 80800d15 stw r2,52(r16) -8113bc48: 00800044 movi r2,1 -8113bc4c: 80800115 stw r2,4(r16) -8113bc50: 81400f15 stw r5,60(r16) -8113bc54: 81000c15 stw r4,48(r16) -8113bc58: 84c01085 stb r19,66(r16) -8113bc5c: 80c00015 stw r3,0(r16) -8113bc60: 9005883a mov r2,r18 -8113bc64: 003fdd06 br 8113bbdc <__reset+0xfb11bbdc> -8113bc68: 800b883a mov r5,r16 -8113bc6c: 8809883a mov r4,r17 -8113bc70: 11359d00 call 811359d0 <_fflush_r> -8113bc74: 103fe41e bne r2,zero,8113bc08 <__reset+0xfb11bc08> -8113bc78: 8080030b ldhu r2,12(r16) -8113bc7c: 00fffdc4 movi r3,-9 -8113bc80: 80000215 stw zero,8(r16) -8113bc84: 1884703a and r2,r3,r2 -8113bc88: 80000615 stw zero,24(r16) -8113bc8c: 003fc306 br 8113bb9c <__reset+0xfb11bb9c> -8113bc90: 80c00117 ldw r3,4(r16) -8113bc94: 10bfffc4 addi r2,r2,-1 -8113bc98: 80800015 stw r2,0(r16) -8113bc9c: 18800044 addi r2,r3,1 -8113bca0: 80800115 stw r2,4(r16) -8113bca4: 9005883a mov r2,r18 -8113bca8: 003fcc06 br 8113bbdc <__reset+0xfb11bbdc> -8113bcac: 00bfffc4 movi r2,-1 -8113bcb0: f800283a ret - -8113bcb4 : -8113bcb4: 00a04574 movhi r2,33045 -8113bcb8: 1086e204 addi r2,r2,7048 -8113bcbc: 280d883a mov r6,r5 -8113bcc0: 200b883a mov r5,r4 -8113bcc4: 11000017 ldw r4,0(r2) -8113bcc8: 113bb201 jmpi 8113bb20 <_ungetc_r> - -8113bccc <__sprint_r.part.0>: -8113bccc: 28801917 ldw r2,100(r5) -8113bcd0: defff604 addi sp,sp,-40 -8113bcd4: dd400515 stw r21,20(sp) -8113bcd8: dfc00915 stw ra,36(sp) -8113bcdc: df000815 stw fp,32(sp) -8113bce0: ddc00715 stw r23,28(sp) -8113bce4: dd800615 stw r22,24(sp) -8113bce8: dd000415 stw r20,16(sp) -8113bcec: dcc00315 stw r19,12(sp) -8113bcf0: dc800215 stw r18,8(sp) -8113bcf4: dc400115 stw r17,4(sp) -8113bcf8: dc000015 stw r16,0(sp) -8113bcfc: 1088000c andi r2,r2,8192 -8113bd00: 302b883a mov r21,r6 -8113bd04: 10002e26 beq r2,zero,8113bdc0 <__sprint_r.part.0+0xf4> -8113bd08: 30800217 ldw r2,8(r6) -8113bd0c: 35800017 ldw r22,0(r6) -8113bd10: 10002926 beq r2,zero,8113bdb8 <__sprint_r.part.0+0xec> -8113bd14: 2827883a mov r19,r5 -8113bd18: 2029883a mov r20,r4 -8113bd1c: b5c00104 addi r23,r22,4 -8113bd20: 04bfffc4 movi r18,-1 -8113bd24: bc400017 ldw r17,0(r23) -8113bd28: b4000017 ldw r16,0(r22) -8113bd2c: 0039883a mov fp,zero -8113bd30: 8822d0ba srli r17,r17,2 -8113bd34: 8800031e bne r17,zero,8113bd44 <__sprint_r.part.0+0x78> -8113bd38: 00001806 br 8113bd9c <__sprint_r.part.0+0xd0> -8113bd3c: 84000104 addi r16,r16,4 -8113bd40: 8f001526 beq r17,fp,8113bd98 <__sprint_r.part.0+0xcc> -8113bd44: 81400017 ldw r5,0(r16) -8113bd48: 980d883a mov r6,r19 -8113bd4c: a009883a mov r4,r20 -8113bd50: 113d6400 call 8113d640 <_fputwc_r> -8113bd54: e7000044 addi fp,fp,1 -8113bd58: 14bff81e bne r2,r18,8113bd3c <__reset+0xfb11bd3c> -8113bd5c: 9005883a mov r2,r18 -8113bd60: a8000215 stw zero,8(r21) -8113bd64: a8000115 stw zero,4(r21) -8113bd68: dfc00917 ldw ra,36(sp) -8113bd6c: df000817 ldw fp,32(sp) -8113bd70: ddc00717 ldw r23,28(sp) -8113bd74: dd800617 ldw r22,24(sp) -8113bd78: dd400517 ldw r21,20(sp) -8113bd7c: dd000417 ldw r20,16(sp) -8113bd80: dcc00317 ldw r19,12(sp) -8113bd84: dc800217 ldw r18,8(sp) -8113bd88: dc400117 ldw r17,4(sp) -8113bd8c: dc000017 ldw r16,0(sp) -8113bd90: dec00a04 addi sp,sp,40 -8113bd94: f800283a ret -8113bd98: a8800217 ldw r2,8(r21) -8113bd9c: 8c63883a add r17,r17,r17 -8113bda0: 8c63883a add r17,r17,r17 -8113bda4: 1445c83a sub r2,r2,r17 -8113bda8: a8800215 stw r2,8(r21) -8113bdac: b5800204 addi r22,r22,8 -8113bdb0: bdc00204 addi r23,r23,8 -8113bdb4: 103fdb1e bne r2,zero,8113bd24 <__reset+0xfb11bd24> -8113bdb8: 0005883a mov r2,zero -8113bdbc: 003fe806 br 8113bd60 <__reset+0xfb11bd60> -8113bdc0: 113653c0 call 8113653c <__sfvwrite_r> -8113bdc4: 003fe606 br 8113bd60 <__reset+0xfb11bd60> - -8113bdc8 <__sprint_r>: -8113bdc8: 30c00217 ldw r3,8(r6) -8113bdcc: 18000126 beq r3,zero,8113bdd4 <__sprint_r+0xc> -8113bdd0: 113bccc1 jmpi 8113bccc <__sprint_r.part.0> -8113bdd4: 30000115 stw zero,4(r6) -8113bdd8: 0005883a mov r2,zero -8113bddc: f800283a ret - -8113bde0 <___vfiprintf_internal_r>: -8113bde0: deffc904 addi sp,sp,-220 -8113bde4: df003515 stw fp,212(sp) -8113bde8: dd003115 stw r20,196(sp) -8113bdec: dfc03615 stw ra,216(sp) -8113bdf0: ddc03415 stw r23,208(sp) -8113bdf4: dd803315 stw r22,204(sp) -8113bdf8: dd403215 stw r21,200(sp) -8113bdfc: dcc03015 stw r19,192(sp) -8113be00: dc802f15 stw r18,188(sp) -8113be04: dc402e15 stw r17,184(sp) -8113be08: dc002d15 stw r16,180(sp) -8113be0c: d9002015 stw r4,128(sp) -8113be10: d9c02215 stw r7,136(sp) -8113be14: 2829883a mov r20,r5 -8113be18: 3039883a mov fp,r6 -8113be1c: 20000226 beq r4,zero,8113be28 <___vfiprintf_internal_r+0x48> -8113be20: 20800e17 ldw r2,56(r4) -8113be24: 1000cf26 beq r2,zero,8113c164 <___vfiprintf_internal_r+0x384> -8113be28: a080030b ldhu r2,12(r20) -8113be2c: 10c8000c andi r3,r2,8192 -8113be30: 1800061e bne r3,zero,8113be4c <___vfiprintf_internal_r+0x6c> -8113be34: a1001917 ldw r4,100(r20) -8113be38: 00f7ffc4 movi r3,-8193 -8113be3c: 10880014 ori r2,r2,8192 -8113be40: 20c6703a and r3,r4,r3 -8113be44: a080030d sth r2,12(r20) -8113be48: a0c01915 stw r3,100(r20) -8113be4c: 10c0020c andi r3,r2,8 -8113be50: 1800a926 beq r3,zero,8113c0f8 <___vfiprintf_internal_r+0x318> -8113be54: a0c00417 ldw r3,16(r20) -8113be58: 1800a726 beq r3,zero,8113c0f8 <___vfiprintf_internal_r+0x318> -8113be5c: 1080068c andi r2,r2,26 -8113be60: 00c00284 movi r3,10 -8113be64: 10c0ac26 beq r2,r3,8113c118 <___vfiprintf_internal_r+0x338> -8113be68: da801a04 addi r10,sp,104 -8113be6c: da801e15 stw r10,120(sp) -8113be70: d8801e17 ldw r2,120(sp) -8113be74: da8019c4 addi r10,sp,103 -8113be78: 05a04574 movhi r22,33045 -8113be7c: 05e04574 movhi r23,33045 -8113be80: da801f15 stw r10,124(sp) -8113be84: 1295c83a sub r10,r2,r10 -8113be88: b5bf4b04 addi r22,r22,-724 -8113be8c: bdff4704 addi r23,r23,-740 -8113be90: dec01a15 stw sp,104(sp) -8113be94: d8001c15 stw zero,112(sp) -8113be98: d8001b15 stw zero,108(sp) -8113be9c: d8002615 stw zero,152(sp) -8113bea0: d8002315 stw zero,140(sp) -8113bea4: da802715 stw r10,156(sp) -8113bea8: d811883a mov r8,sp -8113beac: dd002115 stw r20,132(sp) -8113beb0: e021883a mov r16,fp -8113beb4: 80800007 ldb r2,0(r16) -8113beb8: 1003ea26 beq r2,zero,8113ce64 <___vfiprintf_internal_r+0x1084> -8113bebc: 00c00944 movi r3,37 -8113bec0: 8025883a mov r18,r16 -8113bec4: 10c0021e bne r2,r3,8113bed0 <___vfiprintf_internal_r+0xf0> -8113bec8: 00001606 br 8113bf24 <___vfiprintf_internal_r+0x144> -8113becc: 10c00326 beq r2,r3,8113bedc <___vfiprintf_internal_r+0xfc> -8113bed0: 94800044 addi r18,r18,1 -8113bed4: 90800007 ldb r2,0(r18) -8113bed8: 103ffc1e bne r2,zero,8113becc <__reset+0xfb11becc> -8113bedc: 9423c83a sub r17,r18,r16 -8113bee0: 88001026 beq r17,zero,8113bf24 <___vfiprintf_internal_r+0x144> -8113bee4: d8c01c17 ldw r3,112(sp) -8113bee8: d8801b17 ldw r2,108(sp) -8113beec: 44000015 stw r16,0(r8) -8113bef0: 88c7883a add r3,r17,r3 -8113bef4: 10800044 addi r2,r2,1 -8113bef8: 44400115 stw r17,4(r8) -8113befc: d8c01c15 stw r3,112(sp) -8113bf00: d8801b15 stw r2,108(sp) -8113bf04: 010001c4 movi r4,7 -8113bf08: 2080760e bge r4,r2,8113c0e4 <___vfiprintf_internal_r+0x304> -8113bf0c: 1803821e bne r3,zero,8113cd18 <___vfiprintf_internal_r+0xf38> -8113bf10: da802317 ldw r10,140(sp) -8113bf14: d8001b15 stw zero,108(sp) -8113bf18: d811883a mov r8,sp -8113bf1c: 5455883a add r10,r10,r17 -8113bf20: da802315 stw r10,140(sp) -8113bf24: 90800007 ldb r2,0(r18) -8113bf28: 10044626 beq r2,zero,8113d044 <___vfiprintf_internal_r+0x1264> -8113bf2c: 90c00047 ldb r3,1(r18) -8113bf30: 94000044 addi r16,r18,1 -8113bf34: d8001d85 stb zero,118(sp) -8113bf38: 0009883a mov r4,zero -8113bf3c: 000f883a mov r7,zero -8113bf40: 027fffc4 movi r9,-1 -8113bf44: 0023883a mov r17,zero -8113bf48: 0029883a mov r20,zero -8113bf4c: 01401604 movi r5,88 -8113bf50: 01800244 movi r6,9 -8113bf54: 03400a84 movi r13,42 -8113bf58: 03001b04 movi r12,108 -8113bf5c: 84000044 addi r16,r16,1 -8113bf60: 18bff804 addi r2,r3,-32 -8113bf64: 28827336 bltu r5,r2,8113c934 <___vfiprintf_internal_r+0xb54> -8113bf68: 100490ba slli r2,r2,2 -8113bf6c: 02a04534 movhi r10,33044 -8113bf70: 52afe004 addi r10,r10,-16512 -8113bf74: 1285883a add r2,r2,r10 -8113bf78: 10800017 ldw r2,0(r2) -8113bf7c: 1000683a jmp r2 -8113bf80: 8113c668 cmpgeui r4,r16,20249 -8113bf84: 8113c934 orhi r4,r16,20260 -8113bf88: 8113c934 orhi r4,r16,20260 -8113bf8c: 8113c688 cmpgei r4,r16,20250 -8113bf90: 8113c934 orhi r4,r16,20260 -8113bf94: 8113c934 orhi r4,r16,20260 -8113bf98: 8113c934 orhi r4,r16,20260 -8113bf9c: 8113c934 orhi r4,r16,20260 -8113bfa0: 8113c934 orhi r4,r16,20260 -8113bfa4: 8113c934 orhi r4,r16,20260 -8113bfa8: 8113c870 cmpltui r4,r16,20257 -8113bfac: 8113c88c andi r4,r16,20258 -8113bfb0: 8113c934 orhi r4,r16,20260 -8113bfb4: 8113c174 orhi r4,r16,20229 -8113bfb8: 8113c89c xori r4,r16,20258 -8113bfbc: 8113c934 orhi r4,r16,20260 -8113bfc0: 8113c694 ori r4,r16,20250 -8113bfc4: 8113c6a0 cmpeqi r4,r16,20250 -8113bfc8: 8113c6a0 cmpeqi r4,r16,20250 -8113bfcc: 8113c6a0 cmpeqi r4,r16,20250 -8113bfd0: 8113c6a0 cmpeqi r4,r16,20250 -8113bfd4: 8113c6a0 cmpeqi r4,r16,20250 -8113bfd8: 8113c6a0 cmpeqi r4,r16,20250 -8113bfdc: 8113c6a0 cmpeqi r4,r16,20250 -8113bfe0: 8113c6a0 cmpeqi r4,r16,20250 -8113bfe4: 8113c6a0 cmpeqi r4,r16,20250 -8113bfe8: 8113c934 orhi r4,r16,20260 -8113bfec: 8113c934 orhi r4,r16,20260 -8113bff0: 8113c934 orhi r4,r16,20260 -8113bff4: 8113c934 orhi r4,r16,20260 -8113bff8: 8113c934 orhi r4,r16,20260 -8113bffc: 8113c934 orhi r4,r16,20260 -8113c000: 8113c934 orhi r4,r16,20260 -8113c004: 8113c934 orhi r4,r16,20260 -8113c008: 8113c934 orhi r4,r16,20260 -8113c00c: 8113c934 orhi r4,r16,20260 -8113c010: 8113c6cc andi r4,r16,20251 -8113c014: 8113c934 orhi r4,r16,20260 -8113c018: 8113c934 orhi r4,r16,20260 -8113c01c: 8113c934 orhi r4,r16,20260 -8113c020: 8113c934 orhi r4,r16,20260 -8113c024: 8113c934 orhi r4,r16,20260 -8113c028: 8113c934 orhi r4,r16,20260 -8113c02c: 8113c934 orhi r4,r16,20260 -8113c030: 8113c934 orhi r4,r16,20260 -8113c034: 8113c934 orhi r4,r16,20260 -8113c038: 8113c934 orhi r4,r16,20260 -8113c03c: 8113c704 addi r4,r16,20252 -8113c040: 8113c934 orhi r4,r16,20260 -8113c044: 8113c934 orhi r4,r16,20260 -8113c048: 8113c934 orhi r4,r16,20260 -8113c04c: 8113c934 orhi r4,r16,20260 -8113c050: 8113c934 orhi r4,r16,20260 -8113c054: 8113c75c xori r4,r16,20253 -8113c058: 8113c934 orhi r4,r16,20260 -8113c05c: 8113c934 orhi r4,r16,20260 -8113c060: 8113c7cc andi r4,r16,20255 -8113c064: 8113c934 orhi r4,r16,20260 -8113c068: 8113c934 orhi r4,r16,20260 -8113c06c: 8113c934 orhi r4,r16,20260 -8113c070: 8113c934 orhi r4,r16,20260 -8113c074: 8113c934 orhi r4,r16,20260 -8113c078: 8113c934 orhi r4,r16,20260 -8113c07c: 8113c934 orhi r4,r16,20260 -8113c080: 8113c934 orhi r4,r16,20260 -8113c084: 8113c934 orhi r4,r16,20260 -8113c088: 8113c934 orhi r4,r16,20260 -8113c08c: 8113c578 rdprs r4,r16,20245 -8113c090: 8113c5a4 muli r4,r16,20246 -8113c094: 8113c934 orhi r4,r16,20260 -8113c098: 8113c934 orhi r4,r16,20260 -8113c09c: 8113c934 orhi r4,r16,20260 -8113c0a0: 8113c8dc xori r4,r16,20259 -8113c0a4: 8113c5a4 muli r4,r16,20246 -8113c0a8: 8113c934 orhi r4,r16,20260 -8113c0ac: 8113c934 orhi r4,r16,20260 -8113c0b0: 8113c438 rdprs r4,r16,20240 -8113c0b4: 8113c934 orhi r4,r16,20260 -8113c0b8: 8113c448 cmpgei r4,r16,20241 -8113c0bc: 8113c484 addi r4,r16,20242 -8113c0c0: 8113c180 call 88113c18 <__reset+0x20f3c18> -8113c0c4: 8113c42c andhi r4,r16,20240 -8113c0c8: 8113c934 orhi r4,r16,20260 -8113c0cc: 8113c808 cmpgei r4,r16,20256 -8113c0d0: 8113c934 orhi r4,r16,20260 -8113c0d4: 8113c860 cmpeqi r4,r16,20257 -8113c0d8: 8113c934 orhi r4,r16,20260 -8113c0dc: 8113c934 orhi r4,r16,20260 -8113c0e0: 8113c524 muli r4,r16,20244 -8113c0e4: 42000204 addi r8,r8,8 -8113c0e8: da802317 ldw r10,140(sp) -8113c0ec: 5455883a add r10,r10,r17 -8113c0f0: da802315 stw r10,140(sp) -8113c0f4: 003f8b06 br 8113bf24 <__reset+0xfb11bf24> -8113c0f8: d9002017 ldw r4,128(sp) -8113c0fc: a00b883a mov r5,r20 -8113c100: 1133d840 call 81133d84 <__swsetup_r> -8113c104: 1003b11e bne r2,zero,8113cfcc <___vfiprintf_internal_r+0x11ec> -8113c108: a080030b ldhu r2,12(r20) -8113c10c: 00c00284 movi r3,10 -8113c110: 1080068c andi r2,r2,26 -8113c114: 10ff541e bne r2,r3,8113be68 <__reset+0xfb11be68> -8113c118: a080038f ldh r2,14(r20) -8113c11c: 103f5216 blt r2,zero,8113be68 <__reset+0xfb11be68> -8113c120: d9c02217 ldw r7,136(sp) -8113c124: d9002017 ldw r4,128(sp) -8113c128: e00d883a mov r6,fp -8113c12c: a00b883a mov r5,r20 -8113c130: 113d2580 call 8113d258 <__sbprintf> -8113c134: dfc03617 ldw ra,216(sp) -8113c138: df003517 ldw fp,212(sp) -8113c13c: ddc03417 ldw r23,208(sp) -8113c140: dd803317 ldw r22,204(sp) -8113c144: dd403217 ldw r21,200(sp) -8113c148: dd003117 ldw r20,196(sp) -8113c14c: dcc03017 ldw r19,192(sp) -8113c150: dc802f17 ldw r18,188(sp) -8113c154: dc402e17 ldw r17,184(sp) -8113c158: dc002d17 ldw r16,180(sp) -8113c15c: dec03704 addi sp,sp,220 -8113c160: f800283a ret -8113c164: 1135dac0 call 81135dac <__sinit> -8113c168: 003f2f06 br 8113be28 <__reset+0xfb11be28> -8113c16c: 0463c83a sub r17,zero,r17 -8113c170: d8802215 stw r2,136(sp) -8113c174: a5000114 ori r20,r20,4 -8113c178: 80c00007 ldb r3,0(r16) -8113c17c: 003f7706 br 8113bf5c <__reset+0xfb11bf5c> -8113c180: 00800c04 movi r2,48 -8113c184: da802217 ldw r10,136(sp) -8113c188: d8801d05 stb r2,116(sp) -8113c18c: 00801e04 movi r2,120 -8113c190: d8801d45 stb r2,117(sp) -8113c194: d8001d85 stb zero,118(sp) -8113c198: 50c00104 addi r3,r10,4 -8113c19c: 54800017 ldw r18,0(r10) -8113c1a0: 0027883a mov r19,zero -8113c1a4: a0800094 ori r2,r20,2 -8113c1a8: 48030b16 blt r9,zero,8113cdd8 <___vfiprintf_internal_r+0xff8> -8113c1ac: 00bfdfc4 movi r2,-129 -8113c1b0: a096703a and r11,r20,r2 -8113c1b4: d8c02215 stw r3,136(sp) -8113c1b8: 5d000094 ori r20,r11,2 -8113c1bc: 90032b1e bne r18,zero,8113ce6c <___vfiprintf_internal_r+0x108c> -8113c1c0: 00a04574 movhi r2,33045 -8113c1c4: 10beb504 addi r2,r2,-1324 -8113c1c8: d8802615 stw r2,152(sp) -8113c1cc: 0039883a mov fp,zero -8113c1d0: 48017b1e bne r9,zero,8113c7c0 <___vfiprintf_internal_r+0x9e0> -8113c1d4: 0013883a mov r9,zero -8113c1d8: 0027883a mov r19,zero -8113c1dc: dd401a04 addi r21,sp,104 -8113c1e0: 4825883a mov r18,r9 -8113c1e4: 4cc0010e bge r9,r19,8113c1ec <___vfiprintf_internal_r+0x40c> -8113c1e8: 9825883a mov r18,r19 -8113c1ec: e7003fcc andi fp,fp,255 -8113c1f0: e700201c xori fp,fp,128 -8113c1f4: e73fe004 addi fp,fp,-128 -8113c1f8: e0000126 beq fp,zero,8113c200 <___vfiprintf_internal_r+0x420> -8113c1fc: 94800044 addi r18,r18,1 -8113c200: a380008c andi r14,r20,2 -8113c204: 70000126 beq r14,zero,8113c20c <___vfiprintf_internal_r+0x42c> -8113c208: 94800084 addi r18,r18,2 -8113c20c: a700210c andi fp,r20,132 -8113c210: e001df1e bne fp,zero,8113c990 <___vfiprintf_internal_r+0xbb0> -8113c214: 8c87c83a sub r3,r17,r18 -8113c218: 00c1dd0e bge zero,r3,8113c990 <___vfiprintf_internal_r+0xbb0> -8113c21c: 01c00404 movi r7,16 -8113c220: d8801c17 ldw r2,112(sp) -8113c224: 38c3ad0e bge r7,r3,8113d0dc <___vfiprintf_internal_r+0x12fc> -8113c228: 02a04574 movhi r10,33045 -8113c22c: 52bf4b04 addi r10,r10,-724 -8113c230: dc002915 stw r16,164(sp) -8113c234: d9801b17 ldw r6,108(sp) -8113c238: da802415 stw r10,144(sp) -8113c23c: 03c001c4 movi r15,7 -8113c240: da402515 stw r9,148(sp) -8113c244: db802815 stw r14,160(sp) -8113c248: 1821883a mov r16,r3 -8113c24c: 00000506 br 8113c264 <___vfiprintf_internal_r+0x484> -8113c250: 31400084 addi r5,r6,2 -8113c254: 42000204 addi r8,r8,8 -8113c258: 200d883a mov r6,r4 -8113c25c: 843ffc04 addi r16,r16,-16 -8113c260: 3c000d0e bge r7,r16,8113c298 <___vfiprintf_internal_r+0x4b8> -8113c264: 10800404 addi r2,r2,16 -8113c268: 31000044 addi r4,r6,1 -8113c26c: 45800015 stw r22,0(r8) -8113c270: 41c00115 stw r7,4(r8) -8113c274: d8801c15 stw r2,112(sp) -8113c278: d9001b15 stw r4,108(sp) -8113c27c: 793ff40e bge r15,r4,8113c250 <__reset+0xfb11c250> -8113c280: 1001b51e bne r2,zero,8113c958 <___vfiprintf_internal_r+0xb78> -8113c284: 843ffc04 addi r16,r16,-16 -8113c288: 000d883a mov r6,zero -8113c28c: 01400044 movi r5,1 -8113c290: d811883a mov r8,sp -8113c294: 3c3ff316 blt r7,r16,8113c264 <__reset+0xfb11c264> -8113c298: 8007883a mov r3,r16 -8113c29c: da402517 ldw r9,148(sp) -8113c2a0: db802817 ldw r14,160(sp) -8113c2a4: dc002917 ldw r16,164(sp) -8113c2a8: da802417 ldw r10,144(sp) -8113c2ac: 1885883a add r2,r3,r2 -8113c2b0: 40c00115 stw r3,4(r8) -8113c2b4: 42800015 stw r10,0(r8) -8113c2b8: d8801c15 stw r2,112(sp) -8113c2bc: d9401b15 stw r5,108(sp) -8113c2c0: 00c001c4 movi r3,7 -8113c2c4: 19426016 blt r3,r5,8113cc48 <___vfiprintf_internal_r+0xe68> -8113c2c8: d8c01d87 ldb r3,118(sp) -8113c2cc: 42000204 addi r8,r8,8 -8113c2d0: 29000044 addi r4,r5,1 -8113c2d4: 1801b31e bne r3,zero,8113c9a4 <___vfiprintf_internal_r+0xbc4> -8113c2d8: 7001c026 beq r14,zero,8113c9dc <___vfiprintf_internal_r+0xbfc> -8113c2dc: d8c01d04 addi r3,sp,116 -8113c2e0: 10800084 addi r2,r2,2 -8113c2e4: 40c00015 stw r3,0(r8) -8113c2e8: 00c00084 movi r3,2 -8113c2ec: 40c00115 stw r3,4(r8) -8113c2f0: d8801c15 stw r2,112(sp) -8113c2f4: d9001b15 stw r4,108(sp) -8113c2f8: 00c001c4 movi r3,7 -8113c2fc: 1902650e bge r3,r4,8113cc94 <___vfiprintf_internal_r+0xeb4> -8113c300: 10029a1e bne r2,zero,8113cd6c <___vfiprintf_internal_r+0xf8c> -8113c304: 00c02004 movi r3,128 -8113c308: 01000044 movi r4,1 -8113c30c: 000b883a mov r5,zero -8113c310: d811883a mov r8,sp -8113c314: e0c1b31e bne fp,r3,8113c9e4 <___vfiprintf_internal_r+0xc04> -8113c318: 8cb9c83a sub fp,r17,r18 -8113c31c: 0701b10e bge zero,fp,8113c9e4 <___vfiprintf_internal_r+0xc04> -8113c320: 01c00404 movi r7,16 -8113c324: 3f03890e bge r7,fp,8113d14c <___vfiprintf_internal_r+0x136c> -8113c328: 00e04574 movhi r3,33045 -8113c32c: 18ff4704 addi r3,r3,-740 -8113c330: d8c02415 stw r3,144(sp) -8113c334: 8007883a mov r3,r16 -8113c338: 034001c4 movi r13,7 -8113c33c: e021883a mov r16,fp -8113c340: da402515 stw r9,148(sp) -8113c344: 1839883a mov fp,r3 -8113c348: 00000506 br 8113c360 <___vfiprintf_internal_r+0x580> -8113c34c: 29800084 addi r6,r5,2 -8113c350: 42000204 addi r8,r8,8 -8113c354: 180b883a mov r5,r3 -8113c358: 843ffc04 addi r16,r16,-16 -8113c35c: 3c000d0e bge r7,r16,8113c394 <___vfiprintf_internal_r+0x5b4> -8113c360: 10800404 addi r2,r2,16 -8113c364: 28c00044 addi r3,r5,1 -8113c368: 45c00015 stw r23,0(r8) -8113c36c: 41c00115 stw r7,4(r8) -8113c370: d8801c15 stw r2,112(sp) -8113c374: d8c01b15 stw r3,108(sp) -8113c378: 68fff40e bge r13,r3,8113c34c <__reset+0xfb11c34c> -8113c37c: 1002241e bne r2,zero,8113cc10 <___vfiprintf_internal_r+0xe30> -8113c380: 843ffc04 addi r16,r16,-16 -8113c384: 01800044 movi r6,1 -8113c388: 000b883a mov r5,zero -8113c38c: d811883a mov r8,sp -8113c390: 3c3ff316 blt r7,r16,8113c360 <__reset+0xfb11c360> -8113c394: da402517 ldw r9,148(sp) -8113c398: e007883a mov r3,fp -8113c39c: 8039883a mov fp,r16 -8113c3a0: 1821883a mov r16,r3 -8113c3a4: d8c02417 ldw r3,144(sp) -8113c3a8: 1705883a add r2,r2,fp -8113c3ac: 47000115 stw fp,4(r8) -8113c3b0: 40c00015 stw r3,0(r8) -8113c3b4: d8801c15 stw r2,112(sp) -8113c3b8: d9801b15 stw r6,108(sp) -8113c3bc: 00c001c4 movi r3,7 -8113c3c0: 19827616 blt r3,r6,8113cd9c <___vfiprintf_internal_r+0xfbc> -8113c3c4: 4cf9c83a sub fp,r9,r19 -8113c3c8: 42000204 addi r8,r8,8 -8113c3cc: 31000044 addi r4,r6,1 -8113c3d0: 300b883a mov r5,r6 -8113c3d4: 07018516 blt zero,fp,8113c9ec <___vfiprintf_internal_r+0xc0c> -8113c3d8: 9885883a add r2,r19,r2 -8113c3dc: 45400015 stw r21,0(r8) -8113c3e0: 44c00115 stw r19,4(r8) -8113c3e4: d8801c15 stw r2,112(sp) -8113c3e8: d9001b15 stw r4,108(sp) -8113c3ec: 00c001c4 movi r3,7 -8113c3f0: 1901dd0e bge r3,r4,8113cb68 <___vfiprintf_internal_r+0xd88> -8113c3f4: 1002401e bne r2,zero,8113ccf8 <___vfiprintf_internal_r+0xf18> -8113c3f8: d8001b15 stw zero,108(sp) -8113c3fc: a2c0010c andi r11,r20,4 -8113c400: 58000226 beq r11,zero,8113c40c <___vfiprintf_internal_r+0x62c> -8113c404: 8ca7c83a sub r19,r17,r18 -8113c408: 04c2f216 blt zero,r19,8113cfd4 <___vfiprintf_internal_r+0x11f4> -8113c40c: 8c80010e bge r17,r18,8113c414 <___vfiprintf_internal_r+0x634> -8113c410: 9023883a mov r17,r18 -8113c414: da802317 ldw r10,140(sp) -8113c418: 5455883a add r10,r10,r17 -8113c41c: da802315 stw r10,140(sp) -8113c420: d8001b15 stw zero,108(sp) -8113c424: d811883a mov r8,sp -8113c428: 003ea206 br 8113beb4 <__reset+0xfb11beb4> -8113c42c: a5000814 ori r20,r20,32 -8113c430: 80c00007 ldb r3,0(r16) -8113c434: 003ec906 br 8113bf5c <__reset+0xfb11bf5c> -8113c438: 80c00007 ldb r3,0(r16) -8113c43c: 1b030926 beq r3,r12,8113d064 <___vfiprintf_internal_r+0x1284> -8113c440: a5000414 ori r20,r20,16 -8113c444: 003ec506 br 8113bf5c <__reset+0xfb11bf5c> -8113c448: 21003fcc andi r4,r4,255 -8113c44c: 20035e1e bne r4,zero,8113d1c8 <___vfiprintf_internal_r+0x13e8> -8113c450: a080080c andi r2,r20,32 -8113c454: 1002a526 beq r2,zero,8113ceec <___vfiprintf_internal_r+0x110c> -8113c458: da802217 ldw r10,136(sp) -8113c45c: 50800017 ldw r2,0(r10) -8113c460: da802317 ldw r10,140(sp) -8113c464: 5007d7fa srai r3,r10,31 -8113c468: da802217 ldw r10,136(sp) -8113c46c: 10c00115 stw r3,4(r2) -8113c470: 52800104 addi r10,r10,4 -8113c474: da802215 stw r10,136(sp) -8113c478: da802317 ldw r10,140(sp) -8113c47c: 12800015 stw r10,0(r2) -8113c480: 003e8c06 br 8113beb4 <__reset+0xfb11beb4> -8113c484: 21003fcc andi r4,r4,255 -8113c488: 2003511e bne r4,zero,8113d1d0 <___vfiprintf_internal_r+0x13f0> -8113c48c: a080080c andi r2,r20,32 -8113c490: 1000a126 beq r2,zero,8113c718 <___vfiprintf_internal_r+0x938> -8113c494: da802217 ldw r10,136(sp) -8113c498: d8001d85 stb zero,118(sp) -8113c49c: 50800204 addi r2,r10,8 -8113c4a0: 54800017 ldw r18,0(r10) -8113c4a4: 54c00117 ldw r19,4(r10) -8113c4a8: 4802b416 blt r9,zero,8113cf7c <___vfiprintf_internal_r+0x119c> -8113c4ac: 013fdfc4 movi r4,-129 -8113c4b0: 94c6b03a or r3,r18,r19 -8113c4b4: d8802215 stw r2,136(sp) -8113c4b8: a128703a and r20,r20,r4 -8113c4bc: 1800a226 beq r3,zero,8113c748 <___vfiprintf_internal_r+0x968> -8113c4c0: 0039883a mov fp,zero -8113c4c4: dd401a04 addi r21,sp,104 -8113c4c8: 9006d0fa srli r3,r18,3 -8113c4cc: 9808977a slli r4,r19,29 -8113c4d0: 9826d0fa srli r19,r19,3 -8113c4d4: 948001cc andi r18,r18,7 -8113c4d8: 90800c04 addi r2,r18,48 -8113c4dc: ad7fffc4 addi r21,r21,-1 -8113c4e0: 20e4b03a or r18,r4,r3 -8113c4e4: a8800005 stb r2,0(r21) -8113c4e8: 94c6b03a or r3,r18,r19 -8113c4ec: 183ff61e bne r3,zero,8113c4c8 <__reset+0xfb11c4c8> -8113c4f0: a0c0004c andi r3,r20,1 -8113c4f4: 18005926 beq r3,zero,8113c65c <___vfiprintf_internal_r+0x87c> -8113c4f8: 10803fcc andi r2,r2,255 -8113c4fc: 1080201c xori r2,r2,128 -8113c500: 10bfe004 addi r2,r2,-128 -8113c504: 00c00c04 movi r3,48 -8113c508: 10c05426 beq r2,r3,8113c65c <___vfiprintf_internal_r+0x87c> -8113c50c: da801e17 ldw r10,120(sp) -8113c510: a8bfffc4 addi r2,r21,-1 -8113c514: a8ffffc5 stb r3,-1(r21) -8113c518: 50a7c83a sub r19,r10,r2 -8113c51c: 102b883a mov r21,r2 -8113c520: 003f2f06 br 8113c1e0 <__reset+0xfb11c1e0> -8113c524: 21003fcc andi r4,r4,255 -8113c528: 2003421e bne r4,zero,8113d234 <___vfiprintf_internal_r+0x1454> -8113c52c: 00a04574 movhi r2,33045 -8113c530: 10beb504 addi r2,r2,-1324 -8113c534: d8802615 stw r2,152(sp) -8113c538: a080080c andi r2,r20,32 -8113c53c: 1000aa26 beq r2,zero,8113c7e8 <___vfiprintf_internal_r+0xa08> -8113c540: da802217 ldw r10,136(sp) -8113c544: 54800017 ldw r18,0(r10) -8113c548: 54c00117 ldw r19,4(r10) -8113c54c: 52800204 addi r10,r10,8 -8113c550: da802215 stw r10,136(sp) -8113c554: a080004c andi r2,r20,1 -8113c558: 1001d226 beq r2,zero,8113cca4 <___vfiprintf_internal_r+0xec4> -8113c55c: 94c4b03a or r2,r18,r19 -8113c560: 1002351e bne r2,zero,8113ce38 <___vfiprintf_internal_r+0x1058> -8113c564: d8001d85 stb zero,118(sp) -8113c568: 48022216 blt r9,zero,8113cdf4 <___vfiprintf_internal_r+0x1014> -8113c56c: 00bfdfc4 movi r2,-129 -8113c570: a0a8703a and r20,r20,r2 -8113c574: 003f1506 br 8113c1cc <__reset+0xfb11c1cc> -8113c578: da802217 ldw r10,136(sp) -8113c57c: 04800044 movi r18,1 -8113c580: d8001d85 stb zero,118(sp) -8113c584: 50800017 ldw r2,0(r10) -8113c588: 52800104 addi r10,r10,4 -8113c58c: da802215 stw r10,136(sp) -8113c590: d8801005 stb r2,64(sp) -8113c594: 9027883a mov r19,r18 -8113c598: dd401004 addi r21,sp,64 -8113c59c: 0013883a mov r9,zero -8113c5a0: 003f1706 br 8113c200 <__reset+0xfb11c200> -8113c5a4: 21003fcc andi r4,r4,255 -8113c5a8: 2003201e bne r4,zero,8113d22c <___vfiprintf_internal_r+0x144c> -8113c5ac: a080080c andi r2,r20,32 -8113c5b0: 10004b26 beq r2,zero,8113c6e0 <___vfiprintf_internal_r+0x900> -8113c5b4: da802217 ldw r10,136(sp) -8113c5b8: 50800117 ldw r2,4(r10) -8113c5bc: 54800017 ldw r18,0(r10) -8113c5c0: 52800204 addi r10,r10,8 -8113c5c4: da802215 stw r10,136(sp) -8113c5c8: 1027883a mov r19,r2 -8113c5cc: 10022c16 blt r2,zero,8113ce80 <___vfiprintf_internal_r+0x10a0> -8113c5d0: df001d83 ldbu fp,118(sp) -8113c5d4: 48007216 blt r9,zero,8113c7a0 <___vfiprintf_internal_r+0x9c0> -8113c5d8: 00ffdfc4 movi r3,-129 -8113c5dc: 94c4b03a or r2,r18,r19 -8113c5e0: a0e8703a and r20,r20,r3 -8113c5e4: 1000cc26 beq r2,zero,8113c918 <___vfiprintf_internal_r+0xb38> -8113c5e8: 98021026 beq r19,zero,8113ce2c <___vfiprintf_internal_r+0x104c> -8113c5ec: dc402415 stw r17,144(sp) -8113c5f0: dc002515 stw r16,148(sp) -8113c5f4: 9823883a mov r17,r19 -8113c5f8: 9021883a mov r16,r18 -8113c5fc: dd401a04 addi r21,sp,104 -8113c600: 4825883a mov r18,r9 -8113c604: 4027883a mov r19,r8 -8113c608: 8009883a mov r4,r16 -8113c60c: 880b883a mov r5,r17 -8113c610: 01800284 movi r6,10 -8113c614: 000f883a mov r7,zero -8113c618: 113eaa00 call 8113eaa0 <__umoddi3> -8113c61c: 10800c04 addi r2,r2,48 -8113c620: ad7fffc4 addi r21,r21,-1 -8113c624: 8009883a mov r4,r16 -8113c628: 880b883a mov r5,r17 -8113c62c: a8800005 stb r2,0(r21) -8113c630: 01800284 movi r6,10 -8113c634: 000f883a mov r7,zero -8113c638: 113e5280 call 8113e528 <__udivdi3> -8113c63c: 1021883a mov r16,r2 -8113c640: 10c4b03a or r2,r2,r3 -8113c644: 1823883a mov r17,r3 -8113c648: 103fef1e bne r2,zero,8113c608 <__reset+0xfb11c608> -8113c64c: dc402417 ldw r17,144(sp) -8113c650: dc002517 ldw r16,148(sp) -8113c654: 9013883a mov r9,r18 -8113c658: 9811883a mov r8,r19 -8113c65c: da801e17 ldw r10,120(sp) -8113c660: 5567c83a sub r19,r10,r21 -8113c664: 003ede06 br 8113c1e0 <__reset+0xfb11c1e0> -8113c668: 38803fcc andi r2,r7,255 -8113c66c: 1080201c xori r2,r2,128 -8113c670: 10bfe004 addi r2,r2,-128 -8113c674: 1002371e bne r2,zero,8113cf54 <___vfiprintf_internal_r+0x1174> -8113c678: 01000044 movi r4,1 -8113c67c: 01c00804 movi r7,32 -8113c680: 80c00007 ldb r3,0(r16) -8113c684: 003e3506 br 8113bf5c <__reset+0xfb11bf5c> -8113c688: a5000054 ori r20,r20,1 -8113c68c: 80c00007 ldb r3,0(r16) -8113c690: 003e3206 br 8113bf5c <__reset+0xfb11bf5c> -8113c694: a5002014 ori r20,r20,128 -8113c698: 80c00007 ldb r3,0(r16) -8113c69c: 003e2f06 br 8113bf5c <__reset+0xfb11bf5c> -8113c6a0: 8015883a mov r10,r16 -8113c6a4: 0023883a mov r17,zero -8113c6a8: 18bff404 addi r2,r3,-48 -8113c6ac: 50c00007 ldb r3,0(r10) -8113c6b0: 8c4002a4 muli r17,r17,10 -8113c6b4: 84000044 addi r16,r16,1 -8113c6b8: 8015883a mov r10,r16 -8113c6bc: 1463883a add r17,r2,r17 -8113c6c0: 18bff404 addi r2,r3,-48 -8113c6c4: 30bff92e bgeu r6,r2,8113c6ac <__reset+0xfb11c6ac> -8113c6c8: 003e2506 br 8113bf60 <__reset+0xfb11bf60> -8113c6cc: 21003fcc andi r4,r4,255 -8113c6d0: 2002d41e bne r4,zero,8113d224 <___vfiprintf_internal_r+0x1444> -8113c6d4: a5000414 ori r20,r20,16 -8113c6d8: a080080c andi r2,r20,32 -8113c6dc: 103fb51e bne r2,zero,8113c5b4 <__reset+0xfb11c5b4> -8113c6e0: a080040c andi r2,r20,16 -8113c6e4: 1001f826 beq r2,zero,8113cec8 <___vfiprintf_internal_r+0x10e8> -8113c6e8: da802217 ldw r10,136(sp) -8113c6ec: 54800017 ldw r18,0(r10) -8113c6f0: 52800104 addi r10,r10,4 -8113c6f4: da802215 stw r10,136(sp) -8113c6f8: 9027d7fa srai r19,r18,31 -8113c6fc: 9805883a mov r2,r19 -8113c700: 003fb206 br 8113c5cc <__reset+0xfb11c5cc> -8113c704: 21003fcc andi r4,r4,255 -8113c708: 2002c41e bne r4,zero,8113d21c <___vfiprintf_internal_r+0x143c> -8113c70c: a5000414 ori r20,r20,16 -8113c710: a080080c andi r2,r20,32 -8113c714: 103f5f1e bne r2,zero,8113c494 <__reset+0xfb11c494> -8113c718: a080040c andi r2,r20,16 -8113c71c: 10020f26 beq r2,zero,8113cf5c <___vfiprintf_internal_r+0x117c> -8113c720: da802217 ldw r10,136(sp) -8113c724: d8001d85 stb zero,118(sp) -8113c728: 0027883a mov r19,zero -8113c72c: 50800104 addi r2,r10,4 -8113c730: 54800017 ldw r18,0(r10) -8113c734: 48021116 blt r9,zero,8113cf7c <___vfiprintf_internal_r+0x119c> -8113c738: 00ffdfc4 movi r3,-129 -8113c73c: d8802215 stw r2,136(sp) -8113c740: a0e8703a and r20,r20,r3 -8113c744: 903f5e1e bne r18,zero,8113c4c0 <__reset+0xfb11c4c0> -8113c748: 0039883a mov fp,zero -8113c74c: 4802a626 beq r9,zero,8113d1e8 <___vfiprintf_internal_r+0x1408> -8113c750: 0025883a mov r18,zero -8113c754: 0027883a mov r19,zero -8113c758: 003f5a06 br 8113c4c4 <__reset+0xfb11c4c4> -8113c75c: 21003fcc andi r4,r4,255 -8113c760: 20029f1e bne r4,zero,8113d1e0 <___vfiprintf_internal_r+0x1400> -8113c764: a5000414 ori r20,r20,16 -8113c768: a080080c andi r2,r20,32 -8113c76c: 10005e1e bne r2,zero,8113c8e8 <___vfiprintf_internal_r+0xb08> -8113c770: a080040c andi r2,r20,16 -8113c774: 1001a21e bne r2,zero,8113ce00 <___vfiprintf_internal_r+0x1020> -8113c778: a080100c andi r2,r20,64 -8113c77c: d8001d85 stb zero,118(sp) -8113c780: da802217 ldw r10,136(sp) -8113c784: 1002231e bne r2,zero,8113d014 <___vfiprintf_internal_r+0x1234> -8113c788: 50800104 addi r2,r10,4 -8113c78c: 54800017 ldw r18,0(r10) -8113c790: 0027883a mov r19,zero -8113c794: 4801a00e bge r9,zero,8113ce18 <___vfiprintf_internal_r+0x1038> -8113c798: d8802215 stw r2,136(sp) -8113c79c: 0039883a mov fp,zero -8113c7a0: 94c4b03a or r2,r18,r19 -8113c7a4: 103f901e bne r2,zero,8113c5e8 <__reset+0xfb11c5e8> -8113c7a8: 00800044 movi r2,1 -8113c7ac: 10803fcc andi r2,r2,255 -8113c7b0: 00c00044 movi r3,1 -8113c7b4: 10c05926 beq r2,r3,8113c91c <___vfiprintf_internal_r+0xb3c> -8113c7b8: 00c00084 movi r3,2 -8113c7bc: 10ffe41e bne r2,r3,8113c750 <__reset+0xfb11c750> -8113c7c0: 0025883a mov r18,zero -8113c7c4: 0027883a mov r19,zero -8113c7c8: 00013d06 br 8113ccc0 <___vfiprintf_internal_r+0xee0> -8113c7cc: 21003fcc andi r4,r4,255 -8113c7d0: 2002811e bne r4,zero,8113d1d8 <___vfiprintf_internal_r+0x13f8> -8113c7d4: 00a04574 movhi r2,33045 -8113c7d8: 10beb004 addi r2,r2,-1344 -8113c7dc: d8802615 stw r2,152(sp) -8113c7e0: a080080c andi r2,r20,32 -8113c7e4: 103f561e bne r2,zero,8113c540 <__reset+0xfb11c540> -8113c7e8: a080040c andi r2,r20,16 -8113c7ec: 1001d126 beq r2,zero,8113cf34 <___vfiprintf_internal_r+0x1154> -8113c7f0: da802217 ldw r10,136(sp) -8113c7f4: 0027883a mov r19,zero -8113c7f8: 54800017 ldw r18,0(r10) -8113c7fc: 52800104 addi r10,r10,4 -8113c800: da802215 stw r10,136(sp) -8113c804: 003f5306 br 8113c554 <__reset+0xfb11c554> -8113c808: da802217 ldw r10,136(sp) -8113c80c: d8001d85 stb zero,118(sp) -8113c810: 55400017 ldw r21,0(r10) -8113c814: 50c00104 addi r3,r10,4 -8113c818: a8024226 beq r21,zero,8113d124 <___vfiprintf_internal_r+0x1344> -8113c81c: 48021816 blt r9,zero,8113d080 <___vfiprintf_internal_r+0x12a0> -8113c820: 480d883a mov r6,r9 -8113c824: 000b883a mov r5,zero -8113c828: a809883a mov r4,r21 -8113c82c: d8c02a15 stw r3,168(sp) -8113c830: da002b15 stw r8,172(sp) -8113c834: da402c15 stw r9,176(sp) -8113c838: 1136f640 call 81136f64 -8113c83c: d8c02a17 ldw r3,168(sp) -8113c840: da002b17 ldw r8,172(sp) -8113c844: da402c17 ldw r9,176(sp) -8113c848: 10024826 beq r2,zero,8113d16c <___vfiprintf_internal_r+0x138c> -8113c84c: 1567c83a sub r19,r2,r21 -8113c850: df001d83 ldbu fp,118(sp) -8113c854: d8c02215 stw r3,136(sp) -8113c858: 0013883a mov r9,zero -8113c85c: 003e6006 br 8113c1e0 <__reset+0xfb11c1e0> -8113c860: 21003fcc andi r4,r4,255 -8113c864: 203fc026 beq r4,zero,8113c768 <__reset+0xfb11c768> -8113c868: d9c01d85 stb r7,118(sp) -8113c86c: 003fbe06 br 8113c768 <__reset+0xfb11c768> -8113c870: da802217 ldw r10,136(sp) -8113c874: 54400017 ldw r17,0(r10) -8113c878: 50800104 addi r2,r10,4 -8113c87c: 883e3b16 blt r17,zero,8113c16c <__reset+0xfb11c16c> -8113c880: d8802215 stw r2,136(sp) -8113c884: 80c00007 ldb r3,0(r16) -8113c888: 003db406 br 8113bf5c <__reset+0xfb11bf5c> -8113c88c: 01000044 movi r4,1 -8113c890: 01c00ac4 movi r7,43 -8113c894: 80c00007 ldb r3,0(r16) -8113c898: 003db006 br 8113bf5c <__reset+0xfb11bf5c> -8113c89c: 80c00007 ldb r3,0(r16) -8113c8a0: 82800044 addi r10,r16,1 -8113c8a4: 1b423c26 beq r3,r13,8113d198 <___vfiprintf_internal_r+0x13b8> -8113c8a8: 18bff404 addi r2,r3,-48 -8113c8ac: 0013883a mov r9,zero -8113c8b0: 30822b36 bltu r6,r2,8113d160 <___vfiprintf_internal_r+0x1380> -8113c8b4: 50c00007 ldb r3,0(r10) -8113c8b8: 4a4002a4 muli r9,r9,10 -8113c8bc: 54000044 addi r16,r10,1 -8113c8c0: 8015883a mov r10,r16 -8113c8c4: 4893883a add r9,r9,r2 -8113c8c8: 18bff404 addi r2,r3,-48 -8113c8cc: 30bff92e bgeu r6,r2,8113c8b4 <__reset+0xfb11c8b4> -8113c8d0: 483da30e bge r9,zero,8113bf60 <__reset+0xfb11bf60> -8113c8d4: 027fffc4 movi r9,-1 -8113c8d8: 003da106 br 8113bf60 <__reset+0xfb11bf60> -8113c8dc: a5001014 ori r20,r20,64 -8113c8e0: 80c00007 ldb r3,0(r16) -8113c8e4: 003d9d06 br 8113bf5c <__reset+0xfb11bf5c> -8113c8e8: da802217 ldw r10,136(sp) -8113c8ec: d8001d85 stb zero,118(sp) -8113c8f0: 50c00204 addi r3,r10,8 -8113c8f4: 54800017 ldw r18,0(r10) -8113c8f8: 54c00117 ldw r19,4(r10) -8113c8fc: 4801ca16 blt r9,zero,8113d028 <___vfiprintf_internal_r+0x1248> -8113c900: 013fdfc4 movi r4,-129 -8113c904: 94c4b03a or r2,r18,r19 -8113c908: d8c02215 stw r3,136(sp) -8113c90c: a128703a and r20,r20,r4 -8113c910: 0039883a mov fp,zero -8113c914: 103f341e bne r2,zero,8113c5e8 <__reset+0xfb11c5e8> -8113c918: 483e2e26 beq r9,zero,8113c1d4 <__reset+0xfb11c1d4> -8113c91c: 0025883a mov r18,zero -8113c920: 94800c04 addi r18,r18,48 -8113c924: dc8019c5 stb r18,103(sp) -8113c928: dcc02717 ldw r19,156(sp) -8113c92c: dd4019c4 addi r21,sp,103 -8113c930: 003e2b06 br 8113c1e0 <__reset+0xfb11c1e0> -8113c934: 21003fcc andi r4,r4,255 -8113c938: 2002361e bne r4,zero,8113d214 <___vfiprintf_internal_r+0x1434> -8113c93c: 1801c126 beq r3,zero,8113d044 <___vfiprintf_internal_r+0x1264> -8113c940: 04800044 movi r18,1 -8113c944: d8c01005 stb r3,64(sp) -8113c948: d8001d85 stb zero,118(sp) -8113c94c: 9027883a mov r19,r18 -8113c950: dd401004 addi r21,sp,64 -8113c954: 003f1106 br 8113c59c <__reset+0xfb11c59c> -8113c958: d9402117 ldw r5,132(sp) -8113c95c: d9002017 ldw r4,128(sp) -8113c960: d9801a04 addi r6,sp,104 -8113c964: d9c02b15 stw r7,172(sp) -8113c968: dbc02a15 stw r15,168(sp) -8113c96c: 113bccc0 call 8113bccc <__sprint_r.part.0> -8113c970: d9c02b17 ldw r7,172(sp) -8113c974: dbc02a17 ldw r15,168(sp) -8113c978: 10006d1e bne r2,zero,8113cb30 <___vfiprintf_internal_r+0xd50> -8113c97c: d9801b17 ldw r6,108(sp) -8113c980: d8801c17 ldw r2,112(sp) -8113c984: d811883a mov r8,sp -8113c988: 31400044 addi r5,r6,1 -8113c98c: 003e3306 br 8113c25c <__reset+0xfb11c25c> -8113c990: d9401b17 ldw r5,108(sp) -8113c994: d8801c17 ldw r2,112(sp) -8113c998: 29000044 addi r4,r5,1 -8113c99c: d8c01d87 ldb r3,118(sp) -8113c9a0: 183e4d26 beq r3,zero,8113c2d8 <__reset+0xfb11c2d8> -8113c9a4: 00c00044 movi r3,1 -8113c9a8: d9401d84 addi r5,sp,118 -8113c9ac: 10c5883a add r2,r2,r3 -8113c9b0: 41400015 stw r5,0(r8) -8113c9b4: 40c00115 stw r3,4(r8) -8113c9b8: d8801c15 stw r2,112(sp) -8113c9bc: d9001b15 stw r4,108(sp) -8113c9c0: 014001c4 movi r5,7 -8113c9c4: 2900a90e bge r5,r4,8113cc6c <___vfiprintf_internal_r+0xe8c> -8113c9c8: 1000da1e bne r2,zero,8113cd34 <___vfiprintf_internal_r+0xf54> -8113c9cc: 7000ab1e bne r14,zero,8113cc7c <___vfiprintf_internal_r+0xe9c> -8113c9d0: 000b883a mov r5,zero -8113c9d4: 1809883a mov r4,r3 -8113c9d8: d811883a mov r8,sp -8113c9dc: 00c02004 movi r3,128 -8113c9e0: e0fe4d26 beq fp,r3,8113c318 <__reset+0xfb11c318> -8113c9e4: 4cf9c83a sub fp,r9,r19 -8113c9e8: 073e7b0e bge zero,fp,8113c3d8 <__reset+0xfb11c3d8> -8113c9ec: 01c00404 movi r7,16 -8113c9f0: 3f01900e bge r7,fp,8113d034 <___vfiprintf_internal_r+0x1254> -8113c9f4: 00e04574 movhi r3,33045 -8113c9f8: 18ff4704 addi r3,r3,-740 -8113c9fc: d8c02415 stw r3,144(sp) -8113ca00: 034001c4 movi r13,7 -8113ca04: 00000506 br 8113ca1c <___vfiprintf_internal_r+0xc3c> -8113ca08: 29000084 addi r4,r5,2 -8113ca0c: 42000204 addi r8,r8,8 -8113ca10: 180b883a mov r5,r3 -8113ca14: e73ffc04 addi fp,fp,-16 -8113ca18: 3f000d0e bge r7,fp,8113ca50 <___vfiprintf_internal_r+0xc70> -8113ca1c: 10800404 addi r2,r2,16 -8113ca20: 28c00044 addi r3,r5,1 -8113ca24: 45c00015 stw r23,0(r8) -8113ca28: 41c00115 stw r7,4(r8) -8113ca2c: d8801c15 stw r2,112(sp) -8113ca30: d8c01b15 stw r3,108(sp) -8113ca34: 68fff40e bge r13,r3,8113ca08 <__reset+0xfb11ca08> -8113ca38: 1000101e bne r2,zero,8113ca7c <___vfiprintf_internal_r+0xc9c> -8113ca3c: e73ffc04 addi fp,fp,-16 -8113ca40: 01000044 movi r4,1 -8113ca44: 000b883a mov r5,zero -8113ca48: d811883a mov r8,sp -8113ca4c: 3f3ff316 blt r7,fp,8113ca1c <__reset+0xfb11ca1c> -8113ca50: da802417 ldw r10,144(sp) -8113ca54: 1705883a add r2,r2,fp -8113ca58: 47000115 stw fp,4(r8) -8113ca5c: 42800015 stw r10,0(r8) -8113ca60: d8801c15 stw r2,112(sp) -8113ca64: d9001b15 stw r4,108(sp) -8113ca68: 00c001c4 movi r3,7 -8113ca6c: 19003616 blt r3,r4,8113cb48 <___vfiprintf_internal_r+0xd68> -8113ca70: 42000204 addi r8,r8,8 -8113ca74: 21000044 addi r4,r4,1 -8113ca78: 003e5706 br 8113c3d8 <__reset+0xfb11c3d8> -8113ca7c: d9402117 ldw r5,132(sp) -8113ca80: d9002017 ldw r4,128(sp) -8113ca84: d9801a04 addi r6,sp,104 -8113ca88: d9c02b15 stw r7,172(sp) -8113ca8c: db402a15 stw r13,168(sp) -8113ca90: 113bccc0 call 8113bccc <__sprint_r.part.0> -8113ca94: d9c02b17 ldw r7,172(sp) -8113ca98: db402a17 ldw r13,168(sp) -8113ca9c: 1000241e bne r2,zero,8113cb30 <___vfiprintf_internal_r+0xd50> -8113caa0: d9401b17 ldw r5,108(sp) -8113caa4: d8801c17 ldw r2,112(sp) -8113caa8: d811883a mov r8,sp -8113caac: 29000044 addi r4,r5,1 -8113cab0: 003fd806 br 8113ca14 <__reset+0xfb11ca14> -8113cab4: d9401b17 ldw r5,108(sp) -8113cab8: 00e04574 movhi r3,33045 -8113cabc: 18ff4b04 addi r3,r3,-724 -8113cac0: d8c02415 stw r3,144(sp) -8113cac4: 29400044 addi r5,r5,1 -8113cac8: d8c02417 ldw r3,144(sp) -8113cacc: 14c5883a add r2,r2,r19 -8113cad0: 44c00115 stw r19,4(r8) -8113cad4: 40c00015 stw r3,0(r8) -8113cad8: d8801c15 stw r2,112(sp) -8113cadc: d9401b15 stw r5,108(sp) -8113cae0: 00c001c4 movi r3,7 -8113cae4: 1940070e bge r3,r5,8113cb04 <___vfiprintf_internal_r+0xd24> -8113cae8: 103e4826 beq r2,zero,8113c40c <__reset+0xfb11c40c> -8113caec: d9402117 ldw r5,132(sp) -8113caf0: d9002017 ldw r4,128(sp) -8113caf4: d9801a04 addi r6,sp,104 -8113caf8: 113bccc0 call 8113bccc <__sprint_r.part.0> -8113cafc: 10000c1e bne r2,zero,8113cb30 <___vfiprintf_internal_r+0xd50> -8113cb00: d8801c17 ldw r2,112(sp) -8113cb04: 8c80010e bge r17,r18,8113cb0c <___vfiprintf_internal_r+0xd2c> -8113cb08: 9023883a mov r17,r18 -8113cb0c: da802317 ldw r10,140(sp) -8113cb10: 5455883a add r10,r10,r17 -8113cb14: da802315 stw r10,140(sp) -8113cb18: 103e4126 beq r2,zero,8113c420 <__reset+0xfb11c420> -8113cb1c: d9402117 ldw r5,132(sp) -8113cb20: d9002017 ldw r4,128(sp) -8113cb24: d9801a04 addi r6,sp,104 -8113cb28: 113bccc0 call 8113bccc <__sprint_r.part.0> -8113cb2c: 103e3c26 beq r2,zero,8113c420 <__reset+0xfb11c420> -8113cb30: dd002117 ldw r20,132(sp) -8113cb34: a080030b ldhu r2,12(r20) -8113cb38: 1080100c andi r2,r2,64 -8113cb3c: 1001231e bne r2,zero,8113cfcc <___vfiprintf_internal_r+0x11ec> -8113cb40: d8802317 ldw r2,140(sp) -8113cb44: 003d7b06 br 8113c134 <__reset+0xfb11c134> -8113cb48: 1000991e bne r2,zero,8113cdb0 <___vfiprintf_internal_r+0xfd0> -8113cb4c: 00c00044 movi r3,1 -8113cb50: 9805883a mov r2,r19 -8113cb54: dd400015 stw r21,0(sp) -8113cb58: dcc00115 stw r19,4(sp) -8113cb5c: dcc01c15 stw r19,112(sp) -8113cb60: d8c01b15 stw r3,108(sp) -8113cb64: d811883a mov r8,sp -8113cb68: 42000204 addi r8,r8,8 -8113cb6c: a2c0010c andi r11,r20,4 -8113cb70: 583fe426 beq r11,zero,8113cb04 <__reset+0xfb11cb04> -8113cb74: 8ca7c83a sub r19,r17,r18 -8113cb78: 04ffe20e bge zero,r19,8113cb04 <__reset+0xfb11cb04> -8113cb7c: 01c00404 movi r7,16 -8113cb80: 3cffcc0e bge r7,r19,8113cab4 <__reset+0xfb11cab4> -8113cb84: 02a04574 movhi r10,33045 -8113cb88: 52bf4b04 addi r10,r10,-724 -8113cb8c: d9001b17 ldw r4,108(sp) -8113cb90: da802415 stw r10,144(sp) -8113cb94: 382b883a mov r21,r7 -8113cb98: 050001c4 movi r20,7 -8113cb9c: df002017 ldw fp,128(sp) -8113cba0: 00000506 br 8113cbb8 <___vfiprintf_internal_r+0xdd8> -8113cba4: 21400084 addi r5,r4,2 +8113b804: 003faa06 br 8113b6b0 <__reset+0xfb11b6b0> +8113b808: dac02317 ldw r11,140(sp) +8113b80c: 58800017 ldw r2,0(r11) +8113b810: 5ac00104 addi r11,r11,4 +8113b814: dac02315 stw r11,140(sp) +8113b818: dac02517 ldw r11,148(sp) +8113b81c: 12c00015 stw r11,0(r2) +8113b820: 003c3206 br 8113a8ec <__reset+0xfb11a8ec> +8113b824: 01204574 movhi r4,33045 +8113b828: 213ec604 addi r4,r4,-1256 +8113b82c: d9002915 stw r4,164(sp) +8113b830: d8c02315 stw r3,140(sp) +8113b834: 1025883a mov r18,r2 +8113b838: e244b03a or r2,fp,r9 +8113b83c: 103f871e bne r2,zero,8113b65c <__reset+0xfb11b65c> +8113b840: 0015883a mov r10,zero +8113b844: 00800084 movi r2,2 +8113b848: 003f9c06 br 8113b6bc <__reset+0xfb11b6bc> +8113b84c: 0039883a mov fp,zero +8113b850: 003e5f06 br 8113b1d0 <__reset+0xfb11b1d0> +8113b854: d9801a04 addi r6,sp,104 +8113b858: b80b883a mov r5,r23 +8113b85c: a809883a mov r4,r21 +8113b860: 113a6800 call 8113a680 <__ssprint_r> +8113b864: 103f081e bne r2,zero,8113b488 <__reset+0xfb11b488> +8113b868: d8c01c17 ldw r3,112(sp) +8113b86c: d8801b17 ldw r2,108(sp) +8113b870: d811883a mov r8,sp +8113b874: 003e9106 br 8113b2bc <__reset+0xfb11b2bc> +8113b878: 01204574 movhi r4,33045 +8113b87c: 213f5004 addi r4,r4,-704 +8113b880: d9002215 stw r4,136(sp) +8113b884: 003ead06 br 8113b33c <__reset+0xfb11b33c> +8113b888: 58800104 addi r2,r11,4 +8113b88c: 5f000017 ldw fp,0(r11) +8113b890: 0013883a mov r9,zero +8113b894: 803e610e bge r16,zero,8113b21c <__reset+0xfb11b21c> +8113b898: 003fcb06 br 8113b7c8 <__reset+0xfb11b7c8> +8113b89c: 58800104 addi r2,r11,4 +8113b8a0: 5f000017 ldw fp,0(r11) +8113b8a4: 0013883a mov r9,zero +8113b8a8: 803e420e bge r16,zero,8113b1b4 <__reset+0xfb11b1b4> +8113b8ac: 003f7e06 br 8113b6a8 <__reset+0xfb11b6a8> +8113b8b0: 5f000017 ldw fp,0(r11) +8113b8b4: 5ac00104 addi r11,r11,4 +8113b8b8: 0013883a mov r9,zero +8113b8bc: dac02315 stw r11,140(sp) +8113b8c0: 003cce06 br 8113abfc <__reset+0xfb11abfc> +8113b8c4: 8809883a mov r4,r17 +8113b8c8: da002c15 stw r8,176(sp) +8113b8cc: 112d8ac0 call 8112d8ac +8113b8d0: d8802115 stw r2,132(sp) +8113b8d4: da801d83 ldbu r10,118(sp) +8113b8d8: df002315 stw fp,140(sp) +8113b8dc: 0021883a mov r16,zero +8113b8e0: da002c17 ldw r8,176(sp) +8113b8e4: 003cf606 br 8113acc0 <__reset+0xfb11acc0> +8113b8e8: 00800184 movi r2,6 +8113b8ec: 1400012e bgeu r2,r16,8113b8f4 <___svfiprintf_internal_r+0x10a4> +8113b8f0: 1021883a mov r16,r2 +8113b8f4: dc002115 stw r16,132(sp) +8113b8f8: 8005883a mov r2,r16 +8113b8fc: 80003c16 blt r16,zero,8113b9f0 <___svfiprintf_internal_r+0x11a0> +8113b900: 04604574 movhi r17,33045 +8113b904: d8802015 stw r2,128(sp) +8113b908: df002315 stw fp,140(sp) +8113b90c: 8c7ecb04 addi r17,r17,-1236 +8113b910: 003d2e06 br 8113adcc <__reset+0xfb11adcc> +8113b914: 04001004 movi r16,64 +8113b918: 800b883a mov r5,r16 +8113b91c: 112be7c0 call 8112be7c <_malloc_r> +8113b920: dac02717 ldw r11,156(sp) +8113b924: 58800015 stw r2,0(r11) +8113b928: 58800415 stw r2,16(r11) +8113b92c: 10004826 beq r2,zero,8113ba50 <___svfiprintf_internal_r+0x1200> +8113b930: dac02717 ldw r11,156(sp) +8113b934: 5c000515 stw r16,20(r11) +8113b938: 003bd906 br 8113a8a0 <__reset+0xfb11a8a0> +8113b93c: 9080004c andi r2,r18,1 +8113b940: 0015883a mov r10,zero +8113b944: 10000626 beq r2,zero,8113b960 <___svfiprintf_internal_r+0x1110> +8113b948: dac02b17 ldw r11,172(sp) +8113b94c: 00800c04 movi r2,48 +8113b950: d88019c5 stb r2,103(sp) +8113b954: dac02115 stw r11,132(sp) +8113b958: dc4019c4 addi r17,sp,103 +8113b95c: 003cd806 br 8113acc0 <__reset+0xfb11acc0> +8113b960: d8002115 stw zero,132(sp) +8113b964: dc401a04 addi r17,sp,104 +8113b968: 003cd506 br 8113acc0 <__reset+0xfb11acc0> +8113b96c: 01204574 movhi r4,33045 +8113b970: 213f5404 addi r4,r4,-688 +8113b974: d9002815 stw r4,160(sp) +8113b978: 003d4306 br 8113ae88 <__reset+0xfb11ae88> +8113b97c: 00bfffc4 movi r2,-1 +8113b980: 003ec606 br 8113b49c <__reset+0xfb11b49c> +8113b984: 00800044 movi r2,1 +8113b988: 10803fcc andi r2,r2,255 +8113b98c: 00c00044 movi r3,1 +8113b990: 10fd8026 beq r2,r3,8113af94 <__reset+0xfb11af94> +8113b994: 00c00084 movi r3,2 +8113b998: 10fca426 beq r2,r3,8113ac2c <__reset+0xfb11ac2c> +8113b99c: 003ce806 br 8113ad40 <__reset+0xfb11ad40> +8113b9a0: 01204574 movhi r4,33045 +8113b9a4: 213f5404 addi r4,r4,-688 +8113b9a8: d9002815 stw r4,160(sp) +8113b9ac: 003e9906 br 8113b414 <__reset+0xfb11b414> +8113b9b0: 1025883a mov r18,r2 +8113b9b4: 0015883a mov r10,zero +8113b9b8: 00800084 movi r2,2 +8113b9bc: 003ff206 br 8113b988 <__reset+0xfb11b988> +8113b9c0: 01604574 movhi r5,33045 +8113b9c4: 297f5004 addi r5,r5,-704 +8113b9c8: d9402215 stw r5,136(sp) +8113b9cc: 003ee206 br 8113b558 <__reset+0xfb11b558> +8113b9d0: 5827883a mov r19,r11 +8113b9d4: 0021883a mov r16,zero +8113b9d8: 003bed06 br 8113a990 <__reset+0xfb11a990> +8113b9dc: dc002115 stw r16,132(sp) +8113b9e0: da801d83 ldbu r10,118(sp) +8113b9e4: df002315 stw fp,140(sp) +8113b9e8: 0021883a mov r16,zero +8113b9ec: 003cb406 br 8113acc0 <__reset+0xfb11acc0> +8113b9f0: 0005883a mov r2,zero +8113b9f4: 003fc206 br 8113b900 <__reset+0xfb11b900> +8113b9f8: d8802317 ldw r2,140(sp) +8113b9fc: 98c00043 ldbu r3,1(r19) +8113ba00: 5827883a mov r19,r11 +8113ba04: 14000017 ldw r16,0(r2) +8113ba08: 10800104 addi r2,r2,4 +8113ba0c: d8802315 stw r2,140(sp) +8113ba10: 803f760e bge r16,zero,8113b7ec <__reset+0xfb11b7ec> +8113ba14: 18c03fcc andi r3,r3,255 +8113ba18: 18c0201c xori r3,r3,128 +8113ba1c: 043fffc4 movi r16,-1 +8113ba20: 18ffe004 addi r3,r3,-128 +8113ba24: 003bd906 br 8113a98c <__reset+0xfb11a98c> +8113ba28: d9c01d85 stb r7,118(sp) +8113ba2c: 003cb606 br 8113ad08 <__reset+0xfb11ad08> +8113ba30: d9c01d85 stb r7,118(sp) +8113ba34: 003d2106 br 8113aebc <__reset+0xfb11aebc> +8113ba38: d9c01d85 stb r7,118(sp) +8113ba3c: 003d8e06 br 8113b078 <__reset+0xfb11b078> +8113ba40: d9c01d85 stb r7,118(sp) +8113ba44: 003db306 br 8113b114 <__reset+0xfb11b114> +8113ba48: d9c01d85 stb r7,118(sp) +8113ba4c: 003c8a06 br 8113ac78 <__reset+0xfb11ac78> +8113ba50: dac02a17 ldw r11,168(sp) +8113ba54: 00800304 movi r2,12 +8113ba58: 58800015 stw r2,0(r11) +8113ba5c: 00bfffc4 movi r2,-1 +8113ba60: 003e8e06 br 8113b49c <__reset+0xfb11b49c> +8113ba64: d9c01d85 stb r7,118(sp) +8113ba68: 003dc706 br 8113b188 <__reset+0xfb11b188> +8113ba6c: d9c01d85 stb r7,118(sp) +8113ba70: 003ddf06 br 8113b1f0 <__reset+0xfb11b1f0> +8113ba74: d9c01d85 stb r7,118(sp) +8113ba78: 003d3706 br 8113af58 <__reset+0xfb11af58> +8113ba7c: d9c01d85 stb r7,118(sp) +8113ba80: 003c5406 br 8113abd4 <__reset+0xfb11abd4> +8113ba84: d9c01d85 stb r7,118(sp) +8113ba88: 003d1d06 br 8113af00 <__reset+0xfb11af00> + +8113ba8c <__submore>: +8113ba8c: defffa04 addi sp,sp,-24 +8113ba90: dc000015 stw r16,0(sp) +8113ba94: 2821883a mov r16,r5 +8113ba98: 29400c17 ldw r5,48(r5) +8113ba9c: dfc00515 stw ra,20(sp) +8113baa0: dd000415 stw r20,16(sp) +8113baa4: dcc00315 stw r19,12(sp) +8113baa8: dc800215 stw r18,8(sp) +8113baac: dc400115 stw r17,4(sp) +8113bab0: 80801004 addi r2,r16,64 +8113bab4: 28801726 beq r5,r2,8113bb14 <__submore+0x88> +8113bab8: 84400d17 ldw r17,52(r16) +8113babc: 8c67883a add r19,r17,r17 +8113bac0: 980d883a mov r6,r19 +8113bac4: 11382400 call 81138240 <_realloc_r> +8113bac8: 1025883a mov r18,r2 +8113bacc: 10002226 beq r2,zero,8113bb58 <__submore+0xcc> +8113bad0: 1469883a add r20,r2,r17 +8113bad4: 880d883a mov r6,r17 +8113bad8: 100b883a mov r5,r2 +8113badc: a009883a mov r4,r20 +8113bae0: 112c6880 call 8112c688 +8113bae4: 0005883a mov r2,zero +8113bae8: 85000015 stw r20,0(r16) +8113baec: 84800c15 stw r18,48(r16) +8113baf0: 84c00d15 stw r19,52(r16) +8113baf4: dfc00517 ldw ra,20(sp) +8113baf8: dd000417 ldw r20,16(sp) +8113bafc: dcc00317 ldw r19,12(sp) +8113bb00: dc800217 ldw r18,8(sp) +8113bb04: dc400117 ldw r17,4(sp) +8113bb08: dc000017 ldw r16,0(sp) +8113bb0c: dec00604 addi sp,sp,24 +8113bb10: f800283a ret +8113bb14: 04410004 movi r17,1024 +8113bb18: 880b883a mov r5,r17 +8113bb1c: 112be7c0 call 8112be7c <_malloc_r> +8113bb20: 1007883a mov r3,r2 +8113bb24: 10000c26 beq r2,zero,8113bb58 <__submore+0xcc> +8113bb28: 80801083 ldbu r2,66(r16) +8113bb2c: 80c00c15 stw r3,48(r16) +8113bb30: 84400d15 stw r17,52(r16) +8113bb34: 1880ffc5 stb r2,1023(r3) +8113bb38: 81401043 ldbu r5,65(r16) +8113bb3c: 1900ff44 addi r4,r3,1021 +8113bb40: 0005883a mov r2,zero +8113bb44: 1940ff85 stb r5,1022(r3) +8113bb48: 81401003 ldbu r5,64(r16) +8113bb4c: 1940ff45 stb r5,1021(r3) +8113bb50: 81000015 stw r4,0(r16) +8113bb54: 003fe706 br 8113baf4 <__reset+0xfb11baf4> +8113bb58: 00bfffc4 movi r2,-1 +8113bb5c: 003fe506 br 8113baf4 <__reset+0xfb11baf4> + +8113bb60 <_ungetc_r>: +8113bb60: 00bfffc4 movi r2,-1 +8113bb64: 28806126 beq r5,r2,8113bcec <_ungetc_r+0x18c> +8113bb68: defffb04 addi sp,sp,-20 +8113bb6c: dcc00315 stw r19,12(sp) +8113bb70: dc400115 stw r17,4(sp) +8113bb74: dc000015 stw r16,0(sp) +8113bb78: dfc00415 stw ra,16(sp) +8113bb7c: dc800215 stw r18,8(sp) +8113bb80: 2023883a mov r17,r4 +8113bb84: 3021883a mov r16,r6 +8113bb88: 2827883a mov r19,r5 +8113bb8c: 20000226 beq r4,zero,8113bb98 <_ungetc_r+0x38> +8113bb90: 20800e17 ldw r2,56(r4) +8113bb94: 10002e26 beq r2,zero,8113bc50 <_ungetc_r+0xf0> +8113bb98: 80c0030b ldhu r3,12(r16) +8113bb9c: 1888000c andi r2,r3,8192 +8113bba0: 1000051e bne r2,zero,8113bbb8 <_ungetc_r+0x58> +8113bba4: 81001917 ldw r4,100(r16) +8113bba8: 00b7ffc4 movi r2,-8193 +8113bbac: 18c80014 ori r3,r3,8192 +8113bbb0: 2084703a and r2,r4,r2 +8113bbb4: 80801915 stw r2,100(r16) +8113bbb8: 00bff7c4 movi r2,-33 +8113bbbc: 1884703a and r2,r3,r2 +8113bbc0: 8080030d sth r2,12(r16) +8113bbc4: 1900010c andi r4,r3,4 +8113bbc8: 2000061e bne r4,zero,8113bbe4 <_ungetc_r+0x84> +8113bbcc: 1900040c andi r4,r3,16 +8113bbd0: 20001d26 beq r4,zero,8113bc48 <_ungetc_r+0xe8> +8113bbd4: 18c0020c andi r3,r3,8 +8113bbd8: 1800331e bne r3,zero,8113bca8 <_ungetc_r+0x148> +8113bbdc: 10800114 ori r2,r2,4 +8113bbe0: 8080030d sth r2,12(r16) +8113bbe4: 80800c17 ldw r2,48(r16) +8113bbe8: 9c803fcc andi r18,r19,255 +8113bbec: 10001a26 beq r2,zero,8113bc58 <_ungetc_r+0xf8> +8113bbf0: 80c00117 ldw r3,4(r16) +8113bbf4: 80800d17 ldw r2,52(r16) +8113bbf8: 18800f0e bge r3,r2,8113bc38 <_ungetc_r+0xd8> +8113bbfc: 80c00017 ldw r3,0(r16) +8113bc00: 9005883a mov r2,r18 +8113bc04: 193fffc4 addi r4,r3,-1 +8113bc08: 81000015 stw r4,0(r16) +8113bc0c: 1cffffc5 stb r19,-1(r3) +8113bc10: 80c00117 ldw r3,4(r16) +8113bc14: 18c00044 addi r3,r3,1 +8113bc18: 80c00115 stw r3,4(r16) +8113bc1c: dfc00417 ldw ra,16(sp) +8113bc20: dcc00317 ldw r19,12(sp) +8113bc24: dc800217 ldw r18,8(sp) +8113bc28: dc400117 ldw r17,4(sp) +8113bc2c: dc000017 ldw r16,0(sp) +8113bc30: dec00504 addi sp,sp,20 +8113bc34: f800283a ret +8113bc38: 800b883a mov r5,r16 +8113bc3c: 8809883a mov r4,r17 +8113bc40: 113ba8c0 call 8113ba8c <__submore> +8113bc44: 103fed26 beq r2,zero,8113bbfc <__reset+0xfb11bbfc> +8113bc48: 00bfffc4 movi r2,-1 +8113bc4c: 003ff306 br 8113bc1c <__reset+0xfb11bc1c> +8113bc50: 1135dec0 call 81135dec <__sinit> +8113bc54: 003fd006 br 8113bb98 <__reset+0xfb11bb98> +8113bc58: 80c00417 ldw r3,16(r16) +8113bc5c: 80800017 ldw r2,0(r16) +8113bc60: 18000326 beq r3,zero,8113bc70 <_ungetc_r+0x110> +8113bc64: 1880022e bgeu r3,r2,8113bc70 <_ungetc_r+0x110> +8113bc68: 10ffffc3 ldbu r3,-1(r2) +8113bc6c: 90c01826 beq r18,r3,8113bcd0 <_ungetc_r+0x170> +8113bc70: 81400117 ldw r5,4(r16) +8113bc74: 80800e15 stw r2,56(r16) +8113bc78: 008000c4 movi r2,3 +8113bc7c: 81001004 addi r4,r16,64 +8113bc80: 80c01084 addi r3,r16,66 +8113bc84: 80800d15 stw r2,52(r16) +8113bc88: 00800044 movi r2,1 +8113bc8c: 80800115 stw r2,4(r16) +8113bc90: 81400f15 stw r5,60(r16) +8113bc94: 81000c15 stw r4,48(r16) +8113bc98: 84c01085 stb r19,66(r16) +8113bc9c: 80c00015 stw r3,0(r16) +8113bca0: 9005883a mov r2,r18 +8113bca4: 003fdd06 br 8113bc1c <__reset+0xfb11bc1c> +8113bca8: 800b883a mov r5,r16 +8113bcac: 8809883a mov r4,r17 +8113bcb0: 1135a100 call 81135a10 <_fflush_r> +8113bcb4: 103fe41e bne r2,zero,8113bc48 <__reset+0xfb11bc48> +8113bcb8: 8080030b ldhu r2,12(r16) +8113bcbc: 00fffdc4 movi r3,-9 +8113bcc0: 80000215 stw zero,8(r16) +8113bcc4: 1884703a and r2,r3,r2 +8113bcc8: 80000615 stw zero,24(r16) +8113bccc: 003fc306 br 8113bbdc <__reset+0xfb11bbdc> +8113bcd0: 80c00117 ldw r3,4(r16) +8113bcd4: 10bfffc4 addi r2,r2,-1 +8113bcd8: 80800015 stw r2,0(r16) +8113bcdc: 18800044 addi r2,r3,1 +8113bce0: 80800115 stw r2,4(r16) +8113bce4: 9005883a mov r2,r18 +8113bce8: 003fcc06 br 8113bc1c <__reset+0xfb11bc1c> +8113bcec: 00bfffc4 movi r2,-1 +8113bcf0: f800283a ret + +8113bcf4 : +8113bcf4: 00a04574 movhi r2,33045 +8113bcf8: 1086f304 addi r2,r2,7116 +8113bcfc: 280d883a mov r6,r5 +8113bd00: 200b883a mov r5,r4 +8113bd04: 11000017 ldw r4,0(r2) +8113bd08: 113bb601 jmpi 8113bb60 <_ungetc_r> + +8113bd0c <__sprint_r.part.0>: +8113bd0c: 28801917 ldw r2,100(r5) +8113bd10: defff604 addi sp,sp,-40 +8113bd14: dd400515 stw r21,20(sp) +8113bd18: dfc00915 stw ra,36(sp) +8113bd1c: df000815 stw fp,32(sp) +8113bd20: ddc00715 stw r23,28(sp) +8113bd24: dd800615 stw r22,24(sp) +8113bd28: dd000415 stw r20,16(sp) +8113bd2c: dcc00315 stw r19,12(sp) +8113bd30: dc800215 stw r18,8(sp) +8113bd34: dc400115 stw r17,4(sp) +8113bd38: dc000015 stw r16,0(sp) +8113bd3c: 1088000c andi r2,r2,8192 +8113bd40: 302b883a mov r21,r6 +8113bd44: 10002e26 beq r2,zero,8113be00 <__sprint_r.part.0+0xf4> +8113bd48: 30800217 ldw r2,8(r6) +8113bd4c: 35800017 ldw r22,0(r6) +8113bd50: 10002926 beq r2,zero,8113bdf8 <__sprint_r.part.0+0xec> +8113bd54: 2827883a mov r19,r5 +8113bd58: 2029883a mov r20,r4 +8113bd5c: b5c00104 addi r23,r22,4 +8113bd60: 04bfffc4 movi r18,-1 +8113bd64: bc400017 ldw r17,0(r23) +8113bd68: b4000017 ldw r16,0(r22) +8113bd6c: 0039883a mov fp,zero +8113bd70: 8822d0ba srli r17,r17,2 +8113bd74: 8800031e bne r17,zero,8113bd84 <__sprint_r.part.0+0x78> +8113bd78: 00001806 br 8113bddc <__sprint_r.part.0+0xd0> +8113bd7c: 84000104 addi r16,r16,4 +8113bd80: 8f001526 beq r17,fp,8113bdd8 <__sprint_r.part.0+0xcc> +8113bd84: 81400017 ldw r5,0(r16) +8113bd88: 980d883a mov r6,r19 +8113bd8c: a009883a mov r4,r20 +8113bd90: 113d6800 call 8113d680 <_fputwc_r> +8113bd94: e7000044 addi fp,fp,1 +8113bd98: 14bff81e bne r2,r18,8113bd7c <__reset+0xfb11bd7c> +8113bd9c: 9005883a mov r2,r18 +8113bda0: a8000215 stw zero,8(r21) +8113bda4: a8000115 stw zero,4(r21) +8113bda8: dfc00917 ldw ra,36(sp) +8113bdac: df000817 ldw fp,32(sp) +8113bdb0: ddc00717 ldw r23,28(sp) +8113bdb4: dd800617 ldw r22,24(sp) +8113bdb8: dd400517 ldw r21,20(sp) +8113bdbc: dd000417 ldw r20,16(sp) +8113bdc0: dcc00317 ldw r19,12(sp) +8113bdc4: dc800217 ldw r18,8(sp) +8113bdc8: dc400117 ldw r17,4(sp) +8113bdcc: dc000017 ldw r16,0(sp) +8113bdd0: dec00a04 addi sp,sp,40 +8113bdd4: f800283a ret +8113bdd8: a8800217 ldw r2,8(r21) +8113bddc: 8c63883a add r17,r17,r17 +8113bde0: 8c63883a add r17,r17,r17 +8113bde4: 1445c83a sub r2,r2,r17 +8113bde8: a8800215 stw r2,8(r21) +8113bdec: b5800204 addi r22,r22,8 +8113bdf0: bdc00204 addi r23,r23,8 +8113bdf4: 103fdb1e bne r2,zero,8113bd64 <__reset+0xfb11bd64> +8113bdf8: 0005883a mov r2,zero +8113bdfc: 003fe806 br 8113bda0 <__reset+0xfb11bda0> +8113be00: 113657c0 call 8113657c <__sfvwrite_r> +8113be04: 003fe606 br 8113bda0 <__reset+0xfb11bda0> + +8113be08 <__sprint_r>: +8113be08: 30c00217 ldw r3,8(r6) +8113be0c: 18000126 beq r3,zero,8113be14 <__sprint_r+0xc> +8113be10: 113bd0c1 jmpi 8113bd0c <__sprint_r.part.0> +8113be14: 30000115 stw zero,4(r6) +8113be18: 0005883a mov r2,zero +8113be1c: f800283a ret + +8113be20 <___vfiprintf_internal_r>: +8113be20: deffc904 addi sp,sp,-220 +8113be24: df003515 stw fp,212(sp) +8113be28: dd003115 stw r20,196(sp) +8113be2c: dfc03615 stw ra,216(sp) +8113be30: ddc03415 stw r23,208(sp) +8113be34: dd803315 stw r22,204(sp) +8113be38: dd403215 stw r21,200(sp) +8113be3c: dcc03015 stw r19,192(sp) +8113be40: dc802f15 stw r18,188(sp) +8113be44: dc402e15 stw r17,184(sp) +8113be48: dc002d15 stw r16,180(sp) +8113be4c: d9002015 stw r4,128(sp) +8113be50: d9c02215 stw r7,136(sp) +8113be54: 2829883a mov r20,r5 +8113be58: 3039883a mov fp,r6 +8113be5c: 20000226 beq r4,zero,8113be68 <___vfiprintf_internal_r+0x48> +8113be60: 20800e17 ldw r2,56(r4) +8113be64: 1000cf26 beq r2,zero,8113c1a4 <___vfiprintf_internal_r+0x384> +8113be68: a080030b ldhu r2,12(r20) +8113be6c: 10c8000c andi r3,r2,8192 +8113be70: 1800061e bne r3,zero,8113be8c <___vfiprintf_internal_r+0x6c> +8113be74: a1001917 ldw r4,100(r20) +8113be78: 00f7ffc4 movi r3,-8193 +8113be7c: 10880014 ori r2,r2,8192 +8113be80: 20c6703a and r3,r4,r3 +8113be84: a080030d sth r2,12(r20) +8113be88: a0c01915 stw r3,100(r20) +8113be8c: 10c0020c andi r3,r2,8 +8113be90: 1800a926 beq r3,zero,8113c138 <___vfiprintf_internal_r+0x318> +8113be94: a0c00417 ldw r3,16(r20) +8113be98: 1800a726 beq r3,zero,8113c138 <___vfiprintf_internal_r+0x318> +8113be9c: 1080068c andi r2,r2,26 +8113bea0: 00c00284 movi r3,10 +8113bea4: 10c0ac26 beq r2,r3,8113c158 <___vfiprintf_internal_r+0x338> +8113bea8: da801a04 addi r10,sp,104 +8113beac: da801e15 stw r10,120(sp) +8113beb0: d8801e17 ldw r2,120(sp) +8113beb4: da8019c4 addi r10,sp,103 +8113beb8: 05a04574 movhi r22,33045 +8113bebc: 05e04574 movhi r23,33045 +8113bec0: da801f15 stw r10,124(sp) +8113bec4: 1295c83a sub r10,r2,r10 +8113bec8: b5bf5c04 addi r22,r22,-656 +8113becc: bdff5804 addi r23,r23,-672 +8113bed0: dec01a15 stw sp,104(sp) +8113bed4: d8001c15 stw zero,112(sp) +8113bed8: d8001b15 stw zero,108(sp) +8113bedc: d8002615 stw zero,152(sp) +8113bee0: d8002315 stw zero,140(sp) +8113bee4: da802715 stw r10,156(sp) +8113bee8: d811883a mov r8,sp +8113beec: dd002115 stw r20,132(sp) +8113bef0: e021883a mov r16,fp +8113bef4: 80800007 ldb r2,0(r16) +8113bef8: 1003ea26 beq r2,zero,8113cea4 <___vfiprintf_internal_r+0x1084> +8113befc: 00c00944 movi r3,37 +8113bf00: 8025883a mov r18,r16 +8113bf04: 10c0021e bne r2,r3,8113bf10 <___vfiprintf_internal_r+0xf0> +8113bf08: 00001606 br 8113bf64 <___vfiprintf_internal_r+0x144> +8113bf0c: 10c00326 beq r2,r3,8113bf1c <___vfiprintf_internal_r+0xfc> +8113bf10: 94800044 addi r18,r18,1 +8113bf14: 90800007 ldb r2,0(r18) +8113bf18: 103ffc1e bne r2,zero,8113bf0c <__reset+0xfb11bf0c> +8113bf1c: 9423c83a sub r17,r18,r16 +8113bf20: 88001026 beq r17,zero,8113bf64 <___vfiprintf_internal_r+0x144> +8113bf24: d8c01c17 ldw r3,112(sp) +8113bf28: d8801b17 ldw r2,108(sp) +8113bf2c: 44000015 stw r16,0(r8) +8113bf30: 88c7883a add r3,r17,r3 +8113bf34: 10800044 addi r2,r2,1 +8113bf38: 44400115 stw r17,4(r8) +8113bf3c: d8c01c15 stw r3,112(sp) +8113bf40: d8801b15 stw r2,108(sp) +8113bf44: 010001c4 movi r4,7 +8113bf48: 2080760e bge r4,r2,8113c124 <___vfiprintf_internal_r+0x304> +8113bf4c: 1803821e bne r3,zero,8113cd58 <___vfiprintf_internal_r+0xf38> +8113bf50: da802317 ldw r10,140(sp) +8113bf54: d8001b15 stw zero,108(sp) +8113bf58: d811883a mov r8,sp +8113bf5c: 5455883a add r10,r10,r17 +8113bf60: da802315 stw r10,140(sp) +8113bf64: 90800007 ldb r2,0(r18) +8113bf68: 10044626 beq r2,zero,8113d084 <___vfiprintf_internal_r+0x1264> +8113bf6c: 90c00047 ldb r3,1(r18) +8113bf70: 94000044 addi r16,r18,1 +8113bf74: d8001d85 stb zero,118(sp) +8113bf78: 0009883a mov r4,zero +8113bf7c: 000f883a mov r7,zero +8113bf80: 027fffc4 movi r9,-1 +8113bf84: 0023883a mov r17,zero +8113bf88: 0029883a mov r20,zero +8113bf8c: 01401604 movi r5,88 +8113bf90: 01800244 movi r6,9 +8113bf94: 03400a84 movi r13,42 +8113bf98: 03001b04 movi r12,108 +8113bf9c: 84000044 addi r16,r16,1 +8113bfa0: 18bff804 addi r2,r3,-32 +8113bfa4: 28827336 bltu r5,r2,8113c974 <___vfiprintf_internal_r+0xb54> +8113bfa8: 100490ba slli r2,r2,2 +8113bfac: 02a04534 movhi r10,33044 +8113bfb0: 52aff004 addi r10,r10,-16448 +8113bfb4: 1285883a add r2,r2,r10 +8113bfb8: 10800017 ldw r2,0(r2) +8113bfbc: 1000683a jmp r2 +8113bfc0: 8113c6a8 cmpgeui r4,r16,20250 +8113bfc4: 8113c974 orhi r4,r16,20261 +8113bfc8: 8113c974 orhi r4,r16,20261 +8113bfcc: 8113c6c8 cmpgei r4,r16,20251 +8113bfd0: 8113c974 orhi r4,r16,20261 +8113bfd4: 8113c974 orhi r4,r16,20261 +8113bfd8: 8113c974 orhi r4,r16,20261 +8113bfdc: 8113c974 orhi r4,r16,20261 +8113bfe0: 8113c974 orhi r4,r16,20261 +8113bfe4: 8113c974 orhi r4,r16,20261 +8113bfe8: 8113c8b0 cmpltui r4,r16,20258 +8113bfec: 8113c8cc andi r4,r16,20259 +8113bff0: 8113c974 orhi r4,r16,20261 +8113bff4: 8113c1b4 orhi r4,r16,20230 +8113bff8: 8113c8dc xori r4,r16,20259 +8113bffc: 8113c974 orhi r4,r16,20261 +8113c000: 8113c6d4 ori r4,r16,20251 +8113c004: 8113c6e0 cmpeqi r4,r16,20251 +8113c008: 8113c6e0 cmpeqi r4,r16,20251 +8113c00c: 8113c6e0 cmpeqi r4,r16,20251 +8113c010: 8113c6e0 cmpeqi r4,r16,20251 +8113c014: 8113c6e0 cmpeqi r4,r16,20251 +8113c018: 8113c6e0 cmpeqi r4,r16,20251 +8113c01c: 8113c6e0 cmpeqi r4,r16,20251 +8113c020: 8113c6e0 cmpeqi r4,r16,20251 +8113c024: 8113c6e0 cmpeqi r4,r16,20251 +8113c028: 8113c974 orhi r4,r16,20261 +8113c02c: 8113c974 orhi r4,r16,20261 +8113c030: 8113c974 orhi r4,r16,20261 +8113c034: 8113c974 orhi r4,r16,20261 +8113c038: 8113c974 orhi r4,r16,20261 +8113c03c: 8113c974 orhi r4,r16,20261 +8113c040: 8113c974 orhi r4,r16,20261 +8113c044: 8113c974 orhi r4,r16,20261 +8113c048: 8113c974 orhi r4,r16,20261 +8113c04c: 8113c974 orhi r4,r16,20261 +8113c050: 8113c70c andi r4,r16,20252 +8113c054: 8113c974 orhi r4,r16,20261 +8113c058: 8113c974 orhi r4,r16,20261 +8113c05c: 8113c974 orhi r4,r16,20261 +8113c060: 8113c974 orhi r4,r16,20261 +8113c064: 8113c974 orhi r4,r16,20261 +8113c068: 8113c974 orhi r4,r16,20261 +8113c06c: 8113c974 orhi r4,r16,20261 +8113c070: 8113c974 orhi r4,r16,20261 +8113c074: 8113c974 orhi r4,r16,20261 +8113c078: 8113c974 orhi r4,r16,20261 +8113c07c: 8113c744 addi r4,r16,20253 +8113c080: 8113c974 orhi r4,r16,20261 +8113c084: 8113c974 orhi r4,r16,20261 +8113c088: 8113c974 orhi r4,r16,20261 +8113c08c: 8113c974 orhi r4,r16,20261 +8113c090: 8113c974 orhi r4,r16,20261 +8113c094: 8113c79c xori r4,r16,20254 +8113c098: 8113c974 orhi r4,r16,20261 +8113c09c: 8113c974 orhi r4,r16,20261 +8113c0a0: 8113c80c andi r4,r16,20256 +8113c0a4: 8113c974 orhi r4,r16,20261 +8113c0a8: 8113c974 orhi r4,r16,20261 +8113c0ac: 8113c974 orhi r4,r16,20261 +8113c0b0: 8113c974 orhi r4,r16,20261 +8113c0b4: 8113c974 orhi r4,r16,20261 +8113c0b8: 8113c974 orhi r4,r16,20261 +8113c0bc: 8113c974 orhi r4,r16,20261 +8113c0c0: 8113c974 orhi r4,r16,20261 +8113c0c4: 8113c974 orhi r4,r16,20261 +8113c0c8: 8113c974 orhi r4,r16,20261 +8113c0cc: 8113c5b8 rdprs r4,r16,20246 +8113c0d0: 8113c5e4 muli r4,r16,20247 +8113c0d4: 8113c974 orhi r4,r16,20261 +8113c0d8: 8113c974 orhi r4,r16,20261 +8113c0dc: 8113c974 orhi r4,r16,20261 +8113c0e0: 8113c91c xori r4,r16,20260 +8113c0e4: 8113c5e4 muli r4,r16,20247 +8113c0e8: 8113c974 orhi r4,r16,20261 +8113c0ec: 8113c974 orhi r4,r16,20261 +8113c0f0: 8113c478 rdprs r4,r16,20241 +8113c0f4: 8113c974 orhi r4,r16,20261 +8113c0f8: 8113c488 cmpgei r4,r16,20242 +8113c0fc: 8113c4c4 addi r4,r16,20243 +8113c100: 8113c1c0 call 88113c1c <__reset+0x20f3c1c> +8113c104: 8113c46c andhi r4,r16,20241 +8113c108: 8113c974 orhi r4,r16,20261 +8113c10c: 8113c848 cmpgei r4,r16,20257 +8113c110: 8113c974 orhi r4,r16,20261 +8113c114: 8113c8a0 cmpeqi r4,r16,20258 +8113c118: 8113c974 orhi r4,r16,20261 +8113c11c: 8113c974 orhi r4,r16,20261 +8113c120: 8113c564 muli r4,r16,20245 +8113c124: 42000204 addi r8,r8,8 +8113c128: da802317 ldw r10,140(sp) +8113c12c: 5455883a add r10,r10,r17 +8113c130: da802315 stw r10,140(sp) +8113c134: 003f8b06 br 8113bf64 <__reset+0xfb11bf64> +8113c138: d9002017 ldw r4,128(sp) +8113c13c: a00b883a mov r5,r20 +8113c140: 1133dc40 call 81133dc4 <__swsetup_r> +8113c144: 1003b11e bne r2,zero,8113d00c <___vfiprintf_internal_r+0x11ec> +8113c148: a080030b ldhu r2,12(r20) +8113c14c: 00c00284 movi r3,10 +8113c150: 1080068c andi r2,r2,26 +8113c154: 10ff541e bne r2,r3,8113bea8 <__reset+0xfb11bea8> +8113c158: a080038f ldh r2,14(r20) +8113c15c: 103f5216 blt r2,zero,8113bea8 <__reset+0xfb11bea8> +8113c160: d9c02217 ldw r7,136(sp) +8113c164: d9002017 ldw r4,128(sp) +8113c168: e00d883a mov r6,fp +8113c16c: a00b883a mov r5,r20 +8113c170: 113d2980 call 8113d298 <__sbprintf> +8113c174: dfc03617 ldw ra,216(sp) +8113c178: df003517 ldw fp,212(sp) +8113c17c: ddc03417 ldw r23,208(sp) +8113c180: dd803317 ldw r22,204(sp) +8113c184: dd403217 ldw r21,200(sp) +8113c188: dd003117 ldw r20,196(sp) +8113c18c: dcc03017 ldw r19,192(sp) +8113c190: dc802f17 ldw r18,188(sp) +8113c194: dc402e17 ldw r17,184(sp) +8113c198: dc002d17 ldw r16,180(sp) +8113c19c: dec03704 addi sp,sp,220 +8113c1a0: f800283a ret +8113c1a4: 1135dec0 call 81135dec <__sinit> +8113c1a8: 003f2f06 br 8113be68 <__reset+0xfb11be68> +8113c1ac: 0463c83a sub r17,zero,r17 +8113c1b0: d8802215 stw r2,136(sp) +8113c1b4: a5000114 ori r20,r20,4 +8113c1b8: 80c00007 ldb r3,0(r16) +8113c1bc: 003f7706 br 8113bf9c <__reset+0xfb11bf9c> +8113c1c0: 00800c04 movi r2,48 +8113c1c4: da802217 ldw r10,136(sp) +8113c1c8: d8801d05 stb r2,116(sp) +8113c1cc: 00801e04 movi r2,120 +8113c1d0: d8801d45 stb r2,117(sp) +8113c1d4: d8001d85 stb zero,118(sp) +8113c1d8: 50c00104 addi r3,r10,4 +8113c1dc: 54800017 ldw r18,0(r10) +8113c1e0: 0027883a mov r19,zero +8113c1e4: a0800094 ori r2,r20,2 +8113c1e8: 48030b16 blt r9,zero,8113ce18 <___vfiprintf_internal_r+0xff8> +8113c1ec: 00bfdfc4 movi r2,-129 +8113c1f0: a096703a and r11,r20,r2 +8113c1f4: d8c02215 stw r3,136(sp) +8113c1f8: 5d000094 ori r20,r11,2 +8113c1fc: 90032b1e bne r18,zero,8113ceac <___vfiprintf_internal_r+0x108c> +8113c200: 00a04574 movhi r2,33045 +8113c204: 10bec604 addi r2,r2,-1256 +8113c208: d8802615 stw r2,152(sp) +8113c20c: 0039883a mov fp,zero +8113c210: 48017b1e bne r9,zero,8113c800 <___vfiprintf_internal_r+0x9e0> +8113c214: 0013883a mov r9,zero +8113c218: 0027883a mov r19,zero +8113c21c: dd401a04 addi r21,sp,104 +8113c220: 4825883a mov r18,r9 +8113c224: 4cc0010e bge r9,r19,8113c22c <___vfiprintf_internal_r+0x40c> +8113c228: 9825883a mov r18,r19 +8113c22c: e7003fcc andi fp,fp,255 +8113c230: e700201c xori fp,fp,128 +8113c234: e73fe004 addi fp,fp,-128 +8113c238: e0000126 beq fp,zero,8113c240 <___vfiprintf_internal_r+0x420> +8113c23c: 94800044 addi r18,r18,1 +8113c240: a380008c andi r14,r20,2 +8113c244: 70000126 beq r14,zero,8113c24c <___vfiprintf_internal_r+0x42c> +8113c248: 94800084 addi r18,r18,2 +8113c24c: a700210c andi fp,r20,132 +8113c250: e001df1e bne fp,zero,8113c9d0 <___vfiprintf_internal_r+0xbb0> +8113c254: 8c87c83a sub r3,r17,r18 +8113c258: 00c1dd0e bge zero,r3,8113c9d0 <___vfiprintf_internal_r+0xbb0> +8113c25c: 01c00404 movi r7,16 +8113c260: d8801c17 ldw r2,112(sp) +8113c264: 38c3ad0e bge r7,r3,8113d11c <___vfiprintf_internal_r+0x12fc> +8113c268: 02a04574 movhi r10,33045 +8113c26c: 52bf5c04 addi r10,r10,-656 +8113c270: dc002915 stw r16,164(sp) +8113c274: d9801b17 ldw r6,108(sp) +8113c278: da802415 stw r10,144(sp) +8113c27c: 03c001c4 movi r15,7 +8113c280: da402515 stw r9,148(sp) +8113c284: db802815 stw r14,160(sp) +8113c288: 1821883a mov r16,r3 +8113c28c: 00000506 br 8113c2a4 <___vfiprintf_internal_r+0x484> +8113c290: 31400084 addi r5,r6,2 +8113c294: 42000204 addi r8,r8,8 +8113c298: 200d883a mov r6,r4 +8113c29c: 843ffc04 addi r16,r16,-16 +8113c2a0: 3c000d0e bge r7,r16,8113c2d8 <___vfiprintf_internal_r+0x4b8> +8113c2a4: 10800404 addi r2,r2,16 +8113c2a8: 31000044 addi r4,r6,1 +8113c2ac: 45800015 stw r22,0(r8) +8113c2b0: 41c00115 stw r7,4(r8) +8113c2b4: d8801c15 stw r2,112(sp) +8113c2b8: d9001b15 stw r4,108(sp) +8113c2bc: 793ff40e bge r15,r4,8113c290 <__reset+0xfb11c290> +8113c2c0: 1001b51e bne r2,zero,8113c998 <___vfiprintf_internal_r+0xb78> +8113c2c4: 843ffc04 addi r16,r16,-16 +8113c2c8: 000d883a mov r6,zero +8113c2cc: 01400044 movi r5,1 +8113c2d0: d811883a mov r8,sp +8113c2d4: 3c3ff316 blt r7,r16,8113c2a4 <__reset+0xfb11c2a4> +8113c2d8: 8007883a mov r3,r16 +8113c2dc: da402517 ldw r9,148(sp) +8113c2e0: db802817 ldw r14,160(sp) +8113c2e4: dc002917 ldw r16,164(sp) +8113c2e8: da802417 ldw r10,144(sp) +8113c2ec: 1885883a add r2,r3,r2 +8113c2f0: 40c00115 stw r3,4(r8) +8113c2f4: 42800015 stw r10,0(r8) +8113c2f8: d8801c15 stw r2,112(sp) +8113c2fc: d9401b15 stw r5,108(sp) +8113c300: 00c001c4 movi r3,7 +8113c304: 19426016 blt r3,r5,8113cc88 <___vfiprintf_internal_r+0xe68> +8113c308: d8c01d87 ldb r3,118(sp) +8113c30c: 42000204 addi r8,r8,8 +8113c310: 29000044 addi r4,r5,1 +8113c314: 1801b31e bne r3,zero,8113c9e4 <___vfiprintf_internal_r+0xbc4> +8113c318: 7001c026 beq r14,zero,8113ca1c <___vfiprintf_internal_r+0xbfc> +8113c31c: d8c01d04 addi r3,sp,116 +8113c320: 10800084 addi r2,r2,2 +8113c324: 40c00015 stw r3,0(r8) +8113c328: 00c00084 movi r3,2 +8113c32c: 40c00115 stw r3,4(r8) +8113c330: d8801c15 stw r2,112(sp) +8113c334: d9001b15 stw r4,108(sp) +8113c338: 00c001c4 movi r3,7 +8113c33c: 1902650e bge r3,r4,8113ccd4 <___vfiprintf_internal_r+0xeb4> +8113c340: 10029a1e bne r2,zero,8113cdac <___vfiprintf_internal_r+0xf8c> +8113c344: 00c02004 movi r3,128 +8113c348: 01000044 movi r4,1 +8113c34c: 000b883a mov r5,zero +8113c350: d811883a mov r8,sp +8113c354: e0c1b31e bne fp,r3,8113ca24 <___vfiprintf_internal_r+0xc04> +8113c358: 8cb9c83a sub fp,r17,r18 +8113c35c: 0701b10e bge zero,fp,8113ca24 <___vfiprintf_internal_r+0xc04> +8113c360: 01c00404 movi r7,16 +8113c364: 3f03890e bge r7,fp,8113d18c <___vfiprintf_internal_r+0x136c> +8113c368: 00e04574 movhi r3,33045 +8113c36c: 18ff5804 addi r3,r3,-672 +8113c370: d8c02415 stw r3,144(sp) +8113c374: 8007883a mov r3,r16 +8113c378: 034001c4 movi r13,7 +8113c37c: e021883a mov r16,fp +8113c380: da402515 stw r9,148(sp) +8113c384: 1839883a mov fp,r3 +8113c388: 00000506 br 8113c3a0 <___vfiprintf_internal_r+0x580> +8113c38c: 29800084 addi r6,r5,2 +8113c390: 42000204 addi r8,r8,8 +8113c394: 180b883a mov r5,r3 +8113c398: 843ffc04 addi r16,r16,-16 +8113c39c: 3c000d0e bge r7,r16,8113c3d4 <___vfiprintf_internal_r+0x5b4> +8113c3a0: 10800404 addi r2,r2,16 +8113c3a4: 28c00044 addi r3,r5,1 +8113c3a8: 45c00015 stw r23,0(r8) +8113c3ac: 41c00115 stw r7,4(r8) +8113c3b0: d8801c15 stw r2,112(sp) +8113c3b4: d8c01b15 stw r3,108(sp) +8113c3b8: 68fff40e bge r13,r3,8113c38c <__reset+0xfb11c38c> +8113c3bc: 1002241e bne r2,zero,8113cc50 <___vfiprintf_internal_r+0xe30> +8113c3c0: 843ffc04 addi r16,r16,-16 +8113c3c4: 01800044 movi r6,1 +8113c3c8: 000b883a mov r5,zero +8113c3cc: d811883a mov r8,sp +8113c3d0: 3c3ff316 blt r7,r16,8113c3a0 <__reset+0xfb11c3a0> +8113c3d4: da402517 ldw r9,148(sp) +8113c3d8: e007883a mov r3,fp +8113c3dc: 8039883a mov fp,r16 +8113c3e0: 1821883a mov r16,r3 +8113c3e4: d8c02417 ldw r3,144(sp) +8113c3e8: 1705883a add r2,r2,fp +8113c3ec: 47000115 stw fp,4(r8) +8113c3f0: 40c00015 stw r3,0(r8) +8113c3f4: d8801c15 stw r2,112(sp) +8113c3f8: d9801b15 stw r6,108(sp) +8113c3fc: 00c001c4 movi r3,7 +8113c400: 19827616 blt r3,r6,8113cddc <___vfiprintf_internal_r+0xfbc> +8113c404: 4cf9c83a sub fp,r9,r19 +8113c408: 42000204 addi r8,r8,8 +8113c40c: 31000044 addi r4,r6,1 +8113c410: 300b883a mov r5,r6 +8113c414: 07018516 blt zero,fp,8113ca2c <___vfiprintf_internal_r+0xc0c> +8113c418: 9885883a add r2,r19,r2 +8113c41c: 45400015 stw r21,0(r8) +8113c420: 44c00115 stw r19,4(r8) +8113c424: d8801c15 stw r2,112(sp) +8113c428: d9001b15 stw r4,108(sp) +8113c42c: 00c001c4 movi r3,7 +8113c430: 1901dd0e bge r3,r4,8113cba8 <___vfiprintf_internal_r+0xd88> +8113c434: 1002401e bne r2,zero,8113cd38 <___vfiprintf_internal_r+0xf18> +8113c438: d8001b15 stw zero,108(sp) +8113c43c: a2c0010c andi r11,r20,4 +8113c440: 58000226 beq r11,zero,8113c44c <___vfiprintf_internal_r+0x62c> +8113c444: 8ca7c83a sub r19,r17,r18 +8113c448: 04c2f216 blt zero,r19,8113d014 <___vfiprintf_internal_r+0x11f4> +8113c44c: 8c80010e bge r17,r18,8113c454 <___vfiprintf_internal_r+0x634> +8113c450: 9023883a mov r17,r18 +8113c454: da802317 ldw r10,140(sp) +8113c458: 5455883a add r10,r10,r17 +8113c45c: da802315 stw r10,140(sp) +8113c460: d8001b15 stw zero,108(sp) +8113c464: d811883a mov r8,sp +8113c468: 003ea206 br 8113bef4 <__reset+0xfb11bef4> +8113c46c: a5000814 ori r20,r20,32 +8113c470: 80c00007 ldb r3,0(r16) +8113c474: 003ec906 br 8113bf9c <__reset+0xfb11bf9c> +8113c478: 80c00007 ldb r3,0(r16) +8113c47c: 1b030926 beq r3,r12,8113d0a4 <___vfiprintf_internal_r+0x1284> +8113c480: a5000414 ori r20,r20,16 +8113c484: 003ec506 br 8113bf9c <__reset+0xfb11bf9c> +8113c488: 21003fcc andi r4,r4,255 +8113c48c: 20035e1e bne r4,zero,8113d208 <___vfiprintf_internal_r+0x13e8> +8113c490: a080080c andi r2,r20,32 +8113c494: 1002a526 beq r2,zero,8113cf2c <___vfiprintf_internal_r+0x110c> +8113c498: da802217 ldw r10,136(sp) +8113c49c: 50800017 ldw r2,0(r10) +8113c4a0: da802317 ldw r10,140(sp) +8113c4a4: 5007d7fa srai r3,r10,31 +8113c4a8: da802217 ldw r10,136(sp) +8113c4ac: 10c00115 stw r3,4(r2) +8113c4b0: 52800104 addi r10,r10,4 +8113c4b4: da802215 stw r10,136(sp) +8113c4b8: da802317 ldw r10,140(sp) +8113c4bc: 12800015 stw r10,0(r2) +8113c4c0: 003e8c06 br 8113bef4 <__reset+0xfb11bef4> +8113c4c4: 21003fcc andi r4,r4,255 +8113c4c8: 2003511e bne r4,zero,8113d210 <___vfiprintf_internal_r+0x13f0> +8113c4cc: a080080c andi r2,r20,32 +8113c4d0: 1000a126 beq r2,zero,8113c758 <___vfiprintf_internal_r+0x938> +8113c4d4: da802217 ldw r10,136(sp) +8113c4d8: d8001d85 stb zero,118(sp) +8113c4dc: 50800204 addi r2,r10,8 +8113c4e0: 54800017 ldw r18,0(r10) +8113c4e4: 54c00117 ldw r19,4(r10) +8113c4e8: 4802b416 blt r9,zero,8113cfbc <___vfiprintf_internal_r+0x119c> +8113c4ec: 013fdfc4 movi r4,-129 +8113c4f0: 94c6b03a or r3,r18,r19 +8113c4f4: d8802215 stw r2,136(sp) +8113c4f8: a128703a and r20,r20,r4 +8113c4fc: 1800a226 beq r3,zero,8113c788 <___vfiprintf_internal_r+0x968> +8113c500: 0039883a mov fp,zero +8113c504: dd401a04 addi r21,sp,104 +8113c508: 9006d0fa srli r3,r18,3 +8113c50c: 9808977a slli r4,r19,29 +8113c510: 9826d0fa srli r19,r19,3 +8113c514: 948001cc andi r18,r18,7 +8113c518: 90800c04 addi r2,r18,48 +8113c51c: ad7fffc4 addi r21,r21,-1 +8113c520: 20e4b03a or r18,r4,r3 +8113c524: a8800005 stb r2,0(r21) +8113c528: 94c6b03a or r3,r18,r19 +8113c52c: 183ff61e bne r3,zero,8113c508 <__reset+0xfb11c508> +8113c530: a0c0004c andi r3,r20,1 +8113c534: 18005926 beq r3,zero,8113c69c <___vfiprintf_internal_r+0x87c> +8113c538: 10803fcc andi r2,r2,255 +8113c53c: 1080201c xori r2,r2,128 +8113c540: 10bfe004 addi r2,r2,-128 +8113c544: 00c00c04 movi r3,48 +8113c548: 10c05426 beq r2,r3,8113c69c <___vfiprintf_internal_r+0x87c> +8113c54c: da801e17 ldw r10,120(sp) +8113c550: a8bfffc4 addi r2,r21,-1 +8113c554: a8ffffc5 stb r3,-1(r21) +8113c558: 50a7c83a sub r19,r10,r2 +8113c55c: 102b883a mov r21,r2 +8113c560: 003f2f06 br 8113c220 <__reset+0xfb11c220> +8113c564: 21003fcc andi r4,r4,255 +8113c568: 2003421e bne r4,zero,8113d274 <___vfiprintf_internal_r+0x1454> +8113c56c: 00a04574 movhi r2,33045 +8113c570: 10bec604 addi r2,r2,-1256 +8113c574: d8802615 stw r2,152(sp) +8113c578: a080080c andi r2,r20,32 +8113c57c: 1000aa26 beq r2,zero,8113c828 <___vfiprintf_internal_r+0xa08> +8113c580: da802217 ldw r10,136(sp) +8113c584: 54800017 ldw r18,0(r10) +8113c588: 54c00117 ldw r19,4(r10) +8113c58c: 52800204 addi r10,r10,8 +8113c590: da802215 stw r10,136(sp) +8113c594: a080004c andi r2,r20,1 +8113c598: 1001d226 beq r2,zero,8113cce4 <___vfiprintf_internal_r+0xec4> +8113c59c: 94c4b03a or r2,r18,r19 +8113c5a0: 1002351e bne r2,zero,8113ce78 <___vfiprintf_internal_r+0x1058> +8113c5a4: d8001d85 stb zero,118(sp) +8113c5a8: 48022216 blt r9,zero,8113ce34 <___vfiprintf_internal_r+0x1014> +8113c5ac: 00bfdfc4 movi r2,-129 +8113c5b0: a0a8703a and r20,r20,r2 +8113c5b4: 003f1506 br 8113c20c <__reset+0xfb11c20c> +8113c5b8: da802217 ldw r10,136(sp) +8113c5bc: 04800044 movi r18,1 +8113c5c0: d8001d85 stb zero,118(sp) +8113c5c4: 50800017 ldw r2,0(r10) +8113c5c8: 52800104 addi r10,r10,4 +8113c5cc: da802215 stw r10,136(sp) +8113c5d0: d8801005 stb r2,64(sp) +8113c5d4: 9027883a mov r19,r18 +8113c5d8: dd401004 addi r21,sp,64 +8113c5dc: 0013883a mov r9,zero +8113c5e0: 003f1706 br 8113c240 <__reset+0xfb11c240> +8113c5e4: 21003fcc andi r4,r4,255 +8113c5e8: 2003201e bne r4,zero,8113d26c <___vfiprintf_internal_r+0x144c> +8113c5ec: a080080c andi r2,r20,32 +8113c5f0: 10004b26 beq r2,zero,8113c720 <___vfiprintf_internal_r+0x900> +8113c5f4: da802217 ldw r10,136(sp) +8113c5f8: 50800117 ldw r2,4(r10) +8113c5fc: 54800017 ldw r18,0(r10) +8113c600: 52800204 addi r10,r10,8 +8113c604: da802215 stw r10,136(sp) +8113c608: 1027883a mov r19,r2 +8113c60c: 10022c16 blt r2,zero,8113cec0 <___vfiprintf_internal_r+0x10a0> +8113c610: df001d83 ldbu fp,118(sp) +8113c614: 48007216 blt r9,zero,8113c7e0 <___vfiprintf_internal_r+0x9c0> +8113c618: 00ffdfc4 movi r3,-129 +8113c61c: 94c4b03a or r2,r18,r19 +8113c620: a0e8703a and r20,r20,r3 +8113c624: 1000cc26 beq r2,zero,8113c958 <___vfiprintf_internal_r+0xb38> +8113c628: 98021026 beq r19,zero,8113ce6c <___vfiprintf_internal_r+0x104c> +8113c62c: dc402415 stw r17,144(sp) +8113c630: dc002515 stw r16,148(sp) +8113c634: 9823883a mov r17,r19 +8113c638: 9021883a mov r16,r18 +8113c63c: dd401a04 addi r21,sp,104 +8113c640: 4825883a mov r18,r9 +8113c644: 4027883a mov r19,r8 +8113c648: 8009883a mov r4,r16 +8113c64c: 880b883a mov r5,r17 +8113c650: 01800284 movi r6,10 +8113c654: 000f883a mov r7,zero +8113c658: 113eae00 call 8113eae0 <__umoddi3> +8113c65c: 10800c04 addi r2,r2,48 +8113c660: ad7fffc4 addi r21,r21,-1 +8113c664: 8009883a mov r4,r16 +8113c668: 880b883a mov r5,r17 +8113c66c: a8800005 stb r2,0(r21) +8113c670: 01800284 movi r6,10 +8113c674: 000f883a mov r7,zero +8113c678: 113e5680 call 8113e568 <__udivdi3> +8113c67c: 1021883a mov r16,r2 +8113c680: 10c4b03a or r2,r2,r3 +8113c684: 1823883a mov r17,r3 +8113c688: 103fef1e bne r2,zero,8113c648 <__reset+0xfb11c648> +8113c68c: dc402417 ldw r17,144(sp) +8113c690: dc002517 ldw r16,148(sp) +8113c694: 9013883a mov r9,r18 +8113c698: 9811883a mov r8,r19 +8113c69c: da801e17 ldw r10,120(sp) +8113c6a0: 5567c83a sub r19,r10,r21 +8113c6a4: 003ede06 br 8113c220 <__reset+0xfb11c220> +8113c6a8: 38803fcc andi r2,r7,255 +8113c6ac: 1080201c xori r2,r2,128 +8113c6b0: 10bfe004 addi r2,r2,-128 +8113c6b4: 1002371e bne r2,zero,8113cf94 <___vfiprintf_internal_r+0x1174> +8113c6b8: 01000044 movi r4,1 +8113c6bc: 01c00804 movi r7,32 +8113c6c0: 80c00007 ldb r3,0(r16) +8113c6c4: 003e3506 br 8113bf9c <__reset+0xfb11bf9c> +8113c6c8: a5000054 ori r20,r20,1 +8113c6cc: 80c00007 ldb r3,0(r16) +8113c6d0: 003e3206 br 8113bf9c <__reset+0xfb11bf9c> +8113c6d4: a5002014 ori r20,r20,128 +8113c6d8: 80c00007 ldb r3,0(r16) +8113c6dc: 003e2f06 br 8113bf9c <__reset+0xfb11bf9c> +8113c6e0: 8015883a mov r10,r16 +8113c6e4: 0023883a mov r17,zero +8113c6e8: 18bff404 addi r2,r3,-48 +8113c6ec: 50c00007 ldb r3,0(r10) +8113c6f0: 8c4002a4 muli r17,r17,10 +8113c6f4: 84000044 addi r16,r16,1 +8113c6f8: 8015883a mov r10,r16 +8113c6fc: 1463883a add r17,r2,r17 +8113c700: 18bff404 addi r2,r3,-48 +8113c704: 30bff92e bgeu r6,r2,8113c6ec <__reset+0xfb11c6ec> +8113c708: 003e2506 br 8113bfa0 <__reset+0xfb11bfa0> +8113c70c: 21003fcc andi r4,r4,255 +8113c710: 2002d41e bne r4,zero,8113d264 <___vfiprintf_internal_r+0x1444> +8113c714: a5000414 ori r20,r20,16 +8113c718: a080080c andi r2,r20,32 +8113c71c: 103fb51e bne r2,zero,8113c5f4 <__reset+0xfb11c5f4> +8113c720: a080040c andi r2,r20,16 +8113c724: 1001f826 beq r2,zero,8113cf08 <___vfiprintf_internal_r+0x10e8> +8113c728: da802217 ldw r10,136(sp) +8113c72c: 54800017 ldw r18,0(r10) +8113c730: 52800104 addi r10,r10,4 +8113c734: da802215 stw r10,136(sp) +8113c738: 9027d7fa srai r19,r18,31 +8113c73c: 9805883a mov r2,r19 +8113c740: 003fb206 br 8113c60c <__reset+0xfb11c60c> +8113c744: 21003fcc andi r4,r4,255 +8113c748: 2002c41e bne r4,zero,8113d25c <___vfiprintf_internal_r+0x143c> +8113c74c: a5000414 ori r20,r20,16 +8113c750: a080080c andi r2,r20,32 +8113c754: 103f5f1e bne r2,zero,8113c4d4 <__reset+0xfb11c4d4> +8113c758: a080040c andi r2,r20,16 +8113c75c: 10020f26 beq r2,zero,8113cf9c <___vfiprintf_internal_r+0x117c> +8113c760: da802217 ldw r10,136(sp) +8113c764: d8001d85 stb zero,118(sp) +8113c768: 0027883a mov r19,zero +8113c76c: 50800104 addi r2,r10,4 +8113c770: 54800017 ldw r18,0(r10) +8113c774: 48021116 blt r9,zero,8113cfbc <___vfiprintf_internal_r+0x119c> +8113c778: 00ffdfc4 movi r3,-129 +8113c77c: d8802215 stw r2,136(sp) +8113c780: a0e8703a and r20,r20,r3 +8113c784: 903f5e1e bne r18,zero,8113c500 <__reset+0xfb11c500> +8113c788: 0039883a mov fp,zero +8113c78c: 4802a626 beq r9,zero,8113d228 <___vfiprintf_internal_r+0x1408> +8113c790: 0025883a mov r18,zero +8113c794: 0027883a mov r19,zero +8113c798: 003f5a06 br 8113c504 <__reset+0xfb11c504> +8113c79c: 21003fcc andi r4,r4,255 +8113c7a0: 20029f1e bne r4,zero,8113d220 <___vfiprintf_internal_r+0x1400> +8113c7a4: a5000414 ori r20,r20,16 +8113c7a8: a080080c andi r2,r20,32 +8113c7ac: 10005e1e bne r2,zero,8113c928 <___vfiprintf_internal_r+0xb08> +8113c7b0: a080040c andi r2,r20,16 +8113c7b4: 1001a21e bne r2,zero,8113ce40 <___vfiprintf_internal_r+0x1020> +8113c7b8: a080100c andi r2,r20,64 +8113c7bc: d8001d85 stb zero,118(sp) +8113c7c0: da802217 ldw r10,136(sp) +8113c7c4: 1002231e bne r2,zero,8113d054 <___vfiprintf_internal_r+0x1234> +8113c7c8: 50800104 addi r2,r10,4 +8113c7cc: 54800017 ldw r18,0(r10) +8113c7d0: 0027883a mov r19,zero +8113c7d4: 4801a00e bge r9,zero,8113ce58 <___vfiprintf_internal_r+0x1038> +8113c7d8: d8802215 stw r2,136(sp) +8113c7dc: 0039883a mov fp,zero +8113c7e0: 94c4b03a or r2,r18,r19 +8113c7e4: 103f901e bne r2,zero,8113c628 <__reset+0xfb11c628> +8113c7e8: 00800044 movi r2,1 +8113c7ec: 10803fcc andi r2,r2,255 +8113c7f0: 00c00044 movi r3,1 +8113c7f4: 10c05926 beq r2,r3,8113c95c <___vfiprintf_internal_r+0xb3c> +8113c7f8: 00c00084 movi r3,2 +8113c7fc: 10ffe41e bne r2,r3,8113c790 <__reset+0xfb11c790> +8113c800: 0025883a mov r18,zero +8113c804: 0027883a mov r19,zero +8113c808: 00013d06 br 8113cd00 <___vfiprintf_internal_r+0xee0> +8113c80c: 21003fcc andi r4,r4,255 +8113c810: 2002811e bne r4,zero,8113d218 <___vfiprintf_internal_r+0x13f8> +8113c814: 00a04574 movhi r2,33045 +8113c818: 10bec104 addi r2,r2,-1276 +8113c81c: d8802615 stw r2,152(sp) +8113c820: a080080c andi r2,r20,32 +8113c824: 103f561e bne r2,zero,8113c580 <__reset+0xfb11c580> +8113c828: a080040c andi r2,r20,16 +8113c82c: 1001d126 beq r2,zero,8113cf74 <___vfiprintf_internal_r+0x1154> +8113c830: da802217 ldw r10,136(sp) +8113c834: 0027883a mov r19,zero +8113c838: 54800017 ldw r18,0(r10) +8113c83c: 52800104 addi r10,r10,4 +8113c840: da802215 stw r10,136(sp) +8113c844: 003f5306 br 8113c594 <__reset+0xfb11c594> +8113c848: da802217 ldw r10,136(sp) +8113c84c: d8001d85 stb zero,118(sp) +8113c850: 55400017 ldw r21,0(r10) +8113c854: 50c00104 addi r3,r10,4 +8113c858: a8024226 beq r21,zero,8113d164 <___vfiprintf_internal_r+0x1344> +8113c85c: 48021816 blt r9,zero,8113d0c0 <___vfiprintf_internal_r+0x12a0> +8113c860: 480d883a mov r6,r9 +8113c864: 000b883a mov r5,zero +8113c868: a809883a mov r4,r21 +8113c86c: d8c02a15 stw r3,168(sp) +8113c870: da002b15 stw r8,172(sp) +8113c874: da402c15 stw r9,176(sp) +8113c878: 1136fa40 call 81136fa4 +8113c87c: d8c02a17 ldw r3,168(sp) +8113c880: da002b17 ldw r8,172(sp) +8113c884: da402c17 ldw r9,176(sp) +8113c888: 10024826 beq r2,zero,8113d1ac <___vfiprintf_internal_r+0x138c> +8113c88c: 1567c83a sub r19,r2,r21 +8113c890: df001d83 ldbu fp,118(sp) +8113c894: d8c02215 stw r3,136(sp) +8113c898: 0013883a mov r9,zero +8113c89c: 003e6006 br 8113c220 <__reset+0xfb11c220> +8113c8a0: 21003fcc andi r4,r4,255 +8113c8a4: 203fc026 beq r4,zero,8113c7a8 <__reset+0xfb11c7a8> +8113c8a8: d9c01d85 stb r7,118(sp) +8113c8ac: 003fbe06 br 8113c7a8 <__reset+0xfb11c7a8> +8113c8b0: da802217 ldw r10,136(sp) +8113c8b4: 54400017 ldw r17,0(r10) +8113c8b8: 50800104 addi r2,r10,4 +8113c8bc: 883e3b16 blt r17,zero,8113c1ac <__reset+0xfb11c1ac> +8113c8c0: d8802215 stw r2,136(sp) +8113c8c4: 80c00007 ldb r3,0(r16) +8113c8c8: 003db406 br 8113bf9c <__reset+0xfb11bf9c> +8113c8cc: 01000044 movi r4,1 +8113c8d0: 01c00ac4 movi r7,43 +8113c8d4: 80c00007 ldb r3,0(r16) +8113c8d8: 003db006 br 8113bf9c <__reset+0xfb11bf9c> +8113c8dc: 80c00007 ldb r3,0(r16) +8113c8e0: 82800044 addi r10,r16,1 +8113c8e4: 1b423c26 beq r3,r13,8113d1d8 <___vfiprintf_internal_r+0x13b8> +8113c8e8: 18bff404 addi r2,r3,-48 +8113c8ec: 0013883a mov r9,zero +8113c8f0: 30822b36 bltu r6,r2,8113d1a0 <___vfiprintf_internal_r+0x1380> +8113c8f4: 50c00007 ldb r3,0(r10) +8113c8f8: 4a4002a4 muli r9,r9,10 +8113c8fc: 54000044 addi r16,r10,1 +8113c900: 8015883a mov r10,r16 +8113c904: 4893883a add r9,r9,r2 +8113c908: 18bff404 addi r2,r3,-48 +8113c90c: 30bff92e bgeu r6,r2,8113c8f4 <__reset+0xfb11c8f4> +8113c910: 483da30e bge r9,zero,8113bfa0 <__reset+0xfb11bfa0> +8113c914: 027fffc4 movi r9,-1 +8113c918: 003da106 br 8113bfa0 <__reset+0xfb11bfa0> +8113c91c: a5001014 ori r20,r20,64 +8113c920: 80c00007 ldb r3,0(r16) +8113c924: 003d9d06 br 8113bf9c <__reset+0xfb11bf9c> +8113c928: da802217 ldw r10,136(sp) +8113c92c: d8001d85 stb zero,118(sp) +8113c930: 50c00204 addi r3,r10,8 +8113c934: 54800017 ldw r18,0(r10) +8113c938: 54c00117 ldw r19,4(r10) +8113c93c: 4801ca16 blt r9,zero,8113d068 <___vfiprintf_internal_r+0x1248> +8113c940: 013fdfc4 movi r4,-129 +8113c944: 94c4b03a or r2,r18,r19 +8113c948: d8c02215 stw r3,136(sp) +8113c94c: a128703a and r20,r20,r4 +8113c950: 0039883a mov fp,zero +8113c954: 103f341e bne r2,zero,8113c628 <__reset+0xfb11c628> +8113c958: 483e2e26 beq r9,zero,8113c214 <__reset+0xfb11c214> +8113c95c: 0025883a mov r18,zero +8113c960: 94800c04 addi r18,r18,48 +8113c964: dc8019c5 stb r18,103(sp) +8113c968: dcc02717 ldw r19,156(sp) +8113c96c: dd4019c4 addi r21,sp,103 +8113c970: 003e2b06 br 8113c220 <__reset+0xfb11c220> +8113c974: 21003fcc andi r4,r4,255 +8113c978: 2002361e bne r4,zero,8113d254 <___vfiprintf_internal_r+0x1434> +8113c97c: 1801c126 beq r3,zero,8113d084 <___vfiprintf_internal_r+0x1264> +8113c980: 04800044 movi r18,1 +8113c984: d8c01005 stb r3,64(sp) +8113c988: d8001d85 stb zero,118(sp) +8113c98c: 9027883a mov r19,r18 +8113c990: dd401004 addi r21,sp,64 +8113c994: 003f1106 br 8113c5dc <__reset+0xfb11c5dc> +8113c998: d9402117 ldw r5,132(sp) +8113c99c: d9002017 ldw r4,128(sp) +8113c9a0: d9801a04 addi r6,sp,104 +8113c9a4: d9c02b15 stw r7,172(sp) +8113c9a8: dbc02a15 stw r15,168(sp) +8113c9ac: 113bd0c0 call 8113bd0c <__sprint_r.part.0> +8113c9b0: d9c02b17 ldw r7,172(sp) +8113c9b4: dbc02a17 ldw r15,168(sp) +8113c9b8: 10006d1e bne r2,zero,8113cb70 <___vfiprintf_internal_r+0xd50> +8113c9bc: d9801b17 ldw r6,108(sp) +8113c9c0: d8801c17 ldw r2,112(sp) +8113c9c4: d811883a mov r8,sp +8113c9c8: 31400044 addi r5,r6,1 +8113c9cc: 003e3306 br 8113c29c <__reset+0xfb11c29c> +8113c9d0: d9401b17 ldw r5,108(sp) +8113c9d4: d8801c17 ldw r2,112(sp) +8113c9d8: 29000044 addi r4,r5,1 +8113c9dc: d8c01d87 ldb r3,118(sp) +8113c9e0: 183e4d26 beq r3,zero,8113c318 <__reset+0xfb11c318> +8113c9e4: 00c00044 movi r3,1 +8113c9e8: d9401d84 addi r5,sp,118 +8113c9ec: 10c5883a add r2,r2,r3 +8113c9f0: 41400015 stw r5,0(r8) +8113c9f4: 40c00115 stw r3,4(r8) +8113c9f8: d8801c15 stw r2,112(sp) +8113c9fc: d9001b15 stw r4,108(sp) +8113ca00: 014001c4 movi r5,7 +8113ca04: 2900a90e bge r5,r4,8113ccac <___vfiprintf_internal_r+0xe8c> +8113ca08: 1000da1e bne r2,zero,8113cd74 <___vfiprintf_internal_r+0xf54> +8113ca0c: 7000ab1e bne r14,zero,8113ccbc <___vfiprintf_internal_r+0xe9c> +8113ca10: 000b883a mov r5,zero +8113ca14: 1809883a mov r4,r3 +8113ca18: d811883a mov r8,sp +8113ca1c: 00c02004 movi r3,128 +8113ca20: e0fe4d26 beq fp,r3,8113c358 <__reset+0xfb11c358> +8113ca24: 4cf9c83a sub fp,r9,r19 +8113ca28: 073e7b0e bge zero,fp,8113c418 <__reset+0xfb11c418> +8113ca2c: 01c00404 movi r7,16 +8113ca30: 3f01900e bge r7,fp,8113d074 <___vfiprintf_internal_r+0x1254> +8113ca34: 00e04574 movhi r3,33045 +8113ca38: 18ff5804 addi r3,r3,-672 +8113ca3c: d8c02415 stw r3,144(sp) +8113ca40: 034001c4 movi r13,7 +8113ca44: 00000506 br 8113ca5c <___vfiprintf_internal_r+0xc3c> +8113ca48: 29000084 addi r4,r5,2 +8113ca4c: 42000204 addi r8,r8,8 +8113ca50: 180b883a mov r5,r3 +8113ca54: e73ffc04 addi fp,fp,-16 +8113ca58: 3f000d0e bge r7,fp,8113ca90 <___vfiprintf_internal_r+0xc70> +8113ca5c: 10800404 addi r2,r2,16 +8113ca60: 28c00044 addi r3,r5,1 +8113ca64: 45c00015 stw r23,0(r8) +8113ca68: 41c00115 stw r7,4(r8) +8113ca6c: d8801c15 stw r2,112(sp) +8113ca70: d8c01b15 stw r3,108(sp) +8113ca74: 68fff40e bge r13,r3,8113ca48 <__reset+0xfb11ca48> +8113ca78: 1000101e bne r2,zero,8113cabc <___vfiprintf_internal_r+0xc9c> +8113ca7c: e73ffc04 addi fp,fp,-16 +8113ca80: 01000044 movi r4,1 +8113ca84: 000b883a mov r5,zero +8113ca88: d811883a mov r8,sp +8113ca8c: 3f3ff316 blt r7,fp,8113ca5c <__reset+0xfb11ca5c> +8113ca90: da802417 ldw r10,144(sp) +8113ca94: 1705883a add r2,r2,fp +8113ca98: 47000115 stw fp,4(r8) +8113ca9c: 42800015 stw r10,0(r8) +8113caa0: d8801c15 stw r2,112(sp) +8113caa4: d9001b15 stw r4,108(sp) +8113caa8: 00c001c4 movi r3,7 +8113caac: 19003616 blt r3,r4,8113cb88 <___vfiprintf_internal_r+0xd68> +8113cab0: 42000204 addi r8,r8,8 +8113cab4: 21000044 addi r4,r4,1 +8113cab8: 003e5706 br 8113c418 <__reset+0xfb11c418> +8113cabc: d9402117 ldw r5,132(sp) +8113cac0: d9002017 ldw r4,128(sp) +8113cac4: d9801a04 addi r6,sp,104 +8113cac8: d9c02b15 stw r7,172(sp) +8113cacc: db402a15 stw r13,168(sp) +8113cad0: 113bd0c0 call 8113bd0c <__sprint_r.part.0> +8113cad4: d9c02b17 ldw r7,172(sp) +8113cad8: db402a17 ldw r13,168(sp) +8113cadc: 1000241e bne r2,zero,8113cb70 <___vfiprintf_internal_r+0xd50> +8113cae0: d9401b17 ldw r5,108(sp) +8113cae4: d8801c17 ldw r2,112(sp) +8113cae8: d811883a mov r8,sp +8113caec: 29000044 addi r4,r5,1 +8113caf0: 003fd806 br 8113ca54 <__reset+0xfb11ca54> +8113caf4: d9401b17 ldw r5,108(sp) +8113caf8: 00e04574 movhi r3,33045 +8113cafc: 18ff5c04 addi r3,r3,-656 +8113cb00: d8c02415 stw r3,144(sp) +8113cb04: 29400044 addi r5,r5,1 +8113cb08: d8c02417 ldw r3,144(sp) +8113cb0c: 14c5883a add r2,r2,r19 +8113cb10: 44c00115 stw r19,4(r8) +8113cb14: 40c00015 stw r3,0(r8) +8113cb18: d8801c15 stw r2,112(sp) +8113cb1c: d9401b15 stw r5,108(sp) +8113cb20: 00c001c4 movi r3,7 +8113cb24: 1940070e bge r3,r5,8113cb44 <___vfiprintf_internal_r+0xd24> +8113cb28: 103e4826 beq r2,zero,8113c44c <__reset+0xfb11c44c> +8113cb2c: d9402117 ldw r5,132(sp) +8113cb30: d9002017 ldw r4,128(sp) +8113cb34: d9801a04 addi r6,sp,104 +8113cb38: 113bd0c0 call 8113bd0c <__sprint_r.part.0> +8113cb3c: 10000c1e bne r2,zero,8113cb70 <___vfiprintf_internal_r+0xd50> +8113cb40: d8801c17 ldw r2,112(sp) +8113cb44: 8c80010e bge r17,r18,8113cb4c <___vfiprintf_internal_r+0xd2c> +8113cb48: 9023883a mov r17,r18 +8113cb4c: da802317 ldw r10,140(sp) +8113cb50: 5455883a add r10,r10,r17 +8113cb54: da802315 stw r10,140(sp) +8113cb58: 103e4126 beq r2,zero,8113c460 <__reset+0xfb11c460> +8113cb5c: d9402117 ldw r5,132(sp) +8113cb60: d9002017 ldw r4,128(sp) +8113cb64: d9801a04 addi r6,sp,104 +8113cb68: 113bd0c0 call 8113bd0c <__sprint_r.part.0> +8113cb6c: 103e3c26 beq r2,zero,8113c460 <__reset+0xfb11c460> +8113cb70: dd002117 ldw r20,132(sp) +8113cb74: a080030b ldhu r2,12(r20) +8113cb78: 1080100c andi r2,r2,64 +8113cb7c: 1001231e bne r2,zero,8113d00c <___vfiprintf_internal_r+0x11ec> +8113cb80: d8802317 ldw r2,140(sp) +8113cb84: 003d7b06 br 8113c174 <__reset+0xfb11c174> +8113cb88: 1000991e bne r2,zero,8113cdf0 <___vfiprintf_internal_r+0xfd0> +8113cb8c: 00c00044 movi r3,1 +8113cb90: 9805883a mov r2,r19 +8113cb94: dd400015 stw r21,0(sp) +8113cb98: dcc00115 stw r19,4(sp) +8113cb9c: dcc01c15 stw r19,112(sp) +8113cba0: d8c01b15 stw r3,108(sp) +8113cba4: d811883a mov r8,sp 8113cba8: 42000204 addi r8,r8,8 -8113cbac: 1809883a mov r4,r3 -8113cbb0: 9cfffc04 addi r19,r19,-16 -8113cbb4: acffc40e bge r21,r19,8113cac8 <__reset+0xfb11cac8> -8113cbb8: 10800404 addi r2,r2,16 -8113cbbc: 20c00044 addi r3,r4,1 -8113cbc0: 45800015 stw r22,0(r8) -8113cbc4: 45400115 stw r21,4(r8) -8113cbc8: d8801c15 stw r2,112(sp) -8113cbcc: d8c01b15 stw r3,108(sp) -8113cbd0: a0fff40e bge r20,r3,8113cba4 <__reset+0xfb11cba4> -8113cbd4: 1000041e bne r2,zero,8113cbe8 <___vfiprintf_internal_r+0xe08> -8113cbd8: 01400044 movi r5,1 -8113cbdc: 0009883a mov r4,zero -8113cbe0: d811883a mov r8,sp -8113cbe4: 003ff206 br 8113cbb0 <__reset+0xfb11cbb0> -8113cbe8: d9402117 ldw r5,132(sp) -8113cbec: d9801a04 addi r6,sp,104 -8113cbf0: e009883a mov r4,fp -8113cbf4: 113bccc0 call 8113bccc <__sprint_r.part.0> -8113cbf8: 103fcd1e bne r2,zero,8113cb30 <__reset+0xfb11cb30> -8113cbfc: d9001b17 ldw r4,108(sp) -8113cc00: d8801c17 ldw r2,112(sp) -8113cc04: d811883a mov r8,sp -8113cc08: 21400044 addi r5,r4,1 -8113cc0c: 003fe806 br 8113cbb0 <__reset+0xfb11cbb0> -8113cc10: d9402117 ldw r5,132(sp) -8113cc14: d9002017 ldw r4,128(sp) -8113cc18: d9801a04 addi r6,sp,104 -8113cc1c: d9c02b15 stw r7,172(sp) -8113cc20: db402a15 stw r13,168(sp) -8113cc24: 113bccc0 call 8113bccc <__sprint_r.part.0> -8113cc28: d9c02b17 ldw r7,172(sp) -8113cc2c: db402a17 ldw r13,168(sp) -8113cc30: 103fbf1e bne r2,zero,8113cb30 <__reset+0xfb11cb30> -8113cc34: d9401b17 ldw r5,108(sp) -8113cc38: d8801c17 ldw r2,112(sp) -8113cc3c: d811883a mov r8,sp -8113cc40: 29800044 addi r6,r5,1 -8113cc44: 003dc406 br 8113c358 <__reset+0xfb11c358> -8113cc48: 1000d21e bne r2,zero,8113cf94 <___vfiprintf_internal_r+0x11b4> -8113cc4c: d8c01d87 ldb r3,118(sp) -8113cc50: 18009526 beq r3,zero,8113cea8 <___vfiprintf_internal_r+0x10c8> -8113cc54: 00800044 movi r2,1 -8113cc58: d8c01d84 addi r3,sp,118 -8113cc5c: 1009883a mov r4,r2 -8113cc60: d8c00015 stw r3,0(sp) -8113cc64: d8800115 stw r2,4(sp) -8113cc68: d811883a mov r8,sp -8113cc6c: 200b883a mov r5,r4 -8113cc70: 42000204 addi r8,r8,8 -8113cc74: 21000044 addi r4,r4,1 -8113cc78: 003d9706 br 8113c2d8 <__reset+0xfb11c2d8> -8113cc7c: d9001d04 addi r4,sp,116 -8113cc80: 00800084 movi r2,2 -8113cc84: d9000015 stw r4,0(sp) -8113cc88: d8800115 stw r2,4(sp) -8113cc8c: 1809883a mov r4,r3 -8113cc90: d811883a mov r8,sp -8113cc94: 200b883a mov r5,r4 -8113cc98: 42000204 addi r8,r8,8 -8113cc9c: 21000044 addi r4,r4,1 -8113cca0: 003f4e06 br 8113c9dc <__reset+0xfb11c9dc> -8113cca4: d8001d85 stb zero,118(sp) -8113cca8: 48005016 blt r9,zero,8113cdec <___vfiprintf_internal_r+0x100c> -8113ccac: 00ffdfc4 movi r3,-129 -8113ccb0: 94c4b03a or r2,r18,r19 -8113ccb4: a0e8703a and r20,r20,r3 -8113ccb8: 103d4426 beq r2,zero,8113c1cc <__reset+0xfb11c1cc> -8113ccbc: 0039883a mov fp,zero -8113ccc0: d9002617 ldw r4,152(sp) -8113ccc4: dd401a04 addi r21,sp,104 -8113ccc8: 908003cc andi r2,r18,15 -8113cccc: 9806973a slli r3,r19,28 -8113ccd0: 2085883a add r2,r4,r2 -8113ccd4: 9024d13a srli r18,r18,4 -8113ccd8: 10800003 ldbu r2,0(r2) -8113ccdc: 9826d13a srli r19,r19,4 -8113cce0: ad7fffc4 addi r21,r21,-1 -8113cce4: 1ca4b03a or r18,r3,r18 -8113cce8: a8800005 stb r2,0(r21) -8113ccec: 94c4b03a or r2,r18,r19 -8113ccf0: 103ff51e bne r2,zero,8113ccc8 <__reset+0xfb11ccc8> -8113ccf4: 003e5906 br 8113c65c <__reset+0xfb11c65c> -8113ccf8: d9402117 ldw r5,132(sp) -8113ccfc: d9002017 ldw r4,128(sp) -8113cd00: d9801a04 addi r6,sp,104 -8113cd04: 113bccc0 call 8113bccc <__sprint_r.part.0> -8113cd08: 103f891e bne r2,zero,8113cb30 <__reset+0xfb11cb30> -8113cd0c: d8801c17 ldw r2,112(sp) -8113cd10: d811883a mov r8,sp -8113cd14: 003f9506 br 8113cb6c <__reset+0xfb11cb6c> -8113cd18: d9402117 ldw r5,132(sp) -8113cd1c: d9002017 ldw r4,128(sp) -8113cd20: d9801a04 addi r6,sp,104 -8113cd24: 113bccc0 call 8113bccc <__sprint_r.part.0> -8113cd28: 103f811e bne r2,zero,8113cb30 <__reset+0xfb11cb30> -8113cd2c: d811883a mov r8,sp -8113cd30: 003ced06 br 8113c0e8 <__reset+0xfb11c0e8> -8113cd34: d9402117 ldw r5,132(sp) -8113cd38: d9002017 ldw r4,128(sp) -8113cd3c: d9801a04 addi r6,sp,104 -8113cd40: da402c15 stw r9,176(sp) -8113cd44: db802a15 stw r14,168(sp) -8113cd48: 113bccc0 call 8113bccc <__sprint_r.part.0> -8113cd4c: da402c17 ldw r9,176(sp) -8113cd50: db802a17 ldw r14,168(sp) -8113cd54: 103f761e bne r2,zero,8113cb30 <__reset+0xfb11cb30> -8113cd58: d9401b17 ldw r5,108(sp) -8113cd5c: d8801c17 ldw r2,112(sp) -8113cd60: d811883a mov r8,sp -8113cd64: 29000044 addi r4,r5,1 -8113cd68: 003d5b06 br 8113c2d8 <__reset+0xfb11c2d8> -8113cd6c: d9402117 ldw r5,132(sp) -8113cd70: d9002017 ldw r4,128(sp) -8113cd74: d9801a04 addi r6,sp,104 -8113cd78: da402c15 stw r9,176(sp) -8113cd7c: 113bccc0 call 8113bccc <__sprint_r.part.0> -8113cd80: da402c17 ldw r9,176(sp) -8113cd84: 103f6a1e bne r2,zero,8113cb30 <__reset+0xfb11cb30> -8113cd88: d9401b17 ldw r5,108(sp) -8113cd8c: d8801c17 ldw r2,112(sp) -8113cd90: d811883a mov r8,sp -8113cd94: 29000044 addi r4,r5,1 -8113cd98: 003f1006 br 8113c9dc <__reset+0xfb11c9dc> -8113cd9c: 1000c31e bne r2,zero,8113d0ac <___vfiprintf_internal_r+0x12cc> -8113cda0: 01000044 movi r4,1 -8113cda4: 000b883a mov r5,zero -8113cda8: d811883a mov r8,sp -8113cdac: 003f0d06 br 8113c9e4 <__reset+0xfb11c9e4> -8113cdb0: d9402117 ldw r5,132(sp) -8113cdb4: d9002017 ldw r4,128(sp) -8113cdb8: d9801a04 addi r6,sp,104 -8113cdbc: 113bccc0 call 8113bccc <__sprint_r.part.0> -8113cdc0: 103f5b1e bne r2,zero,8113cb30 <__reset+0xfb11cb30> -8113cdc4: d9001b17 ldw r4,108(sp) -8113cdc8: d8801c17 ldw r2,112(sp) -8113cdcc: d811883a mov r8,sp -8113cdd0: 21000044 addi r4,r4,1 -8113cdd4: 003d8006 br 8113c3d8 <__reset+0xfb11c3d8> -8113cdd8: 01204574 movhi r4,33045 -8113cddc: 213eb504 addi r4,r4,-1324 -8113cde0: d9002615 stw r4,152(sp) -8113cde4: d8c02215 stw r3,136(sp) -8113cde8: 1029883a mov r20,r2 -8113cdec: 94c4b03a or r2,r18,r19 -8113cdf0: 103fb21e bne r2,zero,8113ccbc <__reset+0xfb11ccbc> -8113cdf4: 0039883a mov fp,zero -8113cdf8: 00800084 movi r2,2 -8113cdfc: 003e6b06 br 8113c7ac <__reset+0xfb11c7ac> -8113ce00: da802217 ldw r10,136(sp) -8113ce04: d8001d85 stb zero,118(sp) -8113ce08: 0027883a mov r19,zero -8113ce0c: 50800104 addi r2,r10,4 -8113ce10: 54800017 ldw r18,0(r10) -8113ce14: 483e6016 blt r9,zero,8113c798 <__reset+0xfb11c798> -8113ce18: 00ffdfc4 movi r3,-129 -8113ce1c: d8802215 stw r2,136(sp) -8113ce20: a0e8703a and r20,r20,r3 -8113ce24: 0039883a mov fp,zero -8113ce28: 903ebb26 beq r18,zero,8113c918 <__reset+0xfb11c918> -8113ce2c: 00800244 movi r2,9 -8113ce30: 14bdee36 bltu r2,r18,8113c5ec <__reset+0xfb11c5ec> -8113ce34: 003eba06 br 8113c920 <__reset+0xfb11c920> -8113ce38: 00800c04 movi r2,48 -8113ce3c: d8c01d45 stb r3,117(sp) -8113ce40: d8801d05 stb r2,116(sp) +8113cbac: a2c0010c andi r11,r20,4 +8113cbb0: 583fe426 beq r11,zero,8113cb44 <__reset+0xfb11cb44> +8113cbb4: 8ca7c83a sub r19,r17,r18 +8113cbb8: 04ffe20e bge zero,r19,8113cb44 <__reset+0xfb11cb44> +8113cbbc: 01c00404 movi r7,16 +8113cbc0: 3cffcc0e bge r7,r19,8113caf4 <__reset+0xfb11caf4> +8113cbc4: 02a04574 movhi r10,33045 +8113cbc8: 52bf5c04 addi r10,r10,-656 +8113cbcc: d9001b17 ldw r4,108(sp) +8113cbd0: da802415 stw r10,144(sp) +8113cbd4: 382b883a mov r21,r7 +8113cbd8: 050001c4 movi r20,7 +8113cbdc: df002017 ldw fp,128(sp) +8113cbe0: 00000506 br 8113cbf8 <___vfiprintf_internal_r+0xdd8> +8113cbe4: 21400084 addi r5,r4,2 +8113cbe8: 42000204 addi r8,r8,8 +8113cbec: 1809883a mov r4,r3 +8113cbf0: 9cfffc04 addi r19,r19,-16 +8113cbf4: acffc40e bge r21,r19,8113cb08 <__reset+0xfb11cb08> +8113cbf8: 10800404 addi r2,r2,16 +8113cbfc: 20c00044 addi r3,r4,1 +8113cc00: 45800015 stw r22,0(r8) +8113cc04: 45400115 stw r21,4(r8) +8113cc08: d8801c15 stw r2,112(sp) +8113cc0c: d8c01b15 stw r3,108(sp) +8113cc10: a0fff40e bge r20,r3,8113cbe4 <__reset+0xfb11cbe4> +8113cc14: 1000041e bne r2,zero,8113cc28 <___vfiprintf_internal_r+0xe08> +8113cc18: 01400044 movi r5,1 +8113cc1c: 0009883a mov r4,zero +8113cc20: d811883a mov r8,sp +8113cc24: 003ff206 br 8113cbf0 <__reset+0xfb11cbf0> +8113cc28: d9402117 ldw r5,132(sp) +8113cc2c: d9801a04 addi r6,sp,104 +8113cc30: e009883a mov r4,fp +8113cc34: 113bd0c0 call 8113bd0c <__sprint_r.part.0> +8113cc38: 103fcd1e bne r2,zero,8113cb70 <__reset+0xfb11cb70> +8113cc3c: d9001b17 ldw r4,108(sp) +8113cc40: d8801c17 ldw r2,112(sp) +8113cc44: d811883a mov r8,sp +8113cc48: 21400044 addi r5,r4,1 +8113cc4c: 003fe806 br 8113cbf0 <__reset+0xfb11cbf0> +8113cc50: d9402117 ldw r5,132(sp) +8113cc54: d9002017 ldw r4,128(sp) +8113cc58: d9801a04 addi r6,sp,104 +8113cc5c: d9c02b15 stw r7,172(sp) +8113cc60: db402a15 stw r13,168(sp) +8113cc64: 113bd0c0 call 8113bd0c <__sprint_r.part.0> +8113cc68: d9c02b17 ldw r7,172(sp) +8113cc6c: db402a17 ldw r13,168(sp) +8113cc70: 103fbf1e bne r2,zero,8113cb70 <__reset+0xfb11cb70> +8113cc74: d9401b17 ldw r5,108(sp) +8113cc78: d8801c17 ldw r2,112(sp) +8113cc7c: d811883a mov r8,sp +8113cc80: 29800044 addi r6,r5,1 +8113cc84: 003dc406 br 8113c398 <__reset+0xfb11c398> +8113cc88: 1000d21e bne r2,zero,8113cfd4 <___vfiprintf_internal_r+0x11b4> +8113cc8c: d8c01d87 ldb r3,118(sp) +8113cc90: 18009526 beq r3,zero,8113cee8 <___vfiprintf_internal_r+0x10c8> +8113cc94: 00800044 movi r2,1 +8113cc98: d8c01d84 addi r3,sp,118 +8113cc9c: 1009883a mov r4,r2 +8113cca0: d8c00015 stw r3,0(sp) +8113cca4: d8800115 stw r2,4(sp) +8113cca8: d811883a mov r8,sp +8113ccac: 200b883a mov r5,r4 +8113ccb0: 42000204 addi r8,r8,8 +8113ccb4: 21000044 addi r4,r4,1 +8113ccb8: 003d9706 br 8113c318 <__reset+0xfb11c318> +8113ccbc: d9001d04 addi r4,sp,116 +8113ccc0: 00800084 movi r2,2 +8113ccc4: d9000015 stw r4,0(sp) +8113ccc8: d8800115 stw r2,4(sp) +8113cccc: 1809883a mov r4,r3 +8113ccd0: d811883a mov r8,sp +8113ccd4: 200b883a mov r5,r4 +8113ccd8: 42000204 addi r8,r8,8 +8113ccdc: 21000044 addi r4,r4,1 +8113cce0: 003f4e06 br 8113ca1c <__reset+0xfb11ca1c> +8113cce4: d8001d85 stb zero,118(sp) +8113cce8: 48005016 blt r9,zero,8113ce2c <___vfiprintf_internal_r+0x100c> +8113ccec: 00ffdfc4 movi r3,-129 +8113ccf0: 94c4b03a or r2,r18,r19 +8113ccf4: a0e8703a and r20,r20,r3 +8113ccf8: 103d4426 beq r2,zero,8113c20c <__reset+0xfb11c20c> +8113ccfc: 0039883a mov fp,zero +8113cd00: d9002617 ldw r4,152(sp) +8113cd04: dd401a04 addi r21,sp,104 +8113cd08: 908003cc andi r2,r18,15 +8113cd0c: 9806973a slli r3,r19,28 +8113cd10: 2085883a add r2,r4,r2 +8113cd14: 9024d13a srli r18,r18,4 +8113cd18: 10800003 ldbu r2,0(r2) +8113cd1c: 9826d13a srli r19,r19,4 +8113cd20: ad7fffc4 addi r21,r21,-1 +8113cd24: 1ca4b03a or r18,r3,r18 +8113cd28: a8800005 stb r2,0(r21) +8113cd2c: 94c4b03a or r2,r18,r19 +8113cd30: 103ff51e bne r2,zero,8113cd08 <__reset+0xfb11cd08> +8113cd34: 003e5906 br 8113c69c <__reset+0xfb11c69c> +8113cd38: d9402117 ldw r5,132(sp) +8113cd3c: d9002017 ldw r4,128(sp) +8113cd40: d9801a04 addi r6,sp,104 +8113cd44: 113bd0c0 call 8113bd0c <__sprint_r.part.0> +8113cd48: 103f891e bne r2,zero,8113cb70 <__reset+0xfb11cb70> +8113cd4c: d8801c17 ldw r2,112(sp) +8113cd50: d811883a mov r8,sp +8113cd54: 003f9506 br 8113cbac <__reset+0xfb11cbac> +8113cd58: d9402117 ldw r5,132(sp) +8113cd5c: d9002017 ldw r4,128(sp) +8113cd60: d9801a04 addi r6,sp,104 +8113cd64: 113bd0c0 call 8113bd0c <__sprint_r.part.0> +8113cd68: 103f811e bne r2,zero,8113cb70 <__reset+0xfb11cb70> +8113cd6c: d811883a mov r8,sp +8113cd70: 003ced06 br 8113c128 <__reset+0xfb11c128> +8113cd74: d9402117 ldw r5,132(sp) +8113cd78: d9002017 ldw r4,128(sp) +8113cd7c: d9801a04 addi r6,sp,104 +8113cd80: da402c15 stw r9,176(sp) +8113cd84: db802a15 stw r14,168(sp) +8113cd88: 113bd0c0 call 8113bd0c <__sprint_r.part.0> +8113cd8c: da402c17 ldw r9,176(sp) +8113cd90: db802a17 ldw r14,168(sp) +8113cd94: 103f761e bne r2,zero,8113cb70 <__reset+0xfb11cb70> +8113cd98: d9401b17 ldw r5,108(sp) +8113cd9c: d8801c17 ldw r2,112(sp) +8113cda0: d811883a mov r8,sp +8113cda4: 29000044 addi r4,r5,1 +8113cda8: 003d5b06 br 8113c318 <__reset+0xfb11c318> +8113cdac: d9402117 ldw r5,132(sp) +8113cdb0: d9002017 ldw r4,128(sp) +8113cdb4: d9801a04 addi r6,sp,104 +8113cdb8: da402c15 stw r9,176(sp) +8113cdbc: 113bd0c0 call 8113bd0c <__sprint_r.part.0> +8113cdc0: da402c17 ldw r9,176(sp) +8113cdc4: 103f6a1e bne r2,zero,8113cb70 <__reset+0xfb11cb70> +8113cdc8: d9401b17 ldw r5,108(sp) +8113cdcc: d8801c17 ldw r2,112(sp) +8113cdd0: d811883a mov r8,sp +8113cdd4: 29000044 addi r4,r5,1 +8113cdd8: 003f1006 br 8113ca1c <__reset+0xfb11ca1c> +8113cddc: 1000c31e bne r2,zero,8113d0ec <___vfiprintf_internal_r+0x12cc> +8113cde0: 01000044 movi r4,1 +8113cde4: 000b883a mov r5,zero +8113cde8: d811883a mov r8,sp +8113cdec: 003f0d06 br 8113ca24 <__reset+0xfb11ca24> +8113cdf0: d9402117 ldw r5,132(sp) +8113cdf4: d9002017 ldw r4,128(sp) +8113cdf8: d9801a04 addi r6,sp,104 +8113cdfc: 113bd0c0 call 8113bd0c <__sprint_r.part.0> +8113ce00: 103f5b1e bne r2,zero,8113cb70 <__reset+0xfb11cb70> +8113ce04: d9001b17 ldw r4,108(sp) +8113ce08: d8801c17 ldw r2,112(sp) +8113ce0c: d811883a mov r8,sp +8113ce10: 21000044 addi r4,r4,1 +8113ce14: 003d8006 br 8113c418 <__reset+0xfb11c418> +8113ce18: 01204574 movhi r4,33045 +8113ce1c: 213ec604 addi r4,r4,-1256 +8113ce20: d9002615 stw r4,152(sp) +8113ce24: d8c02215 stw r3,136(sp) +8113ce28: 1029883a mov r20,r2 +8113ce2c: 94c4b03a or r2,r18,r19 +8113ce30: 103fb21e bne r2,zero,8113ccfc <__reset+0xfb11ccfc> +8113ce34: 0039883a mov fp,zero +8113ce38: 00800084 movi r2,2 +8113ce3c: 003e6b06 br 8113c7ec <__reset+0xfb11c7ec> +8113ce40: da802217 ldw r10,136(sp) 8113ce44: d8001d85 stb zero,118(sp) -8113ce48: a0c00094 ori r3,r20,2 -8113ce4c: 4800a916 blt r9,zero,8113d0f4 <___vfiprintf_internal_r+0x1314> -8113ce50: 00bfdfc4 movi r2,-129 -8113ce54: a096703a and r11,r20,r2 -8113ce58: 5d000094 ori r20,r11,2 -8113ce5c: 0039883a mov fp,zero -8113ce60: 003f9706 br 8113ccc0 <__reset+0xfb11ccc0> -8113ce64: 8025883a mov r18,r16 -8113ce68: 003c2e06 br 8113bf24 <__reset+0xfb11bf24> -8113ce6c: 00a04574 movhi r2,33045 -8113ce70: 10beb504 addi r2,r2,-1324 -8113ce74: 0039883a mov fp,zero -8113ce78: d8802615 stw r2,152(sp) -8113ce7c: 003f9006 br 8113ccc0 <__reset+0xfb11ccc0> -8113ce80: 04a5c83a sub r18,zero,r18 -8113ce84: 07000b44 movi fp,45 -8113ce88: 9004c03a cmpne r2,r18,zero -8113ce8c: 04e7c83a sub r19,zero,r19 -8113ce90: df001d85 stb fp,118(sp) -8113ce94: 98a7c83a sub r19,r19,r2 -8113ce98: 48009f16 blt r9,zero,8113d118 <___vfiprintf_internal_r+0x1338> -8113ce9c: 00bfdfc4 movi r2,-129 -8113cea0: a0a8703a and r20,r20,r2 -8113cea4: 003dd006 br 8113c5e8 <__reset+0xfb11c5e8> -8113cea8: 70004c26 beq r14,zero,8113cfdc <___vfiprintf_internal_r+0x11fc> -8113ceac: 00800084 movi r2,2 -8113ceb0: d8c01d04 addi r3,sp,116 -8113ceb4: d8c00015 stw r3,0(sp) -8113ceb8: d8800115 stw r2,4(sp) -8113cebc: 01000044 movi r4,1 -8113cec0: d811883a mov r8,sp -8113cec4: 003f7306 br 8113cc94 <__reset+0xfb11cc94> -8113cec8: a080100c andi r2,r20,64 -8113cecc: da802217 ldw r10,136(sp) -8113ced0: 103e0626 beq r2,zero,8113c6ec <__reset+0xfb11c6ec> -8113ced4: 5480000f ldh r18,0(r10) -8113ced8: 52800104 addi r10,r10,4 -8113cedc: da802215 stw r10,136(sp) -8113cee0: 9027d7fa srai r19,r18,31 -8113cee4: 9805883a mov r2,r19 -8113cee8: 003db806 br 8113c5cc <__reset+0xfb11c5cc> -8113ceec: a080040c andi r2,r20,16 -8113cef0: 1000091e bne r2,zero,8113cf18 <___vfiprintf_internal_r+0x1138> -8113cef4: a2c0100c andi r11,r20,64 -8113cef8: 58000726 beq r11,zero,8113cf18 <___vfiprintf_internal_r+0x1138> -8113cefc: da802217 ldw r10,136(sp) -8113cf00: 50800017 ldw r2,0(r10) -8113cf04: 52800104 addi r10,r10,4 -8113cf08: da802215 stw r10,136(sp) -8113cf0c: da802317 ldw r10,140(sp) -8113cf10: 1280000d sth r10,0(r2) -8113cf14: 003be706 br 8113beb4 <__reset+0xfb11beb4> -8113cf18: da802217 ldw r10,136(sp) -8113cf1c: 50800017 ldw r2,0(r10) -8113cf20: 52800104 addi r10,r10,4 -8113cf24: da802215 stw r10,136(sp) -8113cf28: da802317 ldw r10,140(sp) -8113cf2c: 12800015 stw r10,0(r2) -8113cf30: 003be006 br 8113beb4 <__reset+0xfb11beb4> -8113cf34: a080100c andi r2,r20,64 -8113cf38: da802217 ldw r10,136(sp) -8113cf3c: 10003026 beq r2,zero,8113d000 <___vfiprintf_internal_r+0x1220> -8113cf40: 5480000b ldhu r18,0(r10) +8113ce48: 0027883a mov r19,zero +8113ce4c: 50800104 addi r2,r10,4 +8113ce50: 54800017 ldw r18,0(r10) +8113ce54: 483e6016 blt r9,zero,8113c7d8 <__reset+0xfb11c7d8> +8113ce58: 00ffdfc4 movi r3,-129 +8113ce5c: d8802215 stw r2,136(sp) +8113ce60: a0e8703a and r20,r20,r3 +8113ce64: 0039883a mov fp,zero +8113ce68: 903ebb26 beq r18,zero,8113c958 <__reset+0xfb11c958> +8113ce6c: 00800244 movi r2,9 +8113ce70: 14bdee36 bltu r2,r18,8113c62c <__reset+0xfb11c62c> +8113ce74: 003eba06 br 8113c960 <__reset+0xfb11c960> +8113ce78: 00800c04 movi r2,48 +8113ce7c: d8c01d45 stb r3,117(sp) +8113ce80: d8801d05 stb r2,116(sp) +8113ce84: d8001d85 stb zero,118(sp) +8113ce88: a0c00094 ori r3,r20,2 +8113ce8c: 4800a916 blt r9,zero,8113d134 <___vfiprintf_internal_r+0x1314> +8113ce90: 00bfdfc4 movi r2,-129 +8113ce94: a096703a and r11,r20,r2 +8113ce98: 5d000094 ori r20,r11,2 +8113ce9c: 0039883a mov fp,zero +8113cea0: 003f9706 br 8113cd00 <__reset+0xfb11cd00> +8113cea4: 8025883a mov r18,r16 +8113cea8: 003c2e06 br 8113bf64 <__reset+0xfb11bf64> +8113ceac: 00a04574 movhi r2,33045 +8113ceb0: 10bec604 addi r2,r2,-1256 +8113ceb4: 0039883a mov fp,zero +8113ceb8: d8802615 stw r2,152(sp) +8113cebc: 003f9006 br 8113cd00 <__reset+0xfb11cd00> +8113cec0: 04a5c83a sub r18,zero,r18 +8113cec4: 07000b44 movi fp,45 +8113cec8: 9004c03a cmpne r2,r18,zero +8113cecc: 04e7c83a sub r19,zero,r19 +8113ced0: df001d85 stb fp,118(sp) +8113ced4: 98a7c83a sub r19,r19,r2 +8113ced8: 48009f16 blt r9,zero,8113d158 <___vfiprintf_internal_r+0x1338> +8113cedc: 00bfdfc4 movi r2,-129 +8113cee0: a0a8703a and r20,r20,r2 +8113cee4: 003dd006 br 8113c628 <__reset+0xfb11c628> +8113cee8: 70004c26 beq r14,zero,8113d01c <___vfiprintf_internal_r+0x11fc> +8113ceec: 00800084 movi r2,2 +8113cef0: d8c01d04 addi r3,sp,116 +8113cef4: d8c00015 stw r3,0(sp) +8113cef8: d8800115 stw r2,4(sp) +8113cefc: 01000044 movi r4,1 +8113cf00: d811883a mov r8,sp +8113cf04: 003f7306 br 8113ccd4 <__reset+0xfb11ccd4> +8113cf08: a080100c andi r2,r20,64 +8113cf0c: da802217 ldw r10,136(sp) +8113cf10: 103e0626 beq r2,zero,8113c72c <__reset+0xfb11c72c> +8113cf14: 5480000f ldh r18,0(r10) +8113cf18: 52800104 addi r10,r10,4 +8113cf1c: da802215 stw r10,136(sp) +8113cf20: 9027d7fa srai r19,r18,31 +8113cf24: 9805883a mov r2,r19 +8113cf28: 003db806 br 8113c60c <__reset+0xfb11c60c> +8113cf2c: a080040c andi r2,r20,16 +8113cf30: 1000091e bne r2,zero,8113cf58 <___vfiprintf_internal_r+0x1138> +8113cf34: a2c0100c andi r11,r20,64 +8113cf38: 58000726 beq r11,zero,8113cf58 <___vfiprintf_internal_r+0x1138> +8113cf3c: da802217 ldw r10,136(sp) +8113cf40: 50800017 ldw r2,0(r10) 8113cf44: 52800104 addi r10,r10,4 -8113cf48: 0027883a mov r19,zero -8113cf4c: da802215 stw r10,136(sp) -8113cf50: 003d8006 br 8113c554 <__reset+0xfb11c554> -8113cf54: 80c00007 ldb r3,0(r16) -8113cf58: 003c0006 br 8113bf5c <__reset+0xfb11bf5c> -8113cf5c: a080100c andi r2,r20,64 -8113cf60: d8001d85 stb zero,118(sp) -8113cf64: da802217 ldw r10,136(sp) -8113cf68: 1000201e bne r2,zero,8113cfec <___vfiprintf_internal_r+0x120c> -8113cf6c: 50800104 addi r2,r10,4 -8113cf70: 54800017 ldw r18,0(r10) -8113cf74: 0027883a mov r19,zero -8113cf78: 483def0e bge r9,zero,8113c738 <__reset+0xfb11c738> -8113cf7c: 94c6b03a or r3,r18,r19 -8113cf80: d8802215 stw r2,136(sp) -8113cf84: 183d4e1e bne r3,zero,8113c4c0 <__reset+0xfb11c4c0> -8113cf88: 0039883a mov fp,zero -8113cf8c: 0005883a mov r2,zero -8113cf90: 003e0606 br 8113c7ac <__reset+0xfb11c7ac> -8113cf94: d9402117 ldw r5,132(sp) -8113cf98: d9002017 ldw r4,128(sp) -8113cf9c: d9801a04 addi r6,sp,104 -8113cfa0: da402c15 stw r9,176(sp) -8113cfa4: db802a15 stw r14,168(sp) -8113cfa8: 113bccc0 call 8113bccc <__sprint_r.part.0> -8113cfac: da402c17 ldw r9,176(sp) -8113cfb0: db802a17 ldw r14,168(sp) -8113cfb4: 103ede1e bne r2,zero,8113cb30 <__reset+0xfb11cb30> -8113cfb8: d9401b17 ldw r5,108(sp) -8113cfbc: d8801c17 ldw r2,112(sp) -8113cfc0: d811883a mov r8,sp -8113cfc4: 29000044 addi r4,r5,1 -8113cfc8: 003e7406 br 8113c99c <__reset+0xfb11c99c> -8113cfcc: 00bfffc4 movi r2,-1 -8113cfd0: 003c5806 br 8113c134 <__reset+0xfb11c134> -8113cfd4: d811883a mov r8,sp -8113cfd8: 003ee806 br 8113cb7c <__reset+0xfb11cb7c> -8113cfdc: 000b883a mov r5,zero -8113cfe0: 01000044 movi r4,1 -8113cfe4: d811883a mov r8,sp -8113cfe8: 003e7c06 br 8113c9dc <__reset+0xfb11c9dc> -8113cfec: 50800104 addi r2,r10,4 -8113cff0: 5480000b ldhu r18,0(r10) -8113cff4: 0027883a mov r19,zero -8113cff8: 483dcf0e bge r9,zero,8113c738 <__reset+0xfb11c738> -8113cffc: 003fdf06 br 8113cf7c <__reset+0xfb11cf7c> -8113d000: 54800017 ldw r18,0(r10) -8113d004: 52800104 addi r10,r10,4 -8113d008: 0027883a mov r19,zero -8113d00c: da802215 stw r10,136(sp) -8113d010: 003d5006 br 8113c554 <__reset+0xfb11c554> -8113d014: 50800104 addi r2,r10,4 -8113d018: 5480000b ldhu r18,0(r10) -8113d01c: 0027883a mov r19,zero -8113d020: 483f7d0e bge r9,zero,8113ce18 <__reset+0xfb11ce18> -8113d024: 003ddc06 br 8113c798 <__reset+0xfb11c798> -8113d028: d8c02215 stw r3,136(sp) -8113d02c: 0039883a mov fp,zero -8113d030: 003ddb06 br 8113c7a0 <__reset+0xfb11c7a0> -8113d034: 02a04574 movhi r10,33045 -8113d038: 52bf4704 addi r10,r10,-740 -8113d03c: da802415 stw r10,144(sp) -8113d040: 003e8306 br 8113ca50 <__reset+0xfb11ca50> -8113d044: d8801c17 ldw r2,112(sp) -8113d048: dd002117 ldw r20,132(sp) -8113d04c: 103eb926 beq r2,zero,8113cb34 <__reset+0xfb11cb34> -8113d050: d9002017 ldw r4,128(sp) -8113d054: d9801a04 addi r6,sp,104 -8113d058: a00b883a mov r5,r20 -8113d05c: 113bccc0 call 8113bccc <__sprint_r.part.0> -8113d060: 003eb406 br 8113cb34 <__reset+0xfb11cb34> -8113d064: 80c00043 ldbu r3,1(r16) -8113d068: a5000814 ori r20,r20,32 -8113d06c: 84000044 addi r16,r16,1 -8113d070: 18c03fcc andi r3,r3,255 -8113d074: 18c0201c xori r3,r3,128 -8113d078: 18ffe004 addi r3,r3,-128 -8113d07c: 003bb706 br 8113bf5c <__reset+0xfb11bf5c> -8113d080: a809883a mov r4,r21 -8113d084: d8c02a15 stw r3,168(sp) -8113d088: da002b15 stw r8,172(sp) -8113d08c: 112d86c0 call 8112d86c -8113d090: d8c02a17 ldw r3,168(sp) -8113d094: 1027883a mov r19,r2 -8113d098: df001d83 ldbu fp,118(sp) -8113d09c: d8c02215 stw r3,136(sp) -8113d0a0: 0013883a mov r9,zero -8113d0a4: da002b17 ldw r8,172(sp) -8113d0a8: 003c4d06 br 8113c1e0 <__reset+0xfb11c1e0> -8113d0ac: d9402117 ldw r5,132(sp) -8113d0b0: d9002017 ldw r4,128(sp) -8113d0b4: d9801a04 addi r6,sp,104 -8113d0b8: da402c15 stw r9,176(sp) -8113d0bc: 113bccc0 call 8113bccc <__sprint_r.part.0> -8113d0c0: da402c17 ldw r9,176(sp) -8113d0c4: 103e9a1e bne r2,zero,8113cb30 <__reset+0xfb11cb30> -8113d0c8: d9401b17 ldw r5,108(sp) -8113d0cc: d8801c17 ldw r2,112(sp) -8113d0d0: d811883a mov r8,sp -8113d0d4: 29000044 addi r4,r5,1 -8113d0d8: 003e4206 br 8113c9e4 <__reset+0xfb11c9e4> -8113d0dc: d9401b17 ldw r5,108(sp) -8113d0e0: 01204574 movhi r4,33045 -8113d0e4: 213f4b04 addi r4,r4,-724 -8113d0e8: d9002415 stw r4,144(sp) -8113d0ec: 29400044 addi r5,r5,1 -8113d0f0: 003c6d06 br 8113c2a8 <__reset+0xfb11c2a8> -8113d0f4: 0039883a mov fp,zero -8113d0f8: 00800084 movi r2,2 -8113d0fc: 10803fcc andi r2,r2,255 -8113d100: 01000044 movi r4,1 -8113d104: 11001e26 beq r2,r4,8113d180 <___vfiprintf_internal_r+0x13a0> -8113d108: 01000084 movi r4,2 -8113d10c: 11001e1e bne r2,r4,8113d188 <___vfiprintf_internal_r+0x13a8> -8113d110: 1829883a mov r20,r3 -8113d114: 003eea06 br 8113ccc0 <__reset+0xfb11ccc0> -8113d118: a007883a mov r3,r20 -8113d11c: 00800044 movi r2,1 -8113d120: 003ff606 br 8113d0fc <__reset+0xfb11d0fc> -8113d124: 00800184 movi r2,6 -8113d128: 1240012e bgeu r2,r9,8113d130 <___vfiprintf_internal_r+0x1350> -8113d12c: 1013883a mov r9,r2 -8113d130: 4827883a mov r19,r9 -8113d134: 4825883a mov r18,r9 -8113d138: 48001516 blt r9,zero,8113d190 <___vfiprintf_internal_r+0x13b0> -8113d13c: 05604574 movhi r21,33045 -8113d140: d8c02215 stw r3,136(sp) -8113d144: ad7eba04 addi r21,r21,-1304 -8113d148: 003d1406 br 8113c59c <__reset+0xfb11c59c> -8113d14c: 02a04574 movhi r10,33045 -8113d150: 52bf4704 addi r10,r10,-740 -8113d154: da802415 stw r10,144(sp) -8113d158: 200d883a mov r6,r4 -8113d15c: 003c9106 br 8113c3a4 <__reset+0xfb11c3a4> -8113d160: 5021883a mov r16,r10 -8113d164: 0013883a mov r9,zero -8113d168: 003b7d06 br 8113bf60 <__reset+0xfb11bf60> -8113d16c: 4827883a mov r19,r9 -8113d170: df001d83 ldbu fp,118(sp) -8113d174: d8c02215 stw r3,136(sp) -8113d178: 0013883a mov r9,zero -8113d17c: 003c1806 br 8113c1e0 <__reset+0xfb11c1e0> -8113d180: 1829883a mov r20,r3 -8113d184: 003d1806 br 8113c5e8 <__reset+0xfb11c5e8> -8113d188: 1829883a mov r20,r3 -8113d18c: 003ccd06 br 8113c4c4 <__reset+0xfb11c4c4> -8113d190: 0025883a mov r18,zero -8113d194: 003fe906 br 8113d13c <__reset+0xfb11d13c> -8113d198: d8802217 ldw r2,136(sp) -8113d19c: 80c00043 ldbu r3,1(r16) +8113cf48: da802215 stw r10,136(sp) +8113cf4c: da802317 ldw r10,140(sp) +8113cf50: 1280000d sth r10,0(r2) +8113cf54: 003be706 br 8113bef4 <__reset+0xfb11bef4> +8113cf58: da802217 ldw r10,136(sp) +8113cf5c: 50800017 ldw r2,0(r10) +8113cf60: 52800104 addi r10,r10,4 +8113cf64: da802215 stw r10,136(sp) +8113cf68: da802317 ldw r10,140(sp) +8113cf6c: 12800015 stw r10,0(r2) +8113cf70: 003be006 br 8113bef4 <__reset+0xfb11bef4> +8113cf74: a080100c andi r2,r20,64 +8113cf78: da802217 ldw r10,136(sp) +8113cf7c: 10003026 beq r2,zero,8113d040 <___vfiprintf_internal_r+0x1220> +8113cf80: 5480000b ldhu r18,0(r10) +8113cf84: 52800104 addi r10,r10,4 +8113cf88: 0027883a mov r19,zero +8113cf8c: da802215 stw r10,136(sp) +8113cf90: 003d8006 br 8113c594 <__reset+0xfb11c594> +8113cf94: 80c00007 ldb r3,0(r16) +8113cf98: 003c0006 br 8113bf9c <__reset+0xfb11bf9c> +8113cf9c: a080100c andi r2,r20,64 +8113cfa0: d8001d85 stb zero,118(sp) +8113cfa4: da802217 ldw r10,136(sp) +8113cfa8: 1000201e bne r2,zero,8113d02c <___vfiprintf_internal_r+0x120c> +8113cfac: 50800104 addi r2,r10,4 +8113cfb0: 54800017 ldw r18,0(r10) +8113cfb4: 0027883a mov r19,zero +8113cfb8: 483def0e bge r9,zero,8113c778 <__reset+0xfb11c778> +8113cfbc: 94c6b03a or r3,r18,r19 +8113cfc0: d8802215 stw r2,136(sp) +8113cfc4: 183d4e1e bne r3,zero,8113c500 <__reset+0xfb11c500> +8113cfc8: 0039883a mov fp,zero +8113cfcc: 0005883a mov r2,zero +8113cfd0: 003e0606 br 8113c7ec <__reset+0xfb11c7ec> +8113cfd4: d9402117 ldw r5,132(sp) +8113cfd8: d9002017 ldw r4,128(sp) +8113cfdc: d9801a04 addi r6,sp,104 +8113cfe0: da402c15 stw r9,176(sp) +8113cfe4: db802a15 stw r14,168(sp) +8113cfe8: 113bd0c0 call 8113bd0c <__sprint_r.part.0> +8113cfec: da402c17 ldw r9,176(sp) +8113cff0: db802a17 ldw r14,168(sp) +8113cff4: 103ede1e bne r2,zero,8113cb70 <__reset+0xfb11cb70> +8113cff8: d9401b17 ldw r5,108(sp) +8113cffc: d8801c17 ldw r2,112(sp) +8113d000: d811883a mov r8,sp +8113d004: 29000044 addi r4,r5,1 +8113d008: 003e7406 br 8113c9dc <__reset+0xfb11c9dc> +8113d00c: 00bfffc4 movi r2,-1 +8113d010: 003c5806 br 8113c174 <__reset+0xfb11c174> +8113d014: d811883a mov r8,sp +8113d018: 003ee806 br 8113cbbc <__reset+0xfb11cbbc> +8113d01c: 000b883a mov r5,zero +8113d020: 01000044 movi r4,1 +8113d024: d811883a mov r8,sp +8113d028: 003e7c06 br 8113ca1c <__reset+0xfb11ca1c> +8113d02c: 50800104 addi r2,r10,4 +8113d030: 5480000b ldhu r18,0(r10) +8113d034: 0027883a mov r19,zero +8113d038: 483dcf0e bge r9,zero,8113c778 <__reset+0xfb11c778> +8113d03c: 003fdf06 br 8113cfbc <__reset+0xfb11cfbc> +8113d040: 54800017 ldw r18,0(r10) +8113d044: 52800104 addi r10,r10,4 +8113d048: 0027883a mov r19,zero +8113d04c: da802215 stw r10,136(sp) +8113d050: 003d5006 br 8113c594 <__reset+0xfb11c594> +8113d054: 50800104 addi r2,r10,4 +8113d058: 5480000b ldhu r18,0(r10) +8113d05c: 0027883a mov r19,zero +8113d060: 483f7d0e bge r9,zero,8113ce58 <__reset+0xfb11ce58> +8113d064: 003ddc06 br 8113c7d8 <__reset+0xfb11c7d8> +8113d068: d8c02215 stw r3,136(sp) +8113d06c: 0039883a mov fp,zero +8113d070: 003ddb06 br 8113c7e0 <__reset+0xfb11c7e0> +8113d074: 02a04574 movhi r10,33045 +8113d078: 52bf5804 addi r10,r10,-672 +8113d07c: da802415 stw r10,144(sp) +8113d080: 003e8306 br 8113ca90 <__reset+0xfb11ca90> +8113d084: d8801c17 ldw r2,112(sp) +8113d088: dd002117 ldw r20,132(sp) +8113d08c: 103eb926 beq r2,zero,8113cb74 <__reset+0xfb11cb74> +8113d090: d9002017 ldw r4,128(sp) +8113d094: d9801a04 addi r6,sp,104 +8113d098: a00b883a mov r5,r20 +8113d09c: 113bd0c0 call 8113bd0c <__sprint_r.part.0> +8113d0a0: 003eb406 br 8113cb74 <__reset+0xfb11cb74> +8113d0a4: 80c00043 ldbu r3,1(r16) +8113d0a8: a5000814 ori r20,r20,32 +8113d0ac: 84000044 addi r16,r16,1 +8113d0b0: 18c03fcc andi r3,r3,255 +8113d0b4: 18c0201c xori r3,r3,128 +8113d0b8: 18ffe004 addi r3,r3,-128 +8113d0bc: 003bb706 br 8113bf9c <__reset+0xfb11bf9c> +8113d0c0: a809883a mov r4,r21 +8113d0c4: d8c02a15 stw r3,168(sp) +8113d0c8: da002b15 stw r8,172(sp) +8113d0cc: 112d8ac0 call 8112d8ac +8113d0d0: d8c02a17 ldw r3,168(sp) +8113d0d4: 1027883a mov r19,r2 +8113d0d8: df001d83 ldbu fp,118(sp) +8113d0dc: d8c02215 stw r3,136(sp) +8113d0e0: 0013883a mov r9,zero +8113d0e4: da002b17 ldw r8,172(sp) +8113d0e8: 003c4d06 br 8113c220 <__reset+0xfb11c220> +8113d0ec: d9402117 ldw r5,132(sp) +8113d0f0: d9002017 ldw r4,128(sp) +8113d0f4: d9801a04 addi r6,sp,104 +8113d0f8: da402c15 stw r9,176(sp) +8113d0fc: 113bd0c0 call 8113bd0c <__sprint_r.part.0> +8113d100: da402c17 ldw r9,176(sp) +8113d104: 103e9a1e bne r2,zero,8113cb70 <__reset+0xfb11cb70> +8113d108: d9401b17 ldw r5,108(sp) +8113d10c: d8801c17 ldw r2,112(sp) +8113d110: d811883a mov r8,sp +8113d114: 29000044 addi r4,r5,1 +8113d118: 003e4206 br 8113ca24 <__reset+0xfb11ca24> +8113d11c: d9401b17 ldw r5,108(sp) +8113d120: 01204574 movhi r4,33045 +8113d124: 213f5c04 addi r4,r4,-656 +8113d128: d9002415 stw r4,144(sp) +8113d12c: 29400044 addi r5,r5,1 +8113d130: 003c6d06 br 8113c2e8 <__reset+0xfb11c2e8> +8113d134: 0039883a mov fp,zero +8113d138: 00800084 movi r2,2 +8113d13c: 10803fcc andi r2,r2,255 +8113d140: 01000044 movi r4,1 +8113d144: 11001e26 beq r2,r4,8113d1c0 <___vfiprintf_internal_r+0x13a0> +8113d148: 01000084 movi r4,2 +8113d14c: 11001e1e bne r2,r4,8113d1c8 <___vfiprintf_internal_r+0x13a8> +8113d150: 1829883a mov r20,r3 +8113d154: 003eea06 br 8113cd00 <__reset+0xfb11cd00> +8113d158: a007883a mov r3,r20 +8113d15c: 00800044 movi r2,1 +8113d160: 003ff606 br 8113d13c <__reset+0xfb11d13c> +8113d164: 00800184 movi r2,6 +8113d168: 1240012e bgeu r2,r9,8113d170 <___vfiprintf_internal_r+0x1350> +8113d16c: 1013883a mov r9,r2 +8113d170: 4827883a mov r19,r9 +8113d174: 4825883a mov r18,r9 +8113d178: 48001516 blt r9,zero,8113d1d0 <___vfiprintf_internal_r+0x13b0> +8113d17c: 05604574 movhi r21,33045 +8113d180: d8c02215 stw r3,136(sp) +8113d184: ad7ecb04 addi r21,r21,-1236 +8113d188: 003d1406 br 8113c5dc <__reset+0xfb11c5dc> +8113d18c: 02a04574 movhi r10,33045 +8113d190: 52bf5804 addi r10,r10,-672 +8113d194: da802415 stw r10,144(sp) +8113d198: 200d883a mov r6,r4 +8113d19c: 003c9106 br 8113c3e4 <__reset+0xfb11c3e4> 8113d1a0: 5021883a mov r16,r10 -8113d1a4: 12400017 ldw r9,0(r2) -8113d1a8: 10800104 addi r2,r2,4 -8113d1ac: d8802215 stw r2,136(sp) -8113d1b0: 483faf0e bge r9,zero,8113d070 <__reset+0xfb11d070> -8113d1b4: 18c03fcc andi r3,r3,255 -8113d1b8: 18c0201c xori r3,r3,128 -8113d1bc: 027fffc4 movi r9,-1 -8113d1c0: 18ffe004 addi r3,r3,-128 -8113d1c4: 003b6506 br 8113bf5c <__reset+0xfb11bf5c> -8113d1c8: d9c01d85 stb r7,118(sp) -8113d1cc: 003ca006 br 8113c450 <__reset+0xfb11c450> -8113d1d0: d9c01d85 stb r7,118(sp) -8113d1d4: 003cad06 br 8113c48c <__reset+0xfb11c48c> -8113d1d8: d9c01d85 stb r7,118(sp) -8113d1dc: 003d7d06 br 8113c7d4 <__reset+0xfb11c7d4> -8113d1e0: d9c01d85 stb r7,118(sp) -8113d1e4: 003d5f06 br 8113c764 <__reset+0xfb11c764> -8113d1e8: a080004c andi r2,r20,1 -8113d1ec: 0039883a mov fp,zero -8113d1f0: 10000526 beq r2,zero,8113d208 <___vfiprintf_internal_r+0x1428> -8113d1f4: 00800c04 movi r2,48 -8113d1f8: d88019c5 stb r2,103(sp) -8113d1fc: dcc02717 ldw r19,156(sp) -8113d200: dd4019c4 addi r21,sp,103 -8113d204: 003bf606 br 8113c1e0 <__reset+0xfb11c1e0> -8113d208: 0027883a mov r19,zero -8113d20c: dd401a04 addi r21,sp,104 -8113d210: 003bf306 br 8113c1e0 <__reset+0xfb11c1e0> -8113d214: d9c01d85 stb r7,118(sp) -8113d218: 003dc806 br 8113c93c <__reset+0xfb11c93c> -8113d21c: d9c01d85 stb r7,118(sp) -8113d220: 003d3a06 br 8113c70c <__reset+0xfb11c70c> -8113d224: d9c01d85 stb r7,118(sp) -8113d228: 003d2a06 br 8113c6d4 <__reset+0xfb11c6d4> -8113d22c: d9c01d85 stb r7,118(sp) -8113d230: 003cde06 br 8113c5ac <__reset+0xfb11c5ac> -8113d234: d9c01d85 stb r7,118(sp) -8113d238: 003cbc06 br 8113c52c <__reset+0xfb11c52c> - -8113d23c <__vfiprintf_internal>: -8113d23c: 00a04574 movhi r2,33045 -8113d240: 1086e204 addi r2,r2,7048 -8113d244: 300f883a mov r7,r6 -8113d248: 280d883a mov r6,r5 -8113d24c: 200b883a mov r5,r4 -8113d250: 11000017 ldw r4,0(r2) -8113d254: 113bde01 jmpi 8113bde0 <___vfiprintf_internal_r> - -8113d258 <__sbprintf>: -8113d258: 2880030b ldhu r2,12(r5) -8113d25c: 2ac01917 ldw r11,100(r5) -8113d260: 2a80038b ldhu r10,14(r5) -8113d264: 2a400717 ldw r9,28(r5) -8113d268: 2a000917 ldw r8,36(r5) -8113d26c: defee204 addi sp,sp,-1144 -8113d270: 00c10004 movi r3,1024 -8113d274: dc011a15 stw r16,1128(sp) -8113d278: 10bfff4c andi r2,r2,65533 -8113d27c: 2821883a mov r16,r5 -8113d280: d8cb883a add r5,sp,r3 -8113d284: dc811c15 stw r18,1136(sp) -8113d288: dc411b15 stw r17,1132(sp) -8113d28c: dfc11d15 stw ra,1140(sp) -8113d290: 2025883a mov r18,r4 -8113d294: d881030d sth r2,1036(sp) -8113d298: dac11915 stw r11,1124(sp) -8113d29c: da81038d sth r10,1038(sp) -8113d2a0: da410715 stw r9,1052(sp) -8113d2a4: da010915 stw r8,1060(sp) -8113d2a8: dec10015 stw sp,1024(sp) -8113d2ac: dec10415 stw sp,1040(sp) -8113d2b0: d8c10215 stw r3,1032(sp) -8113d2b4: d8c10515 stw r3,1044(sp) -8113d2b8: d8010615 stw zero,1048(sp) -8113d2bc: 113bde00 call 8113bde0 <___vfiprintf_internal_r> -8113d2c0: 1023883a mov r17,r2 -8113d2c4: 10000416 blt r2,zero,8113d2d8 <__sbprintf+0x80> -8113d2c8: d9410004 addi r5,sp,1024 -8113d2cc: 9009883a mov r4,r18 -8113d2d0: 11359d00 call 811359d0 <_fflush_r> -8113d2d4: 10000d1e bne r2,zero,8113d30c <__sbprintf+0xb4> -8113d2d8: d881030b ldhu r2,1036(sp) -8113d2dc: 1080100c andi r2,r2,64 -8113d2e0: 10000326 beq r2,zero,8113d2f0 <__sbprintf+0x98> -8113d2e4: 8080030b ldhu r2,12(r16) -8113d2e8: 10801014 ori r2,r2,64 -8113d2ec: 8080030d sth r2,12(r16) -8113d2f0: 8805883a mov r2,r17 -8113d2f4: dfc11d17 ldw ra,1140(sp) -8113d2f8: dc811c17 ldw r18,1136(sp) -8113d2fc: dc411b17 ldw r17,1132(sp) -8113d300: dc011a17 ldw r16,1128(sp) -8113d304: dec11e04 addi sp,sp,1144 -8113d308: f800283a ret -8113d30c: 047fffc4 movi r17,-1 -8113d310: 003ff106 br 8113d2d8 <__reset+0xfb11d2d8> - -8113d314 <_calloc_r>: -8113d314: 298b383a mul r5,r5,r6 -8113d318: defffe04 addi sp,sp,-8 -8113d31c: dfc00115 stw ra,4(sp) -8113d320: dc000015 stw r16,0(sp) -8113d324: 112be3c0 call 8112be3c <_malloc_r> -8113d328: 10002926 beq r2,zero,8113d3d0 <_calloc_r+0xbc> -8113d32c: 11bfff17 ldw r6,-4(r2) -8113d330: 1021883a mov r16,r2 -8113d334: 00bfff04 movi r2,-4 -8113d338: 308c703a and r6,r6,r2 -8113d33c: 00c00904 movi r3,36 -8113d340: 308d883a add r6,r6,r2 -8113d344: 19801636 bltu r3,r6,8113d3a0 <_calloc_r+0x8c> -8113d348: 008004c4 movi r2,19 -8113d34c: 11800b2e bgeu r2,r6,8113d37c <_calloc_r+0x68> -8113d350: 80000015 stw zero,0(r16) -8113d354: 80000115 stw zero,4(r16) -8113d358: 008006c4 movi r2,27 -8113d35c: 11801a2e bgeu r2,r6,8113d3c8 <_calloc_r+0xb4> -8113d360: 80000215 stw zero,8(r16) -8113d364: 80000315 stw zero,12(r16) -8113d368: 30c0151e bne r6,r3,8113d3c0 <_calloc_r+0xac> -8113d36c: 80000415 stw zero,16(r16) -8113d370: 80800604 addi r2,r16,24 -8113d374: 80000515 stw zero,20(r16) -8113d378: 00000106 br 8113d380 <_calloc_r+0x6c> -8113d37c: 8005883a mov r2,r16 -8113d380: 10000015 stw zero,0(r2) -8113d384: 10000115 stw zero,4(r2) -8113d388: 10000215 stw zero,8(r2) -8113d38c: 8005883a mov r2,r16 -8113d390: dfc00117 ldw ra,4(sp) -8113d394: dc000017 ldw r16,0(sp) -8113d398: dec00204 addi sp,sp,8 -8113d39c: f800283a ret -8113d3a0: 000b883a mov r5,zero -8113d3a4: 8009883a mov r4,r16 -8113d3a8: 112c7900 call 8112c790 -8113d3ac: 8005883a mov r2,r16 -8113d3b0: dfc00117 ldw ra,4(sp) -8113d3b4: dc000017 ldw r16,0(sp) -8113d3b8: dec00204 addi sp,sp,8 -8113d3bc: f800283a ret -8113d3c0: 80800404 addi r2,r16,16 -8113d3c4: 003fee06 br 8113d380 <__reset+0xfb11d380> -8113d3c8: 80800204 addi r2,r16,8 -8113d3cc: 003fec06 br 8113d380 <__reset+0xfb11d380> -8113d3d0: 0005883a mov r2,zero -8113d3d4: 003fee06 br 8113d390 <__reset+0xfb11d390> - -8113d3d8 <_fclose_r>: -8113d3d8: 28003926 beq r5,zero,8113d4c0 <_fclose_r+0xe8> -8113d3dc: defffc04 addi sp,sp,-16 -8113d3e0: dc400115 stw r17,4(sp) -8113d3e4: dc000015 stw r16,0(sp) -8113d3e8: dfc00315 stw ra,12(sp) -8113d3ec: dc800215 stw r18,8(sp) -8113d3f0: 2023883a mov r17,r4 -8113d3f4: 2821883a mov r16,r5 -8113d3f8: 20000226 beq r4,zero,8113d404 <_fclose_r+0x2c> -8113d3fc: 20800e17 ldw r2,56(r4) -8113d400: 10002726 beq r2,zero,8113d4a0 <_fclose_r+0xc8> -8113d404: 8080030f ldh r2,12(r16) -8113d408: 1000071e bne r2,zero,8113d428 <_fclose_r+0x50> -8113d40c: 0005883a mov r2,zero -8113d410: dfc00317 ldw ra,12(sp) -8113d414: dc800217 ldw r18,8(sp) -8113d418: dc400117 ldw r17,4(sp) -8113d41c: dc000017 ldw r16,0(sp) -8113d420: dec00404 addi sp,sp,16 -8113d424: f800283a ret -8113d428: 800b883a mov r5,r16 -8113d42c: 8809883a mov r4,r17 -8113d430: 11357b40 call 811357b4 <__sflush_r> -8113d434: 1025883a mov r18,r2 -8113d438: 80800b17 ldw r2,44(r16) -8113d43c: 10000426 beq r2,zero,8113d450 <_fclose_r+0x78> -8113d440: 81400717 ldw r5,28(r16) -8113d444: 8809883a mov r4,r17 -8113d448: 103ee83a callr r2 -8113d44c: 10001616 blt r2,zero,8113d4a8 <_fclose_r+0xd0> -8113d450: 8080030b ldhu r2,12(r16) -8113d454: 1080200c andi r2,r2,128 -8113d458: 1000151e bne r2,zero,8113d4b0 <_fclose_r+0xd8> -8113d45c: 81400c17 ldw r5,48(r16) -8113d460: 28000526 beq r5,zero,8113d478 <_fclose_r+0xa0> -8113d464: 80801004 addi r2,r16,64 -8113d468: 28800226 beq r5,r2,8113d474 <_fclose_r+0x9c> +8113d1a4: 0013883a mov r9,zero +8113d1a8: 003b7d06 br 8113bfa0 <__reset+0xfb11bfa0> +8113d1ac: 4827883a mov r19,r9 +8113d1b0: df001d83 ldbu fp,118(sp) +8113d1b4: d8c02215 stw r3,136(sp) +8113d1b8: 0013883a mov r9,zero +8113d1bc: 003c1806 br 8113c220 <__reset+0xfb11c220> +8113d1c0: 1829883a mov r20,r3 +8113d1c4: 003d1806 br 8113c628 <__reset+0xfb11c628> +8113d1c8: 1829883a mov r20,r3 +8113d1cc: 003ccd06 br 8113c504 <__reset+0xfb11c504> +8113d1d0: 0025883a mov r18,zero +8113d1d4: 003fe906 br 8113d17c <__reset+0xfb11d17c> +8113d1d8: d8802217 ldw r2,136(sp) +8113d1dc: 80c00043 ldbu r3,1(r16) +8113d1e0: 5021883a mov r16,r10 +8113d1e4: 12400017 ldw r9,0(r2) +8113d1e8: 10800104 addi r2,r2,4 +8113d1ec: d8802215 stw r2,136(sp) +8113d1f0: 483faf0e bge r9,zero,8113d0b0 <__reset+0xfb11d0b0> +8113d1f4: 18c03fcc andi r3,r3,255 +8113d1f8: 18c0201c xori r3,r3,128 +8113d1fc: 027fffc4 movi r9,-1 +8113d200: 18ffe004 addi r3,r3,-128 +8113d204: 003b6506 br 8113bf9c <__reset+0xfb11bf9c> +8113d208: d9c01d85 stb r7,118(sp) +8113d20c: 003ca006 br 8113c490 <__reset+0xfb11c490> +8113d210: d9c01d85 stb r7,118(sp) +8113d214: 003cad06 br 8113c4cc <__reset+0xfb11c4cc> +8113d218: d9c01d85 stb r7,118(sp) +8113d21c: 003d7d06 br 8113c814 <__reset+0xfb11c814> +8113d220: d9c01d85 stb r7,118(sp) +8113d224: 003d5f06 br 8113c7a4 <__reset+0xfb11c7a4> +8113d228: a080004c andi r2,r20,1 +8113d22c: 0039883a mov fp,zero +8113d230: 10000526 beq r2,zero,8113d248 <___vfiprintf_internal_r+0x1428> +8113d234: 00800c04 movi r2,48 +8113d238: d88019c5 stb r2,103(sp) +8113d23c: dcc02717 ldw r19,156(sp) +8113d240: dd4019c4 addi r21,sp,103 +8113d244: 003bf606 br 8113c220 <__reset+0xfb11c220> +8113d248: 0027883a mov r19,zero +8113d24c: dd401a04 addi r21,sp,104 +8113d250: 003bf306 br 8113c220 <__reset+0xfb11c220> +8113d254: d9c01d85 stb r7,118(sp) +8113d258: 003dc806 br 8113c97c <__reset+0xfb11c97c> +8113d25c: d9c01d85 stb r7,118(sp) +8113d260: 003d3a06 br 8113c74c <__reset+0xfb11c74c> +8113d264: d9c01d85 stb r7,118(sp) +8113d268: 003d2a06 br 8113c714 <__reset+0xfb11c714> +8113d26c: d9c01d85 stb r7,118(sp) +8113d270: 003cde06 br 8113c5ec <__reset+0xfb11c5ec> +8113d274: d9c01d85 stb r7,118(sp) +8113d278: 003cbc06 br 8113c56c <__reset+0xfb11c56c> + +8113d27c <__vfiprintf_internal>: +8113d27c: 00a04574 movhi r2,33045 +8113d280: 1086f304 addi r2,r2,7116 +8113d284: 300f883a mov r7,r6 +8113d288: 280d883a mov r6,r5 +8113d28c: 200b883a mov r5,r4 +8113d290: 11000017 ldw r4,0(r2) +8113d294: 113be201 jmpi 8113be20 <___vfiprintf_internal_r> + +8113d298 <__sbprintf>: +8113d298: 2880030b ldhu r2,12(r5) +8113d29c: 2ac01917 ldw r11,100(r5) +8113d2a0: 2a80038b ldhu r10,14(r5) +8113d2a4: 2a400717 ldw r9,28(r5) +8113d2a8: 2a000917 ldw r8,36(r5) +8113d2ac: defee204 addi sp,sp,-1144 +8113d2b0: 00c10004 movi r3,1024 +8113d2b4: dc011a15 stw r16,1128(sp) +8113d2b8: 10bfff4c andi r2,r2,65533 +8113d2bc: 2821883a mov r16,r5 +8113d2c0: d8cb883a add r5,sp,r3 +8113d2c4: dc811c15 stw r18,1136(sp) +8113d2c8: dc411b15 stw r17,1132(sp) +8113d2cc: dfc11d15 stw ra,1140(sp) +8113d2d0: 2025883a mov r18,r4 +8113d2d4: d881030d sth r2,1036(sp) +8113d2d8: dac11915 stw r11,1124(sp) +8113d2dc: da81038d sth r10,1038(sp) +8113d2e0: da410715 stw r9,1052(sp) +8113d2e4: da010915 stw r8,1060(sp) +8113d2e8: dec10015 stw sp,1024(sp) +8113d2ec: dec10415 stw sp,1040(sp) +8113d2f0: d8c10215 stw r3,1032(sp) +8113d2f4: d8c10515 stw r3,1044(sp) +8113d2f8: d8010615 stw zero,1048(sp) +8113d2fc: 113be200 call 8113be20 <___vfiprintf_internal_r> +8113d300: 1023883a mov r17,r2 +8113d304: 10000416 blt r2,zero,8113d318 <__sbprintf+0x80> +8113d308: d9410004 addi r5,sp,1024 +8113d30c: 9009883a mov r4,r18 +8113d310: 1135a100 call 81135a10 <_fflush_r> +8113d314: 10000d1e bne r2,zero,8113d34c <__sbprintf+0xb4> +8113d318: d881030b ldhu r2,1036(sp) +8113d31c: 1080100c andi r2,r2,64 +8113d320: 10000326 beq r2,zero,8113d330 <__sbprintf+0x98> +8113d324: 8080030b ldhu r2,12(r16) +8113d328: 10801014 ori r2,r2,64 +8113d32c: 8080030d sth r2,12(r16) +8113d330: 8805883a mov r2,r17 +8113d334: dfc11d17 ldw ra,1140(sp) +8113d338: dc811c17 ldw r18,1136(sp) +8113d33c: dc411b17 ldw r17,1132(sp) +8113d340: dc011a17 ldw r16,1128(sp) +8113d344: dec11e04 addi sp,sp,1144 +8113d348: f800283a ret +8113d34c: 047fffc4 movi r17,-1 +8113d350: 003ff106 br 8113d318 <__reset+0xfb11d318> + +8113d354 <_calloc_r>: +8113d354: 298b383a mul r5,r5,r6 +8113d358: defffe04 addi sp,sp,-8 +8113d35c: dfc00115 stw ra,4(sp) +8113d360: dc000015 stw r16,0(sp) +8113d364: 112be7c0 call 8112be7c <_malloc_r> +8113d368: 10002926 beq r2,zero,8113d410 <_calloc_r+0xbc> +8113d36c: 11bfff17 ldw r6,-4(r2) +8113d370: 1021883a mov r16,r2 +8113d374: 00bfff04 movi r2,-4 +8113d378: 308c703a and r6,r6,r2 +8113d37c: 00c00904 movi r3,36 +8113d380: 308d883a add r6,r6,r2 +8113d384: 19801636 bltu r3,r6,8113d3e0 <_calloc_r+0x8c> +8113d388: 008004c4 movi r2,19 +8113d38c: 11800b2e bgeu r2,r6,8113d3bc <_calloc_r+0x68> +8113d390: 80000015 stw zero,0(r16) +8113d394: 80000115 stw zero,4(r16) +8113d398: 008006c4 movi r2,27 +8113d39c: 11801a2e bgeu r2,r6,8113d408 <_calloc_r+0xb4> +8113d3a0: 80000215 stw zero,8(r16) +8113d3a4: 80000315 stw zero,12(r16) +8113d3a8: 30c0151e bne r6,r3,8113d400 <_calloc_r+0xac> +8113d3ac: 80000415 stw zero,16(r16) +8113d3b0: 80800604 addi r2,r16,24 +8113d3b4: 80000515 stw zero,20(r16) +8113d3b8: 00000106 br 8113d3c0 <_calloc_r+0x6c> +8113d3bc: 8005883a mov r2,r16 +8113d3c0: 10000015 stw zero,0(r2) +8113d3c4: 10000115 stw zero,4(r2) +8113d3c8: 10000215 stw zero,8(r2) +8113d3cc: 8005883a mov r2,r16 +8113d3d0: dfc00117 ldw ra,4(sp) +8113d3d4: dc000017 ldw r16,0(sp) +8113d3d8: dec00204 addi sp,sp,8 +8113d3dc: f800283a ret +8113d3e0: 000b883a mov r5,zero +8113d3e4: 8009883a mov r4,r16 +8113d3e8: 112c7d00 call 8112c7d0 +8113d3ec: 8005883a mov r2,r16 +8113d3f0: dfc00117 ldw ra,4(sp) +8113d3f4: dc000017 ldw r16,0(sp) +8113d3f8: dec00204 addi sp,sp,8 +8113d3fc: f800283a ret +8113d400: 80800404 addi r2,r16,16 +8113d404: 003fee06 br 8113d3c0 <__reset+0xfb11d3c0> +8113d408: 80800204 addi r2,r16,8 +8113d40c: 003fec06 br 8113d3c0 <__reset+0xfb11d3c0> +8113d410: 0005883a mov r2,zero +8113d414: 003fee06 br 8113d3d0 <__reset+0xfb11d3d0> + +8113d418 <_fclose_r>: +8113d418: 28003926 beq r5,zero,8113d500 <_fclose_r+0xe8> +8113d41c: defffc04 addi sp,sp,-16 +8113d420: dc400115 stw r17,4(sp) +8113d424: dc000015 stw r16,0(sp) +8113d428: dfc00315 stw ra,12(sp) +8113d42c: dc800215 stw r18,8(sp) +8113d430: 2023883a mov r17,r4 +8113d434: 2821883a mov r16,r5 +8113d438: 20000226 beq r4,zero,8113d444 <_fclose_r+0x2c> +8113d43c: 20800e17 ldw r2,56(r4) +8113d440: 10002726 beq r2,zero,8113d4e0 <_fclose_r+0xc8> +8113d444: 8080030f ldh r2,12(r16) +8113d448: 1000071e bne r2,zero,8113d468 <_fclose_r+0x50> +8113d44c: 0005883a mov r2,zero +8113d450: dfc00317 ldw ra,12(sp) +8113d454: dc800217 ldw r18,8(sp) +8113d458: dc400117 ldw r17,4(sp) +8113d45c: dc000017 ldw r16,0(sp) +8113d460: dec00404 addi sp,sp,16 +8113d464: f800283a ret +8113d468: 800b883a mov r5,r16 8113d46c: 8809883a mov r4,r17 -8113d470: 113622c0 call 8113622c <_free_r> -8113d474: 80000c15 stw zero,48(r16) -8113d478: 81401117 ldw r5,68(r16) -8113d47c: 28000326 beq r5,zero,8113d48c <_fclose_r+0xb4> -8113d480: 8809883a mov r4,r17 -8113d484: 113622c0 call 8113622c <_free_r> -8113d488: 80001115 stw zero,68(r16) -8113d48c: 1135dbc0 call 81135dbc <__sfp_lock_acquire> -8113d490: 8000030d sth zero,12(r16) -8113d494: 1135dc00 call 81135dc0 <__sfp_lock_release> -8113d498: 9005883a mov r2,r18 -8113d49c: 003fdc06 br 8113d410 <__reset+0xfb11d410> -8113d4a0: 1135dac0 call 81135dac <__sinit> -8113d4a4: 003fd706 br 8113d404 <__reset+0xfb11d404> -8113d4a8: 04bfffc4 movi r18,-1 -8113d4ac: 003fe806 br 8113d450 <__reset+0xfb11d450> -8113d4b0: 81400417 ldw r5,16(r16) -8113d4b4: 8809883a mov r4,r17 -8113d4b8: 113622c0 call 8113622c <_free_r> -8113d4bc: 003fe706 br 8113d45c <__reset+0xfb11d45c> -8113d4c0: 0005883a mov r2,zero -8113d4c4: f800283a ret - -8113d4c8 : -8113d4c8: 00a04574 movhi r2,33045 -8113d4cc: 1086e204 addi r2,r2,7048 -8113d4d0: 200b883a mov r5,r4 -8113d4d4: 11000017 ldw r4,0(r2) -8113d4d8: 113d3d81 jmpi 8113d3d8 <_fclose_r> - -8113d4dc <__fputwc>: -8113d4dc: defff804 addi sp,sp,-32 -8113d4e0: dcc00415 stw r19,16(sp) -8113d4e4: dc800315 stw r18,12(sp) -8113d4e8: dc000115 stw r16,4(sp) -8113d4ec: dfc00715 stw ra,28(sp) -8113d4f0: dd400615 stw r21,24(sp) -8113d4f4: dd000515 stw r20,20(sp) -8113d4f8: dc400215 stw r17,8(sp) -8113d4fc: 2027883a mov r19,r4 -8113d500: 2825883a mov r18,r5 -8113d504: 3021883a mov r16,r6 -8113d508: 1136c8c0 call 81136c8c <__locale_mb_cur_max> -8113d50c: 00c00044 movi r3,1 -8113d510: 10c03e26 beq r2,r3,8113d60c <__fputwc+0x130> -8113d514: 81c01704 addi r7,r16,92 -8113d518: 900d883a mov r6,r18 -8113d51c: d80b883a mov r5,sp -8113d520: 9809883a mov r4,r19 -8113d524: 113e3400 call 8113e340 <_wcrtomb_r> -8113d528: 1029883a mov r20,r2 -8113d52c: 00bfffc4 movi r2,-1 -8113d530: a0802026 beq r20,r2,8113d5b4 <__fputwc+0xd8> -8113d534: d9400003 ldbu r5,0(sp) -8113d538: a0001c26 beq r20,zero,8113d5ac <__fputwc+0xd0> -8113d53c: 0023883a mov r17,zero -8113d540: 05400284 movi r21,10 -8113d544: 00000906 br 8113d56c <__fputwc+0x90> -8113d548: 80800017 ldw r2,0(r16) -8113d54c: 11400005 stb r5,0(r2) -8113d550: 80c00017 ldw r3,0(r16) -8113d554: 18c00044 addi r3,r3,1 -8113d558: 80c00015 stw r3,0(r16) -8113d55c: 8c400044 addi r17,r17,1 -8113d560: dc45883a add r2,sp,r17 -8113d564: 8d00112e bgeu r17,r20,8113d5ac <__fputwc+0xd0> -8113d568: 11400003 ldbu r5,0(r2) -8113d56c: 80c00217 ldw r3,8(r16) -8113d570: 18ffffc4 addi r3,r3,-1 -8113d574: 80c00215 stw r3,8(r16) -8113d578: 183ff30e bge r3,zero,8113d548 <__reset+0xfb11d548> -8113d57c: 80800617 ldw r2,24(r16) -8113d580: 18801916 blt r3,r2,8113d5e8 <__fputwc+0x10c> -8113d584: 80800017 ldw r2,0(r16) -8113d588: 11400005 stb r5,0(r2) -8113d58c: 80800017 ldw r2,0(r16) -8113d590: 10c00003 ldbu r3,0(r2) -8113d594: 10800044 addi r2,r2,1 -8113d598: 1d402326 beq r3,r21,8113d628 <__fputwc+0x14c> -8113d59c: 80800015 stw r2,0(r16) -8113d5a0: 8c400044 addi r17,r17,1 -8113d5a4: dc45883a add r2,sp,r17 -8113d5a8: 8d3fef36 bltu r17,r20,8113d568 <__reset+0xfb11d568> -8113d5ac: 9005883a mov r2,r18 -8113d5b0: 00000406 br 8113d5c4 <__fputwc+0xe8> -8113d5b4: 80c0030b ldhu r3,12(r16) -8113d5b8: a005883a mov r2,r20 -8113d5bc: 18c01014 ori r3,r3,64 -8113d5c0: 80c0030d sth r3,12(r16) -8113d5c4: dfc00717 ldw ra,28(sp) -8113d5c8: dd400617 ldw r21,24(sp) -8113d5cc: dd000517 ldw r20,20(sp) -8113d5d0: dcc00417 ldw r19,16(sp) -8113d5d4: dc800317 ldw r18,12(sp) -8113d5d8: dc400217 ldw r17,8(sp) -8113d5dc: dc000117 ldw r16,4(sp) -8113d5e0: dec00804 addi sp,sp,32 -8113d5e4: f800283a ret -8113d5e8: 800d883a mov r6,r16 -8113d5ec: 29403fcc andi r5,r5,255 -8113d5f0: 9809883a mov r4,r19 -8113d5f4: 1133bcc0 call 81133bcc <__swbuf_r> -8113d5f8: 10bfffe0 cmpeqi r2,r2,-1 -8113d5fc: 10803fcc andi r2,r2,255 -8113d600: 103fd626 beq r2,zero,8113d55c <__reset+0xfb11d55c> -8113d604: 00bfffc4 movi r2,-1 -8113d608: 003fee06 br 8113d5c4 <__reset+0xfb11d5c4> -8113d60c: 90ffffc4 addi r3,r18,-1 -8113d610: 01003f84 movi r4,254 -8113d614: 20ffbf36 bltu r4,r3,8113d514 <__reset+0xfb11d514> -8113d618: 900b883a mov r5,r18 -8113d61c: dc800005 stb r18,0(sp) -8113d620: 1029883a mov r20,r2 -8113d624: 003fc506 br 8113d53c <__reset+0xfb11d53c> +8113d470: 11357f40 call 811357f4 <__sflush_r> +8113d474: 1025883a mov r18,r2 +8113d478: 80800b17 ldw r2,44(r16) +8113d47c: 10000426 beq r2,zero,8113d490 <_fclose_r+0x78> +8113d480: 81400717 ldw r5,28(r16) +8113d484: 8809883a mov r4,r17 +8113d488: 103ee83a callr r2 +8113d48c: 10001616 blt r2,zero,8113d4e8 <_fclose_r+0xd0> +8113d490: 8080030b ldhu r2,12(r16) +8113d494: 1080200c andi r2,r2,128 +8113d498: 1000151e bne r2,zero,8113d4f0 <_fclose_r+0xd8> +8113d49c: 81400c17 ldw r5,48(r16) +8113d4a0: 28000526 beq r5,zero,8113d4b8 <_fclose_r+0xa0> +8113d4a4: 80801004 addi r2,r16,64 +8113d4a8: 28800226 beq r5,r2,8113d4b4 <_fclose_r+0x9c> +8113d4ac: 8809883a mov r4,r17 +8113d4b0: 113626c0 call 8113626c <_free_r> +8113d4b4: 80000c15 stw zero,48(r16) +8113d4b8: 81401117 ldw r5,68(r16) +8113d4bc: 28000326 beq r5,zero,8113d4cc <_fclose_r+0xb4> +8113d4c0: 8809883a mov r4,r17 +8113d4c4: 113626c0 call 8113626c <_free_r> +8113d4c8: 80001115 stw zero,68(r16) +8113d4cc: 1135dfc0 call 81135dfc <__sfp_lock_acquire> +8113d4d0: 8000030d sth zero,12(r16) +8113d4d4: 1135e000 call 81135e00 <__sfp_lock_release> +8113d4d8: 9005883a mov r2,r18 +8113d4dc: 003fdc06 br 8113d450 <__reset+0xfb11d450> +8113d4e0: 1135dec0 call 81135dec <__sinit> +8113d4e4: 003fd706 br 8113d444 <__reset+0xfb11d444> +8113d4e8: 04bfffc4 movi r18,-1 +8113d4ec: 003fe806 br 8113d490 <__reset+0xfb11d490> +8113d4f0: 81400417 ldw r5,16(r16) +8113d4f4: 8809883a mov r4,r17 +8113d4f8: 113626c0 call 8113626c <_free_r> +8113d4fc: 003fe706 br 8113d49c <__reset+0xfb11d49c> +8113d500: 0005883a mov r2,zero +8113d504: f800283a ret + +8113d508 : +8113d508: 00a04574 movhi r2,33045 +8113d50c: 1086f304 addi r2,r2,7116 +8113d510: 200b883a mov r5,r4 +8113d514: 11000017 ldw r4,0(r2) +8113d518: 113d4181 jmpi 8113d418 <_fclose_r> + +8113d51c <__fputwc>: +8113d51c: defff804 addi sp,sp,-32 +8113d520: dcc00415 stw r19,16(sp) +8113d524: dc800315 stw r18,12(sp) +8113d528: dc000115 stw r16,4(sp) +8113d52c: dfc00715 stw ra,28(sp) +8113d530: dd400615 stw r21,24(sp) +8113d534: dd000515 stw r20,20(sp) +8113d538: dc400215 stw r17,8(sp) +8113d53c: 2027883a mov r19,r4 +8113d540: 2825883a mov r18,r5 +8113d544: 3021883a mov r16,r6 +8113d548: 1136ccc0 call 81136ccc <__locale_mb_cur_max> +8113d54c: 00c00044 movi r3,1 +8113d550: 10c03e26 beq r2,r3,8113d64c <__fputwc+0x130> +8113d554: 81c01704 addi r7,r16,92 +8113d558: 900d883a mov r6,r18 +8113d55c: d80b883a mov r5,sp +8113d560: 9809883a mov r4,r19 +8113d564: 113e3800 call 8113e380 <_wcrtomb_r> +8113d568: 1029883a mov r20,r2 +8113d56c: 00bfffc4 movi r2,-1 +8113d570: a0802026 beq r20,r2,8113d5f4 <__fputwc+0xd8> +8113d574: d9400003 ldbu r5,0(sp) +8113d578: a0001c26 beq r20,zero,8113d5ec <__fputwc+0xd0> +8113d57c: 0023883a mov r17,zero +8113d580: 05400284 movi r21,10 +8113d584: 00000906 br 8113d5ac <__fputwc+0x90> +8113d588: 80800017 ldw r2,0(r16) +8113d58c: 11400005 stb r5,0(r2) +8113d590: 80c00017 ldw r3,0(r16) +8113d594: 18c00044 addi r3,r3,1 +8113d598: 80c00015 stw r3,0(r16) +8113d59c: 8c400044 addi r17,r17,1 +8113d5a0: dc45883a add r2,sp,r17 +8113d5a4: 8d00112e bgeu r17,r20,8113d5ec <__fputwc+0xd0> +8113d5a8: 11400003 ldbu r5,0(r2) +8113d5ac: 80c00217 ldw r3,8(r16) +8113d5b0: 18ffffc4 addi r3,r3,-1 +8113d5b4: 80c00215 stw r3,8(r16) +8113d5b8: 183ff30e bge r3,zero,8113d588 <__reset+0xfb11d588> +8113d5bc: 80800617 ldw r2,24(r16) +8113d5c0: 18801916 blt r3,r2,8113d628 <__fputwc+0x10c> +8113d5c4: 80800017 ldw r2,0(r16) +8113d5c8: 11400005 stb r5,0(r2) +8113d5cc: 80800017 ldw r2,0(r16) +8113d5d0: 10c00003 ldbu r3,0(r2) +8113d5d4: 10800044 addi r2,r2,1 +8113d5d8: 1d402326 beq r3,r21,8113d668 <__fputwc+0x14c> +8113d5dc: 80800015 stw r2,0(r16) +8113d5e0: 8c400044 addi r17,r17,1 +8113d5e4: dc45883a add r2,sp,r17 +8113d5e8: 8d3fef36 bltu r17,r20,8113d5a8 <__reset+0xfb11d5a8> +8113d5ec: 9005883a mov r2,r18 +8113d5f0: 00000406 br 8113d604 <__fputwc+0xe8> +8113d5f4: 80c0030b ldhu r3,12(r16) +8113d5f8: a005883a mov r2,r20 +8113d5fc: 18c01014 ori r3,r3,64 +8113d600: 80c0030d sth r3,12(r16) +8113d604: dfc00717 ldw ra,28(sp) +8113d608: dd400617 ldw r21,24(sp) +8113d60c: dd000517 ldw r20,20(sp) +8113d610: dcc00417 ldw r19,16(sp) +8113d614: dc800317 ldw r18,12(sp) +8113d618: dc400217 ldw r17,8(sp) +8113d61c: dc000117 ldw r16,4(sp) +8113d620: dec00804 addi sp,sp,32 +8113d624: f800283a ret 8113d628: 800d883a mov r6,r16 -8113d62c: a80b883a mov r5,r21 +8113d62c: 29403fcc andi r5,r5,255 8113d630: 9809883a mov r4,r19 -8113d634: 1133bcc0 call 81133bcc <__swbuf_r> +8113d634: 1133c0c0 call 81133c0c <__swbuf_r> 8113d638: 10bfffe0 cmpeqi r2,r2,-1 -8113d63c: 003fef06 br 8113d5fc <__reset+0xfb11d5fc> - -8113d640 <_fputwc_r>: -8113d640: 3080030b ldhu r2,12(r6) -8113d644: 10c8000c andi r3,r2,8192 -8113d648: 1800051e bne r3,zero,8113d660 <_fputwc_r+0x20> -8113d64c: 30c01917 ldw r3,100(r6) -8113d650: 10880014 ori r2,r2,8192 -8113d654: 3080030d sth r2,12(r6) -8113d658: 18880014 ori r2,r3,8192 -8113d65c: 30801915 stw r2,100(r6) -8113d660: 113d4dc1 jmpi 8113d4dc <__fputwc> - -8113d664 : -8113d664: 00a04574 movhi r2,33045 -8113d668: defffc04 addi sp,sp,-16 -8113d66c: 1086e204 addi r2,r2,7048 -8113d670: dc000115 stw r16,4(sp) -8113d674: 14000017 ldw r16,0(r2) -8113d678: dc400215 stw r17,8(sp) -8113d67c: dfc00315 stw ra,12(sp) -8113d680: 2023883a mov r17,r4 -8113d684: 80000226 beq r16,zero,8113d690 -8113d688: 80800e17 ldw r2,56(r16) -8113d68c: 10001026 beq r2,zero,8113d6d0 -8113d690: 2880030b ldhu r2,12(r5) -8113d694: 10c8000c andi r3,r2,8192 -8113d698: 1800051e bne r3,zero,8113d6b0 -8113d69c: 28c01917 ldw r3,100(r5) -8113d6a0: 10880014 ori r2,r2,8192 -8113d6a4: 2880030d sth r2,12(r5) -8113d6a8: 18880014 ori r2,r3,8192 -8113d6ac: 28801915 stw r2,100(r5) -8113d6b0: 280d883a mov r6,r5 -8113d6b4: 8009883a mov r4,r16 -8113d6b8: 880b883a mov r5,r17 -8113d6bc: dfc00317 ldw ra,12(sp) -8113d6c0: dc400217 ldw r17,8(sp) -8113d6c4: dc000117 ldw r16,4(sp) -8113d6c8: dec00404 addi sp,sp,16 -8113d6cc: 113d4dc1 jmpi 8113d4dc <__fputwc> -8113d6d0: 8009883a mov r4,r16 -8113d6d4: d9400015 stw r5,0(sp) -8113d6d8: 1135dac0 call 81135dac <__sinit> -8113d6dc: d9400017 ldw r5,0(sp) -8113d6e0: 003feb06 br 8113d690 <__reset+0xfb11d690> - -8113d6e4 : -8113d6e4: 2807d17a srai r3,r5,5 -8113d6e8: 20800417 ldw r2,16(r4) -8113d6ec: 22000504 addi r8,r4,20 -8113d6f0: 1880250e bge r3,r2,8113d788 -8113d6f4: 1085883a add r2,r2,r2 -8113d6f8: 18c7883a add r3,r3,r3 -8113d6fc: 1085883a add r2,r2,r2 -8113d700: 18c7883a add r3,r3,r3 -8113d704: 294007cc andi r5,r5,31 -8113d708: 4085883a add r2,r8,r2 -8113d70c: 40c7883a add r3,r8,r3 -8113d710: 28002026 beq r5,zero,8113d794 -8113d714: 19800017 ldw r6,0(r3) -8113d718: 02c00804 movi r11,32 -8113d71c: 19c00104 addi r7,r3,4 -8113d720: 5957c83a sub r11,r11,r5 -8113d724: 314cd83a srl r6,r6,r5 -8113d728: 38802c2e bgeu r7,r2,8113d7dc -8113d72c: 4015883a mov r10,r8 -8113d730: 3a400017 ldw r9,0(r7) -8113d734: 52800104 addi r10,r10,4 -8113d738: 39c00104 addi r7,r7,4 -8113d73c: 4ad2983a sll r9,r9,r11 -8113d740: 498cb03a or r6,r9,r6 -8113d744: 51bfff15 stw r6,-4(r10) -8113d748: 39bfff17 ldw r6,-4(r7) -8113d74c: 314cd83a srl r6,r6,r5 -8113d750: 38bff736 bltu r7,r2,8113d730 <__reset+0xfb11d730> -8113d754: 10c7c83a sub r3,r2,r3 -8113d758: 18fffec4 addi r3,r3,-5 -8113d75c: 1806d0ba srli r3,r3,2 -8113d760: 18c00044 addi r3,r3,1 -8113d764: 18c7883a add r3,r3,r3 -8113d768: 18c7883a add r3,r3,r3 -8113d76c: 40c7883a add r3,r8,r3 -8113d770: 19800015 stw r6,0(r3) -8113d774: 30000126 beq r6,zero,8113d77c -8113d778: 18c00104 addi r3,r3,4 -8113d77c: 1a05c83a sub r2,r3,r8 -8113d780: 1005d0ba srai r2,r2,2 -8113d784: 00001206 br 8113d7d0 -8113d788: 20000415 stw zero,16(r4) -8113d78c: 20000515 stw zero,20(r4) -8113d790: f800283a ret -8113d794: 18bffc2e bgeu r3,r2,8113d788 <__reset+0xfb11d788> -8113d798: 180d883a mov r6,r3 -8113d79c: 400b883a mov r5,r8 -8113d7a0: 31c00017 ldw r7,0(r6) -8113d7a4: 29400104 addi r5,r5,4 -8113d7a8: 31800104 addi r6,r6,4 -8113d7ac: 29ffff15 stw r7,-4(r5) -8113d7b0: 30bffb36 bltu r6,r2,8113d7a0 <__reset+0xfb11d7a0> -8113d7b4: 00c6303a nor r3,zero,r3 -8113d7b8: 1885883a add r2,r3,r2 -8113d7bc: 1004d0ba srli r2,r2,2 -8113d7c0: 10800044 addi r2,r2,1 -8113d7c4: 1085883a add r2,r2,r2 -8113d7c8: 1085883a add r2,r2,r2 -8113d7cc: 1005d0ba srai r2,r2,2 -8113d7d0: 20800415 stw r2,16(r4) -8113d7d4: 103fed26 beq r2,zero,8113d78c <__reset+0xfb11d78c> -8113d7d8: f800283a ret -8113d7dc: 4007883a mov r3,r8 -8113d7e0: 003fe306 br 8113d770 <__reset+0xfb11d770> - -8113d7e4 <__gethex>: -8113d7e4: deffeb04 addi sp,sp,-84 -8113d7e8: dfc01415 stw ra,80(sp) -8113d7ec: dd801115 stw r22,68(sp) -8113d7f0: dcc00e15 stw r19,56(sp) -8113d7f4: dc800d15 stw r18,52(sp) -8113d7f8: 2827883a mov r19,r5 -8113d7fc: d9000115 stw r4,4(sp) -8113d800: d9800015 stw r6,0(sp) -8113d804: d9c00415 stw r7,16(sp) -8113d808: df001315 stw fp,76(sp) -8113d80c: ddc01215 stw r23,72(sp) -8113d810: dd401015 stw r21,64(sp) -8113d814: dd000f15 stw r20,60(sp) -8113d818: dc400c15 stw r17,48(sp) -8113d81c: dc000b15 stw r16,44(sp) -8113d820: 1136cb00 call 81136cb0 <_localeconv_r> -8113d824: 14800017 ldw r18,0(r2) -8113d828: 9009883a mov r4,r18 -8113d82c: 112d86c0 call 8112d86c -8113d830: 98c00017 ldw r3,0(r19) -8113d834: 102d883a mov r22,r2 -8113d838: 9085883a add r2,r18,r2 -8113d83c: 10bfffc3 ldbu r2,-1(r2) -8113d840: 19000083 ldbu r4,2(r3) -8113d844: d8800305 stb r2,12(sp) -8113d848: 00800c04 movi r2,48 -8113d84c: 2081521e bne r4,r2,8113dd98 <__gethex+0x5b4> -8113d850: 017fff84 movi r5,-2 -8113d854: 188000c4 addi r2,r3,3 -8113d858: 28cbc83a sub r5,r5,r3 -8113d85c: 200d883a mov r6,r4 -8113d860: 28a3883a add r17,r5,r2 -8113d864: 102b883a mov r21,r2 -8113d868: 10800044 addi r2,r2,1 -8113d86c: 113fffc3 ldbu r4,-1(r2) -8113d870: 21bffb26 beq r4,r6,8113d860 <__reset+0xfb11d860> -8113d874: 05204574 movhi r20,33045 -8113d878: a53f4f04 addi r20,r20,-708 -8113d87c: a109883a add r4,r20,r4 -8113d880: 20800003 ldbu r2,0(r4) -8113d884: 10008826 beq r2,zero,8113daa8 <__gethex+0x2c4> -8113d888: a8800003 ldbu r2,0(r21) -8113d88c: 0015883a mov r10,zero -8113d890: 002f883a mov r23,zero -8113d894: a085883a add r2,r20,r2 -8113d898: 10800003 ldbu r2,0(r2) -8113d89c: a821883a mov r16,r21 -8113d8a0: 10000526 beq r2,zero,8113d8b8 <__gethex+0xd4> -8113d8a4: 84000044 addi r16,r16,1 -8113d8a8: 80800003 ldbu r2,0(r16) -8113d8ac: a085883a add r2,r20,r2 -8113d8b0: 10800003 ldbu r2,0(r2) -8113d8b4: 103ffb1e bne r2,zero,8113d8a4 <__reset+0xfb11d8a4> -8113d8b8: b00d883a mov r6,r22 -8113d8bc: 900b883a mov r5,r18 -8113d8c0: 8009883a mov r4,r16 -8113d8c4: da800a15 stw r10,40(sp) -8113d8c8: 113e2700 call 8113e270 -8113d8cc: da800a17 ldw r10,40(sp) -8113d8d0: 1000031e bne r2,zero,8113d8e0 <__gethex+0xfc> -8113d8d4: b8015226 beq r23,zero,8113de20 <__gethex+0x63c> -8113d8d8: 80800003 ldbu r2,0(r16) -8113d8dc: 00000206 br 8113d8e8 <__gethex+0x104> -8113d8e0: 80800003 ldbu r2,0(r16) -8113d8e4: b8011f26 beq r23,zero,8113dd64 <__gethex+0x580> -8113d8e8: 85efc83a sub r23,r16,r23 -8113d8ec: bdef883a add r23,r23,r23 -8113d8f0: bdef883a add r23,r23,r23 -8113d8f4: 05efc83a sub r23,zero,r23 -8113d8f8: 10803fcc andi r2,r2,255 -8113d8fc: 01001404 movi r4,80 -8113d900: 11008626 beq r2,r4,8113db1c <__gethex+0x338> -8113d904: 01001c04 movi r4,112 -8113d908: 11008426 beq r2,r4,8113db1c <__gethex+0x338> -8113d90c: 8039883a mov fp,r16 -8113d910: 9c000015 stw r16,0(r19) -8113d914: 5000711e bne r10,zero,8113dadc <__gethex+0x2f8> -8113d918: e545c83a sub r2,fp,r21 -8113d91c: 10bfffc4 addi r2,r2,-1 -8113d920: 010001c4 movi r4,7 -8113d924: 000b883a mov r5,zero -8113d928: 2080030e bge r4,r2,8113d938 <__gethex+0x154> -8113d92c: 1005d07a srai r2,r2,1 -8113d930: 29400044 addi r5,r5,1 -8113d934: 20bffd16 blt r4,r2,8113d92c <__reset+0xfb11d92c> -8113d938: d9000117 ldw r4,4(sp) -8113d93c: 11371a40 call 811371a4 <_Balloc> -8113d940: 10c00504 addi r3,r2,20 -8113d944: d8c00215 stw r3,8(sp) -8113d948: 1021883a mov r16,r2 -8113d94c: af01732e bgeu r21,fp,8113df1c <__gethex+0x738> -8113d950: dc400303 ldbu r17,12(sp) -8113d954: 03400044 movi r13,1 -8113d958: 1815883a mov r10,r3 -8113d95c: 0013883a mov r9,zero -8113d960: 0027883a mov r19,zero -8113d964: 6d9bc83a sub r13,r13,r22 -8113d968: 02c00804 movi r11,32 -8113d96c: e0bfffc3 ldbu r2,-1(fp) -8113d970: e3bfffc4 addi r14,fp,-1 -8113d974: 88803026 beq r17,r2,8113da38 <__gethex+0x254> -8113d978: 9ac04526 beq r19,r11,8113da90 <__gethex+0x2ac> -8113d97c: 980b883a mov r5,r19 -8113d980: 9cc00104 addi r19,r19,4 -8113d984: e13fffc3 ldbu r4,-1(fp) -8113d988: 7039883a mov fp,r14 -8113d98c: a109883a add r4,r20,r4 -8113d990: 20800003 ldbu r2,0(r4) -8113d994: 108003cc andi r2,r2,15 -8113d998: 1144983a sll r2,r2,r5 -8113d99c: 4892b03a or r9,r9,r2 -8113d9a0: af3ff236 bltu r21,fp,8113d96c <__reset+0xfb11d96c> -8113d9a4: d8c00217 ldw r3,8(sp) -8113d9a8: 50800104 addi r2,r10,4 -8113d9ac: 52400015 stw r9,0(r10) -8113d9b0: 10c5c83a sub r2,r2,r3 -8113d9b4: 1005d0ba srai r2,r2,2 -8113d9b8: 4809883a mov r4,r9 -8113d9bc: 80800415 stw r2,16(r16) -8113d9c0: 1022917a slli r17,r2,5 -8113d9c4: 11374980 call 81137498 <__hi0bits> -8113d9c8: d8c00017 ldw r3,0(sp) -8113d9cc: 8885c83a sub r2,r17,r2 -8113d9d0: 1c800017 ldw r18,0(r3) -8113d9d4: 9080c716 blt r18,r2,8113dcf4 <__gethex+0x510> -8113d9d8: 1480e416 blt r2,r18,8113dd6c <__gethex+0x588> -8113d9dc: 0027883a mov r19,zero -8113d9e0: d8c00017 ldw r3,0(sp) -8113d9e4: 18800217 ldw r2,8(r3) -8113d9e8: 15c08d16 blt r2,r23,8113dc20 <__gethex+0x43c> -8113d9ec: d8c00017 ldw r3,0(sp) -8113d9f0: 18800117 ldw r2,4(r3) -8113d9f4: b880aa0e bge r23,r2,8113dca0 <__gethex+0x4bc> -8113d9f8: 15efc83a sub r23,r2,r23 -8113d9fc: bc80ed16 blt r23,r18,8113ddb4 <__gethex+0x5d0> -8113da00: 18c00317 ldw r3,12(r3) -8113da04: 01000084 movi r4,2 -8113da08: 19014926 beq r3,r4,8113df30 <__gethex+0x74c> -8113da0c: 010000c4 movi r4,3 -8113da10: 19012e26 beq r3,r4,8113decc <__gethex+0x6e8> -8113da14: 01000044 movi r4,1 -8113da18: 19014826 beq r3,r4,8113df3c <__gethex+0x758> -8113da1c: d9000117 ldw r4,4(sp) -8113da20: 800b883a mov r5,r16 -8113da24: 113724c0 call 8113724c <_Bfree> -8113da28: d8801517 ldw r2,84(sp) -8113da2c: 10000015 stw zero,0(r2) -8113da30: 00801404 movi r2,80 -8113da34: 00002b06 br 8113dae4 <__gethex+0x300> -8113da38: 735f883a add r15,r14,r13 -8113da3c: 7d7fce36 bltu r15,r21,8113d978 <__reset+0xfb11d978> -8113da40: 7809883a mov r4,r15 -8113da44: b00d883a mov r6,r22 -8113da48: 900b883a mov r5,r18 -8113da4c: da400515 stw r9,20(sp) -8113da50: da800a15 stw r10,40(sp) -8113da54: dac00915 stw r11,36(sp) -8113da58: db400815 stw r13,32(sp) -8113da5c: db800615 stw r14,24(sp) -8113da60: dbc00715 stw r15,28(sp) -8113da64: 113e2700 call 8113e270 -8113da68: da400517 ldw r9,20(sp) -8113da6c: da800a17 ldw r10,40(sp) -8113da70: dac00917 ldw r11,36(sp) -8113da74: db400817 ldw r13,32(sp) -8113da78: db800617 ldw r14,24(sp) -8113da7c: dbc00717 ldw r15,28(sp) -8113da80: 103fbd1e bne r2,zero,8113d978 <__reset+0xfb11d978> -8113da84: 7839883a mov fp,r15 -8113da88: af3fb836 bltu r21,fp,8113d96c <__reset+0xfb11d96c> -8113da8c: 003fc506 br 8113d9a4 <__reset+0xfb11d9a4> -8113da90: 04c00104 movi r19,4 -8113da94: 52400015 stw r9,0(r10) -8113da98: 000b883a mov r5,zero -8113da9c: 54d5883a add r10,r10,r19 -8113daa0: 0013883a mov r9,zero -8113daa4: 003fb706 br 8113d984 <__reset+0xfb11d984> -8113daa8: b00d883a mov r6,r22 -8113daac: 900b883a mov r5,r18 -8113dab0: a809883a mov r4,r21 -8113dab4: 113e2700 call 8113e270 -8113dab8: 10006426 beq r2,zero,8113dc4c <__gethex+0x468> -8113dabc: a8800003 ldbu r2,0(r21) -8113dac0: a821883a mov r16,r21 -8113dac4: 10803fcc andi r2,r2,255 -8113dac8: 01001404 movi r4,80 -8113dacc: 11001126 beq r2,r4,8113db14 <__gethex+0x330> -8113dad0: 01001c04 movi r4,112 -8113dad4: 11000f26 beq r2,r4,8113db14 <__gethex+0x330> -8113dad8: 9c000015 stw r16,0(r19) -8113dadc: 8800831e bne r17,zero,8113dcec <__gethex+0x508> -8113dae0: 00800184 movi r2,6 -8113dae4: dfc01417 ldw ra,80(sp) -8113dae8: df001317 ldw fp,76(sp) -8113daec: ddc01217 ldw r23,72(sp) -8113daf0: dd801117 ldw r22,68(sp) -8113daf4: dd401017 ldw r21,64(sp) -8113daf8: dd000f17 ldw r20,60(sp) -8113dafc: dcc00e17 ldw r19,56(sp) -8113db00: dc800d17 ldw r18,52(sp) -8113db04: dc400c17 ldw r17,48(sp) -8113db08: dc000b17 ldw r16,44(sp) -8113db0c: dec01504 addi sp,sp,84 -8113db10: f800283a ret -8113db14: 002f883a mov r23,zero -8113db18: 02800044 movi r10,1 -8113db1c: 80800043 ldbu r2,1(r16) -8113db20: 01400ac4 movi r5,43 -8113db24: 11003fcc andi r4,r2,255 -8113db28: 21406e26 beq r4,r5,8113dce4 <__gethex+0x500> -8113db2c: 01400b44 movi r5,45 -8113db30: 21404226 beq r4,r5,8113dc3c <__gethex+0x458> -8113db34: 81400044 addi r5,r16,1 -8113db38: 000d883a mov r6,zero -8113db3c: 10803fcc andi r2,r2,255 -8113db40: a085883a add r2,r20,r2 -8113db44: 11000003 ldbu r4,0(r2) -8113db48: 03000604 movi r12,24 -8113db4c: 20bfffc4 addi r2,r4,-1 -8113db50: 10803fcc andi r2,r2,255 -8113db54: 60bf6d36 bltu r12,r2,8113d90c <__reset+0xfb11d90c> -8113db58: 2ac00043 ldbu r11,1(r5) -8113db5c: 20803fcc andi r2,r4,255 -8113db60: 01204574 movhi r4,33045 -8113db64: 213f4f04 addi r4,r4,-708 -8113db68: 22c9883a add r4,r4,r11 -8113db6c: 23400003 ldbu r13,0(r4) -8113db70: 10bffc04 addi r2,r2,-16 -8113db74: 29400044 addi r5,r5,1 -8113db78: 693fffc4 addi r4,r13,-1 -8113db7c: 21003fcc andi r4,r4,255 -8113db80: 6b403fcc andi r13,r13,255 -8113db84: 61000b36 bltu r12,r4,8113dbb4 <__gethex+0x3d0> -8113db88: 29400044 addi r5,r5,1 -8113db8c: 29000003 ldbu r4,0(r5) -8113db90: 108002a4 muli r2,r2,10 -8113db94: a109883a add r4,r20,r4 -8113db98: 22c00003 ldbu r11,0(r4) -8113db9c: 1345883a add r2,r2,r13 -8113dba0: 10bffc04 addi r2,r2,-16 -8113dba4: 593fffc4 addi r4,r11,-1 -8113dba8: 21003fcc andi r4,r4,255 -8113dbac: 5b403fcc andi r13,r11,255 -8113dbb0: 613ff52e bgeu r12,r4,8113db88 <__reset+0xfb11db88> -8113dbb4: 30000126 beq r6,zero,8113dbbc <__gethex+0x3d8> -8113dbb8: 0085c83a sub r2,zero,r2 -8113dbbc: 8039883a mov fp,r16 -8113dbc0: b8af883a add r23,r23,r2 -8113dbc4: 2821883a mov r16,r5 -8113dbc8: 003f5106 br 8113d910 <__reset+0xfb11d910> -8113dbcc: 80800217 ldw r2,8(r16) -8113dbd0: e080f00e bge fp,r2,8113df94 <__gethex+0x7b0> -8113dbd4: e007883a mov r3,fp -8113dbd8: 18800144 addi r2,r3,5 -8113dbdc: 1085883a add r2,r2,r2 -8113dbe0: 18c00044 addi r3,r3,1 -8113dbe4: 1085883a add r2,r2,r2 -8113dbe8: 8085883a add r2,r16,r2 -8113dbec: 80c00415 stw r3,16(r16) -8113dbf0: 01000044 movi r4,1 -8113dbf4: 11000015 stw r4,0(r2) -8113dbf8: 00800084 movi r2,2 -8113dbfc: 8880d826 beq r17,r2,8113df60 <__gethex+0x77c> -8113dc00: e0c0ac0e bge fp,r3,8113deb4 <__gethex+0x6d0> -8113dc04: 01400044 movi r5,1 -8113dc08: 8009883a mov r4,r16 -8113dc0c: 113d6e40 call 8113d6e4 -8113dc10: d8c00017 ldw r3,0(sp) -8113dc14: bdc00044 addi r23,r23,1 -8113dc18: 18800217 ldw r2,8(r3) -8113dc1c: 15c0a70e bge r2,r23,8113debc <__gethex+0x6d8> -8113dc20: d9000117 ldw r4,4(sp) -8113dc24: 800b883a mov r5,r16 -8113dc28: 113724c0 call 8113724c <_Bfree> -8113dc2c: d9001517 ldw r4,84(sp) -8113dc30: 008028c4 movi r2,163 -8113dc34: 20000015 stw zero,0(r4) -8113dc38: 003faa06 br 8113dae4 <__reset+0xfb11dae4> -8113dc3c: 01800044 movi r6,1 -8113dc40: 80800083 ldbu r2,2(r16) -8113dc44: 81400084 addi r5,r16,2 -8113dc48: 003fbc06 br 8113db3c <__reset+0xfb11db3c> -8113dc4c: ada1883a add r16,r21,r22 -8113dc50: 81000003 ldbu r4,0(r16) -8113dc54: 21403fcc andi r5,r4,255 -8113dc58: a145883a add r2,r20,r5 -8113dc5c: 10800003 ldbu r2,0(r2) -8113dc60: 11803fcc andi r6,r2,255 -8113dc64: 30004f26 beq r6,zero,8113dda4 <__gethex+0x5c0> -8113dc68: 00c00c04 movi r3,48 -8113dc6c: 802b883a mov r21,r16 -8113dc70: 28c0061e bne r5,r3,8113dc8c <__gethex+0x4a8> -8113dc74: 2809883a mov r4,r5 -8113dc78: ad400044 addi r21,r21,1 -8113dc7c: a8800003 ldbu r2,0(r21) -8113dc80: 113ffd26 beq r2,r4,8113dc78 <__reset+0xfb11dc78> -8113dc84: a085883a add r2,r20,r2 -8113dc88: 10800003 ldbu r2,0(r2) -8113dc8c: 10803fcc andi r2,r2,255 -8113dc90: 1015003a cmpeq r10,r2,zero -8113dc94: 802f883a mov r23,r16 -8113dc98: 04400044 movi r17,1 -8113dc9c: 003eff06 br 8113d89c <__reset+0xfb11d89c> -8113dca0: 04400044 movi r17,1 -8113dca4: 98000926 beq r19,zero,8113dccc <__gethex+0x4e8> -8113dca8: d8c00017 ldw r3,0(sp) -8113dcac: 18800317 ldw r2,12(r3) -8113dcb0: 00c00084 movi r3,2 -8113dcb4: 10c06826 beq r2,r3,8113de58 <__gethex+0x674> -8113dcb8: 00c000c4 movi r3,3 -8113dcbc: 10c06a26 beq r2,r3,8113de68 <__gethex+0x684> -8113dcc0: 00c00044 movi r3,1 -8113dcc4: 10c08d26 beq r2,r3,8113defc <__gethex+0x718> -8113dcc8: 8c400414 ori r17,r17,16 -8113dccc: d9001517 ldw r4,84(sp) -8113dcd0: d8c00417 ldw r3,16(sp) -8113dcd4: 8805883a mov r2,r17 -8113dcd8: 24000015 stw r16,0(r4) -8113dcdc: 1dc00015 stw r23,0(r3) -8113dce0: 003f8006 br 8113dae4 <__reset+0xfb11dae4> -8113dce4: 000d883a mov r6,zero -8113dce8: 003fd506 br 8113dc40 <__reset+0xfb11dc40> -8113dcec: 0005883a mov r2,zero -8113dcf0: 003f7c06 br 8113dae4 <__reset+0xfb11dae4> -8113dcf4: 14a3c83a sub r17,r2,r18 -8113dcf8: 880b883a mov r5,r17 -8113dcfc: 8009883a mov r4,r16 -8113dd00: 11381140 call 81138114 <__any_on> -8113dd04: 10002926 beq r2,zero,8113ddac <__gethex+0x5c8> -8113dd08: 897fffc4 addi r5,r17,-1 -8113dd0c: 2807d17a srai r3,r5,5 -8113dd10: d8800217 ldw r2,8(sp) -8113dd14: 290007cc andi r4,r5,31 -8113dd18: 18c7883a add r3,r3,r3 -8113dd1c: 18c7883a add r3,r3,r3 -8113dd20: 04c00044 movi r19,1 -8113dd24: 10c7883a add r3,r2,r3 -8113dd28: 9908983a sll r4,r19,r4 -8113dd2c: 18c00017 ldw r3,0(r3) -8113dd30: 20c6703a and r3,r4,r3 -8113dd34: 18000626 beq r3,zero,8113dd50 <__gethex+0x56c> -8113dd38: 99407b0e bge r19,r5,8113df28 <__gethex+0x744> -8113dd3c: 897fff84 addi r5,r17,-2 -8113dd40: 8009883a mov r4,r16 -8113dd44: 11381140 call 81138114 <__any_on> -8113dd48: 10007726 beq r2,zero,8113df28 <__gethex+0x744> -8113dd4c: 04c000c4 movi r19,3 -8113dd50: 880b883a mov r5,r17 -8113dd54: 8009883a mov r4,r16 -8113dd58: 113d6e40 call 8113d6e4 -8113dd5c: bc6f883a add r23,r23,r17 -8113dd60: 003f1f06 br 8113d9e0 <__reset+0xfb11d9e0> -8113dd64: 002f883a mov r23,zero -8113dd68: 003ee306 br 8113d8f8 <__reset+0xfb11d8f8> -8113dd6c: d9000117 ldw r4,4(sp) -8113dd70: 90a3c83a sub r17,r18,r2 -8113dd74: 800b883a mov r5,r16 -8113dd78: 880d883a mov r6,r17 -8113dd7c: 11379240 call 81137924 <__lshift> -8113dd80: 10c00504 addi r3,r2,20 -8113dd84: 1021883a mov r16,r2 -8113dd88: bc6fc83a sub r23,r23,r17 -8113dd8c: d8c00215 stw r3,8(sp) -8113dd90: 0027883a mov r19,zero -8113dd94: 003f1206 br 8113d9e0 <__reset+0xfb11d9e0> -8113dd98: 1d400084 addi r21,r3,2 -8113dd9c: 0023883a mov r17,zero -8113dda0: 003eb406 br 8113d874 <__reset+0xfb11d874> -8113dda4: 2005883a mov r2,r4 -8113dda8: 003f4606 br 8113dac4 <__reset+0xfb11dac4> -8113ddac: 0027883a mov r19,zero -8113ddb0: 003fe706 br 8113dd50 <__reset+0xfb11dd50> -8113ddb4: bc7fffc4 addi r17,r23,-1 -8113ddb8: 9800421e bne r19,zero,8113dec4 <__gethex+0x6e0> -8113ddbc: 88000426 beq r17,zero,8113ddd0 <__gethex+0x5ec> -8113ddc0: 880b883a mov r5,r17 -8113ddc4: 8009883a mov r4,r16 -8113ddc8: 11381140 call 81138114 <__any_on> -8113ddcc: 1027883a mov r19,r2 -8113ddd0: 8805d17a srai r2,r17,5 -8113ddd4: d8c00217 ldw r3,8(sp) -8113ddd8: 8c4007cc andi r17,r17,31 -8113dddc: 1085883a add r2,r2,r2 -8113dde0: 1085883a add r2,r2,r2 -8113dde4: 1885883a add r2,r3,r2 -8113dde8: 00c00044 movi r3,1 -8113ddec: 1c62983a sll r17,r3,r17 -8113ddf0: 10800017 ldw r2,0(r2) -8113ddf4: 8884703a and r2,r17,r2 -8113ddf8: 10000126 beq r2,zero,8113de00 <__gethex+0x61c> -8113ddfc: 9cc00094 ori r19,r19,2 -8113de00: b80b883a mov r5,r23 +8113d63c: 10803fcc andi r2,r2,255 +8113d640: 103fd626 beq r2,zero,8113d59c <__reset+0xfb11d59c> +8113d644: 00bfffc4 movi r2,-1 +8113d648: 003fee06 br 8113d604 <__reset+0xfb11d604> +8113d64c: 90ffffc4 addi r3,r18,-1 +8113d650: 01003f84 movi r4,254 +8113d654: 20ffbf36 bltu r4,r3,8113d554 <__reset+0xfb11d554> +8113d658: 900b883a mov r5,r18 +8113d65c: dc800005 stb r18,0(sp) +8113d660: 1029883a mov r20,r2 +8113d664: 003fc506 br 8113d57c <__reset+0xfb11d57c> +8113d668: 800d883a mov r6,r16 +8113d66c: a80b883a mov r5,r21 +8113d670: 9809883a mov r4,r19 +8113d674: 1133c0c0 call 81133c0c <__swbuf_r> +8113d678: 10bfffe0 cmpeqi r2,r2,-1 +8113d67c: 003fef06 br 8113d63c <__reset+0xfb11d63c> + +8113d680 <_fputwc_r>: +8113d680: 3080030b ldhu r2,12(r6) +8113d684: 10c8000c andi r3,r2,8192 +8113d688: 1800051e bne r3,zero,8113d6a0 <_fputwc_r+0x20> +8113d68c: 30c01917 ldw r3,100(r6) +8113d690: 10880014 ori r2,r2,8192 +8113d694: 3080030d sth r2,12(r6) +8113d698: 18880014 ori r2,r3,8192 +8113d69c: 30801915 stw r2,100(r6) +8113d6a0: 113d51c1 jmpi 8113d51c <__fputwc> + +8113d6a4 : +8113d6a4: 00a04574 movhi r2,33045 +8113d6a8: defffc04 addi sp,sp,-16 +8113d6ac: 1086f304 addi r2,r2,7116 +8113d6b0: dc000115 stw r16,4(sp) +8113d6b4: 14000017 ldw r16,0(r2) +8113d6b8: dc400215 stw r17,8(sp) +8113d6bc: dfc00315 stw ra,12(sp) +8113d6c0: 2023883a mov r17,r4 +8113d6c4: 80000226 beq r16,zero,8113d6d0 +8113d6c8: 80800e17 ldw r2,56(r16) +8113d6cc: 10001026 beq r2,zero,8113d710 +8113d6d0: 2880030b ldhu r2,12(r5) +8113d6d4: 10c8000c andi r3,r2,8192 +8113d6d8: 1800051e bne r3,zero,8113d6f0 +8113d6dc: 28c01917 ldw r3,100(r5) +8113d6e0: 10880014 ori r2,r2,8192 +8113d6e4: 2880030d sth r2,12(r5) +8113d6e8: 18880014 ori r2,r3,8192 +8113d6ec: 28801915 stw r2,100(r5) +8113d6f0: 280d883a mov r6,r5 +8113d6f4: 8009883a mov r4,r16 +8113d6f8: 880b883a mov r5,r17 +8113d6fc: dfc00317 ldw ra,12(sp) +8113d700: dc400217 ldw r17,8(sp) +8113d704: dc000117 ldw r16,4(sp) +8113d708: dec00404 addi sp,sp,16 +8113d70c: 113d51c1 jmpi 8113d51c <__fputwc> +8113d710: 8009883a mov r4,r16 +8113d714: d9400015 stw r5,0(sp) +8113d718: 1135dec0 call 81135dec <__sinit> +8113d71c: d9400017 ldw r5,0(sp) +8113d720: 003feb06 br 8113d6d0 <__reset+0xfb11d6d0> + +8113d724 : +8113d724: 2807d17a srai r3,r5,5 +8113d728: 20800417 ldw r2,16(r4) +8113d72c: 22000504 addi r8,r4,20 +8113d730: 1880250e bge r3,r2,8113d7c8 +8113d734: 1085883a add r2,r2,r2 +8113d738: 18c7883a add r3,r3,r3 +8113d73c: 1085883a add r2,r2,r2 +8113d740: 18c7883a add r3,r3,r3 +8113d744: 294007cc andi r5,r5,31 +8113d748: 4085883a add r2,r8,r2 +8113d74c: 40c7883a add r3,r8,r3 +8113d750: 28002026 beq r5,zero,8113d7d4 +8113d754: 19800017 ldw r6,0(r3) +8113d758: 02c00804 movi r11,32 +8113d75c: 19c00104 addi r7,r3,4 +8113d760: 5957c83a sub r11,r11,r5 +8113d764: 314cd83a srl r6,r6,r5 +8113d768: 38802c2e bgeu r7,r2,8113d81c +8113d76c: 4015883a mov r10,r8 +8113d770: 3a400017 ldw r9,0(r7) +8113d774: 52800104 addi r10,r10,4 +8113d778: 39c00104 addi r7,r7,4 +8113d77c: 4ad2983a sll r9,r9,r11 +8113d780: 498cb03a or r6,r9,r6 +8113d784: 51bfff15 stw r6,-4(r10) +8113d788: 39bfff17 ldw r6,-4(r7) +8113d78c: 314cd83a srl r6,r6,r5 +8113d790: 38bff736 bltu r7,r2,8113d770 <__reset+0xfb11d770> +8113d794: 10c7c83a sub r3,r2,r3 +8113d798: 18fffec4 addi r3,r3,-5 +8113d79c: 1806d0ba srli r3,r3,2 +8113d7a0: 18c00044 addi r3,r3,1 +8113d7a4: 18c7883a add r3,r3,r3 +8113d7a8: 18c7883a add r3,r3,r3 +8113d7ac: 40c7883a add r3,r8,r3 +8113d7b0: 19800015 stw r6,0(r3) +8113d7b4: 30000126 beq r6,zero,8113d7bc +8113d7b8: 18c00104 addi r3,r3,4 +8113d7bc: 1a05c83a sub r2,r3,r8 +8113d7c0: 1005d0ba srai r2,r2,2 +8113d7c4: 00001206 br 8113d810 +8113d7c8: 20000415 stw zero,16(r4) +8113d7cc: 20000515 stw zero,20(r4) +8113d7d0: f800283a ret +8113d7d4: 18bffc2e bgeu r3,r2,8113d7c8 <__reset+0xfb11d7c8> +8113d7d8: 180d883a mov r6,r3 +8113d7dc: 400b883a mov r5,r8 +8113d7e0: 31c00017 ldw r7,0(r6) +8113d7e4: 29400104 addi r5,r5,4 +8113d7e8: 31800104 addi r6,r6,4 +8113d7ec: 29ffff15 stw r7,-4(r5) +8113d7f0: 30bffb36 bltu r6,r2,8113d7e0 <__reset+0xfb11d7e0> +8113d7f4: 00c6303a nor r3,zero,r3 +8113d7f8: 1885883a add r2,r3,r2 +8113d7fc: 1004d0ba srli r2,r2,2 +8113d800: 10800044 addi r2,r2,1 +8113d804: 1085883a add r2,r2,r2 +8113d808: 1085883a add r2,r2,r2 +8113d80c: 1005d0ba srai r2,r2,2 +8113d810: 20800415 stw r2,16(r4) +8113d814: 103fed26 beq r2,zero,8113d7cc <__reset+0xfb11d7cc> +8113d818: f800283a ret +8113d81c: 4007883a mov r3,r8 +8113d820: 003fe306 br 8113d7b0 <__reset+0xfb11d7b0> + +8113d824 <__gethex>: +8113d824: deffeb04 addi sp,sp,-84 +8113d828: dfc01415 stw ra,80(sp) +8113d82c: dd801115 stw r22,68(sp) +8113d830: dcc00e15 stw r19,56(sp) +8113d834: dc800d15 stw r18,52(sp) +8113d838: 2827883a mov r19,r5 +8113d83c: d9000115 stw r4,4(sp) +8113d840: d9800015 stw r6,0(sp) +8113d844: d9c00415 stw r7,16(sp) +8113d848: df001315 stw fp,76(sp) +8113d84c: ddc01215 stw r23,72(sp) +8113d850: dd401015 stw r21,64(sp) +8113d854: dd000f15 stw r20,60(sp) +8113d858: dc400c15 stw r17,48(sp) +8113d85c: dc000b15 stw r16,44(sp) +8113d860: 1136cf00 call 81136cf0 <_localeconv_r> +8113d864: 14800017 ldw r18,0(r2) +8113d868: 9009883a mov r4,r18 +8113d86c: 112d8ac0 call 8112d8ac +8113d870: 98c00017 ldw r3,0(r19) +8113d874: 102d883a mov r22,r2 +8113d878: 9085883a add r2,r18,r2 +8113d87c: 10bfffc3 ldbu r2,-1(r2) +8113d880: 19000083 ldbu r4,2(r3) +8113d884: d8800305 stb r2,12(sp) +8113d888: 00800c04 movi r2,48 +8113d88c: 2081521e bne r4,r2,8113ddd8 <__gethex+0x5b4> +8113d890: 017fff84 movi r5,-2 +8113d894: 188000c4 addi r2,r3,3 +8113d898: 28cbc83a sub r5,r5,r3 +8113d89c: 200d883a mov r6,r4 +8113d8a0: 28a3883a add r17,r5,r2 +8113d8a4: 102b883a mov r21,r2 +8113d8a8: 10800044 addi r2,r2,1 +8113d8ac: 113fffc3 ldbu r4,-1(r2) +8113d8b0: 21bffb26 beq r4,r6,8113d8a0 <__reset+0xfb11d8a0> +8113d8b4: 05204574 movhi r20,33045 +8113d8b8: a53f6004 addi r20,r20,-640 +8113d8bc: a109883a add r4,r20,r4 +8113d8c0: 20800003 ldbu r2,0(r4) +8113d8c4: 10008826 beq r2,zero,8113dae8 <__gethex+0x2c4> +8113d8c8: a8800003 ldbu r2,0(r21) +8113d8cc: 0015883a mov r10,zero +8113d8d0: 002f883a mov r23,zero +8113d8d4: a085883a add r2,r20,r2 +8113d8d8: 10800003 ldbu r2,0(r2) +8113d8dc: a821883a mov r16,r21 +8113d8e0: 10000526 beq r2,zero,8113d8f8 <__gethex+0xd4> +8113d8e4: 84000044 addi r16,r16,1 +8113d8e8: 80800003 ldbu r2,0(r16) +8113d8ec: a085883a add r2,r20,r2 +8113d8f0: 10800003 ldbu r2,0(r2) +8113d8f4: 103ffb1e bne r2,zero,8113d8e4 <__reset+0xfb11d8e4> +8113d8f8: b00d883a mov r6,r22 +8113d8fc: 900b883a mov r5,r18 +8113d900: 8009883a mov r4,r16 +8113d904: da800a15 stw r10,40(sp) +8113d908: 113e2b00 call 8113e2b0 +8113d90c: da800a17 ldw r10,40(sp) +8113d910: 1000031e bne r2,zero,8113d920 <__gethex+0xfc> +8113d914: b8015226 beq r23,zero,8113de60 <__gethex+0x63c> +8113d918: 80800003 ldbu r2,0(r16) +8113d91c: 00000206 br 8113d928 <__gethex+0x104> +8113d920: 80800003 ldbu r2,0(r16) +8113d924: b8011f26 beq r23,zero,8113dda4 <__gethex+0x580> +8113d928: 85efc83a sub r23,r16,r23 +8113d92c: bdef883a add r23,r23,r23 +8113d930: bdef883a add r23,r23,r23 +8113d934: 05efc83a sub r23,zero,r23 +8113d938: 10803fcc andi r2,r2,255 +8113d93c: 01001404 movi r4,80 +8113d940: 11008626 beq r2,r4,8113db5c <__gethex+0x338> +8113d944: 01001c04 movi r4,112 +8113d948: 11008426 beq r2,r4,8113db5c <__gethex+0x338> +8113d94c: 8039883a mov fp,r16 +8113d950: 9c000015 stw r16,0(r19) +8113d954: 5000711e bne r10,zero,8113db1c <__gethex+0x2f8> +8113d958: e545c83a sub r2,fp,r21 +8113d95c: 10bfffc4 addi r2,r2,-1 +8113d960: 010001c4 movi r4,7 +8113d964: 000b883a mov r5,zero +8113d968: 2080030e bge r4,r2,8113d978 <__gethex+0x154> +8113d96c: 1005d07a srai r2,r2,1 +8113d970: 29400044 addi r5,r5,1 +8113d974: 20bffd16 blt r4,r2,8113d96c <__reset+0xfb11d96c> +8113d978: d9000117 ldw r4,4(sp) +8113d97c: 11371e40 call 811371e4 <_Balloc> +8113d980: 10c00504 addi r3,r2,20 +8113d984: d8c00215 stw r3,8(sp) +8113d988: 1021883a mov r16,r2 +8113d98c: af01732e bgeu r21,fp,8113df5c <__gethex+0x738> +8113d990: dc400303 ldbu r17,12(sp) +8113d994: 03400044 movi r13,1 +8113d998: 1815883a mov r10,r3 +8113d99c: 0013883a mov r9,zero +8113d9a0: 0027883a mov r19,zero +8113d9a4: 6d9bc83a sub r13,r13,r22 +8113d9a8: 02c00804 movi r11,32 +8113d9ac: e0bfffc3 ldbu r2,-1(fp) +8113d9b0: e3bfffc4 addi r14,fp,-1 +8113d9b4: 88803026 beq r17,r2,8113da78 <__gethex+0x254> +8113d9b8: 9ac04526 beq r19,r11,8113dad0 <__gethex+0x2ac> +8113d9bc: 980b883a mov r5,r19 +8113d9c0: 9cc00104 addi r19,r19,4 +8113d9c4: e13fffc3 ldbu r4,-1(fp) +8113d9c8: 7039883a mov fp,r14 +8113d9cc: a109883a add r4,r20,r4 +8113d9d0: 20800003 ldbu r2,0(r4) +8113d9d4: 108003cc andi r2,r2,15 +8113d9d8: 1144983a sll r2,r2,r5 +8113d9dc: 4892b03a or r9,r9,r2 +8113d9e0: af3ff236 bltu r21,fp,8113d9ac <__reset+0xfb11d9ac> +8113d9e4: d8c00217 ldw r3,8(sp) +8113d9e8: 50800104 addi r2,r10,4 +8113d9ec: 52400015 stw r9,0(r10) +8113d9f0: 10c5c83a sub r2,r2,r3 +8113d9f4: 1005d0ba srai r2,r2,2 +8113d9f8: 4809883a mov r4,r9 +8113d9fc: 80800415 stw r2,16(r16) +8113da00: 1022917a slli r17,r2,5 +8113da04: 11374d80 call 811374d8 <__hi0bits> +8113da08: d8c00017 ldw r3,0(sp) +8113da0c: 8885c83a sub r2,r17,r2 +8113da10: 1c800017 ldw r18,0(r3) +8113da14: 9080c716 blt r18,r2,8113dd34 <__gethex+0x510> +8113da18: 1480e416 blt r2,r18,8113ddac <__gethex+0x588> +8113da1c: 0027883a mov r19,zero +8113da20: d8c00017 ldw r3,0(sp) +8113da24: 18800217 ldw r2,8(r3) +8113da28: 15c08d16 blt r2,r23,8113dc60 <__gethex+0x43c> +8113da2c: d8c00017 ldw r3,0(sp) +8113da30: 18800117 ldw r2,4(r3) +8113da34: b880aa0e bge r23,r2,8113dce0 <__gethex+0x4bc> +8113da38: 15efc83a sub r23,r2,r23 +8113da3c: bc80ed16 blt r23,r18,8113ddf4 <__gethex+0x5d0> +8113da40: 18c00317 ldw r3,12(r3) +8113da44: 01000084 movi r4,2 +8113da48: 19014926 beq r3,r4,8113df70 <__gethex+0x74c> +8113da4c: 010000c4 movi r4,3 +8113da50: 19012e26 beq r3,r4,8113df0c <__gethex+0x6e8> +8113da54: 01000044 movi r4,1 +8113da58: 19014826 beq r3,r4,8113df7c <__gethex+0x758> +8113da5c: d9000117 ldw r4,4(sp) +8113da60: 800b883a mov r5,r16 +8113da64: 113728c0 call 8113728c <_Bfree> +8113da68: d8801517 ldw r2,84(sp) +8113da6c: 10000015 stw zero,0(r2) +8113da70: 00801404 movi r2,80 +8113da74: 00002b06 br 8113db24 <__gethex+0x300> +8113da78: 735f883a add r15,r14,r13 +8113da7c: 7d7fce36 bltu r15,r21,8113d9b8 <__reset+0xfb11d9b8> +8113da80: 7809883a mov r4,r15 +8113da84: b00d883a mov r6,r22 +8113da88: 900b883a mov r5,r18 +8113da8c: da400515 stw r9,20(sp) +8113da90: da800a15 stw r10,40(sp) +8113da94: dac00915 stw r11,36(sp) +8113da98: db400815 stw r13,32(sp) +8113da9c: db800615 stw r14,24(sp) +8113daa0: dbc00715 stw r15,28(sp) +8113daa4: 113e2b00 call 8113e2b0 +8113daa8: da400517 ldw r9,20(sp) +8113daac: da800a17 ldw r10,40(sp) +8113dab0: dac00917 ldw r11,36(sp) +8113dab4: db400817 ldw r13,32(sp) +8113dab8: db800617 ldw r14,24(sp) +8113dabc: dbc00717 ldw r15,28(sp) +8113dac0: 103fbd1e bne r2,zero,8113d9b8 <__reset+0xfb11d9b8> +8113dac4: 7839883a mov fp,r15 +8113dac8: af3fb836 bltu r21,fp,8113d9ac <__reset+0xfb11d9ac> +8113dacc: 003fc506 br 8113d9e4 <__reset+0xfb11d9e4> +8113dad0: 04c00104 movi r19,4 +8113dad4: 52400015 stw r9,0(r10) +8113dad8: 000b883a mov r5,zero +8113dadc: 54d5883a add r10,r10,r19 +8113dae0: 0013883a mov r9,zero +8113dae4: 003fb706 br 8113d9c4 <__reset+0xfb11d9c4> +8113dae8: b00d883a mov r6,r22 +8113daec: 900b883a mov r5,r18 +8113daf0: a809883a mov r4,r21 +8113daf4: 113e2b00 call 8113e2b0 +8113daf8: 10006426 beq r2,zero,8113dc8c <__gethex+0x468> +8113dafc: a8800003 ldbu r2,0(r21) +8113db00: a821883a mov r16,r21 +8113db04: 10803fcc andi r2,r2,255 +8113db08: 01001404 movi r4,80 +8113db0c: 11001126 beq r2,r4,8113db54 <__gethex+0x330> +8113db10: 01001c04 movi r4,112 +8113db14: 11000f26 beq r2,r4,8113db54 <__gethex+0x330> +8113db18: 9c000015 stw r16,0(r19) +8113db1c: 8800831e bne r17,zero,8113dd2c <__gethex+0x508> +8113db20: 00800184 movi r2,6 +8113db24: dfc01417 ldw ra,80(sp) +8113db28: df001317 ldw fp,76(sp) +8113db2c: ddc01217 ldw r23,72(sp) +8113db30: dd801117 ldw r22,68(sp) +8113db34: dd401017 ldw r21,64(sp) +8113db38: dd000f17 ldw r20,60(sp) +8113db3c: dcc00e17 ldw r19,56(sp) +8113db40: dc800d17 ldw r18,52(sp) +8113db44: dc400c17 ldw r17,48(sp) +8113db48: dc000b17 ldw r16,44(sp) +8113db4c: dec01504 addi sp,sp,84 +8113db50: f800283a ret +8113db54: 002f883a mov r23,zero +8113db58: 02800044 movi r10,1 +8113db5c: 80800043 ldbu r2,1(r16) +8113db60: 01400ac4 movi r5,43 +8113db64: 11003fcc andi r4,r2,255 +8113db68: 21406e26 beq r4,r5,8113dd24 <__gethex+0x500> +8113db6c: 01400b44 movi r5,45 +8113db70: 21404226 beq r4,r5,8113dc7c <__gethex+0x458> +8113db74: 81400044 addi r5,r16,1 +8113db78: 000d883a mov r6,zero +8113db7c: 10803fcc andi r2,r2,255 +8113db80: a085883a add r2,r20,r2 +8113db84: 11000003 ldbu r4,0(r2) +8113db88: 03000604 movi r12,24 +8113db8c: 20bfffc4 addi r2,r4,-1 +8113db90: 10803fcc andi r2,r2,255 +8113db94: 60bf6d36 bltu r12,r2,8113d94c <__reset+0xfb11d94c> +8113db98: 2ac00043 ldbu r11,1(r5) +8113db9c: 20803fcc andi r2,r4,255 +8113dba0: 01204574 movhi r4,33045 +8113dba4: 213f6004 addi r4,r4,-640 +8113dba8: 22c9883a add r4,r4,r11 +8113dbac: 23400003 ldbu r13,0(r4) +8113dbb0: 10bffc04 addi r2,r2,-16 +8113dbb4: 29400044 addi r5,r5,1 +8113dbb8: 693fffc4 addi r4,r13,-1 +8113dbbc: 21003fcc andi r4,r4,255 +8113dbc0: 6b403fcc andi r13,r13,255 +8113dbc4: 61000b36 bltu r12,r4,8113dbf4 <__gethex+0x3d0> +8113dbc8: 29400044 addi r5,r5,1 +8113dbcc: 29000003 ldbu r4,0(r5) +8113dbd0: 108002a4 muli r2,r2,10 +8113dbd4: a109883a add r4,r20,r4 +8113dbd8: 22c00003 ldbu r11,0(r4) +8113dbdc: 1345883a add r2,r2,r13 +8113dbe0: 10bffc04 addi r2,r2,-16 +8113dbe4: 593fffc4 addi r4,r11,-1 +8113dbe8: 21003fcc andi r4,r4,255 +8113dbec: 5b403fcc andi r13,r11,255 +8113dbf0: 613ff52e bgeu r12,r4,8113dbc8 <__reset+0xfb11dbc8> +8113dbf4: 30000126 beq r6,zero,8113dbfc <__gethex+0x3d8> +8113dbf8: 0085c83a sub r2,zero,r2 +8113dbfc: 8039883a mov fp,r16 +8113dc00: b8af883a add r23,r23,r2 +8113dc04: 2821883a mov r16,r5 +8113dc08: 003f5106 br 8113d950 <__reset+0xfb11d950> +8113dc0c: 80800217 ldw r2,8(r16) +8113dc10: e080f00e bge fp,r2,8113dfd4 <__gethex+0x7b0> +8113dc14: e007883a mov r3,fp +8113dc18: 18800144 addi r2,r3,5 +8113dc1c: 1085883a add r2,r2,r2 +8113dc20: 18c00044 addi r3,r3,1 +8113dc24: 1085883a add r2,r2,r2 +8113dc28: 8085883a add r2,r16,r2 +8113dc2c: 80c00415 stw r3,16(r16) +8113dc30: 01000044 movi r4,1 +8113dc34: 11000015 stw r4,0(r2) +8113dc38: 00800084 movi r2,2 +8113dc3c: 8880d826 beq r17,r2,8113dfa0 <__gethex+0x77c> +8113dc40: e0c0ac0e bge fp,r3,8113def4 <__gethex+0x6d0> +8113dc44: 01400044 movi r5,1 +8113dc48: 8009883a mov r4,r16 +8113dc4c: 113d7240 call 8113d724 +8113dc50: d8c00017 ldw r3,0(sp) +8113dc54: bdc00044 addi r23,r23,1 +8113dc58: 18800217 ldw r2,8(r3) +8113dc5c: 15c0a70e bge r2,r23,8113defc <__gethex+0x6d8> +8113dc60: d9000117 ldw r4,4(sp) +8113dc64: 800b883a mov r5,r16 +8113dc68: 113728c0 call 8113728c <_Bfree> +8113dc6c: d9001517 ldw r4,84(sp) +8113dc70: 008028c4 movi r2,163 +8113dc74: 20000015 stw zero,0(r4) +8113dc78: 003faa06 br 8113db24 <__reset+0xfb11db24> +8113dc7c: 01800044 movi r6,1 +8113dc80: 80800083 ldbu r2,2(r16) +8113dc84: 81400084 addi r5,r16,2 +8113dc88: 003fbc06 br 8113db7c <__reset+0xfb11db7c> +8113dc8c: ada1883a add r16,r21,r22 +8113dc90: 81000003 ldbu r4,0(r16) +8113dc94: 21403fcc andi r5,r4,255 +8113dc98: a145883a add r2,r20,r5 +8113dc9c: 10800003 ldbu r2,0(r2) +8113dca0: 11803fcc andi r6,r2,255 +8113dca4: 30004f26 beq r6,zero,8113dde4 <__gethex+0x5c0> +8113dca8: 00c00c04 movi r3,48 +8113dcac: 802b883a mov r21,r16 +8113dcb0: 28c0061e bne r5,r3,8113dccc <__gethex+0x4a8> +8113dcb4: 2809883a mov r4,r5 +8113dcb8: ad400044 addi r21,r21,1 +8113dcbc: a8800003 ldbu r2,0(r21) +8113dcc0: 113ffd26 beq r2,r4,8113dcb8 <__reset+0xfb11dcb8> +8113dcc4: a085883a add r2,r20,r2 +8113dcc8: 10800003 ldbu r2,0(r2) +8113dccc: 10803fcc andi r2,r2,255 +8113dcd0: 1015003a cmpeq r10,r2,zero +8113dcd4: 802f883a mov r23,r16 +8113dcd8: 04400044 movi r17,1 +8113dcdc: 003eff06 br 8113d8dc <__reset+0xfb11d8dc> +8113dce0: 04400044 movi r17,1 +8113dce4: 98000926 beq r19,zero,8113dd0c <__gethex+0x4e8> +8113dce8: d8c00017 ldw r3,0(sp) +8113dcec: 18800317 ldw r2,12(r3) +8113dcf0: 00c00084 movi r3,2 +8113dcf4: 10c06826 beq r2,r3,8113de98 <__gethex+0x674> +8113dcf8: 00c000c4 movi r3,3 +8113dcfc: 10c06a26 beq r2,r3,8113dea8 <__gethex+0x684> +8113dd00: 00c00044 movi r3,1 +8113dd04: 10c08d26 beq r2,r3,8113df3c <__gethex+0x718> +8113dd08: 8c400414 ori r17,r17,16 +8113dd0c: d9001517 ldw r4,84(sp) +8113dd10: d8c00417 ldw r3,16(sp) +8113dd14: 8805883a mov r2,r17 +8113dd18: 24000015 stw r16,0(r4) +8113dd1c: 1dc00015 stw r23,0(r3) +8113dd20: 003f8006 br 8113db24 <__reset+0xfb11db24> +8113dd24: 000d883a mov r6,zero +8113dd28: 003fd506 br 8113dc80 <__reset+0xfb11dc80> +8113dd2c: 0005883a mov r2,zero +8113dd30: 003f7c06 br 8113db24 <__reset+0xfb11db24> +8113dd34: 14a3c83a sub r17,r2,r18 +8113dd38: 880b883a mov r5,r17 +8113dd3c: 8009883a mov r4,r16 +8113dd40: 11381540 call 81138154 <__any_on> +8113dd44: 10002926 beq r2,zero,8113ddec <__gethex+0x5c8> +8113dd48: 897fffc4 addi r5,r17,-1 +8113dd4c: 2807d17a srai r3,r5,5 +8113dd50: d8800217 ldw r2,8(sp) +8113dd54: 290007cc andi r4,r5,31 +8113dd58: 18c7883a add r3,r3,r3 +8113dd5c: 18c7883a add r3,r3,r3 +8113dd60: 04c00044 movi r19,1 +8113dd64: 10c7883a add r3,r2,r3 +8113dd68: 9908983a sll r4,r19,r4 +8113dd6c: 18c00017 ldw r3,0(r3) +8113dd70: 20c6703a and r3,r4,r3 +8113dd74: 18000626 beq r3,zero,8113dd90 <__gethex+0x56c> +8113dd78: 99407b0e bge r19,r5,8113df68 <__gethex+0x744> +8113dd7c: 897fff84 addi r5,r17,-2 +8113dd80: 8009883a mov r4,r16 +8113dd84: 11381540 call 81138154 <__any_on> +8113dd88: 10007726 beq r2,zero,8113df68 <__gethex+0x744> +8113dd8c: 04c000c4 movi r19,3 +8113dd90: 880b883a mov r5,r17 +8113dd94: 8009883a mov r4,r16 +8113dd98: 113d7240 call 8113d724 +8113dd9c: bc6f883a add r23,r23,r17 +8113dda0: 003f1f06 br 8113da20 <__reset+0xfb11da20> +8113dda4: 002f883a mov r23,zero +8113dda8: 003ee306 br 8113d938 <__reset+0xfb11d938> +8113ddac: d9000117 ldw r4,4(sp) +8113ddb0: 90a3c83a sub r17,r18,r2 +8113ddb4: 800b883a mov r5,r16 +8113ddb8: 880d883a mov r6,r17 +8113ddbc: 11379640 call 81137964 <__lshift> +8113ddc0: 10c00504 addi r3,r2,20 +8113ddc4: 1021883a mov r16,r2 +8113ddc8: bc6fc83a sub r23,r23,r17 +8113ddcc: d8c00215 stw r3,8(sp) +8113ddd0: 0027883a mov r19,zero +8113ddd4: 003f1206 br 8113da20 <__reset+0xfb11da20> +8113ddd8: 1d400084 addi r21,r3,2 +8113dddc: 0023883a mov r17,zero +8113dde0: 003eb406 br 8113d8b4 <__reset+0xfb11d8b4> +8113dde4: 2005883a mov r2,r4 +8113dde8: 003f4606 br 8113db04 <__reset+0xfb11db04> +8113ddec: 0027883a mov r19,zero +8113ddf0: 003fe706 br 8113dd90 <__reset+0xfb11dd90> +8113ddf4: bc7fffc4 addi r17,r23,-1 +8113ddf8: 9800421e bne r19,zero,8113df04 <__gethex+0x6e0> +8113ddfc: 88000426 beq r17,zero,8113de10 <__gethex+0x5ec> +8113de00: 880b883a mov r5,r17 8113de04: 8009883a mov r4,r16 -8113de08: 113d6e40 call 8113d6e4 -8113de0c: d8c00017 ldw r3,0(sp) -8113de10: 95e5c83a sub r18,r18,r23 -8113de14: 04400084 movi r17,2 -8113de18: 1dc00117 ldw r23,4(r3) -8113de1c: 003fa106 br 8113dca4 <__reset+0xfb11dca4> -8113de20: 85af883a add r23,r16,r22 -8113de24: b8800003 ldbu r2,0(r23) -8113de28: b821883a mov r16,r23 -8113de2c: 11003fcc andi r4,r2,255 -8113de30: a109883a add r4,r20,r4 -8113de34: 21000003 ldbu r4,0(r4) -8113de38: 203eaa26 beq r4,zero,8113d8e4 <__reset+0xfb11d8e4> -8113de3c: 84000044 addi r16,r16,1 -8113de40: 80800003 ldbu r2,0(r16) -8113de44: 11003fcc andi r4,r2,255 -8113de48: a109883a add r4,r20,r4 -8113de4c: 21000003 ldbu r4,0(r4) -8113de50: 203ffa1e bne r4,zero,8113de3c <__reset+0xfb11de3c> -8113de54: 003ea306 br 8113d8e4 <__reset+0xfb11d8e4> -8113de58: d9001617 ldw r4,88(sp) -8113de5c: 00800044 movi r2,1 -8113de60: 1109c83a sub r4,r2,r4 -8113de64: d9001615 stw r4,88(sp) -8113de68: d8801617 ldw r2,88(sp) -8113de6c: 103f9626 beq r2,zero,8113dcc8 <__reset+0xfb11dcc8> -8113de70: 87000417 ldw fp,16(r16) -8113de74: d9800217 ldw r6,8(sp) -8113de78: 013fffc4 movi r4,-1 -8113de7c: e727883a add r19,fp,fp -8113de80: 9ce7883a add r19,r19,r19 -8113de84: 3005883a mov r2,r6 -8113de88: 34cb883a add r5,r6,r19 -8113de8c: 00000306 br 8113de9c <__gethex+0x6b8> -8113de90: 10000015 stw zero,0(r2) -8113de94: 10800104 addi r2,r2,4 -8113de98: 117f4c2e bgeu r2,r5,8113dbcc <__reset+0xfb11dbcc> -8113de9c: 10c00017 ldw r3,0(r2) -8113dea0: 193ffb26 beq r3,r4,8113de90 <__reset+0xfb11de90> -8113dea4: 18c00044 addi r3,r3,1 -8113dea8: 10c00015 stw r3,0(r2) -8113deac: 00800084 movi r2,2 -8113deb0: 88802b26 beq r17,r2,8113df60 <__gethex+0x77c> -8113deb4: 948007cc andi r18,r18,31 -8113deb8: 90002f1e bne r18,zero,8113df78 <__gethex+0x794> -8113debc: 04400844 movi r17,33 -8113dec0: 003f8206 br 8113dccc <__reset+0xfb11dccc> -8113dec4: 04c00044 movi r19,1 -8113dec8: 003fc106 br 8113ddd0 <__reset+0xfb11ddd0> -8113decc: d8c01617 ldw r3,88(sp) -8113ded0: 183ed226 beq r3,zero,8113da1c <__reset+0xfb11da1c> -8113ded4: d8c00417 ldw r3,16(sp) -8113ded8: d9001517 ldw r4,84(sp) -8113dedc: 18800015 stw r2,0(r3) -8113dee0: d8c00217 ldw r3,8(sp) -8113dee4: 00800044 movi r2,1 -8113dee8: 80800415 stw r2,16(r16) -8113deec: 18800015 stw r2,0(r3) -8113def0: 24000015 stw r16,0(r4) -8113def4: 00801884 movi r2,98 -8113def8: 003efa06 br 8113dae4 <__reset+0xfb11dae4> -8113defc: 9880008c andi r2,r19,2 -8113df00: 103f7126 beq r2,zero,8113dcc8 <__reset+0xfb11dcc8> -8113df04: d8c00217 ldw r3,8(sp) -8113df08: 18800017 ldw r2,0(r3) -8113df0c: 9884b03a or r2,r19,r2 -8113df10: 1080004c andi r2,r2,1 -8113df14: 103fd61e bne r2,zero,8113de70 <__reset+0xfb11de70> -8113df18: 003f6b06 br 8113dcc8 <__reset+0xfb11dcc8> -8113df1c: da800217 ldw r10,8(sp) -8113df20: 0013883a mov r9,zero -8113df24: 003e9f06 br 8113d9a4 <__reset+0xfb11d9a4> -8113df28: 04c00084 movi r19,2 -8113df2c: 003f8806 br 8113dd50 <__reset+0xfb11dd50> -8113df30: d9001617 ldw r4,88(sp) -8113df34: 203fe726 beq r4,zero,8113ded4 <__reset+0xfb11ded4> -8113df38: 003eb806 br 8113da1c <__reset+0xfb11da1c> -8113df3c: 95feb71e bne r18,r23,8113da1c <__reset+0xfb11da1c> -8113df40: 1cbfe40e bge r3,r18,8113ded4 <__reset+0xfb11ded4> -8113df44: 917fffc4 addi r5,r18,-1 -8113df48: 8009883a mov r4,r16 -8113df4c: 11381140 call 81138114 <__any_on> -8113df50: 103eb226 beq r2,zero,8113da1c <__reset+0xfb11da1c> -8113df54: d8c00017 ldw r3,0(sp) -8113df58: 18800117 ldw r2,4(r3) -8113df5c: 003fdd06 br 8113ded4 <__reset+0xfb11ded4> -8113df60: d8c00017 ldw r3,0(sp) -8113df64: 18800017 ldw r2,0(r3) -8113df68: 10bfffc4 addi r2,r2,-1 -8113df6c: 90801c26 beq r18,r2,8113dfe0 <__gethex+0x7fc> -8113df70: 04400884 movi r17,34 -8113df74: 003f5506 br 8113dccc <__reset+0xfb11dccc> -8113df78: 34c5883a add r2,r6,r19 -8113df7c: 113fff17 ldw r4,-4(r2) -8113df80: 11374980 call 81137498 <__hi0bits> -8113df84: 00c00804 movi r3,32 -8113df88: 1ca5c83a sub r18,r3,r18 -8113df8c: 14bfcb0e bge r2,r18,8113debc <__reset+0xfb11debc> -8113df90: 003f1c06 br 8113dc04 <__reset+0xfb11dc04> -8113df94: 81400117 ldw r5,4(r16) -8113df98: d9000117 ldw r4,4(sp) -8113df9c: 29400044 addi r5,r5,1 -8113dfa0: 11371a40 call 811371a4 <_Balloc> -8113dfa4: 81800417 ldw r6,16(r16) -8113dfa8: 81400304 addi r5,r16,12 -8113dfac: 11000304 addi r4,r2,12 -8113dfb0: 31800084 addi r6,r6,2 -8113dfb4: 318d883a add r6,r6,r6 -8113dfb8: 318d883a add r6,r6,r6 -8113dfbc: 1029883a mov r20,r2 -8113dfc0: 112c6480 call 8112c648 -8113dfc4: d9000117 ldw r4,4(sp) -8113dfc8: 800b883a mov r5,r16 -8113dfcc: a021883a mov r16,r20 -8113dfd0: 113724c0 call 8113724c <_Bfree> -8113dfd4: a0c00417 ldw r3,16(r20) -8113dfd8: a1800504 addi r6,r20,20 -8113dfdc: 003efe06 br 8113dbd8 <__reset+0xfb11dbd8> -8113dfe0: 9005d17a srai r2,r18,5 -8113dfe4: 944007cc andi r17,r18,31 -8113dfe8: 1085883a add r2,r2,r2 -8113dfec: 1085883a add r2,r2,r2 -8113dff0: 3087883a add r3,r6,r2 -8113dff4: 00800044 movi r2,1 -8113dff8: 1462983a sll r17,r2,r17 -8113dffc: 18800017 ldw r2,0(r3) -8113e000: 8884703a and r2,r17,r2 -8113e004: 1022c03a cmpne r17,r2,zero -8113e008: 00800884 movi r2,34 -8113e00c: 1463c83a sub r17,r2,r17 -8113e010: 003f2e06 br 8113dccc <__reset+0xfb11dccc> - -8113e014 <__hexnan>: -8113e014: defff904 addi sp,sp,-28 -8113e018: dc800215 stw r18,8(sp) -8113e01c: 2c800017 ldw r18,0(r5) -8113e020: dfc00615 stw ra,24(sp) -8113e024: dd400515 stw r21,20(sp) -8113e028: 901fd17a srai r15,r18,5 -8113e02c: dd000415 stw r20,16(sp) -8113e030: dcc00315 stw r19,12(sp) -8113e034: 7bdf883a add r15,r15,r15 -8113e038: 7bdf883a add r15,r15,r15 -8113e03c: dc400115 stw r17,4(sp) -8113e040: dc000015 stw r16,0(sp) -8113e044: 948007cc andi r18,r18,31 -8113e048: 33df883a add r15,r6,r15 -8113e04c: 90000126 beq r18,zero,8113e054 <__hexnan+0x40> -8113e050: 7bc00104 addi r15,r15,4 -8113e054: 22000017 ldw r8,0(r4) -8113e058: 7affff04 addi r11,r15,-4 -8113e05c: 03a04574 movhi r14,33045 -8113e060: 783fff15 stw zero,-4(r15) -8113e064: 581b883a mov r13,r11 -8113e068: 580b883a mov r5,r11 -8113e06c: 000f883a mov r7,zero -8113e070: 003f883a mov ra,zero -8113e074: 0019883a mov r12,zero -8113e078: 73bf4f04 addi r14,r14,-708 -8113e07c: 04400204 movi r17,8 -8113e080: 04000804 movi r16,32 -8113e084: 04c001c4 movi r19,7 -8113e088: 42000044 addi r8,r8,1 -8113e08c: 40c00003 ldbu r3,0(r8) -8113e090: 18001d26 beq r3,zero,8113e108 <__hexnan+0xf4> -8113e094: 70c5883a add r2,r14,r3 -8113e098: 10800003 ldbu r2,0(r2) -8113e09c: 12403fcc andi r9,r2,255 -8113e0a0: 4800301e bne r9,zero,8113e164 <__hexnan+0x150> -8113e0a4: 80c04236 bltu r16,r3,8113e1b0 <__hexnan+0x19c> -8113e0a8: fb3ff70e bge ra,r12,8113e088 <__reset+0xfb11e088> -8113e0ac: 2b40112e bgeu r5,r13,8113e0f4 <__hexnan+0xe0> -8113e0b0: 99c01016 blt r19,r7,8113e0f4 <__hexnan+0xe0> -8113e0b4: 89e9c83a sub r20,r17,r7 -8113e0b8: a529883a add r20,r20,r20 -8113e0bc: 2a800017 ldw r10,0(r5) -8113e0c0: a529883a add r20,r20,r20 -8113e0c4: 852bc83a sub r21,r16,r20 -8113e0c8: 28c00104 addi r3,r5,4 -8113e0cc: 2805883a mov r2,r5 -8113e0d0: 19c00017 ldw r7,0(r3) -8113e0d4: 10800104 addi r2,r2,4 -8113e0d8: 18c00104 addi r3,r3,4 -8113e0dc: 3d52983a sll r9,r7,r21 -8113e0e0: 4a92b03a or r9,r9,r10 -8113e0e4: 3d14d83a srl r10,r7,r20 -8113e0e8: 127fff15 stw r9,-4(r2) -8113e0ec: 1abfff15 stw r10,-4(r3) -8113e0f0: 137ff736 bltu r2,r13,8113e0d0 <__reset+0xfb11e0d0> -8113e0f4: 31402836 bltu r6,r5,8113e198 <__hexnan+0x184> -8113e0f8: 42000044 addi r8,r8,1 -8113e0fc: 40c00003 ldbu r3,0(r8) -8113e100: 01c00204 movi r7,8 -8113e104: 183fe31e bne r3,zero,8113e094 <__reset+0xfb11e094> -8113e108: 60002b26 beq r12,zero,8113e1b8 <__hexnan+0x1a4> -8113e10c: 2b40022e bgeu r5,r13,8113e118 <__hexnan+0x104> -8113e110: 008001c4 movi r2,7 -8113e114: 11c0430e bge r2,r7,8113e224 <__hexnan+0x210> -8113e118: 3140312e bgeu r6,r5,8113e1e0 <__hexnan+0x1cc> -8113e11c: 3007883a mov r3,r6 -8113e120: 29000017 ldw r4,0(r5) -8113e124: 18800104 addi r2,r3,4 -8113e128: 29400104 addi r5,r5,4 -8113e12c: 19000015 stw r4,0(r3) -8113e130: 1007883a mov r3,r2 -8113e134: 597ffa2e bgeu r11,r5,8113e120 <__reset+0xfb11e120> -8113e138: 10000015 stw zero,0(r2) -8113e13c: 10800104 addi r2,r2,4 -8113e140: 58bffd2e bgeu r11,r2,8113e138 <__reset+0xfb11e138> -8113e144: 78bfff17 ldw r2,-4(r15) -8113e148: 1000041e bne r2,zero,8113e15c <__hexnan+0x148> -8113e14c: 32c02d26 beq r6,r11,8113e204 <__hexnan+0x1f0> -8113e150: 5affff04 addi r11,r11,-4 -8113e154: 58800017 ldw r2,0(r11) -8113e158: 103ffc26 beq r2,zero,8113e14c <__reset+0xfb11e14c> -8113e15c: 00800144 movi r2,5 -8113e160: 00001606 br 8113e1bc <__hexnan+0x1a8> -8113e164: 39c00044 addi r7,r7,1 -8113e168: 63000044 addi r12,r12,1 -8113e16c: 89c0040e bge r17,r7,8113e180 <__hexnan+0x16c> -8113e170: 317fc52e bgeu r6,r5,8113e088 <__reset+0xfb11e088> -8113e174: 283fff15 stw zero,-4(r5) -8113e178: 01c00044 movi r7,1 -8113e17c: 297fff04 addi r5,r5,-4 -8113e180: 28c00017 ldw r3,0(r5) -8113e184: 108003cc andi r2,r2,15 -8113e188: 1806913a slli r3,r3,4 -8113e18c: 1884b03a or r2,r3,r2 -8113e190: 28800015 stw r2,0(r5) -8113e194: 003fbc06 br 8113e088 <__reset+0xfb11e088> -8113e198: 2b7fff04 addi r13,r5,-4 -8113e19c: 283fff15 stw zero,-4(r5) -8113e1a0: 603f883a mov ra,r12 -8113e1a4: 680b883a mov r5,r13 -8113e1a8: 000f883a mov r7,zero -8113e1ac: 003fb606 br 8113e088 <__reset+0xfb11e088> -8113e1b0: 00800a44 movi r2,41 -8113e1b4: 18801726 beq r3,r2,8113e214 <__hexnan+0x200> -8113e1b8: 00800104 movi r2,4 -8113e1bc: dfc00617 ldw ra,24(sp) -8113e1c0: dd400517 ldw r21,20(sp) -8113e1c4: dd000417 ldw r20,16(sp) -8113e1c8: dcc00317 ldw r19,12(sp) -8113e1cc: dc800217 ldw r18,8(sp) -8113e1d0: dc400117 ldw r17,4(sp) -8113e1d4: dc000017 ldw r16,0(sp) -8113e1d8: dec00704 addi sp,sp,28 -8113e1dc: f800283a ret -8113e1e0: 903fd826 beq r18,zero,8113e144 <__reset+0xfb11e144> -8113e1e4: 00c00804 movi r3,32 -8113e1e8: 1ca5c83a sub r18,r3,r18 -8113e1ec: 00ffffc4 movi r3,-1 -8113e1f0: 78bfff17 ldw r2,-4(r15) -8113e1f4: 1c86d83a srl r3,r3,r18 -8113e1f8: 1884703a and r2,r3,r2 -8113e1fc: 78bfff15 stw r2,-4(r15) -8113e200: 003fd106 br 8113e148 <__reset+0xfb11e148> -8113e204: 00800044 movi r2,1 -8113e208: 58800015 stw r2,0(r11) -8113e20c: 00800144 movi r2,5 -8113e210: 003fea06 br 8113e1bc <__reset+0xfb11e1bc> -8113e214: 42000044 addi r8,r8,1 -8113e218: 22000015 stw r8,0(r4) -8113e21c: 603fbb1e bne r12,zero,8113e10c <__reset+0xfb11e10c> -8113e220: 003fe506 br 8113e1b8 <__reset+0xfb11e1b8> -8113e224: 02400204 movi r9,8 -8113e228: 49d3c83a sub r9,r9,r7 -8113e22c: 4a53883a add r9,r9,r9 -8113e230: 2a000017 ldw r8,0(r5) -8113e234: 4a53883a add r9,r9,r9 -8113e238: 02800804 movi r10,32 -8113e23c: 5255c83a sub r10,r10,r9 -8113e240: 28c00104 addi r3,r5,4 -8113e244: 2805883a mov r2,r5 -8113e248: 19c00017 ldw r7,0(r3) -8113e24c: 10800104 addi r2,r2,4 -8113e250: 18c00104 addi r3,r3,4 -8113e254: 3a88983a sll r4,r7,r10 -8113e258: 2208b03a or r4,r4,r8 -8113e25c: 3a50d83a srl r8,r7,r9 -8113e260: 113fff15 stw r4,-4(r2) -8113e264: 1a3fff15 stw r8,-4(r3) -8113e268: 137ff736 bltu r2,r13,8113e248 <__reset+0xfb11e248> -8113e26c: 003faa06 br 8113e118 <__reset+0xfb11e118> - -8113e270 : -8113e270: 30003126 beq r6,zero,8113e338 -8113e274: 2144b03a or r2,r4,r5 -8113e278: 108000cc andi r2,r2,3 -8113e27c: 10001e1e bne r2,zero,8113e2f8 -8113e280: 024000c4 movi r9,3 -8113e284: 49801c2e bgeu r9,r6,8113e2f8 -8113e288: 20800017 ldw r2,0(r4) -8113e28c: 28c00017 ldw r3,0(r5) -8113e290: 10c0191e bne r2,r3,8113e2f8 -8113e294: 31bfff04 addi r6,r6,-4 -8113e298: 30002726 beq r6,zero,8113e338 -8113e29c: 02ffbff4 movhi r11,65279 -8113e2a0: 5affbfc4 addi r11,r11,-257 -8113e2a4: 0086303a nor r3,zero,r2 -8113e2a8: 02a02074 movhi r10,32897 -8113e2ac: 12c5883a add r2,r2,r11 -8113e2b0: 52a02004 addi r10,r10,-32640 -8113e2b4: 10c4703a and r2,r2,r3 -8113e2b8: 1284703a and r2,r2,r10 -8113e2bc: 10000b26 beq r2,zero,8113e2ec -8113e2c0: 00001d06 br 8113e338 -8113e2c4: 20c00017 ldw r3,0(r4) -8113e2c8: 29c00017 ldw r7,0(r5) -8113e2cc: 1ad1883a add r8,r3,r11 -8113e2d0: 00c4303a nor r2,zero,r3 -8113e2d4: 4084703a and r2,r8,r2 -8113e2d8: 1284703a and r2,r2,r10 -8113e2dc: 19c0061e bne r3,r7,8113e2f8 -8113e2e0: 31bfff04 addi r6,r6,-4 -8113e2e4: 30001426 beq r6,zero,8113e338 -8113e2e8: 1000131e bne r2,zero,8113e338 -8113e2ec: 21000104 addi r4,r4,4 -8113e2f0: 29400104 addi r5,r5,4 -8113e2f4: 49bff336 bltu r9,r6,8113e2c4 <__reset+0xfb11e2c4> -8113e2f8: 28800007 ldb r2,0(r5) -8113e2fc: 20c00007 ldb r3,0(r4) -8113e300: 31bfffc4 addi r6,r6,-1 -8113e304: 10c0081e bne r2,r3,8113e328 -8113e308: 30000b26 beq r6,zero,8113e338 -8113e30c: 10000a26 beq r2,zero,8113e338 -8113e310: 21000044 addi r4,r4,1 -8113e314: 29400044 addi r5,r5,1 -8113e318: 20800007 ldb r2,0(r4) -8113e31c: 28c00007 ldb r3,0(r5) -8113e320: 31bfffc4 addi r6,r6,-1 -8113e324: 10fff826 beq r2,r3,8113e308 <__reset+0xfb11e308> -8113e328: 20800003 ldbu r2,0(r4) -8113e32c: 28c00003 ldbu r3,0(r5) -8113e330: 10c5c83a sub r2,r2,r3 -8113e334: f800283a ret -8113e338: 0005883a mov r2,zero -8113e33c: f800283a ret - -8113e340 <_wcrtomb_r>: -8113e340: defff604 addi sp,sp,-40 -8113e344: 00a04574 movhi r2,33045 -8113e348: dc800815 stw r18,32(sp) -8113e34c: dc400715 stw r17,28(sp) -8113e350: dc000615 stw r16,24(sp) -8113e354: 1086e704 addi r2,r2,7068 -8113e358: dfc00915 stw ra,36(sp) -8113e35c: 2021883a mov r16,r4 -8113e360: 3823883a mov r17,r7 -8113e364: 14800017 ldw r18,0(r2) -8113e368: 28001426 beq r5,zero,8113e3bc <_wcrtomb_r+0x7c> -8113e36c: d9400415 stw r5,16(sp) -8113e370: d9800515 stw r6,20(sp) -8113e374: 1136c800 call 81136c80 <__locale_charset> -8113e378: d9800517 ldw r6,20(sp) -8113e37c: d9400417 ldw r5,16(sp) -8113e380: 100f883a mov r7,r2 -8113e384: dc400015 stw r17,0(sp) -8113e388: 8009883a mov r4,r16 -8113e38c: 903ee83a callr r18 -8113e390: 00ffffc4 movi r3,-1 -8113e394: 10c0031e bne r2,r3,8113e3a4 <_wcrtomb_r+0x64> -8113e398: 88000015 stw zero,0(r17) -8113e39c: 00c02284 movi r3,138 -8113e3a0: 80c00015 stw r3,0(r16) -8113e3a4: dfc00917 ldw ra,36(sp) -8113e3a8: dc800817 ldw r18,32(sp) -8113e3ac: dc400717 ldw r17,28(sp) -8113e3b0: dc000617 ldw r16,24(sp) -8113e3b4: dec00a04 addi sp,sp,40 -8113e3b8: f800283a ret -8113e3bc: 1136c800 call 81136c80 <__locale_charset> +8113de08: 11381540 call 81138154 <__any_on> +8113de0c: 1027883a mov r19,r2 +8113de10: 8805d17a srai r2,r17,5 +8113de14: d8c00217 ldw r3,8(sp) +8113de18: 8c4007cc andi r17,r17,31 +8113de1c: 1085883a add r2,r2,r2 +8113de20: 1085883a add r2,r2,r2 +8113de24: 1885883a add r2,r3,r2 +8113de28: 00c00044 movi r3,1 +8113de2c: 1c62983a sll r17,r3,r17 +8113de30: 10800017 ldw r2,0(r2) +8113de34: 8884703a and r2,r17,r2 +8113de38: 10000126 beq r2,zero,8113de40 <__gethex+0x61c> +8113de3c: 9cc00094 ori r19,r19,2 +8113de40: b80b883a mov r5,r23 +8113de44: 8009883a mov r4,r16 +8113de48: 113d7240 call 8113d724 +8113de4c: d8c00017 ldw r3,0(sp) +8113de50: 95e5c83a sub r18,r18,r23 +8113de54: 04400084 movi r17,2 +8113de58: 1dc00117 ldw r23,4(r3) +8113de5c: 003fa106 br 8113dce4 <__reset+0xfb11dce4> +8113de60: 85af883a add r23,r16,r22 +8113de64: b8800003 ldbu r2,0(r23) +8113de68: b821883a mov r16,r23 +8113de6c: 11003fcc andi r4,r2,255 +8113de70: a109883a add r4,r20,r4 +8113de74: 21000003 ldbu r4,0(r4) +8113de78: 203eaa26 beq r4,zero,8113d924 <__reset+0xfb11d924> +8113de7c: 84000044 addi r16,r16,1 +8113de80: 80800003 ldbu r2,0(r16) +8113de84: 11003fcc andi r4,r2,255 +8113de88: a109883a add r4,r20,r4 +8113de8c: 21000003 ldbu r4,0(r4) +8113de90: 203ffa1e bne r4,zero,8113de7c <__reset+0xfb11de7c> +8113de94: 003ea306 br 8113d924 <__reset+0xfb11d924> +8113de98: d9001617 ldw r4,88(sp) +8113de9c: 00800044 movi r2,1 +8113dea0: 1109c83a sub r4,r2,r4 +8113dea4: d9001615 stw r4,88(sp) +8113dea8: d8801617 ldw r2,88(sp) +8113deac: 103f9626 beq r2,zero,8113dd08 <__reset+0xfb11dd08> +8113deb0: 87000417 ldw fp,16(r16) +8113deb4: d9800217 ldw r6,8(sp) +8113deb8: 013fffc4 movi r4,-1 +8113debc: e727883a add r19,fp,fp +8113dec0: 9ce7883a add r19,r19,r19 +8113dec4: 3005883a mov r2,r6 +8113dec8: 34cb883a add r5,r6,r19 +8113decc: 00000306 br 8113dedc <__gethex+0x6b8> +8113ded0: 10000015 stw zero,0(r2) +8113ded4: 10800104 addi r2,r2,4 +8113ded8: 117f4c2e bgeu r2,r5,8113dc0c <__reset+0xfb11dc0c> +8113dedc: 10c00017 ldw r3,0(r2) +8113dee0: 193ffb26 beq r3,r4,8113ded0 <__reset+0xfb11ded0> +8113dee4: 18c00044 addi r3,r3,1 +8113dee8: 10c00015 stw r3,0(r2) +8113deec: 00800084 movi r2,2 +8113def0: 88802b26 beq r17,r2,8113dfa0 <__gethex+0x77c> +8113def4: 948007cc andi r18,r18,31 +8113def8: 90002f1e bne r18,zero,8113dfb8 <__gethex+0x794> +8113defc: 04400844 movi r17,33 +8113df00: 003f8206 br 8113dd0c <__reset+0xfb11dd0c> +8113df04: 04c00044 movi r19,1 +8113df08: 003fc106 br 8113de10 <__reset+0xfb11de10> +8113df0c: d8c01617 ldw r3,88(sp) +8113df10: 183ed226 beq r3,zero,8113da5c <__reset+0xfb11da5c> +8113df14: d8c00417 ldw r3,16(sp) +8113df18: d9001517 ldw r4,84(sp) +8113df1c: 18800015 stw r2,0(r3) +8113df20: d8c00217 ldw r3,8(sp) +8113df24: 00800044 movi r2,1 +8113df28: 80800415 stw r2,16(r16) +8113df2c: 18800015 stw r2,0(r3) +8113df30: 24000015 stw r16,0(r4) +8113df34: 00801884 movi r2,98 +8113df38: 003efa06 br 8113db24 <__reset+0xfb11db24> +8113df3c: 9880008c andi r2,r19,2 +8113df40: 103f7126 beq r2,zero,8113dd08 <__reset+0xfb11dd08> +8113df44: d8c00217 ldw r3,8(sp) +8113df48: 18800017 ldw r2,0(r3) +8113df4c: 9884b03a or r2,r19,r2 +8113df50: 1080004c andi r2,r2,1 +8113df54: 103fd61e bne r2,zero,8113deb0 <__reset+0xfb11deb0> +8113df58: 003f6b06 br 8113dd08 <__reset+0xfb11dd08> +8113df5c: da800217 ldw r10,8(sp) +8113df60: 0013883a mov r9,zero +8113df64: 003e9f06 br 8113d9e4 <__reset+0xfb11d9e4> +8113df68: 04c00084 movi r19,2 +8113df6c: 003f8806 br 8113dd90 <__reset+0xfb11dd90> +8113df70: d9001617 ldw r4,88(sp) +8113df74: 203fe726 beq r4,zero,8113df14 <__reset+0xfb11df14> +8113df78: 003eb806 br 8113da5c <__reset+0xfb11da5c> +8113df7c: 95feb71e bne r18,r23,8113da5c <__reset+0xfb11da5c> +8113df80: 1cbfe40e bge r3,r18,8113df14 <__reset+0xfb11df14> +8113df84: 917fffc4 addi r5,r18,-1 +8113df88: 8009883a mov r4,r16 +8113df8c: 11381540 call 81138154 <__any_on> +8113df90: 103eb226 beq r2,zero,8113da5c <__reset+0xfb11da5c> +8113df94: d8c00017 ldw r3,0(sp) +8113df98: 18800117 ldw r2,4(r3) +8113df9c: 003fdd06 br 8113df14 <__reset+0xfb11df14> +8113dfa0: d8c00017 ldw r3,0(sp) +8113dfa4: 18800017 ldw r2,0(r3) +8113dfa8: 10bfffc4 addi r2,r2,-1 +8113dfac: 90801c26 beq r18,r2,8113e020 <__gethex+0x7fc> +8113dfb0: 04400884 movi r17,34 +8113dfb4: 003f5506 br 8113dd0c <__reset+0xfb11dd0c> +8113dfb8: 34c5883a add r2,r6,r19 +8113dfbc: 113fff17 ldw r4,-4(r2) +8113dfc0: 11374d80 call 811374d8 <__hi0bits> +8113dfc4: 00c00804 movi r3,32 +8113dfc8: 1ca5c83a sub r18,r3,r18 +8113dfcc: 14bfcb0e bge r2,r18,8113defc <__reset+0xfb11defc> +8113dfd0: 003f1c06 br 8113dc44 <__reset+0xfb11dc44> +8113dfd4: 81400117 ldw r5,4(r16) +8113dfd8: d9000117 ldw r4,4(sp) +8113dfdc: 29400044 addi r5,r5,1 +8113dfe0: 11371e40 call 811371e4 <_Balloc> +8113dfe4: 81800417 ldw r6,16(r16) +8113dfe8: 81400304 addi r5,r16,12 +8113dfec: 11000304 addi r4,r2,12 +8113dff0: 31800084 addi r6,r6,2 +8113dff4: 318d883a add r6,r6,r6 +8113dff8: 318d883a add r6,r6,r6 +8113dffc: 1029883a mov r20,r2 +8113e000: 112c6880 call 8112c688 +8113e004: d9000117 ldw r4,4(sp) +8113e008: 800b883a mov r5,r16 +8113e00c: a021883a mov r16,r20 +8113e010: 113728c0 call 8113728c <_Bfree> +8113e014: a0c00417 ldw r3,16(r20) +8113e018: a1800504 addi r6,r20,20 +8113e01c: 003efe06 br 8113dc18 <__reset+0xfb11dc18> +8113e020: 9005d17a srai r2,r18,5 +8113e024: 944007cc andi r17,r18,31 +8113e028: 1085883a add r2,r2,r2 +8113e02c: 1085883a add r2,r2,r2 +8113e030: 3087883a add r3,r6,r2 +8113e034: 00800044 movi r2,1 +8113e038: 1462983a sll r17,r2,r17 +8113e03c: 18800017 ldw r2,0(r3) +8113e040: 8884703a and r2,r17,r2 +8113e044: 1022c03a cmpne r17,r2,zero +8113e048: 00800884 movi r2,34 +8113e04c: 1463c83a sub r17,r2,r17 +8113e050: 003f2e06 br 8113dd0c <__reset+0xfb11dd0c> + +8113e054 <__hexnan>: +8113e054: defff904 addi sp,sp,-28 +8113e058: dc800215 stw r18,8(sp) +8113e05c: 2c800017 ldw r18,0(r5) +8113e060: dfc00615 stw ra,24(sp) +8113e064: dd400515 stw r21,20(sp) +8113e068: 901fd17a srai r15,r18,5 +8113e06c: dd000415 stw r20,16(sp) +8113e070: dcc00315 stw r19,12(sp) +8113e074: 7bdf883a add r15,r15,r15 +8113e078: 7bdf883a add r15,r15,r15 +8113e07c: dc400115 stw r17,4(sp) +8113e080: dc000015 stw r16,0(sp) +8113e084: 948007cc andi r18,r18,31 +8113e088: 33df883a add r15,r6,r15 +8113e08c: 90000126 beq r18,zero,8113e094 <__hexnan+0x40> +8113e090: 7bc00104 addi r15,r15,4 +8113e094: 22000017 ldw r8,0(r4) +8113e098: 7affff04 addi r11,r15,-4 +8113e09c: 03a04574 movhi r14,33045 +8113e0a0: 783fff15 stw zero,-4(r15) +8113e0a4: 581b883a mov r13,r11 +8113e0a8: 580b883a mov r5,r11 +8113e0ac: 000f883a mov r7,zero +8113e0b0: 003f883a mov ra,zero +8113e0b4: 0019883a mov r12,zero +8113e0b8: 73bf6004 addi r14,r14,-640 +8113e0bc: 04400204 movi r17,8 +8113e0c0: 04000804 movi r16,32 +8113e0c4: 04c001c4 movi r19,7 +8113e0c8: 42000044 addi r8,r8,1 +8113e0cc: 40c00003 ldbu r3,0(r8) +8113e0d0: 18001d26 beq r3,zero,8113e148 <__hexnan+0xf4> +8113e0d4: 70c5883a add r2,r14,r3 +8113e0d8: 10800003 ldbu r2,0(r2) +8113e0dc: 12403fcc andi r9,r2,255 +8113e0e0: 4800301e bne r9,zero,8113e1a4 <__hexnan+0x150> +8113e0e4: 80c04236 bltu r16,r3,8113e1f0 <__hexnan+0x19c> +8113e0e8: fb3ff70e bge ra,r12,8113e0c8 <__reset+0xfb11e0c8> +8113e0ec: 2b40112e bgeu r5,r13,8113e134 <__hexnan+0xe0> +8113e0f0: 99c01016 blt r19,r7,8113e134 <__hexnan+0xe0> +8113e0f4: 89e9c83a sub r20,r17,r7 +8113e0f8: a529883a add r20,r20,r20 +8113e0fc: 2a800017 ldw r10,0(r5) +8113e100: a529883a add r20,r20,r20 +8113e104: 852bc83a sub r21,r16,r20 +8113e108: 28c00104 addi r3,r5,4 +8113e10c: 2805883a mov r2,r5 +8113e110: 19c00017 ldw r7,0(r3) +8113e114: 10800104 addi r2,r2,4 +8113e118: 18c00104 addi r3,r3,4 +8113e11c: 3d52983a sll r9,r7,r21 +8113e120: 4a92b03a or r9,r9,r10 +8113e124: 3d14d83a srl r10,r7,r20 +8113e128: 127fff15 stw r9,-4(r2) +8113e12c: 1abfff15 stw r10,-4(r3) +8113e130: 137ff736 bltu r2,r13,8113e110 <__reset+0xfb11e110> +8113e134: 31402836 bltu r6,r5,8113e1d8 <__hexnan+0x184> +8113e138: 42000044 addi r8,r8,1 +8113e13c: 40c00003 ldbu r3,0(r8) +8113e140: 01c00204 movi r7,8 +8113e144: 183fe31e bne r3,zero,8113e0d4 <__reset+0xfb11e0d4> +8113e148: 60002b26 beq r12,zero,8113e1f8 <__hexnan+0x1a4> +8113e14c: 2b40022e bgeu r5,r13,8113e158 <__hexnan+0x104> +8113e150: 008001c4 movi r2,7 +8113e154: 11c0430e bge r2,r7,8113e264 <__hexnan+0x210> +8113e158: 3140312e bgeu r6,r5,8113e220 <__hexnan+0x1cc> +8113e15c: 3007883a mov r3,r6 +8113e160: 29000017 ldw r4,0(r5) +8113e164: 18800104 addi r2,r3,4 +8113e168: 29400104 addi r5,r5,4 +8113e16c: 19000015 stw r4,0(r3) +8113e170: 1007883a mov r3,r2 +8113e174: 597ffa2e bgeu r11,r5,8113e160 <__reset+0xfb11e160> +8113e178: 10000015 stw zero,0(r2) +8113e17c: 10800104 addi r2,r2,4 +8113e180: 58bffd2e bgeu r11,r2,8113e178 <__reset+0xfb11e178> +8113e184: 78bfff17 ldw r2,-4(r15) +8113e188: 1000041e bne r2,zero,8113e19c <__hexnan+0x148> +8113e18c: 32c02d26 beq r6,r11,8113e244 <__hexnan+0x1f0> +8113e190: 5affff04 addi r11,r11,-4 +8113e194: 58800017 ldw r2,0(r11) +8113e198: 103ffc26 beq r2,zero,8113e18c <__reset+0xfb11e18c> +8113e19c: 00800144 movi r2,5 +8113e1a0: 00001606 br 8113e1fc <__hexnan+0x1a8> +8113e1a4: 39c00044 addi r7,r7,1 +8113e1a8: 63000044 addi r12,r12,1 +8113e1ac: 89c0040e bge r17,r7,8113e1c0 <__hexnan+0x16c> +8113e1b0: 317fc52e bgeu r6,r5,8113e0c8 <__reset+0xfb11e0c8> +8113e1b4: 283fff15 stw zero,-4(r5) +8113e1b8: 01c00044 movi r7,1 +8113e1bc: 297fff04 addi r5,r5,-4 +8113e1c0: 28c00017 ldw r3,0(r5) +8113e1c4: 108003cc andi r2,r2,15 +8113e1c8: 1806913a slli r3,r3,4 +8113e1cc: 1884b03a or r2,r3,r2 +8113e1d0: 28800015 stw r2,0(r5) +8113e1d4: 003fbc06 br 8113e0c8 <__reset+0xfb11e0c8> +8113e1d8: 2b7fff04 addi r13,r5,-4 +8113e1dc: 283fff15 stw zero,-4(r5) +8113e1e0: 603f883a mov ra,r12 +8113e1e4: 680b883a mov r5,r13 +8113e1e8: 000f883a mov r7,zero +8113e1ec: 003fb606 br 8113e0c8 <__reset+0xfb11e0c8> +8113e1f0: 00800a44 movi r2,41 +8113e1f4: 18801726 beq r3,r2,8113e254 <__hexnan+0x200> +8113e1f8: 00800104 movi r2,4 +8113e1fc: dfc00617 ldw ra,24(sp) +8113e200: dd400517 ldw r21,20(sp) +8113e204: dd000417 ldw r20,16(sp) +8113e208: dcc00317 ldw r19,12(sp) +8113e20c: dc800217 ldw r18,8(sp) +8113e210: dc400117 ldw r17,4(sp) +8113e214: dc000017 ldw r16,0(sp) +8113e218: dec00704 addi sp,sp,28 +8113e21c: f800283a ret +8113e220: 903fd826 beq r18,zero,8113e184 <__reset+0xfb11e184> +8113e224: 00c00804 movi r3,32 +8113e228: 1ca5c83a sub r18,r3,r18 +8113e22c: 00ffffc4 movi r3,-1 +8113e230: 78bfff17 ldw r2,-4(r15) +8113e234: 1c86d83a srl r3,r3,r18 +8113e238: 1884703a and r2,r3,r2 +8113e23c: 78bfff15 stw r2,-4(r15) +8113e240: 003fd106 br 8113e188 <__reset+0xfb11e188> +8113e244: 00800044 movi r2,1 +8113e248: 58800015 stw r2,0(r11) +8113e24c: 00800144 movi r2,5 +8113e250: 003fea06 br 8113e1fc <__reset+0xfb11e1fc> +8113e254: 42000044 addi r8,r8,1 +8113e258: 22000015 stw r8,0(r4) +8113e25c: 603fbb1e bne r12,zero,8113e14c <__reset+0xfb11e14c> +8113e260: 003fe506 br 8113e1f8 <__reset+0xfb11e1f8> +8113e264: 02400204 movi r9,8 +8113e268: 49d3c83a sub r9,r9,r7 +8113e26c: 4a53883a add r9,r9,r9 +8113e270: 2a000017 ldw r8,0(r5) +8113e274: 4a53883a add r9,r9,r9 +8113e278: 02800804 movi r10,32 +8113e27c: 5255c83a sub r10,r10,r9 +8113e280: 28c00104 addi r3,r5,4 +8113e284: 2805883a mov r2,r5 +8113e288: 19c00017 ldw r7,0(r3) +8113e28c: 10800104 addi r2,r2,4 +8113e290: 18c00104 addi r3,r3,4 +8113e294: 3a88983a sll r4,r7,r10 +8113e298: 2208b03a or r4,r4,r8 +8113e29c: 3a50d83a srl r8,r7,r9 +8113e2a0: 113fff15 stw r4,-4(r2) +8113e2a4: 1a3fff15 stw r8,-4(r3) +8113e2a8: 137ff736 bltu r2,r13,8113e288 <__reset+0xfb11e288> +8113e2ac: 003faa06 br 8113e158 <__reset+0xfb11e158> + +8113e2b0 : +8113e2b0: 30003126 beq r6,zero,8113e378 +8113e2b4: 2144b03a or r2,r4,r5 +8113e2b8: 108000cc andi r2,r2,3 +8113e2bc: 10001e1e bne r2,zero,8113e338 +8113e2c0: 024000c4 movi r9,3 +8113e2c4: 49801c2e bgeu r9,r6,8113e338 +8113e2c8: 20800017 ldw r2,0(r4) +8113e2cc: 28c00017 ldw r3,0(r5) +8113e2d0: 10c0191e bne r2,r3,8113e338 +8113e2d4: 31bfff04 addi r6,r6,-4 +8113e2d8: 30002726 beq r6,zero,8113e378 +8113e2dc: 02ffbff4 movhi r11,65279 +8113e2e0: 5affbfc4 addi r11,r11,-257 +8113e2e4: 0086303a nor r3,zero,r2 +8113e2e8: 02a02074 movhi r10,32897 +8113e2ec: 12c5883a add r2,r2,r11 +8113e2f0: 52a02004 addi r10,r10,-32640 +8113e2f4: 10c4703a and r2,r2,r3 +8113e2f8: 1284703a and r2,r2,r10 +8113e2fc: 10000b26 beq r2,zero,8113e32c +8113e300: 00001d06 br 8113e378 +8113e304: 20c00017 ldw r3,0(r4) +8113e308: 29c00017 ldw r7,0(r5) +8113e30c: 1ad1883a add r8,r3,r11 +8113e310: 00c4303a nor r2,zero,r3 +8113e314: 4084703a and r2,r8,r2 +8113e318: 1284703a and r2,r2,r10 +8113e31c: 19c0061e bne r3,r7,8113e338 +8113e320: 31bfff04 addi r6,r6,-4 +8113e324: 30001426 beq r6,zero,8113e378 +8113e328: 1000131e bne r2,zero,8113e378 +8113e32c: 21000104 addi r4,r4,4 +8113e330: 29400104 addi r5,r5,4 +8113e334: 49bff336 bltu r9,r6,8113e304 <__reset+0xfb11e304> +8113e338: 28800007 ldb r2,0(r5) +8113e33c: 20c00007 ldb r3,0(r4) +8113e340: 31bfffc4 addi r6,r6,-1 +8113e344: 10c0081e bne r2,r3,8113e368 +8113e348: 30000b26 beq r6,zero,8113e378 +8113e34c: 10000a26 beq r2,zero,8113e378 +8113e350: 21000044 addi r4,r4,1 +8113e354: 29400044 addi r5,r5,1 +8113e358: 20800007 ldb r2,0(r4) +8113e35c: 28c00007 ldb r3,0(r5) +8113e360: 31bfffc4 addi r6,r6,-1 +8113e364: 10fff826 beq r2,r3,8113e348 <__reset+0xfb11e348> +8113e368: 20800003 ldbu r2,0(r4) +8113e36c: 28c00003 ldbu r3,0(r5) +8113e370: 10c5c83a sub r2,r2,r3 +8113e374: f800283a ret +8113e378: 0005883a mov r2,zero +8113e37c: f800283a ret + +8113e380 <_wcrtomb_r>: +8113e380: defff604 addi sp,sp,-40 +8113e384: 00a04574 movhi r2,33045 +8113e388: dc800815 stw r18,32(sp) +8113e38c: dc400715 stw r17,28(sp) +8113e390: dc000615 stw r16,24(sp) +8113e394: 1086f804 addi r2,r2,7136 +8113e398: dfc00915 stw ra,36(sp) +8113e39c: 2021883a mov r16,r4 +8113e3a0: 3823883a mov r17,r7 +8113e3a4: 14800017 ldw r18,0(r2) +8113e3a8: 28001426 beq r5,zero,8113e3fc <_wcrtomb_r+0x7c> +8113e3ac: d9400415 stw r5,16(sp) +8113e3b0: d9800515 stw r6,20(sp) +8113e3b4: 1136cc00 call 81136cc0 <__locale_charset> +8113e3b8: d9800517 ldw r6,20(sp) +8113e3bc: d9400417 ldw r5,16(sp) 8113e3c0: 100f883a mov r7,r2 8113e3c4: dc400015 stw r17,0(sp) -8113e3c8: 000d883a mov r6,zero -8113e3cc: d9400104 addi r5,sp,4 -8113e3d0: 8009883a mov r4,r16 -8113e3d4: 903ee83a callr r18 -8113e3d8: 003fed06 br 8113e390 <__reset+0xfb11e390> - -8113e3dc : -8113e3dc: defff604 addi sp,sp,-40 -8113e3e0: 00a04574 movhi r2,33045 -8113e3e4: dc800615 stw r18,24(sp) -8113e3e8: dc400515 stw r17,20(sp) -8113e3ec: 1086e204 addi r2,r2,7048 -8113e3f0: dfc00915 stw ra,36(sp) -8113e3f4: dd000815 stw r20,32(sp) -8113e3f8: dcc00715 stw r19,28(sp) -8113e3fc: dc000415 stw r16,16(sp) -8113e400: 3025883a mov r18,r6 -8113e404: 14400017 ldw r17,0(r2) -8113e408: 20001926 beq r4,zero,8113e470 -8113e40c: 00a04574 movhi r2,33045 -8113e410: 1086e704 addi r2,r2,7068 -8113e414: 15000017 ldw r20,0(r2) -8113e418: 2021883a mov r16,r4 -8113e41c: 2827883a mov r19,r5 -8113e420: 1136c800 call 81136c80 <__locale_charset> -8113e424: 100f883a mov r7,r2 -8113e428: dc800015 stw r18,0(sp) -8113e42c: 980d883a mov r6,r19 -8113e430: 800b883a mov r5,r16 -8113e434: 8809883a mov r4,r17 -8113e438: a03ee83a callr r20 -8113e43c: 00ffffc4 movi r3,-1 -8113e440: 10c0031e bne r2,r3,8113e450 -8113e444: 90000015 stw zero,0(r18) -8113e448: 00c02284 movi r3,138 -8113e44c: 88c00015 stw r3,0(r17) -8113e450: dfc00917 ldw ra,36(sp) -8113e454: dd000817 ldw r20,32(sp) -8113e458: dcc00717 ldw r19,28(sp) -8113e45c: dc800617 ldw r18,24(sp) -8113e460: dc400517 ldw r17,20(sp) -8113e464: dc000417 ldw r16,16(sp) -8113e468: dec00a04 addi sp,sp,40 -8113e46c: f800283a ret -8113e470: 00a04574 movhi r2,33045 -8113e474: 1086e704 addi r2,r2,7068 -8113e478: 14000017 ldw r16,0(r2) -8113e47c: 1136c800 call 81136c80 <__locale_charset> -8113e480: 100f883a mov r7,r2 -8113e484: dc800015 stw r18,0(sp) -8113e488: 000d883a mov r6,zero -8113e48c: d9400104 addi r5,sp,4 -8113e490: 8809883a mov r4,r17 -8113e494: 803ee83a callr r16 -8113e498: 003fe806 br 8113e43c <__reset+0xfb11e43c> - -8113e49c <__ascii_wctomb>: -8113e49c: 28000526 beq r5,zero,8113e4b4 <__ascii_wctomb+0x18> -8113e4a0: 00803fc4 movi r2,255 -8113e4a4: 11800536 bltu r2,r6,8113e4bc <__ascii_wctomb+0x20> -8113e4a8: 29800005 stb r6,0(r5) -8113e4ac: 00800044 movi r2,1 -8113e4b0: f800283a ret -8113e4b4: 0005883a mov r2,zero -8113e4b8: f800283a ret -8113e4bc: 00802284 movi r2,138 -8113e4c0: 20800015 stw r2,0(r4) -8113e4c4: 00bfffc4 movi r2,-1 -8113e4c8: f800283a ret - -8113e4cc <_wctomb_r>: -8113e4cc: 00a04574 movhi r2,33045 -8113e4d0: defff904 addi sp,sp,-28 -8113e4d4: 1086e704 addi r2,r2,7068 -8113e4d8: dfc00615 stw ra,24(sp) -8113e4dc: dc400515 stw r17,20(sp) -8113e4e0: dc000415 stw r16,16(sp) -8113e4e4: 3823883a mov r17,r7 -8113e4e8: 14000017 ldw r16,0(r2) -8113e4ec: d9000115 stw r4,4(sp) -8113e4f0: d9400215 stw r5,8(sp) -8113e4f4: d9800315 stw r6,12(sp) -8113e4f8: 1136c800 call 81136c80 <__locale_charset> -8113e4fc: d9800317 ldw r6,12(sp) -8113e500: d9400217 ldw r5,8(sp) -8113e504: d9000117 ldw r4,4(sp) -8113e508: 100f883a mov r7,r2 -8113e50c: dc400015 stw r17,0(sp) -8113e510: 803ee83a callr r16 -8113e514: dfc00617 ldw ra,24(sp) -8113e518: dc400517 ldw r17,20(sp) -8113e51c: dc000417 ldw r16,16(sp) -8113e520: dec00704 addi sp,sp,28 -8113e524: f800283a ret - -8113e528 <__udivdi3>: -8113e528: defff504 addi sp,sp,-44 -8113e52c: dcc00415 stw r19,16(sp) -8113e530: dc000115 stw r16,4(sp) -8113e534: dfc00a15 stw ra,40(sp) -8113e538: df000915 stw fp,36(sp) -8113e53c: ddc00815 stw r23,32(sp) -8113e540: dd800715 stw r22,28(sp) -8113e544: dd400615 stw r21,24(sp) -8113e548: dd000515 stw r20,20(sp) -8113e54c: dc800315 stw r18,12(sp) -8113e550: dc400215 stw r17,8(sp) -8113e554: 2027883a mov r19,r4 -8113e558: 2821883a mov r16,r5 -8113e55c: 3800411e bne r7,zero,8113e664 <__udivdi3+0x13c> -8113e560: 3023883a mov r17,r6 -8113e564: 2025883a mov r18,r4 -8113e568: 2980522e bgeu r5,r6,8113e6b4 <__udivdi3+0x18c> -8113e56c: 00bfffd4 movui r2,65535 -8113e570: 282d883a mov r22,r5 -8113e574: 1180a836 bltu r2,r6,8113e818 <__udivdi3+0x2f0> -8113e578: 00803fc4 movi r2,255 -8113e57c: 1185803a cmpltu r2,r2,r6 -8113e580: 100490fa slli r2,r2,3 -8113e584: 3086d83a srl r3,r6,r2 -8113e588: 01204574 movhi r4,33045 -8113e58c: 213dca04 addi r4,r4,-2264 -8113e590: 20c7883a add r3,r4,r3 -8113e594: 18c00003 ldbu r3,0(r3) -8113e598: 1885883a add r2,r3,r2 -8113e59c: 00c00804 movi r3,32 -8113e5a0: 1887c83a sub r3,r3,r2 -8113e5a4: 18000526 beq r3,zero,8113e5bc <__udivdi3+0x94> -8113e5a8: 80e0983a sll r16,r16,r3 -8113e5ac: 9884d83a srl r2,r19,r2 -8113e5b0: 30e2983a sll r17,r6,r3 -8113e5b4: 98e4983a sll r18,r19,r3 -8113e5b8: 142cb03a or r22,r2,r16 -8113e5bc: 882ad43a srli r21,r17,16 -8113e5c0: b009883a mov r4,r22 -8113e5c4: 8d3fffcc andi r20,r17,65535 -8113e5c8: a80b883a mov r5,r21 -8113e5cc: 112b3b00 call 8112b3b0 <__umodsi3> -8113e5d0: b009883a mov r4,r22 -8113e5d4: a80b883a mov r5,r21 -8113e5d8: 1027883a mov r19,r2 -8113e5dc: 112b34c0 call 8112b34c <__udivsi3> -8113e5e0: 102d883a mov r22,r2 -8113e5e4: 9826943a slli r19,r19,16 -8113e5e8: 9004d43a srli r2,r18,16 -8113e5ec: a5a1383a mul r16,r20,r22 -8113e5f0: 14c4b03a or r2,r2,r19 -8113e5f4: 1400052e bgeu r2,r16,8113e60c <__udivdi3+0xe4> -8113e5f8: 1445883a add r2,r2,r17 -8113e5fc: b0ffffc4 addi r3,r22,-1 -8113e600: 14400136 bltu r2,r17,8113e608 <__udivdi3+0xe0> -8113e604: 14012336 bltu r2,r16,8113ea94 <__udivdi3+0x56c> -8113e608: 182d883a mov r22,r3 -8113e60c: 1421c83a sub r16,r2,r16 -8113e610: a80b883a mov r5,r21 -8113e614: 8009883a mov r4,r16 -8113e618: 112b3b00 call 8112b3b0 <__umodsi3> -8113e61c: 1027883a mov r19,r2 -8113e620: a80b883a mov r5,r21 -8113e624: 8009883a mov r4,r16 -8113e628: 112b34c0 call 8112b34c <__udivsi3> -8113e62c: 9826943a slli r19,r19,16 -8113e630: a0a9383a mul r20,r20,r2 -8113e634: 94bfffcc andi r18,r18,65535 -8113e638: 94e4b03a or r18,r18,r19 -8113e63c: 9500052e bgeu r18,r20,8113e654 <__udivdi3+0x12c> -8113e640: 8ca5883a add r18,r17,r18 -8113e644: 10ffffc4 addi r3,r2,-1 -8113e648: 9440f136 bltu r18,r17,8113ea10 <__udivdi3+0x4e8> -8113e64c: 9500f02e bgeu r18,r20,8113ea10 <__udivdi3+0x4e8> -8113e650: 10bfff84 addi r2,r2,-2 -8113e654: b00c943a slli r6,r22,16 -8113e658: 0007883a mov r3,zero -8113e65c: 3084b03a or r2,r6,r2 -8113e660: 00005906 br 8113e7c8 <__udivdi3+0x2a0> -8113e664: 29c05636 bltu r5,r7,8113e7c0 <__udivdi3+0x298> -8113e668: 00bfffd4 movui r2,65535 -8113e66c: 11c0622e bgeu r2,r7,8113e7f8 <__udivdi3+0x2d0> -8113e670: 00804034 movhi r2,256 -8113e674: 10bfffc4 addi r2,r2,-1 -8113e678: 11c0ee36 bltu r2,r7,8113ea34 <__udivdi3+0x50c> -8113e67c: 00800404 movi r2,16 -8113e680: 3886d83a srl r3,r7,r2 -8113e684: 01204574 movhi r4,33045 -8113e688: 213dca04 addi r4,r4,-2264 -8113e68c: 20c7883a add r3,r4,r3 -8113e690: 18c00003 ldbu r3,0(r3) -8113e694: 05400804 movi r21,32 -8113e698: 1885883a add r2,r3,r2 -8113e69c: a8abc83a sub r21,r21,r2 -8113e6a0: a800621e bne r21,zero,8113e82c <__udivdi3+0x304> -8113e6a4: 3c00e936 bltu r7,r16,8113ea4c <__udivdi3+0x524> -8113e6a8: 9985403a cmpgeu r2,r19,r6 -8113e6ac: 0007883a mov r3,zero -8113e6b0: 00004506 br 8113e7c8 <__udivdi3+0x2a0> -8113e6b4: 3000041e bne r6,zero,8113e6c8 <__udivdi3+0x1a0> -8113e6b8: 000b883a mov r5,zero -8113e6bc: 01000044 movi r4,1 -8113e6c0: 112b34c0 call 8112b34c <__udivsi3> -8113e6c4: 1023883a mov r17,r2 -8113e6c8: 00bfffd4 movui r2,65535 -8113e6cc: 14404e2e bgeu r2,r17,8113e808 <__udivdi3+0x2e0> -8113e6d0: 00804034 movhi r2,256 -8113e6d4: 10bfffc4 addi r2,r2,-1 -8113e6d8: 1440d836 bltu r2,r17,8113ea3c <__udivdi3+0x514> -8113e6dc: 00800404 movi r2,16 -8113e6e0: 8886d83a srl r3,r17,r2 -8113e6e4: 01204574 movhi r4,33045 -8113e6e8: 213dca04 addi r4,r4,-2264 -8113e6ec: 20c7883a add r3,r4,r3 -8113e6f0: 18c00003 ldbu r3,0(r3) -8113e6f4: 1885883a add r2,r3,r2 -8113e6f8: 00c00804 movi r3,32 -8113e6fc: 1887c83a sub r3,r3,r2 -8113e700: 18008f1e bne r3,zero,8113e940 <__udivdi3+0x418> -8113e704: 882ad43a srli r21,r17,16 -8113e708: 8461c83a sub r16,r16,r17 -8113e70c: 8d3fffcc andi r20,r17,65535 -8113e710: 00c00044 movi r3,1 -8113e714: 8009883a mov r4,r16 -8113e718: a80b883a mov r5,r21 -8113e71c: d8c00015 stw r3,0(sp) -8113e720: 112b3b00 call 8112b3b0 <__umodsi3> -8113e724: 8009883a mov r4,r16 -8113e728: a80b883a mov r5,r21 -8113e72c: 1027883a mov r19,r2 -8113e730: 112b34c0 call 8112b34c <__udivsi3> -8113e734: 9826943a slli r19,r19,16 -8113e738: 9008d43a srli r4,r18,16 -8113e73c: 1521383a mul r16,r2,r20 -8113e740: 102d883a mov r22,r2 -8113e744: 24c8b03a or r4,r4,r19 -8113e748: d8c00017 ldw r3,0(sp) -8113e74c: 2400052e bgeu r4,r16,8113e764 <__udivdi3+0x23c> -8113e750: 2449883a add r4,r4,r17 -8113e754: b0bfffc4 addi r2,r22,-1 -8113e758: 24400136 bltu r4,r17,8113e760 <__udivdi3+0x238> -8113e75c: 2400ca36 bltu r4,r16,8113ea88 <__udivdi3+0x560> -8113e760: 102d883a mov r22,r2 -8113e764: 2421c83a sub r16,r4,r16 +8113e3c8: 8009883a mov r4,r16 +8113e3cc: 903ee83a callr r18 +8113e3d0: 00ffffc4 movi r3,-1 +8113e3d4: 10c0031e bne r2,r3,8113e3e4 <_wcrtomb_r+0x64> +8113e3d8: 88000015 stw zero,0(r17) +8113e3dc: 00c02284 movi r3,138 +8113e3e0: 80c00015 stw r3,0(r16) +8113e3e4: dfc00917 ldw ra,36(sp) +8113e3e8: dc800817 ldw r18,32(sp) +8113e3ec: dc400717 ldw r17,28(sp) +8113e3f0: dc000617 ldw r16,24(sp) +8113e3f4: dec00a04 addi sp,sp,40 +8113e3f8: f800283a ret +8113e3fc: 1136cc00 call 81136cc0 <__locale_charset> +8113e400: 100f883a mov r7,r2 +8113e404: dc400015 stw r17,0(sp) +8113e408: 000d883a mov r6,zero +8113e40c: d9400104 addi r5,sp,4 +8113e410: 8009883a mov r4,r16 +8113e414: 903ee83a callr r18 +8113e418: 003fed06 br 8113e3d0 <__reset+0xfb11e3d0> + +8113e41c : +8113e41c: defff604 addi sp,sp,-40 +8113e420: 00a04574 movhi r2,33045 +8113e424: dc800615 stw r18,24(sp) +8113e428: dc400515 stw r17,20(sp) +8113e42c: 1086f304 addi r2,r2,7116 +8113e430: dfc00915 stw ra,36(sp) +8113e434: dd000815 stw r20,32(sp) +8113e438: dcc00715 stw r19,28(sp) +8113e43c: dc000415 stw r16,16(sp) +8113e440: 3025883a mov r18,r6 +8113e444: 14400017 ldw r17,0(r2) +8113e448: 20001926 beq r4,zero,8113e4b0 +8113e44c: 00a04574 movhi r2,33045 +8113e450: 1086f804 addi r2,r2,7136 +8113e454: 15000017 ldw r20,0(r2) +8113e458: 2021883a mov r16,r4 +8113e45c: 2827883a mov r19,r5 +8113e460: 1136cc00 call 81136cc0 <__locale_charset> +8113e464: 100f883a mov r7,r2 +8113e468: dc800015 stw r18,0(sp) +8113e46c: 980d883a mov r6,r19 +8113e470: 800b883a mov r5,r16 +8113e474: 8809883a mov r4,r17 +8113e478: a03ee83a callr r20 +8113e47c: 00ffffc4 movi r3,-1 +8113e480: 10c0031e bne r2,r3,8113e490 +8113e484: 90000015 stw zero,0(r18) +8113e488: 00c02284 movi r3,138 +8113e48c: 88c00015 stw r3,0(r17) +8113e490: dfc00917 ldw ra,36(sp) +8113e494: dd000817 ldw r20,32(sp) +8113e498: dcc00717 ldw r19,28(sp) +8113e49c: dc800617 ldw r18,24(sp) +8113e4a0: dc400517 ldw r17,20(sp) +8113e4a4: dc000417 ldw r16,16(sp) +8113e4a8: dec00a04 addi sp,sp,40 +8113e4ac: f800283a ret +8113e4b0: 00a04574 movhi r2,33045 +8113e4b4: 1086f804 addi r2,r2,7136 +8113e4b8: 14000017 ldw r16,0(r2) +8113e4bc: 1136cc00 call 81136cc0 <__locale_charset> +8113e4c0: 100f883a mov r7,r2 +8113e4c4: dc800015 stw r18,0(sp) +8113e4c8: 000d883a mov r6,zero +8113e4cc: d9400104 addi r5,sp,4 +8113e4d0: 8809883a mov r4,r17 +8113e4d4: 803ee83a callr r16 +8113e4d8: 003fe806 br 8113e47c <__reset+0xfb11e47c> + +8113e4dc <__ascii_wctomb>: +8113e4dc: 28000526 beq r5,zero,8113e4f4 <__ascii_wctomb+0x18> +8113e4e0: 00803fc4 movi r2,255 +8113e4e4: 11800536 bltu r2,r6,8113e4fc <__ascii_wctomb+0x20> +8113e4e8: 29800005 stb r6,0(r5) +8113e4ec: 00800044 movi r2,1 +8113e4f0: f800283a ret +8113e4f4: 0005883a mov r2,zero +8113e4f8: f800283a ret +8113e4fc: 00802284 movi r2,138 +8113e500: 20800015 stw r2,0(r4) +8113e504: 00bfffc4 movi r2,-1 +8113e508: f800283a ret + +8113e50c <_wctomb_r>: +8113e50c: 00a04574 movhi r2,33045 +8113e510: defff904 addi sp,sp,-28 +8113e514: 1086f804 addi r2,r2,7136 +8113e518: dfc00615 stw ra,24(sp) +8113e51c: dc400515 stw r17,20(sp) +8113e520: dc000415 stw r16,16(sp) +8113e524: 3823883a mov r17,r7 +8113e528: 14000017 ldw r16,0(r2) +8113e52c: d9000115 stw r4,4(sp) +8113e530: d9400215 stw r5,8(sp) +8113e534: d9800315 stw r6,12(sp) +8113e538: 1136cc00 call 81136cc0 <__locale_charset> +8113e53c: d9800317 ldw r6,12(sp) +8113e540: d9400217 ldw r5,8(sp) +8113e544: d9000117 ldw r4,4(sp) +8113e548: 100f883a mov r7,r2 +8113e54c: dc400015 stw r17,0(sp) +8113e550: 803ee83a callr r16 +8113e554: dfc00617 ldw ra,24(sp) +8113e558: dc400517 ldw r17,20(sp) +8113e55c: dc000417 ldw r16,16(sp) +8113e560: dec00704 addi sp,sp,28 +8113e564: f800283a ret + +8113e568 <__udivdi3>: +8113e568: defff504 addi sp,sp,-44 +8113e56c: dcc00415 stw r19,16(sp) +8113e570: dc000115 stw r16,4(sp) +8113e574: dfc00a15 stw ra,40(sp) +8113e578: df000915 stw fp,36(sp) +8113e57c: ddc00815 stw r23,32(sp) +8113e580: dd800715 stw r22,28(sp) +8113e584: dd400615 stw r21,24(sp) +8113e588: dd000515 stw r20,20(sp) +8113e58c: dc800315 stw r18,12(sp) +8113e590: dc400215 stw r17,8(sp) +8113e594: 2027883a mov r19,r4 +8113e598: 2821883a mov r16,r5 +8113e59c: 3800411e bne r7,zero,8113e6a4 <__udivdi3+0x13c> +8113e5a0: 3023883a mov r17,r6 +8113e5a4: 2025883a mov r18,r4 +8113e5a8: 2980522e bgeu r5,r6,8113e6f4 <__udivdi3+0x18c> +8113e5ac: 00bfffd4 movui r2,65535 +8113e5b0: 282d883a mov r22,r5 +8113e5b4: 1180a836 bltu r2,r6,8113e858 <__udivdi3+0x2f0> +8113e5b8: 00803fc4 movi r2,255 +8113e5bc: 1185803a cmpltu r2,r2,r6 +8113e5c0: 100490fa slli r2,r2,3 +8113e5c4: 3086d83a srl r3,r6,r2 +8113e5c8: 01204574 movhi r4,33045 +8113e5cc: 213ddb04 addi r4,r4,-2196 +8113e5d0: 20c7883a add r3,r4,r3 +8113e5d4: 18c00003 ldbu r3,0(r3) +8113e5d8: 1885883a add r2,r3,r2 +8113e5dc: 00c00804 movi r3,32 +8113e5e0: 1887c83a sub r3,r3,r2 +8113e5e4: 18000526 beq r3,zero,8113e5fc <__udivdi3+0x94> +8113e5e8: 80e0983a sll r16,r16,r3 +8113e5ec: 9884d83a srl r2,r19,r2 +8113e5f0: 30e2983a sll r17,r6,r3 +8113e5f4: 98e4983a sll r18,r19,r3 +8113e5f8: 142cb03a or r22,r2,r16 +8113e5fc: 882ad43a srli r21,r17,16 +8113e600: b009883a mov r4,r22 +8113e604: 8d3fffcc andi r20,r17,65535 +8113e608: a80b883a mov r5,r21 +8113e60c: 112b3f00 call 8112b3f0 <__umodsi3> +8113e610: b009883a mov r4,r22 +8113e614: a80b883a mov r5,r21 +8113e618: 1027883a mov r19,r2 +8113e61c: 112b38c0 call 8112b38c <__udivsi3> +8113e620: 102d883a mov r22,r2 +8113e624: 9826943a slli r19,r19,16 +8113e628: 9004d43a srli r2,r18,16 +8113e62c: a5a1383a mul r16,r20,r22 +8113e630: 14c4b03a or r2,r2,r19 +8113e634: 1400052e bgeu r2,r16,8113e64c <__udivdi3+0xe4> +8113e638: 1445883a add r2,r2,r17 +8113e63c: b0ffffc4 addi r3,r22,-1 +8113e640: 14400136 bltu r2,r17,8113e648 <__udivdi3+0xe0> +8113e644: 14012336 bltu r2,r16,8113ead4 <__udivdi3+0x56c> +8113e648: 182d883a mov r22,r3 +8113e64c: 1421c83a sub r16,r2,r16 +8113e650: a80b883a mov r5,r21 +8113e654: 8009883a mov r4,r16 +8113e658: 112b3f00 call 8112b3f0 <__umodsi3> +8113e65c: 1027883a mov r19,r2 +8113e660: a80b883a mov r5,r21 +8113e664: 8009883a mov r4,r16 +8113e668: 112b38c0 call 8112b38c <__udivsi3> +8113e66c: 9826943a slli r19,r19,16 +8113e670: a0a9383a mul r20,r20,r2 +8113e674: 94bfffcc andi r18,r18,65535 +8113e678: 94e4b03a or r18,r18,r19 +8113e67c: 9500052e bgeu r18,r20,8113e694 <__udivdi3+0x12c> +8113e680: 8ca5883a add r18,r17,r18 +8113e684: 10ffffc4 addi r3,r2,-1 +8113e688: 9440f136 bltu r18,r17,8113ea50 <__udivdi3+0x4e8> +8113e68c: 9500f02e bgeu r18,r20,8113ea50 <__udivdi3+0x4e8> +8113e690: 10bfff84 addi r2,r2,-2 +8113e694: b00c943a slli r6,r22,16 +8113e698: 0007883a mov r3,zero +8113e69c: 3084b03a or r2,r6,r2 +8113e6a0: 00005906 br 8113e808 <__udivdi3+0x2a0> +8113e6a4: 29c05636 bltu r5,r7,8113e800 <__udivdi3+0x298> +8113e6a8: 00bfffd4 movui r2,65535 +8113e6ac: 11c0622e bgeu r2,r7,8113e838 <__udivdi3+0x2d0> +8113e6b0: 00804034 movhi r2,256 +8113e6b4: 10bfffc4 addi r2,r2,-1 +8113e6b8: 11c0ee36 bltu r2,r7,8113ea74 <__udivdi3+0x50c> +8113e6bc: 00800404 movi r2,16 +8113e6c0: 3886d83a srl r3,r7,r2 +8113e6c4: 01204574 movhi r4,33045 +8113e6c8: 213ddb04 addi r4,r4,-2196 +8113e6cc: 20c7883a add r3,r4,r3 +8113e6d0: 18c00003 ldbu r3,0(r3) +8113e6d4: 05400804 movi r21,32 +8113e6d8: 1885883a add r2,r3,r2 +8113e6dc: a8abc83a sub r21,r21,r2 +8113e6e0: a800621e bne r21,zero,8113e86c <__udivdi3+0x304> +8113e6e4: 3c00e936 bltu r7,r16,8113ea8c <__udivdi3+0x524> +8113e6e8: 9985403a cmpgeu r2,r19,r6 +8113e6ec: 0007883a mov r3,zero +8113e6f0: 00004506 br 8113e808 <__udivdi3+0x2a0> +8113e6f4: 3000041e bne r6,zero,8113e708 <__udivdi3+0x1a0> +8113e6f8: 000b883a mov r5,zero +8113e6fc: 01000044 movi r4,1 +8113e700: 112b38c0 call 8112b38c <__udivsi3> +8113e704: 1023883a mov r17,r2 +8113e708: 00bfffd4 movui r2,65535 +8113e70c: 14404e2e bgeu r2,r17,8113e848 <__udivdi3+0x2e0> +8113e710: 00804034 movhi r2,256 +8113e714: 10bfffc4 addi r2,r2,-1 +8113e718: 1440d836 bltu r2,r17,8113ea7c <__udivdi3+0x514> +8113e71c: 00800404 movi r2,16 +8113e720: 8886d83a srl r3,r17,r2 +8113e724: 01204574 movhi r4,33045 +8113e728: 213ddb04 addi r4,r4,-2196 +8113e72c: 20c7883a add r3,r4,r3 +8113e730: 18c00003 ldbu r3,0(r3) +8113e734: 1885883a add r2,r3,r2 +8113e738: 00c00804 movi r3,32 +8113e73c: 1887c83a sub r3,r3,r2 +8113e740: 18008f1e bne r3,zero,8113e980 <__udivdi3+0x418> +8113e744: 882ad43a srli r21,r17,16 +8113e748: 8461c83a sub r16,r16,r17 +8113e74c: 8d3fffcc andi r20,r17,65535 +8113e750: 00c00044 movi r3,1 +8113e754: 8009883a mov r4,r16 +8113e758: a80b883a mov r5,r21 +8113e75c: d8c00015 stw r3,0(sp) +8113e760: 112b3f00 call 8112b3f0 <__umodsi3> +8113e764: 8009883a mov r4,r16 8113e768: a80b883a mov r5,r21 -8113e76c: 8009883a mov r4,r16 -8113e770: d8c00015 stw r3,0(sp) -8113e774: 112b3b00 call 8112b3b0 <__umodsi3> -8113e778: 1027883a mov r19,r2 -8113e77c: a80b883a mov r5,r21 -8113e780: 8009883a mov r4,r16 -8113e784: 112b34c0 call 8112b34c <__udivsi3> -8113e788: 9826943a slli r19,r19,16 -8113e78c: 1529383a mul r20,r2,r20 -8113e790: 94bfffcc andi r18,r18,65535 -8113e794: 94e4b03a or r18,r18,r19 -8113e798: d8c00017 ldw r3,0(sp) -8113e79c: 9500052e bgeu r18,r20,8113e7b4 <__udivdi3+0x28c> -8113e7a0: 8ca5883a add r18,r17,r18 -8113e7a4: 113fffc4 addi r4,r2,-1 -8113e7a8: 94409736 bltu r18,r17,8113ea08 <__udivdi3+0x4e0> -8113e7ac: 9500962e bgeu r18,r20,8113ea08 <__udivdi3+0x4e0> -8113e7b0: 10bfff84 addi r2,r2,-2 -8113e7b4: b00c943a slli r6,r22,16 -8113e7b8: 3084b03a or r2,r6,r2 -8113e7bc: 00000206 br 8113e7c8 <__udivdi3+0x2a0> -8113e7c0: 0007883a mov r3,zero -8113e7c4: 0005883a mov r2,zero -8113e7c8: dfc00a17 ldw ra,40(sp) -8113e7cc: df000917 ldw fp,36(sp) -8113e7d0: ddc00817 ldw r23,32(sp) -8113e7d4: dd800717 ldw r22,28(sp) -8113e7d8: dd400617 ldw r21,24(sp) -8113e7dc: dd000517 ldw r20,20(sp) -8113e7e0: dcc00417 ldw r19,16(sp) -8113e7e4: dc800317 ldw r18,12(sp) -8113e7e8: dc400217 ldw r17,8(sp) -8113e7ec: dc000117 ldw r16,4(sp) -8113e7f0: dec00b04 addi sp,sp,44 -8113e7f4: f800283a ret -8113e7f8: 00803fc4 movi r2,255 -8113e7fc: 11c5803a cmpltu r2,r2,r7 -8113e800: 100490fa slli r2,r2,3 -8113e804: 003f9e06 br 8113e680 <__reset+0xfb11e680> -8113e808: 00803fc4 movi r2,255 -8113e80c: 1445803a cmpltu r2,r2,r17 -8113e810: 100490fa slli r2,r2,3 -8113e814: 003fb206 br 8113e6e0 <__reset+0xfb11e6e0> -8113e818: 00804034 movhi r2,256 -8113e81c: 10bfffc4 addi r2,r2,-1 -8113e820: 11808836 bltu r2,r6,8113ea44 <__udivdi3+0x51c> -8113e824: 00800404 movi r2,16 -8113e828: 003f5606 br 8113e584 <__reset+0xfb11e584> -8113e82c: 30aed83a srl r23,r6,r2 -8113e830: 3d4e983a sll r7,r7,r21 -8113e834: 80acd83a srl r22,r16,r2 -8113e838: 9884d83a srl r2,r19,r2 -8113e83c: 3deeb03a or r23,r7,r23 -8113e840: b824d43a srli r18,r23,16 -8113e844: 8560983a sll r16,r16,r21 -8113e848: b009883a mov r4,r22 -8113e84c: 900b883a mov r5,r18 -8113e850: 3568983a sll r20,r6,r21 -8113e854: 1420b03a or r16,r2,r16 -8113e858: 112b3b00 call 8112b3b0 <__umodsi3> -8113e85c: b009883a mov r4,r22 -8113e860: 900b883a mov r5,r18 -8113e864: 1023883a mov r17,r2 -8113e868: 112b34c0 call 8112b34c <__udivsi3> -8113e86c: 8808943a slli r4,r17,16 -8113e870: bf3fffcc andi fp,r23,65535 -8113e874: 8006d43a srli r3,r16,16 -8113e878: e0a3383a mul r17,fp,r2 -8113e87c: 100d883a mov r6,r2 -8113e880: 1906b03a or r3,r3,r4 -8113e884: 1c40042e bgeu r3,r17,8113e898 <__udivdi3+0x370> -8113e888: 1dc7883a add r3,r3,r23 -8113e88c: 10bfffc4 addi r2,r2,-1 -8113e890: 1dc0752e bgeu r3,r23,8113ea68 <__udivdi3+0x540> -8113e894: 100d883a mov r6,r2 -8113e898: 1c63c83a sub r17,r3,r17 -8113e89c: 900b883a mov r5,r18 -8113e8a0: 8809883a mov r4,r17 -8113e8a4: d9800015 stw r6,0(sp) -8113e8a8: 112b3b00 call 8112b3b0 <__umodsi3> -8113e8ac: 102d883a mov r22,r2 -8113e8b0: 8809883a mov r4,r17 -8113e8b4: 900b883a mov r5,r18 -8113e8b8: 112b34c0 call 8112b34c <__udivsi3> -8113e8bc: b02c943a slli r22,r22,16 -8113e8c0: e089383a mul r4,fp,r2 -8113e8c4: 843fffcc andi r16,r16,65535 -8113e8c8: 85a0b03a or r16,r16,r22 -8113e8cc: d9800017 ldw r6,0(sp) -8113e8d0: 8100042e bgeu r16,r4,8113e8e4 <__udivdi3+0x3bc> -8113e8d4: 85e1883a add r16,r16,r23 -8113e8d8: 10ffffc4 addi r3,r2,-1 -8113e8dc: 85c05e2e bgeu r16,r23,8113ea58 <__udivdi3+0x530> -8113e8e0: 1805883a mov r2,r3 -8113e8e4: 300c943a slli r6,r6,16 -8113e8e8: a17fffcc andi r5,r20,65535 -8113e8ec: a028d43a srli r20,r20,16 -8113e8f0: 3084b03a or r2,r6,r2 -8113e8f4: 10ffffcc andi r3,r2,65535 -8113e8f8: 100cd43a srli r6,r2,16 -8113e8fc: 194f383a mul r7,r3,r5 -8113e900: 1d07383a mul r3,r3,r20 -8113e904: 314b383a mul r5,r6,r5 -8113e908: 3810d43a srli r8,r7,16 -8113e90c: 8121c83a sub r16,r16,r4 -8113e910: 1947883a add r3,r3,r5 -8113e914: 40c7883a add r3,r8,r3 -8113e918: 350d383a mul r6,r6,r20 -8113e91c: 1940022e bgeu r3,r5,8113e928 <__udivdi3+0x400> -8113e920: 01000074 movhi r4,1 -8113e924: 310d883a add r6,r6,r4 -8113e928: 1828d43a srli r20,r3,16 -8113e92c: a18d883a add r6,r20,r6 -8113e930: 81803e36 bltu r16,r6,8113ea2c <__udivdi3+0x504> -8113e934: 81803826 beq r16,r6,8113ea18 <__udivdi3+0x4f0> -8113e938: 0007883a mov r3,zero -8113e93c: 003fa206 br 8113e7c8 <__reset+0xfb11e7c8> -8113e940: 88e2983a sll r17,r17,r3 -8113e944: 80a8d83a srl r20,r16,r2 -8113e948: 80e0983a sll r16,r16,r3 -8113e94c: 882ad43a srli r21,r17,16 -8113e950: 9884d83a srl r2,r19,r2 -8113e954: a009883a mov r4,r20 -8113e958: a80b883a mov r5,r21 -8113e95c: 142eb03a or r23,r2,r16 -8113e960: 98e4983a sll r18,r19,r3 -8113e964: 112b3b00 call 8112b3b0 <__umodsi3> -8113e968: a009883a mov r4,r20 -8113e96c: a80b883a mov r5,r21 -8113e970: 1021883a mov r16,r2 -8113e974: 112b34c0 call 8112b34c <__udivsi3> -8113e978: 1039883a mov fp,r2 -8113e97c: 8d3fffcc andi r20,r17,65535 -8113e980: 8020943a slli r16,r16,16 -8113e984: b804d43a srli r2,r23,16 -8113e988: a72d383a mul r22,r20,fp -8113e98c: 1404b03a or r2,r2,r16 -8113e990: 1580062e bgeu r2,r22,8113e9ac <__udivdi3+0x484> -8113e994: 1445883a add r2,r2,r17 -8113e998: e0ffffc4 addi r3,fp,-1 -8113e99c: 14403836 bltu r2,r17,8113ea80 <__udivdi3+0x558> -8113e9a0: 1580372e bgeu r2,r22,8113ea80 <__udivdi3+0x558> -8113e9a4: e73fff84 addi fp,fp,-2 -8113e9a8: 1445883a add r2,r2,r17 -8113e9ac: 15adc83a sub r22,r2,r22 -8113e9b0: a80b883a mov r5,r21 -8113e9b4: b009883a mov r4,r22 -8113e9b8: 112b3b00 call 8112b3b0 <__umodsi3> -8113e9bc: 1027883a mov r19,r2 -8113e9c0: b009883a mov r4,r22 -8113e9c4: a80b883a mov r5,r21 -8113e9c8: 112b34c0 call 8112b34c <__udivsi3> -8113e9cc: 9826943a slli r19,r19,16 -8113e9d0: a0a1383a mul r16,r20,r2 -8113e9d4: b93fffcc andi r4,r23,65535 -8113e9d8: 24c8b03a or r4,r4,r19 -8113e9dc: 2400062e bgeu r4,r16,8113e9f8 <__udivdi3+0x4d0> -8113e9e0: 2449883a add r4,r4,r17 -8113e9e4: 10ffffc4 addi r3,r2,-1 -8113e9e8: 24402336 bltu r4,r17,8113ea78 <__udivdi3+0x550> -8113e9ec: 2400222e bgeu r4,r16,8113ea78 <__udivdi3+0x550> -8113e9f0: 10bfff84 addi r2,r2,-2 -8113e9f4: 2449883a add r4,r4,r17 -8113e9f8: e038943a slli fp,fp,16 -8113e9fc: 2421c83a sub r16,r4,r16 -8113ea00: e086b03a or r3,fp,r2 -8113ea04: 003f4306 br 8113e714 <__reset+0xfb11e714> -8113ea08: 2005883a mov r2,r4 -8113ea0c: 003f6906 br 8113e7b4 <__reset+0xfb11e7b4> -8113ea10: 1805883a mov r2,r3 -8113ea14: 003f0f06 br 8113e654 <__reset+0xfb11e654> -8113ea18: 1806943a slli r3,r3,16 -8113ea1c: 9d66983a sll r19,r19,r21 -8113ea20: 39ffffcc andi r7,r7,65535 -8113ea24: 19c7883a add r3,r3,r7 -8113ea28: 98ffc32e bgeu r19,r3,8113e938 <__reset+0xfb11e938> -8113ea2c: 10bfffc4 addi r2,r2,-1 -8113ea30: 003fc106 br 8113e938 <__reset+0xfb11e938> -8113ea34: 00800604 movi r2,24 -8113ea38: 003f1106 br 8113e680 <__reset+0xfb11e680> -8113ea3c: 00800604 movi r2,24 -8113ea40: 003f2706 br 8113e6e0 <__reset+0xfb11e6e0> -8113ea44: 00800604 movi r2,24 -8113ea48: 003ece06 br 8113e584 <__reset+0xfb11e584> -8113ea4c: 0007883a mov r3,zero -8113ea50: 00800044 movi r2,1 -8113ea54: 003f5c06 br 8113e7c8 <__reset+0xfb11e7c8> -8113ea58: 813fa12e bgeu r16,r4,8113e8e0 <__reset+0xfb11e8e0> -8113ea5c: 10bfff84 addi r2,r2,-2 -8113ea60: 85e1883a add r16,r16,r23 -8113ea64: 003f9f06 br 8113e8e4 <__reset+0xfb11e8e4> -8113ea68: 1c7f8a2e bgeu r3,r17,8113e894 <__reset+0xfb11e894> -8113ea6c: 31bfff84 addi r6,r6,-2 -8113ea70: 1dc7883a add r3,r3,r23 -8113ea74: 003f8806 br 8113e898 <__reset+0xfb11e898> -8113ea78: 1805883a mov r2,r3 -8113ea7c: 003fde06 br 8113e9f8 <__reset+0xfb11e9f8> -8113ea80: 1839883a mov fp,r3 -8113ea84: 003fc906 br 8113e9ac <__reset+0xfb11e9ac> -8113ea88: b5bfff84 addi r22,r22,-2 -8113ea8c: 2449883a add r4,r4,r17 -8113ea90: 003f3406 br 8113e764 <__reset+0xfb11e764> -8113ea94: b5bfff84 addi r22,r22,-2 -8113ea98: 1445883a add r2,r2,r17 -8113ea9c: 003edb06 br 8113e60c <__reset+0xfb11e60c> - -8113eaa0 <__umoddi3>: -8113eaa0: defff404 addi sp,sp,-48 -8113eaa4: df000a15 stw fp,40(sp) -8113eaa8: dc400315 stw r17,12(sp) -8113eaac: dc000215 stw r16,8(sp) -8113eab0: dfc00b15 stw ra,44(sp) -8113eab4: ddc00915 stw r23,36(sp) -8113eab8: dd800815 stw r22,32(sp) -8113eabc: dd400715 stw r21,28(sp) -8113eac0: dd000615 stw r20,24(sp) -8113eac4: dcc00515 stw r19,20(sp) -8113eac8: dc800415 stw r18,16(sp) -8113eacc: 2021883a mov r16,r4 -8113ead0: 2823883a mov r17,r5 -8113ead4: 2839883a mov fp,r5 -8113ead8: 38003c1e bne r7,zero,8113ebcc <__umoddi3+0x12c> -8113eadc: 3027883a mov r19,r6 -8113eae0: 2029883a mov r20,r4 -8113eae4: 2980512e bgeu r5,r6,8113ec2c <__umoddi3+0x18c> -8113eae8: 00bfffd4 movui r2,65535 -8113eaec: 11809a36 bltu r2,r6,8113ed58 <__umoddi3+0x2b8> -8113eaf0: 01003fc4 movi r4,255 -8113eaf4: 2189803a cmpltu r4,r4,r6 -8113eaf8: 200890fa slli r4,r4,3 -8113eafc: 3104d83a srl r2,r6,r4 -8113eb00: 00e04574 movhi r3,33045 -8113eb04: 18fdca04 addi r3,r3,-2264 -8113eb08: 1885883a add r2,r3,r2 -8113eb0c: 10c00003 ldbu r3,0(r2) -8113eb10: 00800804 movi r2,32 -8113eb14: 1909883a add r4,r3,r4 -8113eb18: 1125c83a sub r18,r2,r4 -8113eb1c: 90000526 beq r18,zero,8113eb34 <__umoddi3+0x94> -8113eb20: 8ca2983a sll r17,r17,r18 -8113eb24: 8108d83a srl r4,r16,r4 -8113eb28: 34a6983a sll r19,r6,r18 -8113eb2c: 84a8983a sll r20,r16,r18 -8113eb30: 2478b03a or fp,r4,r17 -8113eb34: 982ed43a srli r23,r19,16 -8113eb38: e009883a mov r4,fp -8113eb3c: 9dbfffcc andi r22,r19,65535 -8113eb40: b80b883a mov r5,r23 -8113eb44: 112b3b00 call 8112b3b0 <__umodsi3> -8113eb48: e009883a mov r4,fp -8113eb4c: b80b883a mov r5,r23 -8113eb50: 102b883a mov r21,r2 -8113eb54: 112b34c0 call 8112b34c <__udivsi3> -8113eb58: a806943a slli r3,r21,16 -8113eb5c: a008d43a srli r4,r20,16 -8113eb60: b085383a mul r2,r22,r2 -8113eb64: 20c8b03a or r4,r4,r3 -8113eb68: 2080032e bgeu r4,r2,8113eb78 <__umoddi3+0xd8> -8113eb6c: 24c9883a add r4,r4,r19 -8113eb70: 24c00136 bltu r4,r19,8113eb78 <__umoddi3+0xd8> -8113eb74: 20811036 bltu r4,r2,8113efb8 <__umoddi3+0x518> -8113eb78: 20abc83a sub r21,r4,r2 -8113eb7c: b80b883a mov r5,r23 -8113eb80: a809883a mov r4,r21 -8113eb84: 112b3b00 call 8112b3b0 <__umodsi3> -8113eb88: 1023883a mov r17,r2 +8113e76c: 1027883a mov r19,r2 +8113e770: 112b38c0 call 8112b38c <__udivsi3> +8113e774: 9826943a slli r19,r19,16 +8113e778: 9008d43a srli r4,r18,16 +8113e77c: 1521383a mul r16,r2,r20 +8113e780: 102d883a mov r22,r2 +8113e784: 24c8b03a or r4,r4,r19 +8113e788: d8c00017 ldw r3,0(sp) +8113e78c: 2400052e bgeu r4,r16,8113e7a4 <__udivdi3+0x23c> +8113e790: 2449883a add r4,r4,r17 +8113e794: b0bfffc4 addi r2,r22,-1 +8113e798: 24400136 bltu r4,r17,8113e7a0 <__udivdi3+0x238> +8113e79c: 2400ca36 bltu r4,r16,8113eac8 <__udivdi3+0x560> +8113e7a0: 102d883a mov r22,r2 +8113e7a4: 2421c83a sub r16,r4,r16 +8113e7a8: a80b883a mov r5,r21 +8113e7ac: 8009883a mov r4,r16 +8113e7b0: d8c00015 stw r3,0(sp) +8113e7b4: 112b3f00 call 8112b3f0 <__umodsi3> +8113e7b8: 1027883a mov r19,r2 +8113e7bc: a80b883a mov r5,r21 +8113e7c0: 8009883a mov r4,r16 +8113e7c4: 112b38c0 call 8112b38c <__udivsi3> +8113e7c8: 9826943a slli r19,r19,16 +8113e7cc: 1529383a mul r20,r2,r20 +8113e7d0: 94bfffcc andi r18,r18,65535 +8113e7d4: 94e4b03a or r18,r18,r19 +8113e7d8: d8c00017 ldw r3,0(sp) +8113e7dc: 9500052e bgeu r18,r20,8113e7f4 <__udivdi3+0x28c> +8113e7e0: 8ca5883a add r18,r17,r18 +8113e7e4: 113fffc4 addi r4,r2,-1 +8113e7e8: 94409736 bltu r18,r17,8113ea48 <__udivdi3+0x4e0> +8113e7ec: 9500962e bgeu r18,r20,8113ea48 <__udivdi3+0x4e0> +8113e7f0: 10bfff84 addi r2,r2,-2 +8113e7f4: b00c943a slli r6,r22,16 +8113e7f8: 3084b03a or r2,r6,r2 +8113e7fc: 00000206 br 8113e808 <__udivdi3+0x2a0> +8113e800: 0007883a mov r3,zero +8113e804: 0005883a mov r2,zero +8113e808: dfc00a17 ldw ra,40(sp) +8113e80c: df000917 ldw fp,36(sp) +8113e810: ddc00817 ldw r23,32(sp) +8113e814: dd800717 ldw r22,28(sp) +8113e818: dd400617 ldw r21,24(sp) +8113e81c: dd000517 ldw r20,20(sp) +8113e820: dcc00417 ldw r19,16(sp) +8113e824: dc800317 ldw r18,12(sp) +8113e828: dc400217 ldw r17,8(sp) +8113e82c: dc000117 ldw r16,4(sp) +8113e830: dec00b04 addi sp,sp,44 +8113e834: f800283a ret +8113e838: 00803fc4 movi r2,255 +8113e83c: 11c5803a cmpltu r2,r2,r7 +8113e840: 100490fa slli r2,r2,3 +8113e844: 003f9e06 br 8113e6c0 <__reset+0xfb11e6c0> +8113e848: 00803fc4 movi r2,255 +8113e84c: 1445803a cmpltu r2,r2,r17 +8113e850: 100490fa slli r2,r2,3 +8113e854: 003fb206 br 8113e720 <__reset+0xfb11e720> +8113e858: 00804034 movhi r2,256 +8113e85c: 10bfffc4 addi r2,r2,-1 +8113e860: 11808836 bltu r2,r6,8113ea84 <__udivdi3+0x51c> +8113e864: 00800404 movi r2,16 +8113e868: 003f5606 br 8113e5c4 <__reset+0xfb11e5c4> +8113e86c: 30aed83a srl r23,r6,r2 +8113e870: 3d4e983a sll r7,r7,r21 +8113e874: 80acd83a srl r22,r16,r2 +8113e878: 9884d83a srl r2,r19,r2 +8113e87c: 3deeb03a or r23,r7,r23 +8113e880: b824d43a srli r18,r23,16 +8113e884: 8560983a sll r16,r16,r21 +8113e888: b009883a mov r4,r22 +8113e88c: 900b883a mov r5,r18 +8113e890: 3568983a sll r20,r6,r21 +8113e894: 1420b03a or r16,r2,r16 +8113e898: 112b3f00 call 8112b3f0 <__umodsi3> +8113e89c: b009883a mov r4,r22 +8113e8a0: 900b883a mov r5,r18 +8113e8a4: 1023883a mov r17,r2 +8113e8a8: 112b38c0 call 8112b38c <__udivsi3> +8113e8ac: 8808943a slli r4,r17,16 +8113e8b0: bf3fffcc andi fp,r23,65535 +8113e8b4: 8006d43a srli r3,r16,16 +8113e8b8: e0a3383a mul r17,fp,r2 +8113e8bc: 100d883a mov r6,r2 +8113e8c0: 1906b03a or r3,r3,r4 +8113e8c4: 1c40042e bgeu r3,r17,8113e8d8 <__udivdi3+0x370> +8113e8c8: 1dc7883a add r3,r3,r23 +8113e8cc: 10bfffc4 addi r2,r2,-1 +8113e8d0: 1dc0752e bgeu r3,r23,8113eaa8 <__udivdi3+0x540> +8113e8d4: 100d883a mov r6,r2 +8113e8d8: 1c63c83a sub r17,r3,r17 +8113e8dc: 900b883a mov r5,r18 +8113e8e0: 8809883a mov r4,r17 +8113e8e4: d9800015 stw r6,0(sp) +8113e8e8: 112b3f00 call 8112b3f0 <__umodsi3> +8113e8ec: 102d883a mov r22,r2 +8113e8f0: 8809883a mov r4,r17 +8113e8f4: 900b883a mov r5,r18 +8113e8f8: 112b38c0 call 8112b38c <__udivsi3> +8113e8fc: b02c943a slli r22,r22,16 +8113e900: e089383a mul r4,fp,r2 +8113e904: 843fffcc andi r16,r16,65535 +8113e908: 85a0b03a or r16,r16,r22 +8113e90c: d9800017 ldw r6,0(sp) +8113e910: 8100042e bgeu r16,r4,8113e924 <__udivdi3+0x3bc> +8113e914: 85e1883a add r16,r16,r23 +8113e918: 10ffffc4 addi r3,r2,-1 +8113e91c: 85c05e2e bgeu r16,r23,8113ea98 <__udivdi3+0x530> +8113e920: 1805883a mov r2,r3 +8113e924: 300c943a slli r6,r6,16 +8113e928: a17fffcc andi r5,r20,65535 +8113e92c: a028d43a srli r20,r20,16 +8113e930: 3084b03a or r2,r6,r2 +8113e934: 10ffffcc andi r3,r2,65535 +8113e938: 100cd43a srli r6,r2,16 +8113e93c: 194f383a mul r7,r3,r5 +8113e940: 1d07383a mul r3,r3,r20 +8113e944: 314b383a mul r5,r6,r5 +8113e948: 3810d43a srli r8,r7,16 +8113e94c: 8121c83a sub r16,r16,r4 +8113e950: 1947883a add r3,r3,r5 +8113e954: 40c7883a add r3,r8,r3 +8113e958: 350d383a mul r6,r6,r20 +8113e95c: 1940022e bgeu r3,r5,8113e968 <__udivdi3+0x400> +8113e960: 01000074 movhi r4,1 +8113e964: 310d883a add r6,r6,r4 +8113e968: 1828d43a srli r20,r3,16 +8113e96c: a18d883a add r6,r20,r6 +8113e970: 81803e36 bltu r16,r6,8113ea6c <__udivdi3+0x504> +8113e974: 81803826 beq r16,r6,8113ea58 <__udivdi3+0x4f0> +8113e978: 0007883a mov r3,zero +8113e97c: 003fa206 br 8113e808 <__reset+0xfb11e808> +8113e980: 88e2983a sll r17,r17,r3 +8113e984: 80a8d83a srl r20,r16,r2 +8113e988: 80e0983a sll r16,r16,r3 +8113e98c: 882ad43a srli r21,r17,16 +8113e990: 9884d83a srl r2,r19,r2 +8113e994: a009883a mov r4,r20 +8113e998: a80b883a mov r5,r21 +8113e99c: 142eb03a or r23,r2,r16 +8113e9a0: 98e4983a sll r18,r19,r3 +8113e9a4: 112b3f00 call 8112b3f0 <__umodsi3> +8113e9a8: a009883a mov r4,r20 +8113e9ac: a80b883a mov r5,r21 +8113e9b0: 1021883a mov r16,r2 +8113e9b4: 112b38c0 call 8112b38c <__udivsi3> +8113e9b8: 1039883a mov fp,r2 +8113e9bc: 8d3fffcc andi r20,r17,65535 +8113e9c0: 8020943a slli r16,r16,16 +8113e9c4: b804d43a srli r2,r23,16 +8113e9c8: a72d383a mul r22,r20,fp +8113e9cc: 1404b03a or r2,r2,r16 +8113e9d0: 1580062e bgeu r2,r22,8113e9ec <__udivdi3+0x484> +8113e9d4: 1445883a add r2,r2,r17 +8113e9d8: e0ffffc4 addi r3,fp,-1 +8113e9dc: 14403836 bltu r2,r17,8113eac0 <__udivdi3+0x558> +8113e9e0: 1580372e bgeu r2,r22,8113eac0 <__udivdi3+0x558> +8113e9e4: e73fff84 addi fp,fp,-2 +8113e9e8: 1445883a add r2,r2,r17 +8113e9ec: 15adc83a sub r22,r2,r22 +8113e9f0: a80b883a mov r5,r21 +8113e9f4: b009883a mov r4,r22 +8113e9f8: 112b3f00 call 8112b3f0 <__umodsi3> +8113e9fc: 1027883a mov r19,r2 +8113ea00: b009883a mov r4,r22 +8113ea04: a80b883a mov r5,r21 +8113ea08: 112b38c0 call 8112b38c <__udivsi3> +8113ea0c: 9826943a slli r19,r19,16 +8113ea10: a0a1383a mul r16,r20,r2 +8113ea14: b93fffcc andi r4,r23,65535 +8113ea18: 24c8b03a or r4,r4,r19 +8113ea1c: 2400062e bgeu r4,r16,8113ea38 <__udivdi3+0x4d0> +8113ea20: 2449883a add r4,r4,r17 +8113ea24: 10ffffc4 addi r3,r2,-1 +8113ea28: 24402336 bltu r4,r17,8113eab8 <__udivdi3+0x550> +8113ea2c: 2400222e bgeu r4,r16,8113eab8 <__udivdi3+0x550> +8113ea30: 10bfff84 addi r2,r2,-2 +8113ea34: 2449883a add r4,r4,r17 +8113ea38: e038943a slli fp,fp,16 +8113ea3c: 2421c83a sub r16,r4,r16 +8113ea40: e086b03a or r3,fp,r2 +8113ea44: 003f4306 br 8113e754 <__reset+0xfb11e754> +8113ea48: 2005883a mov r2,r4 +8113ea4c: 003f6906 br 8113e7f4 <__reset+0xfb11e7f4> +8113ea50: 1805883a mov r2,r3 +8113ea54: 003f0f06 br 8113e694 <__reset+0xfb11e694> +8113ea58: 1806943a slli r3,r3,16 +8113ea5c: 9d66983a sll r19,r19,r21 +8113ea60: 39ffffcc andi r7,r7,65535 +8113ea64: 19c7883a add r3,r3,r7 +8113ea68: 98ffc32e bgeu r19,r3,8113e978 <__reset+0xfb11e978> +8113ea6c: 10bfffc4 addi r2,r2,-1 +8113ea70: 003fc106 br 8113e978 <__reset+0xfb11e978> +8113ea74: 00800604 movi r2,24 +8113ea78: 003f1106 br 8113e6c0 <__reset+0xfb11e6c0> +8113ea7c: 00800604 movi r2,24 +8113ea80: 003f2706 br 8113e720 <__reset+0xfb11e720> +8113ea84: 00800604 movi r2,24 +8113ea88: 003ece06 br 8113e5c4 <__reset+0xfb11e5c4> +8113ea8c: 0007883a mov r3,zero +8113ea90: 00800044 movi r2,1 +8113ea94: 003f5c06 br 8113e808 <__reset+0xfb11e808> +8113ea98: 813fa12e bgeu r16,r4,8113e920 <__reset+0xfb11e920> +8113ea9c: 10bfff84 addi r2,r2,-2 +8113eaa0: 85e1883a add r16,r16,r23 +8113eaa4: 003f9f06 br 8113e924 <__reset+0xfb11e924> +8113eaa8: 1c7f8a2e bgeu r3,r17,8113e8d4 <__reset+0xfb11e8d4> +8113eaac: 31bfff84 addi r6,r6,-2 +8113eab0: 1dc7883a add r3,r3,r23 +8113eab4: 003f8806 br 8113e8d8 <__reset+0xfb11e8d8> +8113eab8: 1805883a mov r2,r3 +8113eabc: 003fde06 br 8113ea38 <__reset+0xfb11ea38> +8113eac0: 1839883a mov fp,r3 +8113eac4: 003fc906 br 8113e9ec <__reset+0xfb11e9ec> +8113eac8: b5bfff84 addi r22,r22,-2 +8113eacc: 2449883a add r4,r4,r17 +8113ead0: 003f3406 br 8113e7a4 <__reset+0xfb11e7a4> +8113ead4: b5bfff84 addi r22,r22,-2 +8113ead8: 1445883a add r2,r2,r17 +8113eadc: 003edb06 br 8113e64c <__reset+0xfb11e64c> + +8113eae0 <__umoddi3>: +8113eae0: defff404 addi sp,sp,-48 +8113eae4: df000a15 stw fp,40(sp) +8113eae8: dc400315 stw r17,12(sp) +8113eaec: dc000215 stw r16,8(sp) +8113eaf0: dfc00b15 stw ra,44(sp) +8113eaf4: ddc00915 stw r23,36(sp) +8113eaf8: dd800815 stw r22,32(sp) +8113eafc: dd400715 stw r21,28(sp) +8113eb00: dd000615 stw r20,24(sp) +8113eb04: dcc00515 stw r19,20(sp) +8113eb08: dc800415 stw r18,16(sp) +8113eb0c: 2021883a mov r16,r4 +8113eb10: 2823883a mov r17,r5 +8113eb14: 2839883a mov fp,r5 +8113eb18: 38003c1e bne r7,zero,8113ec0c <__umoddi3+0x12c> +8113eb1c: 3027883a mov r19,r6 +8113eb20: 2029883a mov r20,r4 +8113eb24: 2980512e bgeu r5,r6,8113ec6c <__umoddi3+0x18c> +8113eb28: 00bfffd4 movui r2,65535 +8113eb2c: 11809a36 bltu r2,r6,8113ed98 <__umoddi3+0x2b8> +8113eb30: 01003fc4 movi r4,255 +8113eb34: 2189803a cmpltu r4,r4,r6 +8113eb38: 200890fa slli r4,r4,3 +8113eb3c: 3104d83a srl r2,r6,r4 +8113eb40: 00e04574 movhi r3,33045 +8113eb44: 18fddb04 addi r3,r3,-2196 +8113eb48: 1885883a add r2,r3,r2 +8113eb4c: 10c00003 ldbu r3,0(r2) +8113eb50: 00800804 movi r2,32 +8113eb54: 1909883a add r4,r3,r4 +8113eb58: 1125c83a sub r18,r2,r4 +8113eb5c: 90000526 beq r18,zero,8113eb74 <__umoddi3+0x94> +8113eb60: 8ca2983a sll r17,r17,r18 +8113eb64: 8108d83a srl r4,r16,r4 +8113eb68: 34a6983a sll r19,r6,r18 +8113eb6c: 84a8983a sll r20,r16,r18 +8113eb70: 2478b03a or fp,r4,r17 +8113eb74: 982ed43a srli r23,r19,16 +8113eb78: e009883a mov r4,fp +8113eb7c: 9dbfffcc andi r22,r19,65535 +8113eb80: b80b883a mov r5,r23 +8113eb84: 112b3f00 call 8112b3f0 <__umodsi3> +8113eb88: e009883a mov r4,fp 8113eb8c: b80b883a mov r5,r23 -8113eb90: a809883a mov r4,r21 -8113eb94: 112b34c0 call 8112b34c <__udivsi3> -8113eb98: 8822943a slli r17,r17,16 -8113eb9c: b085383a mul r2,r22,r2 -8113eba0: a0ffffcc andi r3,r20,65535 -8113eba4: 1c46b03a or r3,r3,r17 -8113eba8: 1880042e bgeu r3,r2,8113ebbc <__umoddi3+0x11c> -8113ebac: 1cc7883a add r3,r3,r19 -8113ebb0: 1cc00236 bltu r3,r19,8113ebbc <__umoddi3+0x11c> -8113ebb4: 1880012e bgeu r3,r2,8113ebbc <__umoddi3+0x11c> -8113ebb8: 1cc7883a add r3,r3,r19 -8113ebbc: 1885c83a sub r2,r3,r2 -8113ebc0: 1484d83a srl r2,r2,r18 -8113ebc4: 0007883a mov r3,zero -8113ebc8: 00004f06 br 8113ed08 <__umoddi3+0x268> -8113ebcc: 29c04c36 bltu r5,r7,8113ed00 <__umoddi3+0x260> -8113ebd0: 00bfffd4 movui r2,65535 -8113ebd4: 11c0582e bgeu r2,r7,8113ed38 <__umoddi3+0x298> -8113ebd8: 00804034 movhi r2,256 -8113ebdc: 10bfffc4 addi r2,r2,-1 -8113ebe0: 11c0e736 bltu r2,r7,8113ef80 <__umoddi3+0x4e0> -8113ebe4: 01000404 movi r4,16 -8113ebe8: 3904d83a srl r2,r7,r4 -8113ebec: 00e04574 movhi r3,33045 -8113ebf0: 18fdca04 addi r3,r3,-2264 -8113ebf4: 1885883a add r2,r3,r2 -8113ebf8: 14c00003 ldbu r19,0(r2) -8113ebfc: 00c00804 movi r3,32 -8113ec00: 9927883a add r19,r19,r4 -8113ec04: 1ce9c83a sub r20,r3,r19 -8113ec08: a000581e bne r20,zero,8113ed6c <__umoddi3+0x2cc> -8113ec0c: 3c400136 bltu r7,r17,8113ec14 <__umoddi3+0x174> -8113ec10: 8180eb36 bltu r16,r6,8113efc0 <__umoddi3+0x520> -8113ec14: 8185c83a sub r2,r16,r6 -8113ec18: 89e3c83a sub r17,r17,r7 -8113ec1c: 8089803a cmpltu r4,r16,r2 -8113ec20: 8939c83a sub fp,r17,r4 -8113ec24: e007883a mov r3,fp -8113ec28: 00003706 br 8113ed08 <__umoddi3+0x268> -8113ec2c: 3000041e bne r6,zero,8113ec40 <__umoddi3+0x1a0> -8113ec30: 000b883a mov r5,zero -8113ec34: 01000044 movi r4,1 -8113ec38: 112b34c0 call 8112b34c <__udivsi3> -8113ec3c: 1027883a mov r19,r2 -8113ec40: 00bfffd4 movui r2,65535 -8113ec44: 14c0402e bgeu r2,r19,8113ed48 <__umoddi3+0x2a8> -8113ec48: 00804034 movhi r2,256 -8113ec4c: 10bfffc4 addi r2,r2,-1 -8113ec50: 14c0cd36 bltu r2,r19,8113ef88 <__umoddi3+0x4e8> -8113ec54: 00800404 movi r2,16 -8113ec58: 9886d83a srl r3,r19,r2 -8113ec5c: 01204574 movhi r4,33045 -8113ec60: 213dca04 addi r4,r4,-2264 -8113ec64: 20c7883a add r3,r4,r3 -8113ec68: 18c00003 ldbu r3,0(r3) -8113ec6c: 1887883a add r3,r3,r2 -8113ec70: 00800804 movi r2,32 -8113ec74: 10e5c83a sub r18,r2,r3 -8113ec78: 9000901e bne r18,zero,8113eebc <__umoddi3+0x41c> -8113ec7c: 982cd43a srli r22,r19,16 -8113ec80: 8ce3c83a sub r17,r17,r19 -8113ec84: 9d7fffcc andi r21,r19,65535 -8113ec88: b00b883a mov r5,r22 -8113ec8c: 8809883a mov r4,r17 -8113ec90: 112b3b00 call 8112b3b0 <__umodsi3> -8113ec94: 8809883a mov r4,r17 -8113ec98: b00b883a mov r5,r22 -8113ec9c: 1021883a mov r16,r2 -8113eca0: 112b34c0 call 8112b34c <__udivsi3> -8113eca4: 8006943a slli r3,r16,16 -8113eca8: a008d43a srli r4,r20,16 -8113ecac: 1545383a mul r2,r2,r21 -8113ecb0: 20c8b03a or r4,r4,r3 -8113ecb4: 2080042e bgeu r4,r2,8113ecc8 <__umoddi3+0x228> -8113ecb8: 24c9883a add r4,r4,r19 -8113ecbc: 24c00236 bltu r4,r19,8113ecc8 <__umoddi3+0x228> -8113ecc0: 2080012e bgeu r4,r2,8113ecc8 <__umoddi3+0x228> -8113ecc4: 24c9883a add r4,r4,r19 -8113ecc8: 20a1c83a sub r16,r4,r2 -8113eccc: b00b883a mov r5,r22 -8113ecd0: 8009883a mov r4,r16 -8113ecd4: 112b3b00 call 8112b3b0 <__umodsi3> -8113ecd8: 1023883a mov r17,r2 -8113ecdc: b00b883a mov r5,r22 -8113ece0: 8009883a mov r4,r16 -8113ece4: 112b34c0 call 8112b34c <__udivsi3> -8113ece8: 8822943a slli r17,r17,16 +8113eb90: 102b883a mov r21,r2 +8113eb94: 112b38c0 call 8112b38c <__udivsi3> +8113eb98: a806943a slli r3,r21,16 +8113eb9c: a008d43a srli r4,r20,16 +8113eba0: b085383a mul r2,r22,r2 +8113eba4: 20c8b03a or r4,r4,r3 +8113eba8: 2080032e bgeu r4,r2,8113ebb8 <__umoddi3+0xd8> +8113ebac: 24c9883a add r4,r4,r19 +8113ebb0: 24c00136 bltu r4,r19,8113ebb8 <__umoddi3+0xd8> +8113ebb4: 20811036 bltu r4,r2,8113eff8 <__umoddi3+0x518> +8113ebb8: 20abc83a sub r21,r4,r2 +8113ebbc: b80b883a mov r5,r23 +8113ebc0: a809883a mov r4,r21 +8113ebc4: 112b3f00 call 8112b3f0 <__umodsi3> +8113ebc8: 1023883a mov r17,r2 +8113ebcc: b80b883a mov r5,r23 +8113ebd0: a809883a mov r4,r21 +8113ebd4: 112b38c0 call 8112b38c <__udivsi3> +8113ebd8: 8822943a slli r17,r17,16 +8113ebdc: b085383a mul r2,r22,r2 +8113ebe0: a0ffffcc andi r3,r20,65535 +8113ebe4: 1c46b03a or r3,r3,r17 +8113ebe8: 1880042e bgeu r3,r2,8113ebfc <__umoddi3+0x11c> +8113ebec: 1cc7883a add r3,r3,r19 +8113ebf0: 1cc00236 bltu r3,r19,8113ebfc <__umoddi3+0x11c> +8113ebf4: 1880012e bgeu r3,r2,8113ebfc <__umoddi3+0x11c> +8113ebf8: 1cc7883a add r3,r3,r19 +8113ebfc: 1885c83a sub r2,r3,r2 +8113ec00: 1484d83a srl r2,r2,r18 +8113ec04: 0007883a mov r3,zero +8113ec08: 00004f06 br 8113ed48 <__umoddi3+0x268> +8113ec0c: 29c04c36 bltu r5,r7,8113ed40 <__umoddi3+0x260> +8113ec10: 00bfffd4 movui r2,65535 +8113ec14: 11c0582e bgeu r2,r7,8113ed78 <__umoddi3+0x298> +8113ec18: 00804034 movhi r2,256 +8113ec1c: 10bfffc4 addi r2,r2,-1 +8113ec20: 11c0e736 bltu r2,r7,8113efc0 <__umoddi3+0x4e0> +8113ec24: 01000404 movi r4,16 +8113ec28: 3904d83a srl r2,r7,r4 +8113ec2c: 00e04574 movhi r3,33045 +8113ec30: 18fddb04 addi r3,r3,-2196 +8113ec34: 1885883a add r2,r3,r2 +8113ec38: 14c00003 ldbu r19,0(r2) +8113ec3c: 00c00804 movi r3,32 +8113ec40: 9927883a add r19,r19,r4 +8113ec44: 1ce9c83a sub r20,r3,r19 +8113ec48: a000581e bne r20,zero,8113edac <__umoddi3+0x2cc> +8113ec4c: 3c400136 bltu r7,r17,8113ec54 <__umoddi3+0x174> +8113ec50: 8180eb36 bltu r16,r6,8113f000 <__umoddi3+0x520> +8113ec54: 8185c83a sub r2,r16,r6 +8113ec58: 89e3c83a sub r17,r17,r7 +8113ec5c: 8089803a cmpltu r4,r16,r2 +8113ec60: 8939c83a sub fp,r17,r4 +8113ec64: e007883a mov r3,fp +8113ec68: 00003706 br 8113ed48 <__umoddi3+0x268> +8113ec6c: 3000041e bne r6,zero,8113ec80 <__umoddi3+0x1a0> +8113ec70: 000b883a mov r5,zero +8113ec74: 01000044 movi r4,1 +8113ec78: 112b38c0 call 8112b38c <__udivsi3> +8113ec7c: 1027883a mov r19,r2 +8113ec80: 00bfffd4 movui r2,65535 +8113ec84: 14c0402e bgeu r2,r19,8113ed88 <__umoddi3+0x2a8> +8113ec88: 00804034 movhi r2,256 +8113ec8c: 10bfffc4 addi r2,r2,-1 +8113ec90: 14c0cd36 bltu r2,r19,8113efc8 <__umoddi3+0x4e8> +8113ec94: 00800404 movi r2,16 +8113ec98: 9886d83a srl r3,r19,r2 +8113ec9c: 01204574 movhi r4,33045 +8113eca0: 213ddb04 addi r4,r4,-2196 +8113eca4: 20c7883a add r3,r4,r3 +8113eca8: 18c00003 ldbu r3,0(r3) +8113ecac: 1887883a add r3,r3,r2 +8113ecb0: 00800804 movi r2,32 +8113ecb4: 10e5c83a sub r18,r2,r3 +8113ecb8: 9000901e bne r18,zero,8113eefc <__umoddi3+0x41c> +8113ecbc: 982cd43a srli r22,r19,16 +8113ecc0: 8ce3c83a sub r17,r17,r19 +8113ecc4: 9d7fffcc andi r21,r19,65535 +8113ecc8: b00b883a mov r5,r22 +8113eccc: 8809883a mov r4,r17 +8113ecd0: 112b3f00 call 8112b3f0 <__umodsi3> +8113ecd4: 8809883a mov r4,r17 +8113ecd8: b00b883a mov r5,r22 +8113ecdc: 1021883a mov r16,r2 +8113ece0: 112b38c0 call 8112b38c <__udivsi3> +8113ece4: 8006943a slli r3,r16,16 +8113ece8: a008d43a srli r4,r20,16 8113ecec: 1545383a mul r2,r2,r21 -8113ecf0: a53fffcc andi r20,r20,65535 -8113ecf4: a446b03a or r3,r20,r17 -8113ecf8: 18bfb02e bgeu r3,r2,8113ebbc <__reset+0xfb11ebbc> -8113ecfc: 003fab06 br 8113ebac <__reset+0xfb11ebac> -8113ed00: 2005883a mov r2,r4 -8113ed04: 2807883a mov r3,r5 -8113ed08: dfc00b17 ldw ra,44(sp) -8113ed0c: df000a17 ldw fp,40(sp) -8113ed10: ddc00917 ldw r23,36(sp) -8113ed14: dd800817 ldw r22,32(sp) -8113ed18: dd400717 ldw r21,28(sp) -8113ed1c: dd000617 ldw r20,24(sp) -8113ed20: dcc00517 ldw r19,20(sp) -8113ed24: dc800417 ldw r18,16(sp) -8113ed28: dc400317 ldw r17,12(sp) -8113ed2c: dc000217 ldw r16,8(sp) -8113ed30: dec00c04 addi sp,sp,48 -8113ed34: f800283a ret -8113ed38: 04c03fc4 movi r19,255 -8113ed3c: 99c9803a cmpltu r4,r19,r7 -8113ed40: 200890fa slli r4,r4,3 -8113ed44: 003fa806 br 8113ebe8 <__reset+0xfb11ebe8> -8113ed48: 00803fc4 movi r2,255 -8113ed4c: 14c5803a cmpltu r2,r2,r19 -8113ed50: 100490fa slli r2,r2,3 -8113ed54: 003fc006 br 8113ec58 <__reset+0xfb11ec58> -8113ed58: 00804034 movhi r2,256 -8113ed5c: 10bfffc4 addi r2,r2,-1 -8113ed60: 11808b36 bltu r2,r6,8113ef90 <__umoddi3+0x4f0> -8113ed64: 01000404 movi r4,16 -8113ed68: 003f6406 br 8113eafc <__reset+0xfb11eafc> -8113ed6c: 34c4d83a srl r2,r6,r19 -8113ed70: 3d0e983a sll r7,r7,r20 -8113ed74: 8cf8d83a srl fp,r17,r19 -8113ed78: 8d10983a sll r8,r17,r20 -8113ed7c: 38aab03a or r21,r7,r2 -8113ed80: a82cd43a srli r22,r21,16 -8113ed84: 84e2d83a srl r17,r16,r19 -8113ed88: e009883a mov r4,fp -8113ed8c: b00b883a mov r5,r22 -8113ed90: 8a22b03a or r17,r17,r8 -8113ed94: 3524983a sll r18,r6,r20 -8113ed98: 112b3b00 call 8112b3b0 <__umodsi3> -8113ed9c: e009883a mov r4,fp -8113eda0: b00b883a mov r5,r22 -8113eda4: 102f883a mov r23,r2 -8113eda8: 112b34c0 call 8112b34c <__udivsi3> -8113edac: 100d883a mov r6,r2 -8113edb0: b808943a slli r4,r23,16 -8113edb4: aa3fffcc andi r8,r21,65535 -8113edb8: 8804d43a srli r2,r17,16 -8113edbc: 41af383a mul r23,r8,r6 -8113edc0: 8520983a sll r16,r16,r20 -8113edc4: 1104b03a or r2,r2,r4 -8113edc8: 15c0042e bgeu r2,r23,8113eddc <__umoddi3+0x33c> -8113edcc: 1545883a add r2,r2,r21 -8113edd0: 30ffffc4 addi r3,r6,-1 -8113edd4: 1540742e bgeu r2,r21,8113efa8 <__umoddi3+0x508> -8113edd8: 180d883a mov r6,r3 -8113eddc: 15efc83a sub r23,r2,r23 +8113ecf0: 20c8b03a or r4,r4,r3 +8113ecf4: 2080042e bgeu r4,r2,8113ed08 <__umoddi3+0x228> +8113ecf8: 24c9883a add r4,r4,r19 +8113ecfc: 24c00236 bltu r4,r19,8113ed08 <__umoddi3+0x228> +8113ed00: 2080012e bgeu r4,r2,8113ed08 <__umoddi3+0x228> +8113ed04: 24c9883a add r4,r4,r19 +8113ed08: 20a1c83a sub r16,r4,r2 +8113ed0c: b00b883a mov r5,r22 +8113ed10: 8009883a mov r4,r16 +8113ed14: 112b3f00 call 8112b3f0 <__umodsi3> +8113ed18: 1023883a mov r17,r2 +8113ed1c: b00b883a mov r5,r22 +8113ed20: 8009883a mov r4,r16 +8113ed24: 112b38c0 call 8112b38c <__udivsi3> +8113ed28: 8822943a slli r17,r17,16 +8113ed2c: 1545383a mul r2,r2,r21 +8113ed30: a53fffcc andi r20,r20,65535 +8113ed34: a446b03a or r3,r20,r17 +8113ed38: 18bfb02e bgeu r3,r2,8113ebfc <__reset+0xfb11ebfc> +8113ed3c: 003fab06 br 8113ebec <__reset+0xfb11ebec> +8113ed40: 2005883a mov r2,r4 +8113ed44: 2807883a mov r3,r5 +8113ed48: dfc00b17 ldw ra,44(sp) +8113ed4c: df000a17 ldw fp,40(sp) +8113ed50: ddc00917 ldw r23,36(sp) +8113ed54: dd800817 ldw r22,32(sp) +8113ed58: dd400717 ldw r21,28(sp) +8113ed5c: dd000617 ldw r20,24(sp) +8113ed60: dcc00517 ldw r19,20(sp) +8113ed64: dc800417 ldw r18,16(sp) +8113ed68: dc400317 ldw r17,12(sp) +8113ed6c: dc000217 ldw r16,8(sp) +8113ed70: dec00c04 addi sp,sp,48 +8113ed74: f800283a ret +8113ed78: 04c03fc4 movi r19,255 +8113ed7c: 99c9803a cmpltu r4,r19,r7 +8113ed80: 200890fa slli r4,r4,3 +8113ed84: 003fa806 br 8113ec28 <__reset+0xfb11ec28> +8113ed88: 00803fc4 movi r2,255 +8113ed8c: 14c5803a cmpltu r2,r2,r19 +8113ed90: 100490fa slli r2,r2,3 +8113ed94: 003fc006 br 8113ec98 <__reset+0xfb11ec98> +8113ed98: 00804034 movhi r2,256 +8113ed9c: 10bfffc4 addi r2,r2,-1 +8113eda0: 11808b36 bltu r2,r6,8113efd0 <__umoddi3+0x4f0> +8113eda4: 01000404 movi r4,16 +8113eda8: 003f6406 br 8113eb3c <__reset+0xfb11eb3c> +8113edac: 34c4d83a srl r2,r6,r19 +8113edb0: 3d0e983a sll r7,r7,r20 +8113edb4: 8cf8d83a srl fp,r17,r19 +8113edb8: 8d10983a sll r8,r17,r20 +8113edbc: 38aab03a or r21,r7,r2 +8113edc0: a82cd43a srli r22,r21,16 +8113edc4: 84e2d83a srl r17,r16,r19 +8113edc8: e009883a mov r4,fp +8113edcc: b00b883a mov r5,r22 +8113edd0: 8a22b03a or r17,r17,r8 +8113edd4: 3524983a sll r18,r6,r20 +8113edd8: 112b3f00 call 8112b3f0 <__umodsi3> +8113eddc: e009883a mov r4,fp 8113ede0: b00b883a mov r5,r22 -8113ede4: b809883a mov r4,r23 -8113ede8: d9800115 stw r6,4(sp) -8113edec: da000015 stw r8,0(sp) -8113edf0: 112b3b00 call 8112b3b0 <__umodsi3> -8113edf4: b00b883a mov r5,r22 -8113edf8: b809883a mov r4,r23 -8113edfc: 1039883a mov fp,r2 -8113ee00: 112b34c0 call 8112b34c <__udivsi3> -8113ee04: da000017 ldw r8,0(sp) -8113ee08: e038943a slli fp,fp,16 -8113ee0c: 100b883a mov r5,r2 -8113ee10: 4089383a mul r4,r8,r2 -8113ee14: 8a3fffcc andi r8,r17,65535 -8113ee18: 4710b03a or r8,r8,fp -8113ee1c: d9800117 ldw r6,4(sp) -8113ee20: 4100042e bgeu r8,r4,8113ee34 <__umoddi3+0x394> -8113ee24: 4551883a add r8,r8,r21 -8113ee28: 10bfffc4 addi r2,r2,-1 -8113ee2c: 45405a2e bgeu r8,r21,8113ef98 <__umoddi3+0x4f8> -8113ee30: 100b883a mov r5,r2 -8113ee34: 300c943a slli r6,r6,16 -8113ee38: 91ffffcc andi r7,r18,65535 -8113ee3c: 9004d43a srli r2,r18,16 -8113ee40: 314cb03a or r6,r6,r5 -8113ee44: 317fffcc andi r5,r6,65535 -8113ee48: 300cd43a srli r6,r6,16 -8113ee4c: 29d3383a mul r9,r5,r7 -8113ee50: 288b383a mul r5,r5,r2 -8113ee54: 31cf383a mul r7,r6,r7 -8113ee58: 4806d43a srli r3,r9,16 -8113ee5c: 4111c83a sub r8,r8,r4 -8113ee60: 29cb883a add r5,r5,r7 -8113ee64: 194b883a add r5,r3,r5 -8113ee68: 3085383a mul r2,r6,r2 -8113ee6c: 29c0022e bgeu r5,r7,8113ee78 <__umoddi3+0x3d8> -8113ee70: 00c00074 movhi r3,1 -8113ee74: 10c5883a add r2,r2,r3 -8113ee78: 2808d43a srli r4,r5,16 -8113ee7c: 280a943a slli r5,r5,16 -8113ee80: 4a7fffcc andi r9,r9,65535 -8113ee84: 2085883a add r2,r4,r2 -8113ee88: 2a4b883a add r5,r5,r9 -8113ee8c: 40803636 bltu r8,r2,8113ef68 <__umoddi3+0x4c8> -8113ee90: 40804d26 beq r8,r2,8113efc8 <__umoddi3+0x528> -8113ee94: 4089c83a sub r4,r8,r2 -8113ee98: 280f883a mov r7,r5 -8113ee9c: 81cfc83a sub r7,r16,r7 -8113eea0: 81c7803a cmpltu r3,r16,r7 -8113eea4: 20c7c83a sub r3,r4,r3 -8113eea8: 1cc4983a sll r2,r3,r19 -8113eeac: 3d0ed83a srl r7,r7,r20 -8113eeb0: 1d06d83a srl r3,r3,r20 -8113eeb4: 11c4b03a or r2,r2,r7 -8113eeb8: 003f9306 br 8113ed08 <__reset+0xfb11ed08> -8113eebc: 9ca6983a sll r19,r19,r18 -8113eec0: 88e8d83a srl r20,r17,r3 -8113eec4: 80c4d83a srl r2,r16,r3 -8113eec8: 982cd43a srli r22,r19,16 -8113eecc: 8ca2983a sll r17,r17,r18 -8113eed0: a009883a mov r4,r20 -8113eed4: b00b883a mov r5,r22 -8113eed8: 1478b03a or fp,r2,r17 -8113eedc: 112b3b00 call 8112b3b0 <__umodsi3> -8113eee0: a009883a mov r4,r20 -8113eee4: b00b883a mov r5,r22 -8113eee8: 1023883a mov r17,r2 -8113eeec: 112b34c0 call 8112b34c <__udivsi3> -8113eef0: 9d7fffcc andi r21,r19,65535 -8113eef4: 880a943a slli r5,r17,16 -8113eef8: e008d43a srli r4,fp,16 -8113eefc: a885383a mul r2,r21,r2 -8113ef00: 84a8983a sll r20,r16,r18 -8113ef04: 2148b03a or r4,r4,r5 -8113ef08: 2080042e bgeu r4,r2,8113ef1c <__umoddi3+0x47c> -8113ef0c: 24c9883a add r4,r4,r19 -8113ef10: 24c00236 bltu r4,r19,8113ef1c <__umoddi3+0x47c> -8113ef14: 2080012e bgeu r4,r2,8113ef1c <__umoddi3+0x47c> -8113ef18: 24c9883a add r4,r4,r19 -8113ef1c: 20a3c83a sub r17,r4,r2 -8113ef20: b00b883a mov r5,r22 -8113ef24: 8809883a mov r4,r17 -8113ef28: 112b3b00 call 8112b3b0 <__umodsi3> -8113ef2c: 102f883a mov r23,r2 -8113ef30: 8809883a mov r4,r17 -8113ef34: b00b883a mov r5,r22 -8113ef38: 112b34c0 call 8112b34c <__udivsi3> -8113ef3c: b82e943a slli r23,r23,16 -8113ef40: a885383a mul r2,r21,r2 -8113ef44: e13fffcc andi r4,fp,65535 -8113ef48: 25c8b03a or r4,r4,r23 -8113ef4c: 2080042e bgeu r4,r2,8113ef60 <__umoddi3+0x4c0> -8113ef50: 24c9883a add r4,r4,r19 -8113ef54: 24c00236 bltu r4,r19,8113ef60 <__umoddi3+0x4c0> -8113ef58: 2080012e bgeu r4,r2,8113ef60 <__umoddi3+0x4c0> -8113ef5c: 24c9883a add r4,r4,r19 -8113ef60: 20a3c83a sub r17,r4,r2 -8113ef64: 003f4806 br 8113ec88 <__reset+0xfb11ec88> -8113ef68: 2c8fc83a sub r7,r5,r18 -8113ef6c: 1545c83a sub r2,r2,r21 -8113ef70: 29cb803a cmpltu r5,r5,r7 -8113ef74: 1145c83a sub r2,r2,r5 -8113ef78: 4089c83a sub r4,r8,r2 -8113ef7c: 003fc706 br 8113ee9c <__reset+0xfb11ee9c> -8113ef80: 01000604 movi r4,24 -8113ef84: 003f1806 br 8113ebe8 <__reset+0xfb11ebe8> -8113ef88: 00800604 movi r2,24 -8113ef8c: 003f3206 br 8113ec58 <__reset+0xfb11ec58> -8113ef90: 01000604 movi r4,24 -8113ef94: 003ed906 br 8113eafc <__reset+0xfb11eafc> -8113ef98: 413fa52e bgeu r8,r4,8113ee30 <__reset+0xfb11ee30> -8113ef9c: 297fff84 addi r5,r5,-2 -8113efa0: 4551883a add r8,r8,r21 -8113efa4: 003fa306 br 8113ee34 <__reset+0xfb11ee34> -8113efa8: 15ff8b2e bgeu r2,r23,8113edd8 <__reset+0xfb11edd8> -8113efac: 31bfff84 addi r6,r6,-2 -8113efb0: 1545883a add r2,r2,r21 -8113efb4: 003f8906 br 8113eddc <__reset+0xfb11eddc> -8113efb8: 24c9883a add r4,r4,r19 -8113efbc: 003eee06 br 8113eb78 <__reset+0xfb11eb78> -8113efc0: 8005883a mov r2,r16 -8113efc4: 003f1706 br 8113ec24 <__reset+0xfb11ec24> -8113efc8: 817fe736 bltu r16,r5,8113ef68 <__reset+0xfb11ef68> -8113efcc: 280f883a mov r7,r5 -8113efd0: 0009883a mov r4,zero -8113efd4: 003fb106 br 8113ee9c <__reset+0xfb11ee9c> - -8113efd8 <__lesf2>: -8113efd8: 2004d5fa srli r2,r4,23 -8113efdc: 280cd5fa srli r6,r5,23 -8113efe0: 00c02034 movhi r3,128 -8113efe4: 18ffffc4 addi r3,r3,-1 -8113efe8: 10803fcc andi r2,r2,255 -8113efec: 01c03fc4 movi r7,255 -8113eff0: 1910703a and r8,r3,r4 -8113eff4: 31803fcc andi r6,r6,255 -8113eff8: 1946703a and r3,r3,r5 -8113effc: 2008d7fa srli r4,r4,31 -8113f000: 280ad7fa srli r5,r5,31 -8113f004: 11c01b26 beq r2,r7,8113f074 <__lesf2+0x9c> -8113f008: 01c03fc4 movi r7,255 -8113f00c: 31c01126 beq r6,r7,8113f054 <__lesf2+0x7c> -8113f010: 1000071e bne r2,zero,8113f030 <__lesf2+0x58> -8113f014: 400f003a cmpeq r7,r8,zero -8113f018: 21003fcc andi r4,r4,255 -8113f01c: 3000081e bne r6,zero,8113f040 <__lesf2+0x68> -8113f020: 1800071e bne r3,zero,8113f040 <__lesf2+0x68> -8113f024: 0005883a mov r2,zero -8113f028: 40000f1e bne r8,zero,8113f068 <__lesf2+0x90> -8113f02c: f800283a ret -8113f030: 21003fcc andi r4,r4,255 -8113f034: 30000a1e bne r6,zero,8113f060 <__lesf2+0x88> -8113f038: 18000b26 beq r3,zero,8113f068 <__lesf2+0x90> -8113f03c: 000f883a mov r7,zero -8113f040: 29403fcc andi r5,r5,255 -8113f044: 38000726 beq r7,zero,8113f064 <__lesf2+0x8c> -8113f048: 28000826 beq r5,zero,8113f06c <__lesf2+0x94> -8113f04c: 00800044 movi r2,1 -8113f050: f800283a ret -8113f054: 183fee26 beq r3,zero,8113f010 <__reset+0xfb11f010> -8113f058: 00800084 movi r2,2 -8113f05c: f800283a ret -8113f060: 29403fcc andi r5,r5,255 -8113f064: 21400626 beq r4,r5,8113f080 <__lesf2+0xa8> -8113f068: 203ff826 beq r4,zero,8113f04c <__reset+0xfb11f04c> -8113f06c: 00bfffc4 movi r2,-1 -8113f070: f800283a ret -8113f074: 403fe426 beq r8,zero,8113f008 <__reset+0xfb11f008> -8113f078: 00800084 movi r2,2 -8113f07c: f800283a ret -8113f080: 30bff916 blt r6,r2,8113f068 <__reset+0xfb11f068> -8113f084: 11800216 blt r2,r6,8113f090 <__lesf2+0xb8> -8113f088: 1a3ff736 bltu r3,r8,8113f068 <__reset+0xfb11f068> -8113f08c: 40c0022e bgeu r8,r3,8113f098 <__lesf2+0xc0> -8113f090: 203fee1e bne r4,zero,8113f04c <__reset+0xfb11f04c> -8113f094: 003ff506 br 8113f06c <__reset+0xfb11f06c> -8113f098: 0005883a mov r2,zero +8113ede4: 102f883a mov r23,r2 +8113ede8: 112b38c0 call 8112b38c <__udivsi3> +8113edec: 100d883a mov r6,r2 +8113edf0: b808943a slli r4,r23,16 +8113edf4: aa3fffcc andi r8,r21,65535 +8113edf8: 8804d43a srli r2,r17,16 +8113edfc: 41af383a mul r23,r8,r6 +8113ee00: 8520983a sll r16,r16,r20 +8113ee04: 1104b03a or r2,r2,r4 +8113ee08: 15c0042e bgeu r2,r23,8113ee1c <__umoddi3+0x33c> +8113ee0c: 1545883a add r2,r2,r21 +8113ee10: 30ffffc4 addi r3,r6,-1 +8113ee14: 1540742e bgeu r2,r21,8113efe8 <__umoddi3+0x508> +8113ee18: 180d883a mov r6,r3 +8113ee1c: 15efc83a sub r23,r2,r23 +8113ee20: b00b883a mov r5,r22 +8113ee24: b809883a mov r4,r23 +8113ee28: d9800115 stw r6,4(sp) +8113ee2c: da000015 stw r8,0(sp) +8113ee30: 112b3f00 call 8112b3f0 <__umodsi3> +8113ee34: b00b883a mov r5,r22 +8113ee38: b809883a mov r4,r23 +8113ee3c: 1039883a mov fp,r2 +8113ee40: 112b38c0 call 8112b38c <__udivsi3> +8113ee44: da000017 ldw r8,0(sp) +8113ee48: e038943a slli fp,fp,16 +8113ee4c: 100b883a mov r5,r2 +8113ee50: 4089383a mul r4,r8,r2 +8113ee54: 8a3fffcc andi r8,r17,65535 +8113ee58: 4710b03a or r8,r8,fp +8113ee5c: d9800117 ldw r6,4(sp) +8113ee60: 4100042e bgeu r8,r4,8113ee74 <__umoddi3+0x394> +8113ee64: 4551883a add r8,r8,r21 +8113ee68: 10bfffc4 addi r2,r2,-1 +8113ee6c: 45405a2e bgeu r8,r21,8113efd8 <__umoddi3+0x4f8> +8113ee70: 100b883a mov r5,r2 +8113ee74: 300c943a slli r6,r6,16 +8113ee78: 91ffffcc andi r7,r18,65535 +8113ee7c: 9004d43a srli r2,r18,16 +8113ee80: 314cb03a or r6,r6,r5 +8113ee84: 317fffcc andi r5,r6,65535 +8113ee88: 300cd43a srli r6,r6,16 +8113ee8c: 29d3383a mul r9,r5,r7 +8113ee90: 288b383a mul r5,r5,r2 +8113ee94: 31cf383a mul r7,r6,r7 +8113ee98: 4806d43a srli r3,r9,16 +8113ee9c: 4111c83a sub r8,r8,r4 +8113eea0: 29cb883a add r5,r5,r7 +8113eea4: 194b883a add r5,r3,r5 +8113eea8: 3085383a mul r2,r6,r2 +8113eeac: 29c0022e bgeu r5,r7,8113eeb8 <__umoddi3+0x3d8> +8113eeb0: 00c00074 movhi r3,1 +8113eeb4: 10c5883a add r2,r2,r3 +8113eeb8: 2808d43a srli r4,r5,16 +8113eebc: 280a943a slli r5,r5,16 +8113eec0: 4a7fffcc andi r9,r9,65535 +8113eec4: 2085883a add r2,r4,r2 +8113eec8: 2a4b883a add r5,r5,r9 +8113eecc: 40803636 bltu r8,r2,8113efa8 <__umoddi3+0x4c8> +8113eed0: 40804d26 beq r8,r2,8113f008 <__umoddi3+0x528> +8113eed4: 4089c83a sub r4,r8,r2 +8113eed8: 280f883a mov r7,r5 +8113eedc: 81cfc83a sub r7,r16,r7 +8113eee0: 81c7803a cmpltu r3,r16,r7 +8113eee4: 20c7c83a sub r3,r4,r3 +8113eee8: 1cc4983a sll r2,r3,r19 +8113eeec: 3d0ed83a srl r7,r7,r20 +8113eef0: 1d06d83a srl r3,r3,r20 +8113eef4: 11c4b03a or r2,r2,r7 +8113eef8: 003f9306 br 8113ed48 <__reset+0xfb11ed48> +8113eefc: 9ca6983a sll r19,r19,r18 +8113ef00: 88e8d83a srl r20,r17,r3 +8113ef04: 80c4d83a srl r2,r16,r3 +8113ef08: 982cd43a srli r22,r19,16 +8113ef0c: 8ca2983a sll r17,r17,r18 +8113ef10: a009883a mov r4,r20 +8113ef14: b00b883a mov r5,r22 +8113ef18: 1478b03a or fp,r2,r17 +8113ef1c: 112b3f00 call 8112b3f0 <__umodsi3> +8113ef20: a009883a mov r4,r20 +8113ef24: b00b883a mov r5,r22 +8113ef28: 1023883a mov r17,r2 +8113ef2c: 112b38c0 call 8112b38c <__udivsi3> +8113ef30: 9d7fffcc andi r21,r19,65535 +8113ef34: 880a943a slli r5,r17,16 +8113ef38: e008d43a srli r4,fp,16 +8113ef3c: a885383a mul r2,r21,r2 +8113ef40: 84a8983a sll r20,r16,r18 +8113ef44: 2148b03a or r4,r4,r5 +8113ef48: 2080042e bgeu r4,r2,8113ef5c <__umoddi3+0x47c> +8113ef4c: 24c9883a add r4,r4,r19 +8113ef50: 24c00236 bltu r4,r19,8113ef5c <__umoddi3+0x47c> +8113ef54: 2080012e bgeu r4,r2,8113ef5c <__umoddi3+0x47c> +8113ef58: 24c9883a add r4,r4,r19 +8113ef5c: 20a3c83a sub r17,r4,r2 +8113ef60: b00b883a mov r5,r22 +8113ef64: 8809883a mov r4,r17 +8113ef68: 112b3f00 call 8112b3f0 <__umodsi3> +8113ef6c: 102f883a mov r23,r2 +8113ef70: 8809883a mov r4,r17 +8113ef74: b00b883a mov r5,r22 +8113ef78: 112b38c0 call 8112b38c <__udivsi3> +8113ef7c: b82e943a slli r23,r23,16 +8113ef80: a885383a mul r2,r21,r2 +8113ef84: e13fffcc andi r4,fp,65535 +8113ef88: 25c8b03a or r4,r4,r23 +8113ef8c: 2080042e bgeu r4,r2,8113efa0 <__umoddi3+0x4c0> +8113ef90: 24c9883a add r4,r4,r19 +8113ef94: 24c00236 bltu r4,r19,8113efa0 <__umoddi3+0x4c0> +8113ef98: 2080012e bgeu r4,r2,8113efa0 <__umoddi3+0x4c0> +8113ef9c: 24c9883a add r4,r4,r19 +8113efa0: 20a3c83a sub r17,r4,r2 +8113efa4: 003f4806 br 8113ecc8 <__reset+0xfb11ecc8> +8113efa8: 2c8fc83a sub r7,r5,r18 +8113efac: 1545c83a sub r2,r2,r21 +8113efb0: 29cb803a cmpltu r5,r5,r7 +8113efb4: 1145c83a sub r2,r2,r5 +8113efb8: 4089c83a sub r4,r8,r2 +8113efbc: 003fc706 br 8113eedc <__reset+0xfb11eedc> +8113efc0: 01000604 movi r4,24 +8113efc4: 003f1806 br 8113ec28 <__reset+0xfb11ec28> +8113efc8: 00800604 movi r2,24 +8113efcc: 003f3206 br 8113ec98 <__reset+0xfb11ec98> +8113efd0: 01000604 movi r4,24 +8113efd4: 003ed906 br 8113eb3c <__reset+0xfb11eb3c> +8113efd8: 413fa52e bgeu r8,r4,8113ee70 <__reset+0xfb11ee70> +8113efdc: 297fff84 addi r5,r5,-2 +8113efe0: 4551883a add r8,r8,r21 +8113efe4: 003fa306 br 8113ee74 <__reset+0xfb11ee74> +8113efe8: 15ff8b2e bgeu r2,r23,8113ee18 <__reset+0xfb11ee18> +8113efec: 31bfff84 addi r6,r6,-2 +8113eff0: 1545883a add r2,r2,r21 +8113eff4: 003f8906 br 8113ee1c <__reset+0xfb11ee1c> +8113eff8: 24c9883a add r4,r4,r19 +8113effc: 003eee06 br 8113ebb8 <__reset+0xfb11ebb8> +8113f000: 8005883a mov r2,r16 +8113f004: 003f1706 br 8113ec64 <__reset+0xfb11ec64> +8113f008: 817fe736 bltu r16,r5,8113efa8 <__reset+0xfb11efa8> +8113f00c: 280f883a mov r7,r5 +8113f010: 0009883a mov r4,zero +8113f014: 003fb106 br 8113eedc <__reset+0xfb11eedc> + +8113f018 <__lesf2>: +8113f018: 2004d5fa srli r2,r4,23 +8113f01c: 280cd5fa srli r6,r5,23 +8113f020: 00c02034 movhi r3,128 +8113f024: 18ffffc4 addi r3,r3,-1 +8113f028: 10803fcc andi r2,r2,255 +8113f02c: 01c03fc4 movi r7,255 +8113f030: 1910703a and r8,r3,r4 +8113f034: 31803fcc andi r6,r6,255 +8113f038: 1946703a and r3,r3,r5 +8113f03c: 2008d7fa srli r4,r4,31 +8113f040: 280ad7fa srli r5,r5,31 +8113f044: 11c01b26 beq r2,r7,8113f0b4 <__lesf2+0x9c> +8113f048: 01c03fc4 movi r7,255 +8113f04c: 31c01126 beq r6,r7,8113f094 <__lesf2+0x7c> +8113f050: 1000071e bne r2,zero,8113f070 <__lesf2+0x58> +8113f054: 400f003a cmpeq r7,r8,zero +8113f058: 21003fcc andi r4,r4,255 +8113f05c: 3000081e bne r6,zero,8113f080 <__lesf2+0x68> +8113f060: 1800071e bne r3,zero,8113f080 <__lesf2+0x68> +8113f064: 0005883a mov r2,zero +8113f068: 40000f1e bne r8,zero,8113f0a8 <__lesf2+0x90> +8113f06c: f800283a ret +8113f070: 21003fcc andi r4,r4,255 +8113f074: 30000a1e bne r6,zero,8113f0a0 <__lesf2+0x88> +8113f078: 18000b26 beq r3,zero,8113f0a8 <__lesf2+0x90> +8113f07c: 000f883a mov r7,zero +8113f080: 29403fcc andi r5,r5,255 +8113f084: 38000726 beq r7,zero,8113f0a4 <__lesf2+0x8c> +8113f088: 28000826 beq r5,zero,8113f0ac <__lesf2+0x94> +8113f08c: 00800044 movi r2,1 +8113f090: f800283a ret +8113f094: 183fee26 beq r3,zero,8113f050 <__reset+0xfb11f050> +8113f098: 00800084 movi r2,2 8113f09c: f800283a ret - -8113f0a0 <__eqdf2>: -8113f0a0: 2804d53a srli r2,r5,20 -8113f0a4: 3806d53a srli r3,r7,20 -8113f0a8: 02000434 movhi r8,16 -8113f0ac: 423fffc4 addi r8,r8,-1 -8113f0b0: 1081ffcc andi r2,r2,2047 -8113f0b4: 0281ffc4 movi r10,2047 -8113f0b8: 2a12703a and r9,r5,r8 -8113f0bc: 18c1ffcc andi r3,r3,2047 -8113f0c0: 3a10703a and r8,r7,r8 -8113f0c4: 280ad7fa srli r5,r5,31 -8113f0c8: 380ed7fa srli r7,r7,31 -8113f0cc: 12801026 beq r2,r10,8113f110 <__eqdf2+0x70> -8113f0d0: 0281ffc4 movi r10,2047 -8113f0d4: 1a800a26 beq r3,r10,8113f100 <__eqdf2+0x60> -8113f0d8: 10c00226 beq r2,r3,8113f0e4 <__eqdf2+0x44> -8113f0dc: 00800044 movi r2,1 -8113f0e0: f800283a ret -8113f0e4: 4a3ffd1e bne r9,r8,8113f0dc <__reset+0xfb11f0dc> -8113f0e8: 21bffc1e bne r4,r6,8113f0dc <__reset+0xfb11f0dc> -8113f0ec: 29c00c26 beq r5,r7,8113f120 <__eqdf2+0x80> -8113f0f0: 103ffa1e bne r2,zero,8113f0dc <__reset+0xfb11f0dc> -8113f0f4: 2244b03a or r2,r4,r9 -8113f0f8: 1004c03a cmpne r2,r2,zero -8113f0fc: f800283a ret -8113f100: 3214b03a or r10,r6,r8 -8113f104: 503ff426 beq r10,zero,8113f0d8 <__reset+0xfb11f0d8> -8113f108: 00800044 movi r2,1 -8113f10c: f800283a ret -8113f110: 2254b03a or r10,r4,r9 -8113f114: 503fee26 beq r10,zero,8113f0d0 <__reset+0xfb11f0d0> -8113f118: 00800044 movi r2,1 -8113f11c: f800283a ret -8113f120: 0005883a mov r2,zero -8113f124: f800283a ret - -8113f128 <__ledf2>: -8113f128: 2804d53a srli r2,r5,20 -8113f12c: 3810d53a srli r8,r7,20 -8113f130: 00c00434 movhi r3,16 -8113f134: 18ffffc4 addi r3,r3,-1 -8113f138: 1081ffcc andi r2,r2,2047 -8113f13c: 0241ffc4 movi r9,2047 -8113f140: 28d4703a and r10,r5,r3 -8113f144: 4201ffcc andi r8,r8,2047 -8113f148: 38c6703a and r3,r7,r3 -8113f14c: 280ad7fa srli r5,r5,31 -8113f150: 380ed7fa srli r7,r7,31 -8113f154: 12401f26 beq r2,r9,8113f1d4 <__ledf2+0xac> -8113f158: 0241ffc4 movi r9,2047 -8113f15c: 42401426 beq r8,r9,8113f1b0 <__ledf2+0x88> -8113f160: 1000091e bne r2,zero,8113f188 <__ledf2+0x60> -8113f164: 2296b03a or r11,r4,r10 -8113f168: 5813003a cmpeq r9,r11,zero -8113f16c: 29403fcc andi r5,r5,255 -8113f170: 40000a1e bne r8,zero,8113f19c <__ledf2+0x74> -8113f174: 30d8b03a or r12,r6,r3 -8113f178: 6000081e bne r12,zero,8113f19c <__ledf2+0x74> -8113f17c: 0005883a mov r2,zero -8113f180: 5800111e bne r11,zero,8113f1c8 <__ledf2+0xa0> -8113f184: f800283a ret -8113f188: 29403fcc andi r5,r5,255 -8113f18c: 40000c1e bne r8,zero,8113f1c0 <__ledf2+0x98> -8113f190: 30d2b03a or r9,r6,r3 -8113f194: 48000c26 beq r9,zero,8113f1c8 <__ledf2+0xa0> -8113f198: 0013883a mov r9,zero -8113f19c: 39c03fcc andi r7,r7,255 -8113f1a0: 48000826 beq r9,zero,8113f1c4 <__ledf2+0x9c> -8113f1a4: 38001126 beq r7,zero,8113f1ec <__ledf2+0xc4> -8113f1a8: 00800044 movi r2,1 -8113f1ac: f800283a ret -8113f1b0: 30d2b03a or r9,r6,r3 -8113f1b4: 483fea26 beq r9,zero,8113f160 <__reset+0xfb11f160> -8113f1b8: 00800084 movi r2,2 -8113f1bc: f800283a ret -8113f1c0: 39c03fcc andi r7,r7,255 -8113f1c4: 39400726 beq r7,r5,8113f1e4 <__ledf2+0xbc> -8113f1c8: 2800081e bne r5,zero,8113f1ec <__ledf2+0xc4> -8113f1cc: 00800044 movi r2,1 -8113f1d0: f800283a ret -8113f1d4: 2292b03a or r9,r4,r10 -8113f1d8: 483fdf26 beq r9,zero,8113f158 <__reset+0xfb11f158> -8113f1dc: 00800084 movi r2,2 -8113f1e0: f800283a ret -8113f1e4: 4080030e bge r8,r2,8113f1f4 <__ledf2+0xcc> -8113f1e8: 383fef26 beq r7,zero,8113f1a8 <__reset+0xfb11f1a8> -8113f1ec: 00bfffc4 movi r2,-1 -8113f1f0: f800283a ret -8113f1f4: 123feb16 blt r2,r8,8113f1a4 <__reset+0xfb11f1a4> -8113f1f8: 1abff336 bltu r3,r10,8113f1c8 <__reset+0xfb11f1c8> -8113f1fc: 50c00326 beq r10,r3,8113f20c <__ledf2+0xe4> -8113f200: 50c0042e bgeu r10,r3,8113f214 <__ledf2+0xec> -8113f204: 283fe81e bne r5,zero,8113f1a8 <__reset+0xfb11f1a8> -8113f208: 003ff806 br 8113f1ec <__reset+0xfb11f1ec> -8113f20c: 313fee36 bltu r6,r4,8113f1c8 <__reset+0xfb11f1c8> -8113f210: 21bffc36 bltu r4,r6,8113f204 <__reset+0xfb11f204> -8113f214: 0005883a mov r2,zero -8113f218: f800283a ret - -8113f21c : -8113f21c: 00c0f874 movhi r3,993 -8113f220: 18fe0f84 addi r3,r3,-1986 -8113f224: 20c7203a divu r3,r4,r3 -8113f228: 18000f26 beq r3,zero,8113f268 -8113f22c: 01a00034 movhi r6,32768 -8113f230: 017f07f4 movhi r5,64543 -8113f234: 31bfffc4 addi r6,r6,-1 -8113f238: 2941f084 addi r5,r5,1986 -8113f23c: 0005883a mov r2,zero -8113f240: 31bfffc4 addi r6,r6,-1 -8113f244: 303ffe1e bne r6,zero,8113f240 <__reset+0xfb11f240> -8113f248: 10800044 addi r2,r2,1 -8113f24c: 2149883a add r4,r4,r5 -8113f250: 10fffb16 blt r2,r3,8113f240 <__reset+0xfb11f240> -8113f254: 21000864 muli r4,r4,33 -8113f258: 213fffc4 addi r4,r4,-1 -8113f25c: 203ffe1e bne r4,zero,8113f258 <__reset+0xfb11f258> -8113f260: 0005883a mov r2,zero -8113f264: f800283a ret -8113f268: 21000864 muli r4,r4,33 -8113f26c: 213fffc4 addi r4,r4,-1 -8113f270: 013ffe16 blt zero,r4,8113f26c <__reset+0xfb11f26c> -8113f274: 0005883a mov r2,zero -8113f278: f800283a ret - -8113f27c : -8113f27c: defffd04 addi sp,sp,-12 -8113f280: dfc00215 stw ra,8(sp) -8113f284: dc400115 stw r17,4(sp) -8113f288: dc000015 stw r16,0(sp) -8113f28c: 20001f16 blt r4,zero,8113f30c -8113f290: 20c00324 muli r3,r4,12 -8113f294: 00a04574 movhi r2,33045 -8113f298: 10821a04 addi r2,r2,2152 -8113f29c: 1885883a add r2,r3,r2 -8113f2a0: 2021883a mov r16,r4 -8113f2a4: 10001926 beq r2,zero,8113f30c -8113f2a8: 10c00017 ldw r3,0(r2) -8113f2ac: 18c00417 ldw r3,16(r3) -8113f2b0: 18000c26 beq r3,zero,8113f2e4 -8113f2b4: 1009883a mov r4,r2 -8113f2b8: 183ee83a callr r3 -8113f2bc: 8009883a mov r4,r16 -8113f2c0: 1023883a mov r17,r2 -8113f2c4: 113f82c0 call 8113f82c -8113f2c8: 0005883a mov r2,zero -8113f2cc: 88000816 blt r17,zero,8113f2f0 -8113f2d0: dfc00217 ldw ra,8(sp) -8113f2d4: dc400117 ldw r17,4(sp) -8113f2d8: dc000017 ldw r16,0(sp) -8113f2dc: dec00304 addi sp,sp,12 -8113f2e0: f800283a ret -8113f2e4: 113f82c0 call 8113f82c -8113f2e8: 0005883a mov r2,zero -8113f2ec: 003ff806 br 8113f2d0 <__reset+0xfb11f2d0> -8113f2f0: d0a01a17 ldw r2,-32664(gp) -8113f2f4: 10000c26 beq r2,zero,8113f328 -8113f2f8: 103ee83a callr r2 -8113f2fc: 0463c83a sub r17,zero,r17 -8113f300: 14400015 stw r17,0(r2) -8113f304: 00bfffc4 movi r2,-1 -8113f308: 003ff106 br 8113f2d0 <__reset+0xfb11f2d0> -8113f30c: d0a01a17 ldw r2,-32664(gp) -8113f310: 10000726 beq r2,zero,8113f330 -8113f314: 103ee83a callr r2 -8113f318: 00c01444 movi r3,81 -8113f31c: 10c00015 stw r3,0(r2) -8113f320: 00bfffc4 movi r2,-1 -8113f324: 003fea06 br 8113f2d0 <__reset+0xfb11f2d0> -8113f328: d0a09604 addi r2,gp,-32168 -8113f32c: 003ff306 br 8113f2fc <__reset+0xfb11f2fc> -8113f330: d0a09604 addi r2,gp,-32168 -8113f334: 003ff806 br 8113f318 <__reset+0xfb11f318> - -8113f338 : -8113f338: f800283a ret - -8113f33c : -8113f33c: 3005883a mov r2,r6 -8113f340: f800283a ret - -8113f344 : -8113f344: 20000d16 blt r4,zero,8113f37c -8113f348: 21000324 muli r4,r4,12 -8113f34c: 00a04574 movhi r2,33045 -8113f350: 10821a04 addi r2,r2,2152 -8113f354: 2089883a add r4,r4,r2 -8113f358: 20000826 beq r4,zero,8113f37c -8113f35c: 20800017 ldw r2,0(r4) -8113f360: 10800817 ldw r2,32(r2) -8113f364: 10000126 beq r2,zero,8113f36c -8113f368: 1000683a jmp r2 -8113f36c: 00880004 movi r2,8192 -8113f370: 28800115 stw r2,4(r5) -8113f374: 0005883a mov r2,zero +8113f0a0: 29403fcc andi r5,r5,255 +8113f0a4: 21400626 beq r4,r5,8113f0c0 <__lesf2+0xa8> +8113f0a8: 203ff826 beq r4,zero,8113f08c <__reset+0xfb11f08c> +8113f0ac: 00bfffc4 movi r2,-1 +8113f0b0: f800283a ret +8113f0b4: 403fe426 beq r8,zero,8113f048 <__reset+0xfb11f048> +8113f0b8: 00800084 movi r2,2 +8113f0bc: f800283a ret +8113f0c0: 30bff916 blt r6,r2,8113f0a8 <__reset+0xfb11f0a8> +8113f0c4: 11800216 blt r2,r6,8113f0d0 <__lesf2+0xb8> +8113f0c8: 1a3ff736 bltu r3,r8,8113f0a8 <__reset+0xfb11f0a8> +8113f0cc: 40c0022e bgeu r8,r3,8113f0d8 <__lesf2+0xc0> +8113f0d0: 203fee1e bne r4,zero,8113f08c <__reset+0xfb11f08c> +8113f0d4: 003ff506 br 8113f0ac <__reset+0xfb11f0ac> +8113f0d8: 0005883a mov r2,zero +8113f0dc: f800283a ret + +8113f0e0 <__eqdf2>: +8113f0e0: 2804d53a srli r2,r5,20 +8113f0e4: 3806d53a srli r3,r7,20 +8113f0e8: 02000434 movhi r8,16 +8113f0ec: 423fffc4 addi r8,r8,-1 +8113f0f0: 1081ffcc andi r2,r2,2047 +8113f0f4: 0281ffc4 movi r10,2047 +8113f0f8: 2a12703a and r9,r5,r8 +8113f0fc: 18c1ffcc andi r3,r3,2047 +8113f100: 3a10703a and r8,r7,r8 +8113f104: 280ad7fa srli r5,r5,31 +8113f108: 380ed7fa srli r7,r7,31 +8113f10c: 12801026 beq r2,r10,8113f150 <__eqdf2+0x70> +8113f110: 0281ffc4 movi r10,2047 +8113f114: 1a800a26 beq r3,r10,8113f140 <__eqdf2+0x60> +8113f118: 10c00226 beq r2,r3,8113f124 <__eqdf2+0x44> +8113f11c: 00800044 movi r2,1 +8113f120: f800283a ret +8113f124: 4a3ffd1e bne r9,r8,8113f11c <__reset+0xfb11f11c> +8113f128: 21bffc1e bne r4,r6,8113f11c <__reset+0xfb11f11c> +8113f12c: 29c00c26 beq r5,r7,8113f160 <__eqdf2+0x80> +8113f130: 103ffa1e bne r2,zero,8113f11c <__reset+0xfb11f11c> +8113f134: 2244b03a or r2,r4,r9 +8113f138: 1004c03a cmpne r2,r2,zero +8113f13c: f800283a ret +8113f140: 3214b03a or r10,r6,r8 +8113f144: 503ff426 beq r10,zero,8113f118 <__reset+0xfb11f118> +8113f148: 00800044 movi r2,1 +8113f14c: f800283a ret +8113f150: 2254b03a or r10,r4,r9 +8113f154: 503fee26 beq r10,zero,8113f110 <__reset+0xfb11f110> +8113f158: 00800044 movi r2,1 +8113f15c: f800283a ret +8113f160: 0005883a mov r2,zero +8113f164: f800283a ret + +8113f168 <__ledf2>: +8113f168: 2804d53a srli r2,r5,20 +8113f16c: 3810d53a srli r8,r7,20 +8113f170: 00c00434 movhi r3,16 +8113f174: 18ffffc4 addi r3,r3,-1 +8113f178: 1081ffcc andi r2,r2,2047 +8113f17c: 0241ffc4 movi r9,2047 +8113f180: 28d4703a and r10,r5,r3 +8113f184: 4201ffcc andi r8,r8,2047 +8113f188: 38c6703a and r3,r7,r3 +8113f18c: 280ad7fa srli r5,r5,31 +8113f190: 380ed7fa srli r7,r7,31 +8113f194: 12401f26 beq r2,r9,8113f214 <__ledf2+0xac> +8113f198: 0241ffc4 movi r9,2047 +8113f19c: 42401426 beq r8,r9,8113f1f0 <__ledf2+0x88> +8113f1a0: 1000091e bne r2,zero,8113f1c8 <__ledf2+0x60> +8113f1a4: 2296b03a or r11,r4,r10 +8113f1a8: 5813003a cmpeq r9,r11,zero +8113f1ac: 29403fcc andi r5,r5,255 +8113f1b0: 40000a1e bne r8,zero,8113f1dc <__ledf2+0x74> +8113f1b4: 30d8b03a or r12,r6,r3 +8113f1b8: 6000081e bne r12,zero,8113f1dc <__ledf2+0x74> +8113f1bc: 0005883a mov r2,zero +8113f1c0: 5800111e bne r11,zero,8113f208 <__ledf2+0xa0> +8113f1c4: f800283a ret +8113f1c8: 29403fcc andi r5,r5,255 +8113f1cc: 40000c1e bne r8,zero,8113f200 <__ledf2+0x98> +8113f1d0: 30d2b03a or r9,r6,r3 +8113f1d4: 48000c26 beq r9,zero,8113f208 <__ledf2+0xa0> +8113f1d8: 0013883a mov r9,zero +8113f1dc: 39c03fcc andi r7,r7,255 +8113f1e0: 48000826 beq r9,zero,8113f204 <__ledf2+0x9c> +8113f1e4: 38001126 beq r7,zero,8113f22c <__ledf2+0xc4> +8113f1e8: 00800044 movi r2,1 +8113f1ec: f800283a ret +8113f1f0: 30d2b03a or r9,r6,r3 +8113f1f4: 483fea26 beq r9,zero,8113f1a0 <__reset+0xfb11f1a0> +8113f1f8: 00800084 movi r2,2 +8113f1fc: f800283a ret +8113f200: 39c03fcc andi r7,r7,255 +8113f204: 39400726 beq r7,r5,8113f224 <__ledf2+0xbc> +8113f208: 2800081e bne r5,zero,8113f22c <__ledf2+0xc4> +8113f20c: 00800044 movi r2,1 +8113f210: f800283a ret +8113f214: 2292b03a or r9,r4,r10 +8113f218: 483fdf26 beq r9,zero,8113f198 <__reset+0xfb11f198> +8113f21c: 00800084 movi r2,2 +8113f220: f800283a ret +8113f224: 4080030e bge r8,r2,8113f234 <__ledf2+0xcc> +8113f228: 383fef26 beq r7,zero,8113f1e8 <__reset+0xfb11f1e8> +8113f22c: 00bfffc4 movi r2,-1 +8113f230: f800283a ret +8113f234: 123feb16 blt r2,r8,8113f1e4 <__reset+0xfb11f1e4> +8113f238: 1abff336 bltu r3,r10,8113f208 <__reset+0xfb11f208> +8113f23c: 50c00326 beq r10,r3,8113f24c <__ledf2+0xe4> +8113f240: 50c0042e bgeu r10,r3,8113f254 <__ledf2+0xec> +8113f244: 283fe81e bne r5,zero,8113f1e8 <__reset+0xfb11f1e8> +8113f248: 003ff806 br 8113f22c <__reset+0xfb11f22c> +8113f24c: 313fee36 bltu r6,r4,8113f208 <__reset+0xfb11f208> +8113f250: 21bffc36 bltu r4,r6,8113f244 <__reset+0xfb11f244> +8113f254: 0005883a mov r2,zero +8113f258: f800283a ret + +8113f25c : +8113f25c: 00c0f874 movhi r3,993 +8113f260: 18fe0f84 addi r3,r3,-1986 +8113f264: 20c7203a divu r3,r4,r3 +8113f268: 18000f26 beq r3,zero,8113f2a8 +8113f26c: 01a00034 movhi r6,32768 +8113f270: 017f07f4 movhi r5,64543 +8113f274: 31bfffc4 addi r6,r6,-1 +8113f278: 2941f084 addi r5,r5,1986 +8113f27c: 0005883a mov r2,zero +8113f280: 31bfffc4 addi r6,r6,-1 +8113f284: 303ffe1e bne r6,zero,8113f280 <__reset+0xfb11f280> +8113f288: 10800044 addi r2,r2,1 +8113f28c: 2149883a add r4,r4,r5 +8113f290: 10fffb16 blt r2,r3,8113f280 <__reset+0xfb11f280> +8113f294: 21000864 muli r4,r4,33 +8113f298: 213fffc4 addi r4,r4,-1 +8113f29c: 203ffe1e bne r4,zero,8113f298 <__reset+0xfb11f298> +8113f2a0: 0005883a mov r2,zero +8113f2a4: f800283a ret +8113f2a8: 21000864 muli r4,r4,33 +8113f2ac: 213fffc4 addi r4,r4,-1 +8113f2b0: 013ffe16 blt zero,r4,8113f2ac <__reset+0xfb11f2ac> +8113f2b4: 0005883a mov r2,zero +8113f2b8: f800283a ret + +8113f2bc : +8113f2bc: defffd04 addi sp,sp,-12 +8113f2c0: dfc00215 stw ra,8(sp) +8113f2c4: dc400115 stw r17,4(sp) +8113f2c8: dc000015 stw r16,0(sp) +8113f2cc: 20001f16 blt r4,zero,8113f34c +8113f2d0: 20c00324 muli r3,r4,12 +8113f2d4: 00a04574 movhi r2,33045 +8113f2d8: 10822b04 addi r2,r2,2220 +8113f2dc: 1885883a add r2,r3,r2 +8113f2e0: 2021883a mov r16,r4 +8113f2e4: 10001926 beq r2,zero,8113f34c +8113f2e8: 10c00017 ldw r3,0(r2) +8113f2ec: 18c00417 ldw r3,16(r3) +8113f2f0: 18000c26 beq r3,zero,8113f324 +8113f2f4: 1009883a mov r4,r2 +8113f2f8: 183ee83a callr r3 +8113f2fc: 8009883a mov r4,r16 +8113f300: 1023883a mov r17,r2 +8113f304: 113f86c0 call 8113f86c +8113f308: 0005883a mov r2,zero +8113f30c: 88000816 blt r17,zero,8113f330 +8113f310: dfc00217 ldw ra,8(sp) +8113f314: dc400117 ldw r17,4(sp) +8113f318: dc000017 ldw r16,0(sp) +8113f31c: dec00304 addi sp,sp,12 +8113f320: f800283a ret +8113f324: 113f86c0 call 8113f86c +8113f328: 0005883a mov r2,zero +8113f32c: 003ff806 br 8113f310 <__reset+0xfb11f310> +8113f330: d0a01a17 ldw r2,-32664(gp) +8113f334: 10000c26 beq r2,zero,8113f368 +8113f338: 103ee83a callr r2 +8113f33c: 0463c83a sub r17,zero,r17 +8113f340: 14400015 stw r17,0(r2) +8113f344: 00bfffc4 movi r2,-1 +8113f348: 003ff106 br 8113f310 <__reset+0xfb11f310> +8113f34c: d0a01a17 ldw r2,-32664(gp) +8113f350: 10000726 beq r2,zero,8113f370 +8113f354: 103ee83a callr r2 +8113f358: 00c01444 movi r3,81 +8113f35c: 10c00015 stw r3,0(r2) +8113f360: 00bfffc4 movi r2,-1 +8113f364: 003fea06 br 8113f310 <__reset+0xfb11f310> +8113f368: d0a09604 addi r2,gp,-32168 +8113f36c: 003ff306 br 8113f33c <__reset+0xfb11f33c> +8113f370: d0a09604 addi r2,gp,-32168 +8113f374: 003ff806 br 8113f358 <__reset+0xfb11f358> + +8113f378 : 8113f378: f800283a ret -8113f37c: d0a01a17 ldw r2,-32664(gp) -8113f380: 10000926 beq r2,zero,8113f3a8 -8113f384: deffff04 addi sp,sp,-4 -8113f388: dfc00015 stw ra,0(sp) -8113f38c: 103ee83a callr r2 -8113f390: 00c01444 movi r3,81 -8113f394: 10c00015 stw r3,0(r2) -8113f398: 00bfffc4 movi r2,-1 -8113f39c: dfc00017 ldw ra,0(sp) -8113f3a0: dec00104 addi sp,sp,4 -8113f3a4: f800283a ret -8113f3a8: d0a09604 addi r2,gp,-32168 -8113f3ac: 00c01444 movi r3,81 -8113f3b0: 10c00015 stw r3,0(r2) -8113f3b4: 00bfffc4 movi r2,-1 -8113f3b8: f800283a ret -8113f3bc : -8113f3bc: 008007c4 movi r2,31 -8113f3c0: 11002736 bltu r2,r4,8113f460 -8113f3c4: 000f303a rdctl r7,status -8113f3c8: 00bfff84 movi r2,-2 -8113f3cc: 3886703a and r3,r7,r2 -8113f3d0: 1801703a wrctl status,r3 -8113f3d4: 200690fa slli r3,r4,3 -8113f3d8: 02204674 movhi r8,33049 -8113f3dc: 422fc104 addi r8,r8,-16636 -8113f3e0: 40c7883a add r3,r8,r3 -8113f3e4: 19800015 stw r6,0(r3) -8113f3e8: 19400115 stw r5,4(r3) -8113f3ec: 30000e26 beq r6,zero,8113f428 -8113f3f0: 000b303a rdctl r5,status -8113f3f4: 2884703a and r2,r5,r2 -8113f3f8: 1001703a wrctl status,r2 -8113f3fc: 00c00044 movi r3,1 -8113f400: d0a09e17 ldw r2,-32136(gp) -8113f404: 1908983a sll r4,r3,r4 -8113f408: 2088b03a or r4,r4,r2 -8113f40c: d1209e15 stw r4,-32136(gp) -8113f410: d0a09e17 ldw r2,-32136(gp) -8113f414: 100170fa wrctl ienable,r2 -8113f418: 2801703a wrctl status,r5 -8113f41c: 3801703a wrctl status,r7 -8113f420: 0005883a mov r2,zero -8113f424: f800283a ret -8113f428: 000b303a rdctl r5,status -8113f42c: 2884703a and r2,r5,r2 -8113f430: 1001703a wrctl status,r2 -8113f434: 00ffff84 movi r3,-2 -8113f438: d0a09e17 ldw r2,-32136(gp) -8113f43c: 1908183a rol r4,r3,r4 -8113f440: 2088703a and r4,r4,r2 -8113f444: d1209e15 stw r4,-32136(gp) -8113f448: d0a09e17 ldw r2,-32136(gp) -8113f44c: 100170fa wrctl ienable,r2 -8113f450: 2801703a wrctl status,r5 -8113f454: 3801703a wrctl status,r7 -8113f458: 0005883a mov r2,zero -8113f45c: f800283a ret -8113f460: 00bffa84 movi r2,-22 +8113f37c : +8113f37c: 3005883a mov r2,r6 +8113f380: f800283a ret + +8113f384 : +8113f384: 20000d16 blt r4,zero,8113f3bc +8113f388: 21000324 muli r4,r4,12 +8113f38c: 00a04574 movhi r2,33045 +8113f390: 10822b04 addi r2,r2,2220 +8113f394: 2089883a add r4,r4,r2 +8113f398: 20000826 beq r4,zero,8113f3bc +8113f39c: 20800017 ldw r2,0(r4) +8113f3a0: 10800817 ldw r2,32(r2) +8113f3a4: 10000126 beq r2,zero,8113f3ac +8113f3a8: 1000683a jmp r2 +8113f3ac: 00880004 movi r2,8192 +8113f3b0: 28800115 stw r2,4(r5) +8113f3b4: 0005883a mov r2,zero +8113f3b8: f800283a ret +8113f3bc: d0a01a17 ldw r2,-32664(gp) +8113f3c0: 10000926 beq r2,zero,8113f3e8 +8113f3c4: deffff04 addi sp,sp,-4 +8113f3c8: dfc00015 stw ra,0(sp) +8113f3cc: 103ee83a callr r2 +8113f3d0: 00c01444 movi r3,81 +8113f3d4: 10c00015 stw r3,0(r2) +8113f3d8: 00bfffc4 movi r2,-1 +8113f3dc: dfc00017 ldw ra,0(sp) +8113f3e0: dec00104 addi sp,sp,4 +8113f3e4: f800283a ret +8113f3e8: d0a09604 addi r2,gp,-32168 +8113f3ec: 00c01444 movi r3,81 +8113f3f0: 10c00015 stw r3,0(r2) +8113f3f4: 00bfffc4 movi r2,-1 +8113f3f8: f800283a ret + +8113f3fc : +8113f3fc: 008007c4 movi r2,31 +8113f400: 11002736 bltu r2,r4,8113f4a0 +8113f404: 000f303a rdctl r7,status +8113f408: 00bfff84 movi r2,-2 +8113f40c: 3886703a and r3,r7,r2 +8113f410: 1801703a wrctl status,r3 +8113f414: 200690fa slli r3,r4,3 +8113f418: 02204674 movhi r8,33049 +8113f41c: 422fd204 addi r8,r8,-16568 +8113f420: 40c7883a add r3,r8,r3 +8113f424: 19800015 stw r6,0(r3) +8113f428: 19400115 stw r5,4(r3) +8113f42c: 30000e26 beq r6,zero,8113f468 +8113f430: 000b303a rdctl r5,status +8113f434: 2884703a and r2,r5,r2 +8113f438: 1001703a wrctl status,r2 +8113f43c: 00c00044 movi r3,1 +8113f440: d0a09e17 ldw r2,-32136(gp) +8113f444: 1908983a sll r4,r3,r4 +8113f448: 2088b03a or r4,r4,r2 +8113f44c: d1209e15 stw r4,-32136(gp) +8113f450: d0a09e17 ldw r2,-32136(gp) +8113f454: 100170fa wrctl ienable,r2 +8113f458: 2801703a wrctl status,r5 +8113f45c: 3801703a wrctl status,r7 +8113f460: 0005883a mov r2,zero 8113f464: f800283a ret - -8113f468 : -8113f468: defff004 addi sp,sp,-64 -8113f46c: dfc00f15 stw ra,60(sp) -8113f470: 20001316 blt r4,zero,8113f4c0 -8113f474: 20800324 muli r2,r4,12 -8113f478: 00e04574 movhi r3,33045 -8113f47c: 18c21a04 addi r3,r3,2152 -8113f480: 10c5883a add r2,r2,r3 -8113f484: 10000e26 beq r2,zero,8113f4c0 -8113f488: 10800017 ldw r2,0(r2) -8113f48c: 10800817 ldw r2,32(r2) -8113f490: 10000726 beq r2,zero,8113f4b0 -8113f494: d80b883a mov r5,sp -8113f498: 113f3440 call 8113f344 -8113f49c: d8800117 ldw r2,4(sp) -8113f4a0: 10880020 cmpeqi r2,r2,8192 -8113f4a4: dfc00f17 ldw ra,60(sp) -8113f4a8: dec01004 addi sp,sp,64 -8113f4ac: f800283a ret -8113f4b0: 00800044 movi r2,1 -8113f4b4: dfc00f17 ldw ra,60(sp) -8113f4b8: dec01004 addi sp,sp,64 -8113f4bc: f800283a ret -8113f4c0: d0a01a17 ldw r2,-32664(gp) -8113f4c4: 10000726 beq r2,zero,8113f4e4 -8113f4c8: 103ee83a callr r2 -8113f4cc: 00c01444 movi r3,81 -8113f4d0: 10c00015 stw r3,0(r2) -8113f4d4: 0005883a mov r2,zero -8113f4d8: dfc00f17 ldw ra,60(sp) -8113f4dc: dec01004 addi sp,sp,64 -8113f4e0: f800283a ret -8113f4e4: d0a09604 addi r2,gp,-32168 -8113f4e8: 003ff806 br 8113f4cc <__reset+0xfb11f4cc> - -8113f4ec : -8113f4ec: defffe04 addi sp,sp,-8 -8113f4f0: dfc00115 stw ra,4(sp) -8113f4f4: dc000015 stw r16,0(sp) -8113f4f8: 20000e16 blt r4,zero,8113f534 -8113f4fc: 21000324 muli r4,r4,12 -8113f500: 00a04574 movhi r2,33045 -8113f504: 10821a04 addi r2,r2,2152 -8113f508: 2089883a add r4,r4,r2 -8113f50c: 20000926 beq r4,zero,8113f534 -8113f510: 20800017 ldw r2,0(r4) -8113f514: 10800717 ldw r2,28(r2) -8113f518: 10000d26 beq r2,zero,8113f550 -8113f51c: 103ee83a callr r2 -8113f520: 10000f16 blt r2,zero,8113f560 -8113f524: dfc00117 ldw ra,4(sp) -8113f528: dc000017 ldw r16,0(sp) -8113f52c: dec00204 addi sp,sp,8 -8113f530: f800283a ret -8113f534: 04001444 movi r16,81 -8113f538: d0a01a17 ldw r2,-32664(gp) -8113f53c: 10000626 beq r2,zero,8113f558 -8113f540: 103ee83a callr r2 -8113f544: 14000015 stw r16,0(r2) -8113f548: 00bfffc4 movi r2,-1 -8113f54c: 003ff506 br 8113f524 <__reset+0xfb11f524> -8113f550: 04002184 movi r16,134 -8113f554: 003ff806 br 8113f538 <__reset+0xfb11f538> -8113f558: d0a09604 addi r2,gp,-32168 -8113f55c: 003ff906 br 8113f544 <__reset+0xfb11f544> -8113f560: 00a1c83a sub r16,zero,r2 -8113f564: 003ff406 br 8113f538 <__reset+0xfb11f538> - -8113f568 : -8113f568: defffd04 addi sp,sp,-12 -8113f56c: 0009883a mov r4,zero -8113f570: dfc00215 stw ra,8(sp) -8113f574: dc400115 stw r17,4(sp) -8113f578: dc000015 stw r16,0(sp) -8113f57c: 11455ec0 call 811455ec -8113f580: 04000044 movi r16,1 -8113f584: 113fed40 call 8113fed4 -8113f588: 8009883a mov r4,r16 -8113f58c: 11433700 call 81143370 -8113f590: 8009883a mov r4,r16 -8113f594: d0a0a515 stw r2,-32108(gp) -8113f598: 11433700 call 81143370 -8113f59c: 8009883a mov r4,r16 -8113f5a0: d0a0a715 stw r2,-32100(gp) -8113f5a4: 11433700 call 81143370 -8113f5a8: d0a09d15 stw r2,-32140(gp) -8113f5ac: 114560c0 call 8114560c -8113f5b0: 01604574 movhi r5,33045 -8113f5b4: 297f9204 addi r5,r5,-440 -8113f5b8: 01a04574 movhi r6,33045 -8113f5bc: 2809883a mov r4,r5 -8113f5c0: 31b12604 addi r6,r6,-15208 -8113f5c4: 11468080 call 81146808 -8113f5c8: d1a09f17 ldw r6,-32132(gp) -8113f5cc: d160a017 ldw r5,-32128(gp) -8113f5d0: d120a117 ldw r4,-32124(gp) -8113f5d4: 111cd440 call 8111cd44
-8113f5d8: 8009883a mov r4,r16 -8113f5dc: 1023883a mov r17,r2 -8113f5e0: 113f27c0 call 8113f27c -8113f5e4: 8809883a mov r4,r17 -8113f5e8: 1146ae80 call 81146ae8 - -8113f5ec : -8113f5ec: defffa04 addi sp,sp,-24 -8113f5f0: dc000015 stw r16,0(sp) -8113f5f4: 2821883a mov r16,r5 -8113f5f8: d1601604 addi r5,gp,-32680 -8113f5fc: dc800215 stw r18,8(sp) -8113f600: dc400115 stw r17,4(sp) -8113f604: dfc00515 stw ra,20(sp) -8113f608: dd000415 stw r20,16(sp) -8113f60c: dcc00315 stw r19,12(sp) -8113f610: 2023883a mov r17,r4 -8113f614: 3025883a mov r18,r6 -8113f618: 11464ec0 call 811464ec -8113f61c: 10004626 beq r2,zero,8113f738 -8113f620: 1009883a mov r4,r2 -8113f624: 1029883a mov r20,r2 -8113f628: 11466100 call 81146610 -8113f62c: 1027883a mov r19,r2 -8113f630: 1000090e bge r2,zero,8113f658 -8113f634: 04e1c83a sub r16,zero,r19 -8113f638: 9809883a mov r4,r19 -8113f63c: 113f82c0 call 8113f82c -8113f640: d0a01a17 ldw r2,-32664(gp) -8113f644: 10004326 beq r2,zero,8113f754 -8113f648: 103ee83a callr r2 -8113f64c: 14000015 stw r16,0(r2) -8113f650: 00bfffc4 movi r2,-1 -8113f654: 00002e06 br 8113f710 -8113f658: 99000324 muli r4,r19,12 -8113f65c: 00d00034 movhi r3,16384 -8113f660: 00a04574 movhi r2,33045 -8113f664: 18ffffc4 addi r3,r3,-1 -8113f668: 10821a04 addi r2,r2,2152 -8113f66c: 80c6703a and r3,r16,r3 -8113f670: 18d00034 orhi r3,r3,16384 -8113f674: 2089883a add r4,r4,r2 -8113f678: 20c00215 stw r3,8(r4) -8113f67c: d2201517 ldw r8,-32684(gp) -8113f680: 21c00017 ldw r7,0(r4) -8113f684: 11400204 addi r5,r2,8 -8113f688: 0007883a mov r3,zero -8113f68c: 00000306 br 8113f69c -8113f690: 10800304 addi r2,r2,12 -8113f694: 29400304 addi r5,r5,12 -8113f698: 40c01436 bltu r8,r3,8113f6ec -8113f69c: 11800017 ldw r6,0(r2) -8113f6a0: 18c00044 addi r3,r3,1 -8113f6a4: 31fffa1e bne r6,r7,8113f690 <__reset+0xfb11f690> -8113f6a8: 29800017 ldw r6,0(r5) -8113f6ac: 303ff80e bge r6,zero,8113f690 <__reset+0xfb11f690> -8113f6b0: 20bff726 beq r4,r2,8113f690 <__reset+0xfb11f690> -8113f6b4: 04000344 movi r16,13 -8113f6b8: 003fdf06 br 8113f638 <__reset+0xfb11f638> -8113f6bc: 1009883a mov r4,r2 -8113f6c0: 11466100 call 81146610 -8113f6c4: 1027883a mov r19,r2 -8113f6c8: 103fda16 blt r2,zero,8113f634 <__reset+0xfb11f634> -8113f6cc: 99000324 muli r4,r19,12 -8113f6d0: 00a04574 movhi r2,33045 -8113f6d4: 10821a04 addi r2,r2,2152 -8113f6d8: 2089883a add r4,r4,r2 -8113f6dc: 00900034 movhi r2,16384 -8113f6e0: 10bfffc4 addi r2,r2,-1 -8113f6e4: 8084703a and r2,r16,r2 -8113f6e8: 20800215 stw r2,8(r4) -8113f6ec: a0800317 ldw r2,12(r20) -8113f6f0: 10000f26 beq r2,zero,8113f730 -8113f6f4: 900f883a mov r7,r18 -8113f6f8: 800d883a mov r6,r16 -8113f6fc: 880b883a mov r5,r17 -8113f700: 103ee83a callr r2 -8113f704: 1007883a mov r3,r2 -8113f708: 9805883a mov r2,r19 -8113f70c: 18001316 blt r3,zero,8113f75c -8113f710: dfc00517 ldw ra,20(sp) -8113f714: dd000417 ldw r20,16(sp) -8113f718: dcc00317 ldw r19,12(sp) -8113f71c: dc800217 ldw r18,8(sp) -8113f720: dc400117 ldw r17,4(sp) -8113f724: dc000017 ldw r16,0(sp) -8113f728: dec00604 addi sp,sp,24 -8113f72c: f800283a ret -8113f730: 9805883a mov r2,r19 -8113f734: 003ff606 br 8113f710 <__reset+0xfb11f710> -8113f738: 8809883a mov r4,r17 -8113f73c: 11465640 call 81146564 -8113f740: 1029883a mov r20,r2 -8113f744: 103fdd1e bne r2,zero,8113f6bc <__reset+0xfb11f6bc> -8113f748: 040004c4 movi r16,19 -8113f74c: 04ffffc4 movi r19,-1 -8113f750: 003fb906 br 8113f638 <__reset+0xfb11f638> -8113f754: d0a09604 addi r2,gp,-32168 -8113f758: 003fbc06 br 8113f64c <__reset+0xfb11f64c> -8113f75c: 00e1c83a sub r16,zero,r3 -8113f760: 003fb506 br 8113f638 <__reset+0xfb11f638> - -8113f764 : -8113f764: defffe04 addi sp,sp,-8 -8113f768: dfc00115 stw ra,4(sp) -8113f76c: dc000015 stw r16,0(sp) -8113f770: 20002116 blt r4,zero,8113f7f8 -8113f774: 21000324 muli r4,r4,12 -8113f778: 00a04574 movhi r2,33045 -8113f77c: 10821a04 addi r2,r2,2152 -8113f780: 2089883a add r4,r4,r2 -8113f784: 20001c26 beq r4,zero,8113f7f8 -8113f788: 20800217 ldw r2,8(r4) -8113f78c: 00c00044 movi r3,1 -8113f790: 108000cc andi r2,r2,3 -8113f794: 10c00a26 beq r2,r3,8113f7c0 -8113f798: 20800017 ldw r2,0(r4) -8113f79c: 10800517 ldw r2,20(r2) -8113f7a0: 10000726 beq r2,zero,8113f7c0 -8113f7a4: 103ee83a callr r2 -8113f7a8: 1021883a mov r16,r2 -8113f7ac: 10000b16 blt r2,zero,8113f7dc -8113f7b0: dfc00117 ldw ra,4(sp) -8113f7b4: dc000017 ldw r16,0(sp) -8113f7b8: dec00204 addi sp,sp,8 -8113f7bc: f800283a ret -8113f7c0: d0a01a17 ldw r2,-32664(gp) -8113f7c4: 10001326 beq r2,zero,8113f814 -8113f7c8: 103ee83a callr r2 -8113f7cc: 00c00344 movi r3,13 -8113f7d0: 10c00015 stw r3,0(r2) -8113f7d4: 00bfffc4 movi r2,-1 -8113f7d8: 003ff506 br 8113f7b0 <__reset+0xfb11f7b0> -8113f7dc: d0a01a17 ldw r2,-32664(gp) -8113f7e0: 10000e26 beq r2,zero,8113f81c +8113f468: 000b303a rdctl r5,status +8113f46c: 2884703a and r2,r5,r2 +8113f470: 1001703a wrctl status,r2 +8113f474: 00ffff84 movi r3,-2 +8113f478: d0a09e17 ldw r2,-32136(gp) +8113f47c: 1908183a rol r4,r3,r4 +8113f480: 2088703a and r4,r4,r2 +8113f484: d1209e15 stw r4,-32136(gp) +8113f488: d0a09e17 ldw r2,-32136(gp) +8113f48c: 100170fa wrctl ienable,r2 +8113f490: 2801703a wrctl status,r5 +8113f494: 3801703a wrctl status,r7 +8113f498: 0005883a mov r2,zero +8113f49c: f800283a ret +8113f4a0: 00bffa84 movi r2,-22 +8113f4a4: f800283a ret + +8113f4a8 : +8113f4a8: defff004 addi sp,sp,-64 +8113f4ac: dfc00f15 stw ra,60(sp) +8113f4b0: 20001316 blt r4,zero,8113f500 +8113f4b4: 20800324 muli r2,r4,12 +8113f4b8: 00e04574 movhi r3,33045 +8113f4bc: 18c22b04 addi r3,r3,2220 +8113f4c0: 10c5883a add r2,r2,r3 +8113f4c4: 10000e26 beq r2,zero,8113f500 +8113f4c8: 10800017 ldw r2,0(r2) +8113f4cc: 10800817 ldw r2,32(r2) +8113f4d0: 10000726 beq r2,zero,8113f4f0 +8113f4d4: d80b883a mov r5,sp +8113f4d8: 113f3840 call 8113f384 +8113f4dc: d8800117 ldw r2,4(sp) +8113f4e0: 10880020 cmpeqi r2,r2,8192 +8113f4e4: dfc00f17 ldw ra,60(sp) +8113f4e8: dec01004 addi sp,sp,64 +8113f4ec: f800283a ret +8113f4f0: 00800044 movi r2,1 +8113f4f4: dfc00f17 ldw ra,60(sp) +8113f4f8: dec01004 addi sp,sp,64 +8113f4fc: f800283a ret +8113f500: d0a01a17 ldw r2,-32664(gp) +8113f504: 10000726 beq r2,zero,8113f524 +8113f508: 103ee83a callr r2 +8113f50c: 00c01444 movi r3,81 +8113f510: 10c00015 stw r3,0(r2) +8113f514: 0005883a mov r2,zero +8113f518: dfc00f17 ldw ra,60(sp) +8113f51c: dec01004 addi sp,sp,64 +8113f520: f800283a ret +8113f524: d0a09604 addi r2,gp,-32168 +8113f528: 003ff806 br 8113f50c <__reset+0xfb11f50c> + +8113f52c : +8113f52c: defffe04 addi sp,sp,-8 +8113f530: dfc00115 stw ra,4(sp) +8113f534: dc000015 stw r16,0(sp) +8113f538: 20000e16 blt r4,zero,8113f574 +8113f53c: 21000324 muli r4,r4,12 +8113f540: 00a04574 movhi r2,33045 +8113f544: 10822b04 addi r2,r2,2220 +8113f548: 2089883a add r4,r4,r2 +8113f54c: 20000926 beq r4,zero,8113f574 +8113f550: 20800017 ldw r2,0(r4) +8113f554: 10800717 ldw r2,28(r2) +8113f558: 10000d26 beq r2,zero,8113f590 +8113f55c: 103ee83a callr r2 +8113f560: 10000f16 blt r2,zero,8113f5a0 +8113f564: dfc00117 ldw ra,4(sp) +8113f568: dc000017 ldw r16,0(sp) +8113f56c: dec00204 addi sp,sp,8 +8113f570: f800283a ret +8113f574: 04001444 movi r16,81 +8113f578: d0a01a17 ldw r2,-32664(gp) +8113f57c: 10000626 beq r2,zero,8113f598 +8113f580: 103ee83a callr r2 +8113f584: 14000015 stw r16,0(r2) +8113f588: 00bfffc4 movi r2,-1 +8113f58c: 003ff506 br 8113f564 <__reset+0xfb11f564> +8113f590: 04002184 movi r16,134 +8113f594: 003ff806 br 8113f578 <__reset+0xfb11f578> +8113f598: d0a09604 addi r2,gp,-32168 +8113f59c: 003ff906 br 8113f584 <__reset+0xfb11f584> +8113f5a0: 00a1c83a sub r16,zero,r2 +8113f5a4: 003ff406 br 8113f578 <__reset+0xfb11f578> + +8113f5a8 : +8113f5a8: defffd04 addi sp,sp,-12 +8113f5ac: 0009883a mov r4,zero +8113f5b0: dfc00215 stw ra,8(sp) +8113f5b4: dc400115 stw r17,4(sp) +8113f5b8: dc000015 stw r16,0(sp) +8113f5bc: 114562c0 call 8114562c +8113f5c0: 04000044 movi r16,1 +8113f5c4: 113ff140 call 8113ff14 +8113f5c8: 8009883a mov r4,r16 +8113f5cc: 11433b00 call 811433b0 +8113f5d0: 8009883a mov r4,r16 +8113f5d4: d0a0a515 stw r2,-32108(gp) +8113f5d8: 11433b00 call 811433b0 +8113f5dc: 8009883a mov r4,r16 +8113f5e0: d0a0a715 stw r2,-32100(gp) +8113f5e4: 11433b00 call 811433b0 +8113f5e8: d0a09d15 stw r2,-32140(gp) +8113f5ec: 114564c0 call 8114564c +8113f5f0: 01604574 movhi r5,33045 +8113f5f4: 297fa304 addi r5,r5,-372 +8113f5f8: 01a04574 movhi r6,33045 +8113f5fc: 2809883a mov r4,r5 +8113f600: 31b13604 addi r6,r6,-15144 +8113f604: 11468480 call 81146848 +8113f608: d1a09f17 ldw r6,-32132(gp) +8113f60c: d160a017 ldw r5,-32128(gp) +8113f610: d120a117 ldw r4,-32124(gp) +8113f614: 111cd840 call 8111cd84
+8113f618: 8009883a mov r4,r16 +8113f61c: 1023883a mov r17,r2 +8113f620: 113f2bc0 call 8113f2bc +8113f624: 8809883a mov r4,r17 +8113f628: 1146b280 call 81146b28 + +8113f62c : +8113f62c: defffa04 addi sp,sp,-24 +8113f630: dc000015 stw r16,0(sp) +8113f634: 2821883a mov r16,r5 +8113f638: d1601604 addi r5,gp,-32680 +8113f63c: dc800215 stw r18,8(sp) +8113f640: dc400115 stw r17,4(sp) +8113f644: dfc00515 stw ra,20(sp) +8113f648: dd000415 stw r20,16(sp) +8113f64c: dcc00315 stw r19,12(sp) +8113f650: 2023883a mov r17,r4 +8113f654: 3025883a mov r18,r6 +8113f658: 114652c0 call 8114652c +8113f65c: 10004626 beq r2,zero,8113f778 +8113f660: 1009883a mov r4,r2 +8113f664: 1029883a mov r20,r2 +8113f668: 11466500 call 81146650 +8113f66c: 1027883a mov r19,r2 +8113f670: 1000090e bge r2,zero,8113f698 +8113f674: 04e1c83a sub r16,zero,r19 +8113f678: 9809883a mov r4,r19 +8113f67c: 113f86c0 call 8113f86c +8113f680: d0a01a17 ldw r2,-32664(gp) +8113f684: 10004326 beq r2,zero,8113f794 +8113f688: 103ee83a callr r2 +8113f68c: 14000015 stw r16,0(r2) +8113f690: 00bfffc4 movi r2,-1 +8113f694: 00002e06 br 8113f750 +8113f698: 99000324 muli r4,r19,12 +8113f69c: 00d00034 movhi r3,16384 +8113f6a0: 00a04574 movhi r2,33045 +8113f6a4: 18ffffc4 addi r3,r3,-1 +8113f6a8: 10822b04 addi r2,r2,2220 +8113f6ac: 80c6703a and r3,r16,r3 +8113f6b0: 18d00034 orhi r3,r3,16384 +8113f6b4: 2089883a add r4,r4,r2 +8113f6b8: 20c00215 stw r3,8(r4) +8113f6bc: d2201517 ldw r8,-32684(gp) +8113f6c0: 21c00017 ldw r7,0(r4) +8113f6c4: 11400204 addi r5,r2,8 +8113f6c8: 0007883a mov r3,zero +8113f6cc: 00000306 br 8113f6dc +8113f6d0: 10800304 addi r2,r2,12 +8113f6d4: 29400304 addi r5,r5,12 +8113f6d8: 40c01436 bltu r8,r3,8113f72c +8113f6dc: 11800017 ldw r6,0(r2) +8113f6e0: 18c00044 addi r3,r3,1 +8113f6e4: 31fffa1e bne r6,r7,8113f6d0 <__reset+0xfb11f6d0> +8113f6e8: 29800017 ldw r6,0(r5) +8113f6ec: 303ff80e bge r6,zero,8113f6d0 <__reset+0xfb11f6d0> +8113f6f0: 20bff726 beq r4,r2,8113f6d0 <__reset+0xfb11f6d0> +8113f6f4: 04000344 movi r16,13 +8113f6f8: 003fdf06 br 8113f678 <__reset+0xfb11f678> +8113f6fc: 1009883a mov r4,r2 +8113f700: 11466500 call 81146650 +8113f704: 1027883a mov r19,r2 +8113f708: 103fda16 blt r2,zero,8113f674 <__reset+0xfb11f674> +8113f70c: 99000324 muli r4,r19,12 +8113f710: 00a04574 movhi r2,33045 +8113f714: 10822b04 addi r2,r2,2220 +8113f718: 2089883a add r4,r4,r2 +8113f71c: 00900034 movhi r2,16384 +8113f720: 10bfffc4 addi r2,r2,-1 +8113f724: 8084703a and r2,r16,r2 +8113f728: 20800215 stw r2,8(r4) +8113f72c: a0800317 ldw r2,12(r20) +8113f730: 10000f26 beq r2,zero,8113f770 +8113f734: 900f883a mov r7,r18 +8113f738: 800d883a mov r6,r16 +8113f73c: 880b883a mov r5,r17 +8113f740: 103ee83a callr r2 +8113f744: 1007883a mov r3,r2 +8113f748: 9805883a mov r2,r19 +8113f74c: 18001316 blt r3,zero,8113f79c +8113f750: dfc00517 ldw ra,20(sp) +8113f754: dd000417 ldw r20,16(sp) +8113f758: dcc00317 ldw r19,12(sp) +8113f75c: dc800217 ldw r18,8(sp) +8113f760: dc400117 ldw r17,4(sp) +8113f764: dc000017 ldw r16,0(sp) +8113f768: dec00604 addi sp,sp,24 +8113f76c: f800283a ret +8113f770: 9805883a mov r2,r19 +8113f774: 003ff606 br 8113f750 <__reset+0xfb11f750> +8113f778: 8809883a mov r4,r17 +8113f77c: 11465a40 call 811465a4 +8113f780: 1029883a mov r20,r2 +8113f784: 103fdd1e bne r2,zero,8113f6fc <__reset+0xfb11f6fc> +8113f788: 040004c4 movi r16,19 +8113f78c: 04ffffc4 movi r19,-1 +8113f790: 003fb906 br 8113f678 <__reset+0xfb11f678> +8113f794: d0a09604 addi r2,gp,-32168 +8113f798: 003fbc06 br 8113f68c <__reset+0xfb11f68c> +8113f79c: 00e1c83a sub r16,zero,r3 +8113f7a0: 003fb506 br 8113f678 <__reset+0xfb11f678> + +8113f7a4 : +8113f7a4: defffe04 addi sp,sp,-8 +8113f7a8: dfc00115 stw ra,4(sp) +8113f7ac: dc000015 stw r16,0(sp) +8113f7b0: 20002116 blt r4,zero,8113f838 +8113f7b4: 21000324 muli r4,r4,12 +8113f7b8: 00a04574 movhi r2,33045 +8113f7bc: 10822b04 addi r2,r2,2220 +8113f7c0: 2089883a add r4,r4,r2 +8113f7c4: 20001c26 beq r4,zero,8113f838 +8113f7c8: 20800217 ldw r2,8(r4) +8113f7cc: 00c00044 movi r3,1 +8113f7d0: 108000cc andi r2,r2,3 +8113f7d4: 10c00a26 beq r2,r3,8113f800 +8113f7d8: 20800017 ldw r2,0(r4) +8113f7dc: 10800517 ldw r2,20(r2) +8113f7e0: 10000726 beq r2,zero,8113f800 8113f7e4: 103ee83a callr r2 -8113f7e8: 0421c83a sub r16,zero,r16 -8113f7ec: 14000015 stw r16,0(r2) -8113f7f0: 00bfffc4 movi r2,-1 -8113f7f4: 003fee06 br 8113f7b0 <__reset+0xfb11f7b0> -8113f7f8: d0a01a17 ldw r2,-32664(gp) -8113f7fc: 10000926 beq r2,zero,8113f824 -8113f800: 103ee83a callr r2 -8113f804: 00c01444 movi r3,81 -8113f808: 10c00015 stw r3,0(r2) -8113f80c: 00bfffc4 movi r2,-1 -8113f810: 003fe706 br 8113f7b0 <__reset+0xfb11f7b0> -8113f814: d0a09604 addi r2,gp,-32168 -8113f818: 003fec06 br 8113f7cc <__reset+0xfb11f7cc> -8113f81c: d0a09604 addi r2,gp,-32168 -8113f820: 003ff106 br 8113f7e8 <__reset+0xfb11f7e8> -8113f824: d0a09604 addi r2,gp,-32168 -8113f828: 003ff606 br 8113f804 <__reset+0xfb11f804> - -8113f82c : -8113f82c: 00800084 movi r2,2 -8113f830: 1100060e bge r2,r4,8113f84c -8113f834: 21000324 muli r4,r4,12 -8113f838: 00a04574 movhi r2,33045 -8113f83c: 10821a04 addi r2,r2,2152 -8113f840: 1109883a add r4,r2,r4 -8113f844: 20000215 stw zero,8(r4) -8113f848: 20000015 stw zero,0(r4) -8113f84c: f800283a ret - -8113f850 : -8113f850: 000b303a rdctl r5,status -8113f854: 00bfff84 movi r2,-2 -8113f858: 2884703a and r2,r5,r2 -8113f85c: 1001703a wrctl status,r2 -8113f860: d0a01c17 ldw r2,-32656(gp) -8113f864: 00ffff04 movi r3,-4 -8113f868: 108000c4 addi r2,r2,3 -8113f86c: 10c4703a and r2,r2,r3 -8113f870: 00e04734 movhi r3,33052 -8113f874: d0a01c15 stw r2,-32656(gp) -8113f878: 1109883a add r4,r2,r4 -8113f87c: 18c00004 addi r3,r3,0 -8113f880: 19000336 bltu r3,r4,8113f890 -8113f884: d1201c15 stw r4,-32656(gp) -8113f888: 2801703a wrctl status,r5 +8113f7e8: 1021883a mov r16,r2 +8113f7ec: 10000b16 blt r2,zero,8113f81c +8113f7f0: dfc00117 ldw ra,4(sp) +8113f7f4: dc000017 ldw r16,0(sp) +8113f7f8: dec00204 addi sp,sp,8 +8113f7fc: f800283a ret +8113f800: d0a01a17 ldw r2,-32664(gp) +8113f804: 10001326 beq r2,zero,8113f854 +8113f808: 103ee83a callr r2 +8113f80c: 00c00344 movi r3,13 +8113f810: 10c00015 stw r3,0(r2) +8113f814: 00bfffc4 movi r2,-1 +8113f818: 003ff506 br 8113f7f0 <__reset+0xfb11f7f0> +8113f81c: d0a01a17 ldw r2,-32664(gp) +8113f820: 10000e26 beq r2,zero,8113f85c +8113f824: 103ee83a callr r2 +8113f828: 0421c83a sub r16,zero,r16 +8113f82c: 14000015 stw r16,0(r2) +8113f830: 00bfffc4 movi r2,-1 +8113f834: 003fee06 br 8113f7f0 <__reset+0xfb11f7f0> +8113f838: d0a01a17 ldw r2,-32664(gp) +8113f83c: 10000926 beq r2,zero,8113f864 +8113f840: 103ee83a callr r2 +8113f844: 00c01444 movi r3,81 +8113f848: 10c00015 stw r3,0(r2) +8113f84c: 00bfffc4 movi r2,-1 +8113f850: 003fe706 br 8113f7f0 <__reset+0xfb11f7f0> +8113f854: d0a09604 addi r2,gp,-32168 +8113f858: 003fec06 br 8113f80c <__reset+0xfb11f80c> +8113f85c: d0a09604 addi r2,gp,-32168 +8113f860: 003ff106 br 8113f828 <__reset+0xfb11f828> +8113f864: d0a09604 addi r2,gp,-32168 +8113f868: 003ff606 br 8113f844 <__reset+0xfb11f844> + +8113f86c : +8113f86c: 00800084 movi r2,2 +8113f870: 1100060e bge r2,r4,8113f88c +8113f874: 21000324 muli r4,r4,12 +8113f878: 00a04574 movhi r2,33045 +8113f87c: 10822b04 addi r2,r2,2220 +8113f880: 1109883a add r4,r2,r4 +8113f884: 20000215 stw zero,8(r4) +8113f888: 20000015 stw zero,0(r4) 8113f88c: f800283a ret -8113f890: 2801703a wrctl status,r5 -8113f894: 00bfffc4 movi r2,-1 -8113f898: f800283a ret - -8113f89c : -8113f89c: 0007303a rdctl r3,status -8113f8a0: 00bfff84 movi r2,-2 -8113f8a4: 1884703a and r2,r3,r2 -8113f8a8: 1001703a wrctl status,r2 -8113f8ac: 21400117 ldw r5,4(r4) -8113f8b0: 20800017 ldw r2,0(r4) -8113f8b4: 11400115 stw r5,4(r2) -8113f8b8: 21400117 ldw r5,4(r4) -8113f8bc: 28800015 stw r2,0(r5) -8113f8c0: 21000115 stw r4,4(r4) -8113f8c4: 21000015 stw r4,0(r4) -8113f8c8: 1801703a wrctl status,r3 -8113f8cc: f800283a ret -8113f8d0 : -8113f8d0: d0a0a217 ldw r2,-32120(gp) -8113f8d4: defffa04 addi sp,sp,-24 -8113f8d8: dc000015 stw r16,0(sp) -8113f8dc: d4201d17 ldw r16,-32652(gp) -8113f8e0: 10800044 addi r2,r2,1 -8113f8e4: dc800215 stw r18,8(sp) -8113f8e8: dfc00515 stw ra,20(sp) -8113f8ec: dd000415 stw r20,16(sp) -8113f8f0: dcc00315 stw r19,12(sp) -8113f8f4: dc400115 stw r17,4(sp) -8113f8f8: d4a01d04 addi r18,gp,-32652 -8113f8fc: d0a0a215 stw r2,-32120(gp) -8113f900: 84801126 beq r16,r18,8113f948 -8113f904: 04c00044 movi r19,1 -8113f908: 053fff84 movi r20,-2 -8113f90c: 00000606 br 8113f928 -8113f910: 80000405 stb zero,16(r16) -8113f914: d0e0a217 ldw r3,-32120(gp) -8113f918: 80800217 ldw r2,8(r16) -8113f91c: 1880122e bgeu r3,r2,8113f968 -8113f920: 8821883a mov r16,r17 -8113f924: 8c800826 beq r17,r18,8113f948 -8113f928: 80800403 ldbu r2,16(r16) -8113f92c: 84400017 ldw r17,0(r16) -8113f930: 103ff826 beq r2,zero,8113f914 <__reset+0xfb11f914> -8113f934: d0a0a217 ldw r2,-32120(gp) -8113f938: 103ff526 beq r2,zero,8113f910 <__reset+0xfb11f910> -8113f93c: d0a0a217 ldw r2,-32120(gp) -8113f940: 8821883a mov r16,r17 -8113f944: 8cbff81e bne r17,r18,8113f928 <__reset+0xfb11f928> -8113f948: dfc00517 ldw ra,20(sp) -8113f94c: dd000417 ldw r20,16(sp) -8113f950: dcc00317 ldw r19,12(sp) -8113f954: dc800217 ldw r18,8(sp) -8113f958: dc400117 ldw r17,4(sp) -8113f95c: dc000017 ldw r16,0(sp) -8113f960: dec00604 addi sp,sp,24 -8113f964: 114032c1 jmpi 8114032c -8113f968: 80800317 ldw r2,12(r16) -8113f96c: 81000517 ldw r4,20(r16) -8113f970: 103ee83a callr r2 -8113f974: 10000726 beq r2,zero,8113f994 -8113f978: 80c00217 ldw r3,8(r16) -8113f97c: d120a217 ldw r4,-32120(gp) -8113f980: 10c5883a add r2,r2,r3 -8113f984: 80800215 stw r2,8(r16) -8113f988: 113fe52e bgeu r2,r4,8113f920 <__reset+0xfb11f920> -8113f98c: 84c00405 stb r19,16(r16) -8113f990: 003fe306 br 8113f920 <__reset+0xfb11f920> -8113f994: 0005303a rdctl r2,status -8113f998: 1506703a and r3,r2,r20 -8113f99c: 1801703a wrctl status,r3 -8113f9a0: 81000117 ldw r4,4(r16) -8113f9a4: 80c00017 ldw r3,0(r16) -8113f9a8: 19000115 stw r4,4(r3) -8113f9ac: 81000117 ldw r4,4(r16) -8113f9b0: 20c00015 stw r3,0(r4) -8113f9b4: 84000115 stw r16,4(r16) -8113f9b8: 84000015 stw r16,0(r16) -8113f9bc: 1001703a wrctl status,r2 -8113f9c0: 003fd706 br 8113f920 <__reset+0xfb11f920> - -8113f9c4 : -8113f9c4: d0a0ab03 ldbu r2,-32084(gp) -8113f9c8: 10002b26 beq r2,zero,8113fa78 -8113f9cc: 008003f4 movhi r2,15 -8113f9d0: defffb04 addi sp,sp,-20 -8113f9d4: 10909004 addi r2,r2,16960 -8113f9d8: dc000015 stw r16,0(sp) -8113f9dc: 20a1203a divu r16,r4,r2 -8113f9e0: 8085383a mul r2,r16,r2 -8113f9e4: dcc00315 stw r19,12(sp) -8113f9e8: d4e0a317 ldw r19,-32116(gp) -8113f9ec: 2085c83a sub r2,r4,r2 -8113f9f0: 00d0c734 movhi r3,17180 -8113f9f4: 14c5383a mul r2,r2,r19 -8113f9f8: 18f7a0c4 addi r3,r3,-8573 -8113f9fc: 84e1383a mul r16,r16,r19 -8113fa00: 10c4383a mulxuu r2,r2,r3 -8113fa04: dc800215 stw r18,8(sp) -8113fa08: dc400115 stw r17,4(sp) -8113fa0c: 1004d4ba srli r2,r2,18 -8113fa10: dfc00415 stw ra,16(sp) -8113fa14: 047fffd4 movui r17,65535 -8113fa18: 1421883a add r16,r2,r16 -8113fa1c: 2025883a mov r18,r4 -8113fa20: 8c00042e bgeu r17,r16,8113fa34 -8113fa24: 8461c83a sub r16,r16,r17 -8113fa28: 013fffd4 movui r4,65535 -8113fa2c: 11447740 call 81144774 -8113fa30: 8c3ffc36 bltu r17,r16,8113fa24 <__reset+0xfb11fa24> -8113fa34: 8009883a mov r4,r16 -8113fa38: 11447740 call 81144774 -8113fa3c: 010003f4 movhi r4,15 -8113fa40: 21109004 addi r4,r4,16960 -8113fa44: 24e7203a divu r19,r4,r19 -8113fa48: 94c9203a divu r4,r18,r19 -8113fa4c: 24c9383a mul r4,r4,r19 -8113fa50: 9109c83a sub r4,r18,r4 -8113fa54: 113f21c0 call 8113f21c -8113fa58: 0005883a mov r2,zero -8113fa5c: dfc00417 ldw ra,16(sp) -8113fa60: dcc00317 ldw r19,12(sp) -8113fa64: dc800217 ldw r18,8(sp) -8113fa68: dc400117 ldw r17,4(sp) -8113fa6c: dc000017 ldw r16,0(sp) -8113fa70: dec00504 addi sp,sp,20 -8113fa74: f800283a ret -8113fa78: 113f21c1 jmpi 8113f21c - -8113fa7c : -8113fa7c: defffe04 addi sp,sp,-8 -8113fa80: dfc00115 stw ra,4(sp) -8113fa84: dc000015 stw r16,0(sp) -8113fa88: 20002216 blt r4,zero,8113fb14 -8113fa8c: 21000324 muli r4,r4,12 -8113fa90: 00a04574 movhi r2,33045 -8113fa94: 10821a04 addi r2,r2,2152 -8113fa98: 2089883a add r4,r4,r2 -8113fa9c: 20001d26 beq r4,zero,8113fb14 -8113faa0: 20800217 ldw r2,8(r4) -8113faa4: 108000cc andi r2,r2,3 -8113faa8: 10000a26 beq r2,zero,8113fad4 -8113faac: 20800017 ldw r2,0(r4) -8113fab0: 10800617 ldw r2,24(r2) -8113fab4: 10000726 beq r2,zero,8113fad4 -8113fab8: 103ee83a callr r2 -8113fabc: 1021883a mov r16,r2 -8113fac0: 10000d16 blt r2,zero,8113faf8 -8113fac4: dfc00117 ldw ra,4(sp) -8113fac8: dc000017 ldw r16,0(sp) -8113facc: dec00204 addi sp,sp,8 -8113fad0: f800283a ret -8113fad4: d0a01a17 ldw r2,-32664(gp) -8113fad8: 10000526 beq r2,zero,8113faf0 -8113fadc: 103ee83a callr r2 -8113fae0: 00c00344 movi r3,13 -8113fae4: 10c00015 stw r3,0(r2) -8113fae8: 00bfffc4 movi r2,-1 -8113faec: 003ff506 br 8113fac4 <__reset+0xfb11fac4> -8113faf0: d0a09604 addi r2,gp,-32168 -8113faf4: 003ffa06 br 8113fae0 <__reset+0xfb11fae0> -8113faf8: d0a01a17 ldw r2,-32664(gp) -8113fafc: 10000c26 beq r2,zero,8113fb30 -8113fb00: 103ee83a callr r2 -8113fb04: 0421c83a sub r16,zero,r16 -8113fb08: 14000015 stw r16,0(r2) -8113fb0c: 00bfffc4 movi r2,-1 -8113fb10: 003fec06 br 8113fac4 <__reset+0xfb11fac4> +8113f890 : +8113f890: 000b303a rdctl r5,status +8113f894: 00bfff84 movi r2,-2 +8113f898: 2884703a and r2,r5,r2 +8113f89c: 1001703a wrctl status,r2 +8113f8a0: d0a01c17 ldw r2,-32656(gp) +8113f8a4: 00ffff04 movi r3,-4 +8113f8a8: 108000c4 addi r2,r2,3 +8113f8ac: 10c4703a and r2,r2,r3 +8113f8b0: 00e04734 movhi r3,33052 +8113f8b4: d0a01c15 stw r2,-32656(gp) +8113f8b8: 1109883a add r4,r2,r4 +8113f8bc: 18c00004 addi r3,r3,0 +8113f8c0: 19000336 bltu r3,r4,8113f8d0 +8113f8c4: d1201c15 stw r4,-32656(gp) +8113f8c8: 2801703a wrctl status,r5 +8113f8cc: f800283a ret +8113f8d0: 2801703a wrctl status,r5 +8113f8d4: 00bfffc4 movi r2,-1 +8113f8d8: f800283a ret + +8113f8dc : +8113f8dc: 0007303a rdctl r3,status +8113f8e0: 00bfff84 movi r2,-2 +8113f8e4: 1884703a and r2,r3,r2 +8113f8e8: 1001703a wrctl status,r2 +8113f8ec: 21400117 ldw r5,4(r4) +8113f8f0: 20800017 ldw r2,0(r4) +8113f8f4: 11400115 stw r5,4(r2) +8113f8f8: 21400117 ldw r5,4(r4) +8113f8fc: 28800015 stw r2,0(r5) +8113f900: 21000115 stw r4,4(r4) +8113f904: 21000015 stw r4,0(r4) +8113f908: 1801703a wrctl status,r3 +8113f90c: f800283a ret + +8113f910 : +8113f910: d0a0a217 ldw r2,-32120(gp) +8113f914: defffa04 addi sp,sp,-24 +8113f918: dc000015 stw r16,0(sp) +8113f91c: d4201d17 ldw r16,-32652(gp) +8113f920: 10800044 addi r2,r2,1 +8113f924: dc800215 stw r18,8(sp) +8113f928: dfc00515 stw ra,20(sp) +8113f92c: dd000415 stw r20,16(sp) +8113f930: dcc00315 stw r19,12(sp) +8113f934: dc400115 stw r17,4(sp) +8113f938: d4a01d04 addi r18,gp,-32652 +8113f93c: d0a0a215 stw r2,-32120(gp) +8113f940: 84801126 beq r16,r18,8113f988 +8113f944: 04c00044 movi r19,1 +8113f948: 053fff84 movi r20,-2 +8113f94c: 00000606 br 8113f968 +8113f950: 80000405 stb zero,16(r16) +8113f954: d0e0a217 ldw r3,-32120(gp) +8113f958: 80800217 ldw r2,8(r16) +8113f95c: 1880122e bgeu r3,r2,8113f9a8 +8113f960: 8821883a mov r16,r17 +8113f964: 8c800826 beq r17,r18,8113f988 +8113f968: 80800403 ldbu r2,16(r16) +8113f96c: 84400017 ldw r17,0(r16) +8113f970: 103ff826 beq r2,zero,8113f954 <__reset+0xfb11f954> +8113f974: d0a0a217 ldw r2,-32120(gp) +8113f978: 103ff526 beq r2,zero,8113f950 <__reset+0xfb11f950> +8113f97c: d0a0a217 ldw r2,-32120(gp) +8113f980: 8821883a mov r16,r17 +8113f984: 8cbff81e bne r17,r18,8113f968 <__reset+0xfb11f968> +8113f988: dfc00517 ldw ra,20(sp) +8113f98c: dd000417 ldw r20,16(sp) +8113f990: dcc00317 ldw r19,12(sp) +8113f994: dc800217 ldw r18,8(sp) +8113f998: dc400117 ldw r17,4(sp) +8113f99c: dc000017 ldw r16,0(sp) +8113f9a0: dec00604 addi sp,sp,24 +8113f9a4: 114036c1 jmpi 8114036c +8113f9a8: 80800317 ldw r2,12(r16) +8113f9ac: 81000517 ldw r4,20(r16) +8113f9b0: 103ee83a callr r2 +8113f9b4: 10000726 beq r2,zero,8113f9d4 +8113f9b8: 80c00217 ldw r3,8(r16) +8113f9bc: d120a217 ldw r4,-32120(gp) +8113f9c0: 10c5883a add r2,r2,r3 +8113f9c4: 80800215 stw r2,8(r16) +8113f9c8: 113fe52e bgeu r2,r4,8113f960 <__reset+0xfb11f960> +8113f9cc: 84c00405 stb r19,16(r16) +8113f9d0: 003fe306 br 8113f960 <__reset+0xfb11f960> +8113f9d4: 0005303a rdctl r2,status +8113f9d8: 1506703a and r3,r2,r20 +8113f9dc: 1801703a wrctl status,r3 +8113f9e0: 81000117 ldw r4,4(r16) +8113f9e4: 80c00017 ldw r3,0(r16) +8113f9e8: 19000115 stw r4,4(r3) +8113f9ec: 81000117 ldw r4,4(r16) +8113f9f0: 20c00015 stw r3,0(r4) +8113f9f4: 84000115 stw r16,4(r16) +8113f9f8: 84000015 stw r16,0(r16) +8113f9fc: 1001703a wrctl status,r2 +8113fa00: 003fd706 br 8113f960 <__reset+0xfb11f960> + +8113fa04 : +8113fa04: d0a0ab03 ldbu r2,-32084(gp) +8113fa08: 10002b26 beq r2,zero,8113fab8 +8113fa0c: 008003f4 movhi r2,15 +8113fa10: defffb04 addi sp,sp,-20 +8113fa14: 10909004 addi r2,r2,16960 +8113fa18: dc000015 stw r16,0(sp) +8113fa1c: 20a1203a divu r16,r4,r2 +8113fa20: 8085383a mul r2,r16,r2 +8113fa24: dcc00315 stw r19,12(sp) +8113fa28: d4e0a317 ldw r19,-32116(gp) +8113fa2c: 2085c83a sub r2,r4,r2 +8113fa30: 00d0c734 movhi r3,17180 +8113fa34: 14c5383a mul r2,r2,r19 +8113fa38: 18f7a0c4 addi r3,r3,-8573 +8113fa3c: 84e1383a mul r16,r16,r19 +8113fa40: 10c4383a mulxuu r2,r2,r3 +8113fa44: dc800215 stw r18,8(sp) +8113fa48: dc400115 stw r17,4(sp) +8113fa4c: 1004d4ba srli r2,r2,18 +8113fa50: dfc00415 stw ra,16(sp) +8113fa54: 047fffd4 movui r17,65535 +8113fa58: 1421883a add r16,r2,r16 +8113fa5c: 2025883a mov r18,r4 +8113fa60: 8c00042e bgeu r17,r16,8113fa74 +8113fa64: 8461c83a sub r16,r16,r17 +8113fa68: 013fffd4 movui r4,65535 +8113fa6c: 11447b40 call 811447b4 +8113fa70: 8c3ffc36 bltu r17,r16,8113fa64 <__reset+0xfb11fa64> +8113fa74: 8009883a mov r4,r16 +8113fa78: 11447b40 call 811447b4 +8113fa7c: 010003f4 movhi r4,15 +8113fa80: 21109004 addi r4,r4,16960 +8113fa84: 24e7203a divu r19,r4,r19 +8113fa88: 94c9203a divu r4,r18,r19 +8113fa8c: 24c9383a mul r4,r4,r19 +8113fa90: 9109c83a sub r4,r18,r4 +8113fa94: 113f25c0 call 8113f25c +8113fa98: 0005883a mov r2,zero +8113fa9c: dfc00417 ldw ra,16(sp) +8113faa0: dcc00317 ldw r19,12(sp) +8113faa4: dc800217 ldw r18,8(sp) +8113faa8: dc400117 ldw r17,4(sp) +8113faac: dc000017 ldw r16,0(sp) +8113fab0: dec00504 addi sp,sp,20 +8113fab4: f800283a ret +8113fab8: 113f25c1 jmpi 8113f25c + +8113fabc : +8113fabc: defffe04 addi sp,sp,-8 +8113fac0: dfc00115 stw ra,4(sp) +8113fac4: dc000015 stw r16,0(sp) +8113fac8: 20002216 blt r4,zero,8113fb54 +8113facc: 21000324 muli r4,r4,12 +8113fad0: 00a04574 movhi r2,33045 +8113fad4: 10822b04 addi r2,r2,2220 +8113fad8: 2089883a add r4,r4,r2 +8113fadc: 20001d26 beq r4,zero,8113fb54 +8113fae0: 20800217 ldw r2,8(r4) +8113fae4: 108000cc andi r2,r2,3 +8113fae8: 10000a26 beq r2,zero,8113fb14 +8113faec: 20800017 ldw r2,0(r4) +8113faf0: 10800617 ldw r2,24(r2) +8113faf4: 10000726 beq r2,zero,8113fb14 +8113faf8: 103ee83a callr r2 +8113fafc: 1021883a mov r16,r2 +8113fb00: 10000d16 blt r2,zero,8113fb38 +8113fb04: dfc00117 ldw ra,4(sp) +8113fb08: dc000017 ldw r16,0(sp) +8113fb0c: dec00204 addi sp,sp,8 +8113fb10: f800283a ret 8113fb14: d0a01a17 ldw r2,-32664(gp) -8113fb18: 10000726 beq r2,zero,8113fb38 +8113fb18: 10000526 beq r2,zero,8113fb30 8113fb1c: 103ee83a callr r2 -8113fb20: 00c01444 movi r3,81 +8113fb20: 00c00344 movi r3,13 8113fb24: 10c00015 stw r3,0(r2) 8113fb28: 00bfffc4 movi r2,-1 -8113fb2c: 003fe506 br 8113fac4 <__reset+0xfb11fac4> +8113fb2c: 003ff506 br 8113fb04 <__reset+0xfb11fb04> 8113fb30: d0a09604 addi r2,gp,-32168 -8113fb34: 003ff306 br 8113fb04 <__reset+0xfb11fb04> -8113fb38: d0a09604 addi r2,gp,-32168 -8113fb3c: 003ff806 br 8113fb20 <__reset+0xfb11fb20> - -8113fb40 <__env_lock>: -8113fb40: deffe004 addi sp,sp,-128 -8113fb44: d80b883a mov r5,sp -8113fb48: 01003fc4 movi r4,255 -8113fb4c: dfc01f15 stw ra,124(sp) -8113fb50: dc001e15 stw r16,120(sp) -8113fb54: 11446100 call 81144610 -8113fb58: d8801d85 stb r2,118(sp) -8113fb5c: 10803fcc andi r2,r2,255 -8113fb60: 10000426 beq r2,zero,8113fb74 <__env_lock+0x34> -8113fb64: dfc01f17 ldw ra,124(sp) -8113fb68: dc001e17 ldw r16,120(sp) -8113fb6c: dec02004 addi sp,sp,128 -8113fb70: f800283a ret -8113fb74: d120a517 ldw r4,-32108(gp) -8113fb78: d9401b04 addi r5,sp,108 -8113fb7c: dc000c83 ldbu r16,50(sp) -8113fb80: 11438400 call 81143840 -8113fb84: d8801d03 ldbu r2,116(sp) -8113fb88: 10000226 beq r2,zero,8113fb94 <__env_lock+0x54> -8113fb8c: d0a01f17 ldw r2,-32644(gp) -8113fb90: 80800b26 beq r16,r2,8113fbc0 <__env_lock+0x80> -8113fb94: d120a517 ldw r4,-32108(gp) -8113fb98: d9801d84 addi r6,sp,118 -8113fb9c: 000b883a mov r5,zero -8113fba0: 11435640 call 81143564 -8113fba4: 00800044 movi r2,1 -8113fba8: d0a0a415 stw r2,-32112(gp) -8113fbac: d4201f15 stw r16,-32644(gp) -8113fbb0: dfc01f17 ldw ra,124(sp) -8113fbb4: dc001e17 ldw r16,120(sp) -8113fbb8: dec02004 addi sp,sp,128 -8113fbbc: f800283a ret -8113fbc0: d0a0a417 ldw r2,-32112(gp) -8113fbc4: 10800044 addi r2,r2,1 -8113fbc8: d0a0a415 stw r2,-32112(gp) -8113fbcc: 003fe506 br 8113fb64 <__reset+0xfb11fb64> - -8113fbd0 <__env_unlock>: -8113fbd0: d0a0a417 ldw r2,-32112(gp) -8113fbd4: 10000326 beq r2,zero,8113fbe4 <__env_unlock+0x14> -8113fbd8: 10bfffc4 addi r2,r2,-1 -8113fbdc: d0a0a415 stw r2,-32112(gp) -8113fbe0: 10000126 beq r2,zero,8113fbe8 <__env_unlock+0x18> -8113fbe4: f800283a ret -8113fbe8: d120a517 ldw r4,-32108(gp) -8113fbec: 00bfffc4 movi r2,-1 -8113fbf0: d0a01f15 stw r2,-32644(gp) -8113fbf4: 114379c1 jmpi 8114379c - -8113fbf8 <__malloc_lock>: -8113fbf8: d0e0ab03 ldbu r3,-32084(gp) -8113fbfc: 00800044 movi r2,1 -8113fc00: 18800126 beq r3,r2,8113fc08 <__malloc_lock+0x10> -8113fc04: f800283a ret -8113fc08: deffe004 addi sp,sp,-128 -8113fc0c: d80b883a mov r5,sp -8113fc10: 01003fc4 movi r4,255 -8113fc14: dfc01f15 stw ra,124(sp) -8113fc18: dc001e15 stw r16,120(sp) -8113fc1c: 11446100 call 81144610 -8113fc20: d8801d85 stb r2,118(sp) -8113fc24: 10803fcc andi r2,r2,255 -8113fc28: 10000426 beq r2,zero,8113fc3c <__malloc_lock+0x44> -8113fc2c: dfc01f17 ldw ra,124(sp) -8113fc30: dc001e17 ldw r16,120(sp) -8113fc34: dec02004 addi sp,sp,128 -8113fc38: f800283a ret -8113fc3c: d120a717 ldw r4,-32100(gp) -8113fc40: dc000c83 ldbu r16,50(sp) -8113fc44: d9401b04 addi r5,sp,108 -8113fc48: 11438400 call 81143840 -8113fc4c: 0007303a rdctl r3,status -8113fc50: 00bfff84 movi r2,-2 -8113fc54: 1884703a and r2,r3,r2 -8113fc58: 1001703a wrctl status,r2 -8113fc5c: d8801b0b ldhu r2,108(sp) -8113fc60: 1000021e bne r2,zero,8113fc6c <__malloc_lock+0x74> -8113fc64: d0a02017 ldw r2,-32640(gp) -8113fc68: 80800926 beq r16,r2,8113fc90 <__malloc_lock+0x98> -8113fc6c: 1801703a wrctl status,r3 -8113fc70: d120a717 ldw r4,-32100(gp) -8113fc74: d9801d84 addi r6,sp,118 -8113fc78: 000b883a mov r5,zero -8113fc7c: 11435640 call 81143564 -8113fc80: 00800044 movi r2,1 -8113fc84: d0a0a615 stw r2,-32104(gp) -8113fc88: d4202015 stw r16,-32640(gp) -8113fc8c: 003fe706 br 8113fc2c <__reset+0xfb11fc2c> -8113fc90: d0a0a617 ldw r2,-32104(gp) -8113fc94: 10800044 addi r2,r2,1 -8113fc98: d0a0a615 stw r2,-32104(gp) -8113fc9c: 1801703a wrctl status,r3 -8113fca0: 003fe206 br 8113fc2c <__reset+0xfb11fc2c> - -8113fca4 <__malloc_unlock>: -8113fca4: d0e0ab03 ldbu r3,-32084(gp) -8113fca8: 00800044 movi r2,1 -8113fcac: 18800126 beq r3,r2,8113fcb4 <__malloc_unlock+0x10> -8113fcb0: f800283a ret -8113fcb4: 0007303a rdctl r3,status -8113fcb8: 00bfff84 movi r2,-2 -8113fcbc: 1884703a and r2,r3,r2 -8113fcc0: 1001703a wrctl status,r2 -8113fcc4: d0a0a617 ldw r2,-32104(gp) -8113fcc8: 10000326 beq r2,zero,8113fcd8 <__malloc_unlock+0x34> -8113fccc: 10bfffc4 addi r2,r2,-1 -8113fcd0: d0a0a615 stw r2,-32104(gp) -8113fcd4: 10000226 beq r2,zero,8113fce0 <__malloc_unlock+0x3c> -8113fcd8: 1801703a wrctl status,r3 -8113fcdc: f800283a ret -8113fce0: 00bfffc4 movi r2,-1 -8113fce4: d0a02015 stw r2,-32640(gp) -8113fce8: 1801703a wrctl status,r3 -8113fcec: d120a717 ldw r4,-32100(gp) -8113fcf0: 114379c1 jmpi 8114379c - -8113fcf4 : -8113fcf4: defffe04 addi sp,sp,-8 -8113fcf8: dc000015 stw r16,0(sp) -8113fcfc: dfc00115 stw ra,4(sp) -8113fd00: 043fff84 movi r16,-2 -8113fd04: 0007303a rdctl r3,status -8113fd08: 1c04703a and r2,r3,r16 -8113fd0c: 1001703a wrctl status,r2 -8113fd10: d0a0ac17 ldw r2,-32080(gp) -8113fd14: 10800044 addi r2,r2,1 -8113fd18: d0a0ac15 stw r2,-32080(gp) -8113fd1c: 1801703a wrctl status,r3 -8113fd20: 1146a6c0 call 81146a6c -8113fd24: 003ff706 br 8113fd04 <__reset+0xfb11fd04> - -8113fd28 : -8113fd28: 30002626 beq r6,zero,8113fdc4 -8113fd2c: 20002726 beq r4,zero,8113fdcc -8113fd30: 28002a26 beq r5,zero,8113fddc -8113fd34: d0a0bb03 ldbu r2,-32020(gp) -8113fd38: 10c03fcc andi r3,r2,255 -8113fd3c: 1800071e bne r3,zero,8113fd5c -8113fd40: 20c00003 ldbu r3,0(r4) -8113fd44: 01c000c4 movi r7,3 -8113fd48: 18ffffc4 addi r3,r3,-1 -8113fd4c: 38c0072e bgeu r7,r3,8113fd6c -8113fd50: 00c00044 movi r3,1 -8113fd54: 30c00005 stb r3,0(r6) -8113fd58: f800283a ret -8113fd5c: 00800444 movi r2,17 -8113fd60: 30800005 stb r2,0(r6) -8113fd64: 0005883a mov r2,zero -8113fd68: f800283a ret -8113fd6c: 0013303a rdctl r9,status -8113fd70: 00bfff84 movi r2,-2 -8113fd74: 4884703a and r2,r9,r2 -8113fd78: 1001703a wrctl status,r2 -8113fd7c: 20c00443 ldbu r3,17(r4) -8113fd80: 21000444 addi r4,r4,17 -8113fd84: 18803fcc andi r2,r3,255 -8113fd88: 10001826 beq r2,zero,8113fdec -8113fd8c: 280f883a mov r7,r5 -8113fd90: 0005883a mov r2,zero -8113fd94: 38c00005 stb r3,0(r7) -8113fd98: 21000044 addi r4,r4,1 -8113fd9c: 20c00003 ldbu r3,0(r4) -8113fda0: 29400044 addi r5,r5,1 -8113fda4: 10800044 addi r2,r2,1 -8113fda8: 1a003fcc andi r8,r3,255 -8113fdac: 280f883a mov r7,r5 -8113fdb0: 403ff81e bne r8,zero,8113fd94 <__reset+0xfb11fd94> -8113fdb4: 28000005 stb zero,0(r5) -8113fdb8: 4801703a wrctl status,r9 -8113fdbc: 30000005 stb zero,0(r6) -8113fdc0: f800283a ret -8113fdc4: 0005883a mov r2,zero -8113fdc8: f800283a ret -8113fdcc: 00800104 movi r2,4 -8113fdd0: 30800005 stb r2,0(r6) -8113fdd4: 0005883a mov r2,zero -8113fdd8: f800283a ret -8113fddc: 00800304 movi r2,12 -8113fde0: 30800005 stb r2,0(r6) -8113fde4: 0005883a mov r2,zero -8113fde8: f800283a ret -8113fdec: 0005883a mov r2,zero -8113fdf0: 003ff006 br 8113fdb4 <__reset+0xfb11fdb4> - -8113fdf4 : -8113fdf4: 30000b26 beq r6,zero,8113fe24 -8113fdf8: 20002a26 beq r4,zero,8113fea4 -8113fdfc: 28002c26 beq r5,zero,8113feb0 -8113fe00: d0a0bb03 ldbu r2,-32020(gp) -8113fe04: 1000081e bne r2,zero,8113fe28 -8113fe08: 20800003 ldbu r2,0(r4) -8113fe0c: 00c000c4 movi r3,3 -8113fe10: 10bfffc4 addi r2,r2,-1 -8113fe14: 1880072e bgeu r3,r2,8113fe34 -8113fe18: 00800044 movi r2,1 -8113fe1c: 30800005 stb r2,0(r6) -8113fe20: f800283a ret -8113fe24: f800283a ret -8113fe28: 00800484 movi r2,18 -8113fe2c: 30800005 stb r2,0(r6) -8113fe30: f800283a ret -8113fe34: 0013303a rdctl r9,status -8113fe38: 00bfff84 movi r2,-2 -8113fe3c: 4884703a and r2,r9,r2 -8113fe40: 1001703a wrctl status,r2 -8113fe44: 28c00003 ldbu r3,0(r5) -8113fe48: 18803fcc andi r2,r3,255 -8113fe4c: 10001f26 beq r2,zero,8113fecc -8113fe50: 2805883a mov r2,r5 -8113fe54: 000f883a mov r7,zero -8113fe58: 10800044 addi r2,r2,1 -8113fe5c: 12000003 ldbu r8,0(r2) -8113fe60: 39c00044 addi r7,r7,1 -8113fe64: 403ffc1e bne r8,zero,8113fe58 <__reset+0xfb11fe58> -8113fe68: 39c03fcc andi r7,r7,255 -8113fe6c: 008007c4 movi r2,31 -8113fe70: 11c01236 bltu r2,r7,8113febc -8113fe74: 21000444 addi r4,r4,17 -8113fe78: 20c00005 stb r3,0(r4) -8113fe7c: 29400044 addi r5,r5,1 -8113fe80: 28c00003 ldbu r3,0(r5) -8113fe84: 20800044 addi r2,r4,1 -8113fe88: 1009883a mov r4,r2 -8113fe8c: 19c03fcc andi r7,r3,255 -8113fe90: 383ff91e bne r7,zero,8113fe78 <__reset+0xfb11fe78> -8113fe94: 10000005 stb zero,0(r2) -8113fe98: 4801703a wrctl status,r9 -8113fe9c: 30000005 stb zero,0(r6) -8113fea0: f800283a ret -8113fea4: 00800104 movi r2,4 -8113fea8: 30800005 stb r2,0(r6) -8113feac: f800283a ret -8113feb0: 00800304 movi r2,12 -8113feb4: 30800005 stb r2,0(r6) -8113feb8: f800283a ret -8113febc: 4801703a wrctl status,r9 -8113fec0: 008002c4 movi r2,11 -8113fec4: 30800005 stb r2,0(r6) -8113fec8: f800283a ret -8113fecc: 20800444 addi r2,r4,17 -8113fed0: 003ff006 br 8113fe94 <__reset+0xfb11fe94> - -8113fed4 : -8113fed4: defff704 addi sp,sp,-36 -8113fed8: dfc00815 stw ra,32(sp) -8113fedc: dc400715 stw r17,28(sp) -8113fee0: dc000615 stw r16,24(sp) -8113fee4: 1146a600 call 81146a60 -8113fee8: 02604674 movhi r9,33049 -8113feec: 4a6af004 addi r9,r9,-21568 -8113fef0: d020be15 stw zero,-32008(gp) -8113fef4: d020bb05 stb zero,-32020(gp) -8113fef8: d020a905 stb zero,-32092(gp) -8113fefc: d020b445 stb zero,-32047(gp) -8113ff00: d020ab05 stb zero,-32084(gp) -8113ff04: d020b015 stw zero,-32064(gp) -8113ff08: d020ac15 stw zero,-32080(gp) -8113ff0c: d020c215 stw zero,-31992(gp) -8113ff10: d020b215 stw zero,-32056(gp) -8113ff14: d020c105 stb zero,-31996(gp) -8113ff18: d020b805 stb zero,-32032(gp) -8113ff1c: d020b845 stb zero,-32031(gp) -8113ff20: d020b885 stb zero,-32030(gp) -8113ff24: d020b8c5 stb zero,-32029(gp) -8113ff28: d020b905 stb zero,-32028(gp) -8113ff2c: d020b945 stb zero,-32027(gp) -8113ff30: d020b985 stb zero,-32026(gp) -8113ff34: d020adc5 stb zero,-32073(gp) -8113ff38: d020ad85 stb zero,-32074(gp) -8113ff3c: d020b615 stw zero,-32040(gp) -8113ff40: d020bc15 stw zero,-32016(gp) -8113ff44: 4805883a mov r2,r9 -8113ff48: 48c4a404 addi r3,r9,4752 -8113ff4c: 10000005 stb zero,0(r2) -8113ff50: 10800044 addi r2,r2,1 -8113ff54: 18bffd1e bne r3,r2,8113ff4c <__reset+0xfb11ff4c> -8113ff58: 00a04674 movhi r2,33049 -8113ff5c: 10af9404 addi r2,r2,-16816 -8113ff60: 10c02d04 addi r3,r2,180 -8113ff64: 10000005 stb zero,0(r2) -8113ff68: 10800044 addi r2,r2,1 -8113ff6c: 18bffd1e bne r3,r2,8113ff64 <__reset+0xfb11ff64> -8113ff70: 00a04674 movhi r2,33049 -8113ff74: 10aaf504 addi r2,r2,-21548 -8113ff78: 01c00fc4 movi r7,63 -8113ff7c: 11000e04 addi r4,r2,56 -8113ff80: 10c00e44 addi r3,r2,57 -8113ff84: 11848904 addi r6,r2,4644 -8113ff88: 11401604 addi r5,r2,88 -8113ff8c: 11400015 stw r5,0(r2) -8113ff90: 21c00005 stb r7,0(r4) -8113ff94: 18000005 stb zero,0(r3) -8113ff98: 10801b04 addi r2,r2,108 -8113ff9c: 21001b04 addi r4,r4,108 -8113ffa0: 18c01b04 addi r3,r3,108 -8113ffa4: 30bff81e bne r6,r2,8113ff88 <__reset+0xfb11ff88> -8113ffa8: 02204674 movhi r8,33049 -8113ffac: 42247004 addi r8,r8,-28224 -8113ffb0: 00800fc4 movi r2,63 -8113ffb4: 48849c05 stb r2,4720(r9) -8113ffb8: 48048e15 stw zero,4664(r9) -8113ffbc: 48049c45 stb zero,4721(r9) -8113ffc0: d020ae15 stw zero,-32072(gp) -8113ffc4: d260b315 stw r9,-32052(gp) -8113ffc8: 4005883a mov r2,r8 -8113ffcc: 40c68004 addi r3,r8,6656 -8113ffd0: 10000005 stb zero,0(r2) -8113ffd4: 10800044 addi r2,r2,1 -8113ffd8: 10fffd1e bne r2,r3,8113ffd0 <__reset+0xfb11ffd0> -8113ffdc: 00e04674 movhi r3,33049 -8113ffe0: 18e47104 addi r3,r3,-28220 -8113ffe4: 01c00fc4 movi r7,63 -8113ffe8: 18bfff04 addi r2,r3,-4 -8113ffec: 19400344 addi r5,r3,13 -8113fff0: 19000384 addi r4,r3,14 -8113fff4: 19867204 addi r6,r3,6600 -8113fff8: 10000005 stb zero,0(r2) -8113fffc: 10800d04 addi r2,r2,52 -81140000: 18800015 stw r2,0(r3) -81140004: 29c00005 stb r7,0(r5) -81140008: 20000005 stb zero,0(r4) -8114000c: 18c00d04 addi r3,r3,52 -81140010: 29400d04 addi r5,r5,52 -81140014: 21000d04 addi r4,r4,52 -81140018: 11bff71e bne r2,r6,8113fff8 <__reset+0xfb11fff8> -8114001c: 10000005 stb zero,0(r2) -81140020: 00800fc4 movi r2,63 -81140024: 40867745 stb r2,6621(r8) -81140028: 40067415 stw zero,6608(r8) -8114002c: 40067785 stb zero,6622(r8) -81140030: d220ba15 stw r8,-32024(gp) -81140034: 1141b300 call 81141b30 -81140038: 1141f5c0 call 81141f5c -8114003c: 11432e00 call 811432e0 -81140040: 01a04674 movhi r6,33049 -81140044: 044000c4 movi r17,3 -81140048: 04010004 movi r16,1024 -8114004c: 31a07004 addi r6,r6,-32320 -81140050: 00bfffd4 movui r2,65535 -81140054: 01204534 movhi r4,33044 -81140058: 01c00b04 movi r7,44 -8114005c: d9800115 stw r6,4(sp) -81140060: 213f3d04 addi r4,r4,-780 -81140064: 000b883a mov r5,zero -81140068: dc400415 stw r17,16(sp) -8114006c: d8000315 stw zero,12(sp) -81140070: dc000215 stw r16,8(sp) -81140074: d8800015 stw r2,0(sp) -81140078: 3183ff04 addi r6,r6,4092 -8114007c: 1143c800 call 81143c80 -81140080: 01604574 movhi r5,33045 -81140084: d9800504 addi r6,sp,20 -81140088: 297f9604 addi r5,r5,-424 -8114008c: 01000b04 movi r4,44 -81140090: 11441c40 call 811441c4 -81140094: 01a04634 movhi r6,33048 -81140098: 3198f004 addi r6,r6,25536 -8114009c: 00bfff94 movui r2,65534 -811400a0: 01204534 movhi r4,33044 -811400a4: 01c00ac4 movi r7,43 -811400a8: d9800115 stw r6,4(sp) -811400ac: 21035f04 addi r4,r4,3452 -811400b0: 000b883a mov r5,zero -811400b4: dc400415 stw r17,16(sp) -811400b8: d8000315 stw zero,12(sp) -811400bc: dc000215 stw r16,8(sp) -811400c0: d8800015 stw r2,0(sp) -811400c4: 3183ff04 addi r6,r6,4092 -811400c8: 1143c800 call 81143c80 -811400cc: 01604574 movhi r5,33045 -811400d0: d9800504 addi r6,sp,20 -811400d4: 297f9a04 addi r5,r5,-408 -811400d8: 01000ac4 movi r4,43 -811400dc: 11441c40 call 811441c4 -811400e0: 11454a00 call 811454a0 -811400e4: 1146a680 call 81146a68 -811400e8: 1140f940 call 81140f94 -811400ec: dfc00817 ldw ra,32(sp) -811400f0: dc400717 ldw r17,28(sp) -811400f4: dc000617 ldw r16,24(sp) -811400f8: dec00904 addi sp,sp,36 -811400fc: f800283a ret - -81140100 : -81140100: d0e0ab03 ldbu r3,-32084(gp) -81140104: 00800044 movi r2,1 -81140108: 18800126 beq r3,r2,81140110 -8114010c: f800283a ret -81140110: d0a0bb03 ldbu r2,-32020(gp) -81140114: 00c03fc4 movi r3,255 -81140118: 11003fcc andi r4,r2,255 -8114011c: 20fffb26 beq r4,r3,8114010c <__reset+0xfb12010c> -81140120: 10800044 addi r2,r2,1 -81140124: d0a0bb05 stb r2,-32020(gp) -81140128: f800283a ret - -8114012c : -8114012c: d0e0ab03 ldbu r3,-32084(gp) -81140130: 00800044 movi r2,1 -81140134: 18800126 beq r3,r2,8114013c -81140138: f800283a ret -8114013c: defffe04 addi sp,sp,-8 -81140140: dfc00115 stw ra,4(sp) -81140144: dc000015 stw r16,0(sp) -81140148: 0021303a rdctl r16,status -8114014c: 00bfff84 movi r2,-2 -81140150: 8084703a and r2,r16,r2 -81140154: 1001703a wrctl status,r2 -81140158: d0a0bb03 ldbu r2,-32020(gp) -8114015c: 10c03fcc andi r3,r2,255 -81140160: 1800261e bne r3,zero,811401fc -81140164: d0a0a903 ldbu r2,-32092(gp) -81140168: 10001f1e bne r2,zero,811401e8 -8114016c: d0e0b803 ldbu r3,-32032(gp) -81140170: 01604574 movhi r5,33045 -81140174: 297f9d84 addi r5,r5,-394 -81140178: 28c7883a add r3,r5,r3 -8114017c: 18800003 ldbu r2,0(r3) -81140180: d120b844 addi r4,gp,-32031 -81140184: d1a0adc3 ldbu r6,-32073(gp) -81140188: 10c03fcc andi r3,r2,255 -8114018c: 20c7883a add r3,r4,r3 -81140190: 19000003 ldbu r4,0(r3) -81140194: 100690fa slli r3,r2,3 -81140198: 2905883a add r2,r5,r4 -8114019c: 10800003 ldbu r2,0(r2) -811401a0: 1885883a add r2,r3,r2 -811401a4: d0a0ad85 stb r2,-32074(gp) -811401a8: 10803fcc andi r2,r2,255 -811401ac: 30800e26 beq r6,r2,811401e8 -811401b0: 1085883a add r2,r2,r2 -811401b4: 00e04674 movhi r3,33049 -811401b8: 18ef9404 addi r3,r3,-16816 -811401bc: 1085883a add r2,r2,r2 -811401c0: 1885883a add r2,r3,r2 -811401c4: 10c00017 ldw r3,0(r2) -811401c8: d0a0b017 ldw r2,-32064(gp) -811401cc: 19000e17 ldw r4,56(r3) -811401d0: 10800044 addi r2,r2,1 -811401d4: d0e0b615 stw r3,-32040(gp) -811401d8: 21000044 addi r4,r4,1 -811401dc: 19000e15 stw r4,56(r3) -811401e0: d0a0b015 stw r2,-32064(gp) -811401e4: 11468840 call 81146884 -811401e8: 8001703a wrctl status,r16 -811401ec: dfc00117 ldw ra,4(sp) -811401f0: dc000017 ldw r16,0(sp) -811401f4: dec00204 addi sp,sp,8 -811401f8: f800283a ret -811401fc: 10bfffc4 addi r2,r2,-1 -81140200: d0a0bb05 stb r2,-32020(gp) -81140204: 10803fcc andi r2,r2,255 -81140208: 103ff71e bne r2,zero,811401e8 <__reset+0xfb1201e8> -8114020c: 003fd506 br 81140164 <__reset+0xfb120164> - -81140210 : -81140210: d0e0ab03 ldbu r3,-32084(gp) -81140214: 00800044 movi r2,1 -81140218: 18800126 beq r3,r2,81140220 -8114021c: f800283a ret -81140220: 0007303a rdctl r3,status -81140224: 00bfff84 movi r2,-2 -81140228: 1884703a and r2,r3,r2 -8114022c: 1001703a wrctl status,r2 -81140230: d0a0bb03 ldbu r2,-32020(gp) -81140234: 1000061e bne r2,zero,81140250 -81140238: d0a0a903 ldbu r2,-32092(gp) -8114023c: 01003fc4 movi r4,255 -81140240: 11403fcc andi r5,r2,255 -81140244: 29000226 beq r5,r4,81140250 -81140248: 10800044 addi r2,r2,1 -8114024c: d0a0a905 stb r2,-32092(gp) -81140250: 1801703a wrctl status,r3 -81140254: f800283a ret - -81140258 : -81140258: d0a0ab03 ldbu r2,-32084(gp) -8114025c: 10000126 beq r2,zero,81140264 -81140260: f800283a ret -81140264: d0e0b803 ldbu r3,-32032(gp) -81140268: 01604574 movhi r5,33045 -8114026c: 297f9d84 addi r5,r5,-394 -81140270: 28c7883a add r3,r5,r3 -81140274: 18c00003 ldbu r3,0(r3) -81140278: d0a0b844 addi r2,gp,-32031 -8114027c: 19003fcc andi r4,r3,255 -81140280: 1109883a add r4,r2,r4 -81140284: 20800003 ldbu r2,0(r4) -81140288: 180690fa slli r3,r3,3 -8114028c: 01204674 movhi r4,33049 -81140290: 2885883a add r2,r5,r2 -81140294: 10800003 ldbu r2,0(r2) -81140298: 212f9404 addi r4,r4,-16816 -8114029c: 1887883a add r3,r3,r2 -811402a0: 18803fcc andi r2,r3,255 -811402a4: 1085883a add r2,r2,r2 -811402a8: 1085883a add r2,r2,r2 -811402ac: 2085883a add r2,r4,r2 -811402b0: 10800017 ldw r2,0(r2) -811402b4: d0e0ad85 stb r3,-32074(gp) -811402b8: d0e0adc5 stb r3,-32073(gp) -811402bc: d0a0b615 stw r2,-32040(gp) -811402c0: d0a0bc15 stw r2,-32016(gp) -811402c4: 11469101 jmpi 81146910 - -811402c8 : -811402c8: defffe04 addi sp,sp,-8 -811402cc: 01000084 movi r4,2 -811402d0: dfc00115 stw ra,4(sp) -811402d4: dc000015 stw r16,0(sp) -811402d8: 11447740 call 81144774 -811402dc: 0005303a rdctl r2,status -811402e0: 043fff84 movi r16,-2 -811402e4: 1406703a and r3,r2,r16 -811402e8: 1801703a wrctl status,r3 -811402ec: d020ac15 stw zero,-32080(gp) -811402f0: 1001703a wrctl status,r2 -811402f4: 01001904 movi r4,100 -811402f8: 11447740 call 81144774 -811402fc: 0005303a rdctl r2,status -81140300: 1420703a and r16,r2,r16 -81140304: 8001703a wrctl status,r16 -81140308: d0e0ac17 ldw r3,-32080(gp) -8114030c: 01000044 movi r4,1 -81140310: d120c105 stb r4,-31996(gp) -81140314: d0e0b215 stw r3,-32056(gp) -81140318: 1001703a wrctl status,r2 -8114031c: dfc00117 ldw ra,4(sp) -81140320: dc000017 ldw r16,0(sp) -81140324: dec00204 addi sp,sp,8 -81140328: f800283a ret - -8114032c : -8114032c: deffff04 addi sp,sp,-4 -81140330: dfc00015 stw ra,0(sp) -81140334: 1146a3c0 call 81146a3c -81140338: 0007303a rdctl r3,status -8114033c: 00bfff84 movi r2,-2 -81140340: 1884703a and r2,r3,r2 -81140344: 1001703a wrctl status,r2 -81140348: d0a0be17 ldw r2,-32008(gp) -8114034c: 10800044 addi r2,r2,1 -81140350: d0a0be15 stw r2,-32008(gp) -81140354: 1801703a wrctl status,r3 -81140358: d0a0ab03 ldbu r2,-32084(gp) -8114035c: 00c00044 movi r3,1 -81140360: 10c00326 beq r2,r3,81140370 -81140364: dfc00017 ldw ra,0(sp) -81140368: dec00104 addi sp,sp,4 -8114036c: f800283a ret -81140370: d0e0af03 ldbu r3,-32068(gp) -81140374: 18bffb26 beq r3,r2,81140364 <__reset+0xfb120364> -81140378: 18000326 beq r3,zero,81140388 -8114037c: 01000084 movi r4,2 -81140380: 19002d1e bne r3,r4,81140438 -81140384: d0a0af05 stb r2,-32068(gp) -81140388: d0a0ae17 ldw r2,-32072(gp) -8114038c: 01c00b04 movi r7,44 -81140390: 10c00c83 ldbu r3,50(r2) -81140394: 19fff326 beq r3,r7,81140364 <__reset+0xfb120364> -81140398: 023fff84 movi r8,-2 -8114039c: d2e0b844 addi r11,gp,-32031 -811403a0: 027ff204 movi r9,-56 -811403a4: 02800044 movi r10,1 -811403a8: 00001306 br 811403f8 -811403ac: 3007883a mov r3,r6 -811403b0: 11800c05 stb r6,48(r2) -811403b4: 12800c45 stb r10,49(r2) -811403b8: 18c0020c andi r3,r3,8 -811403bc: 18000a1e bne r3,zero,811403e8 -811403c0: 10c00d03 ldbu r3,52(r2) -811403c4: d1a0b803 ldbu r6,-32032(gp) -811403c8: 13400d83 ldbu r13,54(r2) -811403cc: 58c7883a add r3,r11,r3 -811403d0: 1b000003 ldbu r12,0(r3) -811403d4: 11400d43 ldbu r5,53(r2) -811403d8: 698cb03a or r6,r13,r6 -811403dc: d1a0b805 stb r6,-32032(gp) -811403e0: 614ab03a or r5,r12,r5 -811403e4: 19400005 stb r5,0(r3) -811403e8: 10800517 ldw r2,20(r2) -811403ec: 2001703a wrctl status,r4 -811403f0: 10c00c83 ldbu r3,50(r2) -811403f4: 19ffdb26 beq r3,r7,81140364 <__reset+0xfb120364> -811403f8: 0009303a rdctl r4,status -811403fc: 2206703a and r3,r4,r8 -81140400: 1801703a wrctl status,r3 -81140404: 10c00b8b ldhu r3,46(r2) -81140408: 197fffc4 addi r5,r3,-1 -8114040c: 18ffffcc andi r3,r3,65535 -81140410: 29bfffcc andi r6,r5,65535 -81140414: 183ff426 beq r3,zero,811403e8 <__reset+0xfb1203e8> -81140418: 11400b8d sth r5,46(r2) -8114041c: 303ff21e bne r6,zero,811403e8 <__reset+0xfb1203e8> -81140420: 10c00c03 ldbu r3,48(r2) -81140424: 19400dcc andi r5,r3,55 -81140428: 1a4c703a and r6,r3,r9 -8114042c: 283fdf1e bne r5,zero,811403ac <__reset+0xfb1203ac> -81140430: 10000c45 stb zero,49(r2) -81140434: 003fe006 br 811403b8 <__reset+0xfb1203b8> -81140438: d020af05 stb zero,-32068(gp) -8114043c: 003fd206 br 81140388 <__reset+0xfb120388> - -81140440 : -81140440: 00804784 movi r2,286 -81140444: f800283a ret - -81140448 : -81140448: f800283a ret - -8114044c : -8114044c: 20800283 ldbu r2,10(r4) -81140450: 02204574 movhi r8,33045 -81140454: 423f9d84 addi r8,r8,-394 -81140458: 4085883a add r2,r8,r2 -8114045c: 10800003 ldbu r2,0(r2) -81140460: 018c303a nor r6,zero,r6 -81140464: 12403fcc andi r9,r2,255 -81140468: 2247883a add r3,r4,r9 -8114046c: 18c002c3 ldbu r3,11(r3) -81140470: 100490fa slli r2,r2,3 -81140474: 40c7883a add r3,r8,r3 -81140478: 18c00003 ldbu r3,0(r3) -8114047c: 02204674 movhi r8,33049 -81140480: 422f9404 addi r8,r8,-16816 -81140484: 10c5883a add r2,r2,r3 -81140488: 10c03fcc andi r3,r2,255 -8114048c: 18c7883a add r3,r3,r3 -81140490: 18c7883a add r3,r3,r3 -81140494: 40c7883a add r3,r8,r3 -81140498: 1a000017 ldw r8,0(r3) -8114049c: 40c00c03 ldbu r3,48(r8) -811404a0: 40000b8d sth zero,46(r8) -811404a4: 41400915 stw r5,36(r8) -811404a8: 30cc703a and r6,r6,r3 -811404ac: 41800c05 stb r6,48(r8) -811404b0: 41c00c45 stb r7,49(r8) -811404b4: 3180020c andi r6,r6,8 -811404b8: 30002426 beq r6,zero,8114054c -811404bc: 40c00d43 ldbu r3,53(r8) -811404c0: 41400d03 ldbu r5,52(r8) -811404c4: 00cc303a nor r6,zero,r3 -811404c8: 214b883a add r5,r4,r5 -811404cc: 294002c4 addi r5,r5,11 -811404d0: 28c00003 ldbu r3,0(r5) -811404d4: 30c6703a and r3,r6,r3 -811404d8: 28c00005 stb r3,0(r5) -811404dc: 18c03fcc andi r3,r3,255 -811404e0: 18002526 beq r3,zero,81140578 -811404e4: 41c00817 ldw r7,32(r8) -811404e8: 38001726 beq r7,zero,81140548 -811404ec: 39800017 ldw r6,0(r7) -811404f0: 42800d03 ldbu r10,52(r8) -811404f4: 42c00d83 ldbu r11,54(r8) -811404f8: 42400d43 ldbu r9,53(r8) -811404fc: 30001026 beq r6,zero,81140540 -81140500: 52803fcc andi r10,r10,255 -81140504: 0252303a nor r9,zero,r9 -81140508: 02d6303a nor r11,zero,r11 -8114050c: 328b883a add r5,r6,r10 -81140510: 294002c4 addi r5,r5,11 -81140514: 28c00003 ldbu r3,0(r5) -81140518: 39c00104 addi r7,r7,4 -8114051c: 1a46703a and r3,r3,r9 -81140520: 28c00005 stb r3,0(r5) -81140524: 18c03fcc andi r3,r3,255 -81140528: 1800031e bne r3,zero,81140538 -8114052c: 30c00283 ldbu r3,10(r6) -81140530: 58c6703a and r3,r11,r3 -81140534: 30c00285 stb r3,10(r6) -81140538: 39800017 ldw r6,0(r7) -8114053c: 303ff31e bne r6,zero,8114050c <__reset+0xfb12050c> -81140540: 41000715 stw r4,28(r8) -81140544: f800283a ret -81140548: f800283a ret -8114054c: d0e0b844 addi r3,gp,-32031 -81140550: 1a53883a add r9,r3,r9 -81140554: d1a0b803 ldbu r6,-32032(gp) -81140558: 49400003 ldbu r5,0(r9) -8114055c: 41c00d83 ldbu r7,54(r8) -81140560: 40c00d43 ldbu r3,53(r8) -81140564: 398cb03a or r6,r7,r6 -81140568: 28cab03a or r5,r5,r3 -8114056c: d1a0b805 stb r6,-32032(gp) -81140570: 49400005 stb r5,0(r9) -81140574: 003fd206 br 811404c0 <__reset+0xfb1204c0> -81140578: 40c00d83 ldbu r3,54(r8) -8114057c: 21400283 ldbu r5,10(r4) -81140580: 00c6303a nor r3,zero,r3 -81140584: 1946703a and r3,r3,r5 -81140588: 20c00285 stb r3,10(r4) -8114058c: 003fd506 br 811404e4 <__reset+0xfb1204e4> - -81140590 : -81140590: d0a0bc17 ldw r2,-32016(gp) -81140594: 10c00d03 ldbu r3,52(r2) -81140598: 11000715 stw r4,28(r2) -8114059c: 11400d43 ldbu r5,53(r2) -811405a0: 20c7883a add r3,r4,r3 -811405a4: 18c002c4 addi r3,r3,11 -811405a8: 19800003 ldbu r6,0(r3) -811405ac: 314ab03a or r5,r6,r5 -811405b0: 19400005 stb r5,0(r3) -811405b4: 21400283 ldbu r5,10(r4) -811405b8: 10c00d83 ldbu r3,54(r2) -811405bc: 28c6b03a or r3,r5,r3 -811405c0: 20c00285 stb r3,10(r4) -811405c4: 11000d03 ldbu r4,52(r2) -811405c8: d160b844 addi r5,gp,-32031 -811405cc: 10c00d43 ldbu r3,53(r2) -811405d0: 290b883a add r5,r5,r4 -811405d4: 29000003 ldbu r4,0(r5) -811405d8: 00c6303a nor r3,zero,r3 -811405dc: 1906703a and r3,r3,r4 -811405e0: 28c00005 stb r3,0(r5) -811405e4: 18c03fcc andi r3,r3,255 -811405e8: 1800051e bne r3,zero,81140600 -811405ec: 10800d83 ldbu r2,54(r2) -811405f0: d0e0b803 ldbu r3,-32032(gp) -811405f4: 0084303a nor r2,zero,r2 -811405f8: 10c4703a and r2,r2,r3 -811405fc: d0a0b805 stb r2,-32032(gp) -81140600: f800283a ret - -81140604 : -81140604: d1a0bc17 ldw r6,-32016(gp) -81140608: 30000715 stw zero,28(r6) -8114060c: 31000815 stw r4,32(r6) -81140610: 20c00017 ldw r3,0(r4) -81140614: 18000e26 beq r3,zero,81140650 -81140618: 30800d03 ldbu r2,52(r6) -8114061c: 31400d43 ldbu r5,53(r6) -81140620: 21000104 addi r4,r4,4 -81140624: 1885883a add r2,r3,r2 -81140628: 108002c4 addi r2,r2,11 -8114062c: 11c00003 ldbu r7,0(r2) -81140630: 394ab03a or r5,r7,r5 -81140634: 11400005 stb r5,0(r2) -81140638: 19400283 ldbu r5,10(r3) -8114063c: 30800d83 ldbu r2,54(r6) -81140640: 2884b03a or r2,r5,r2 -81140644: 18800285 stb r2,10(r3) -81140648: 20c00017 ldw r3,0(r4) -8114064c: 183ff21e bne r3,zero,81140618 <__reset+0xfb120618> -81140650: 30c00d03 ldbu r3,52(r6) -81140654: d120b844 addi r4,gp,-32031 -81140658: 30800d43 ldbu r2,53(r6) -8114065c: 20c9883a add r4,r4,r3 -81140660: 20c00003 ldbu r3,0(r4) -81140664: 0084303a nor r2,zero,r2 -81140668: 10c4703a and r2,r2,r3 -8114066c: 20800005 stb r2,0(r4) -81140670: 10803fcc andi r2,r2,255 -81140674: 1000061e bne r2,zero,81140690 -81140678: 30800d83 ldbu r2,54(r6) -8114067c: d0e0b803 ldbu r3,-32032(gp) -81140680: 0084303a nor r2,zero,r2 -81140684: 10c4703a and r2,r2,r3 -81140688: d0a0b805 stb r2,-32032(gp) -8114068c: f800283a ret -81140690: f800283a ret - -81140694 : -81140694: 21800d03 ldbu r6,52(r4) -81140698: 20800d43 ldbu r2,53(r4) -8114069c: 298d883a add r6,r5,r6 -811406a0: 318002c4 addi r6,r6,11 -811406a4: 30c00003 ldbu r3,0(r6) -811406a8: 0084303a nor r2,zero,r2 -811406ac: 10c4703a and r2,r2,r3 -811406b0: 30800005 stb r2,0(r6) -811406b4: 10803fcc andi r2,r2,255 -811406b8: 1000051e bne r2,zero,811406d0 -811406bc: 20800d83 ldbu r2,54(r4) -811406c0: 28c00283 ldbu r3,10(r5) -811406c4: 0084303a nor r2,zero,r2 -811406c8: 10c4703a and r2,r2,r3 -811406cc: 28800285 stb r2,10(r5) +8113fb34: 003ffa06 br 8113fb20 <__reset+0xfb11fb20> +8113fb38: d0a01a17 ldw r2,-32664(gp) +8113fb3c: 10000c26 beq r2,zero,8113fb70 +8113fb40: 103ee83a callr r2 +8113fb44: 0421c83a sub r16,zero,r16 +8113fb48: 14000015 stw r16,0(r2) +8113fb4c: 00bfffc4 movi r2,-1 +8113fb50: 003fec06 br 8113fb04 <__reset+0xfb11fb04> +8113fb54: d0a01a17 ldw r2,-32664(gp) +8113fb58: 10000726 beq r2,zero,8113fb78 +8113fb5c: 103ee83a callr r2 +8113fb60: 00c01444 movi r3,81 +8113fb64: 10c00015 stw r3,0(r2) +8113fb68: 00bfffc4 movi r2,-1 +8113fb6c: 003fe506 br 8113fb04 <__reset+0xfb11fb04> +8113fb70: d0a09604 addi r2,gp,-32168 +8113fb74: 003ff306 br 8113fb44 <__reset+0xfb11fb44> +8113fb78: d0a09604 addi r2,gp,-32168 +8113fb7c: 003ff806 br 8113fb60 <__reset+0xfb11fb60> + +8113fb80 <__env_lock>: +8113fb80: deffe004 addi sp,sp,-128 +8113fb84: d80b883a mov r5,sp +8113fb88: 01003fc4 movi r4,255 +8113fb8c: dfc01f15 stw ra,124(sp) +8113fb90: dc001e15 stw r16,120(sp) +8113fb94: 11446500 call 81144650 +8113fb98: d8801d85 stb r2,118(sp) +8113fb9c: 10803fcc andi r2,r2,255 +8113fba0: 10000426 beq r2,zero,8113fbb4 <__env_lock+0x34> +8113fba4: dfc01f17 ldw ra,124(sp) +8113fba8: dc001e17 ldw r16,120(sp) +8113fbac: dec02004 addi sp,sp,128 +8113fbb0: f800283a ret +8113fbb4: d120a517 ldw r4,-32108(gp) +8113fbb8: d9401b04 addi r5,sp,108 +8113fbbc: dc000c83 ldbu r16,50(sp) +8113fbc0: 11438800 call 81143880 +8113fbc4: d8801d03 ldbu r2,116(sp) +8113fbc8: 10000226 beq r2,zero,8113fbd4 <__env_lock+0x54> +8113fbcc: d0a01f17 ldw r2,-32644(gp) +8113fbd0: 80800b26 beq r16,r2,8113fc00 <__env_lock+0x80> +8113fbd4: d120a517 ldw r4,-32108(gp) +8113fbd8: d9801d84 addi r6,sp,118 +8113fbdc: 000b883a mov r5,zero +8113fbe0: 11435a40 call 811435a4 +8113fbe4: 00800044 movi r2,1 +8113fbe8: d0a0a415 stw r2,-32112(gp) +8113fbec: d4201f15 stw r16,-32644(gp) +8113fbf0: dfc01f17 ldw ra,124(sp) +8113fbf4: dc001e17 ldw r16,120(sp) +8113fbf8: dec02004 addi sp,sp,128 +8113fbfc: f800283a ret +8113fc00: d0a0a417 ldw r2,-32112(gp) +8113fc04: 10800044 addi r2,r2,1 +8113fc08: d0a0a415 stw r2,-32112(gp) +8113fc0c: 003fe506 br 8113fba4 <__reset+0xfb11fba4> + +8113fc10 <__env_unlock>: +8113fc10: d0a0a417 ldw r2,-32112(gp) +8113fc14: 10000326 beq r2,zero,8113fc24 <__env_unlock+0x14> +8113fc18: 10bfffc4 addi r2,r2,-1 +8113fc1c: d0a0a415 stw r2,-32112(gp) +8113fc20: 10000126 beq r2,zero,8113fc28 <__env_unlock+0x18> +8113fc24: f800283a ret +8113fc28: d120a517 ldw r4,-32108(gp) +8113fc2c: 00bfffc4 movi r2,-1 +8113fc30: d0a01f15 stw r2,-32644(gp) +8113fc34: 11437dc1 jmpi 811437dc + +8113fc38 <__malloc_lock>: +8113fc38: d0e0ab03 ldbu r3,-32084(gp) +8113fc3c: 00800044 movi r2,1 +8113fc40: 18800126 beq r3,r2,8113fc48 <__malloc_lock+0x10> +8113fc44: f800283a ret +8113fc48: deffe004 addi sp,sp,-128 +8113fc4c: d80b883a mov r5,sp +8113fc50: 01003fc4 movi r4,255 +8113fc54: dfc01f15 stw ra,124(sp) +8113fc58: dc001e15 stw r16,120(sp) +8113fc5c: 11446500 call 81144650 +8113fc60: d8801d85 stb r2,118(sp) +8113fc64: 10803fcc andi r2,r2,255 +8113fc68: 10000426 beq r2,zero,8113fc7c <__malloc_lock+0x44> +8113fc6c: dfc01f17 ldw ra,124(sp) +8113fc70: dc001e17 ldw r16,120(sp) +8113fc74: dec02004 addi sp,sp,128 +8113fc78: f800283a ret +8113fc7c: d120a717 ldw r4,-32100(gp) +8113fc80: dc000c83 ldbu r16,50(sp) +8113fc84: d9401b04 addi r5,sp,108 +8113fc88: 11438800 call 81143880 +8113fc8c: 0007303a rdctl r3,status +8113fc90: 00bfff84 movi r2,-2 +8113fc94: 1884703a and r2,r3,r2 +8113fc98: 1001703a wrctl status,r2 +8113fc9c: d8801b0b ldhu r2,108(sp) +8113fca0: 1000021e bne r2,zero,8113fcac <__malloc_lock+0x74> +8113fca4: d0a02017 ldw r2,-32640(gp) +8113fca8: 80800926 beq r16,r2,8113fcd0 <__malloc_lock+0x98> +8113fcac: 1801703a wrctl status,r3 +8113fcb0: d120a717 ldw r4,-32100(gp) +8113fcb4: d9801d84 addi r6,sp,118 +8113fcb8: 000b883a mov r5,zero +8113fcbc: 11435a40 call 811435a4 +8113fcc0: 00800044 movi r2,1 +8113fcc4: d0a0a615 stw r2,-32104(gp) +8113fcc8: d4202015 stw r16,-32640(gp) +8113fccc: 003fe706 br 8113fc6c <__reset+0xfb11fc6c> +8113fcd0: d0a0a617 ldw r2,-32104(gp) +8113fcd4: 10800044 addi r2,r2,1 +8113fcd8: d0a0a615 stw r2,-32104(gp) +8113fcdc: 1801703a wrctl status,r3 +8113fce0: 003fe206 br 8113fc6c <__reset+0xfb11fc6c> + +8113fce4 <__malloc_unlock>: +8113fce4: d0e0ab03 ldbu r3,-32084(gp) +8113fce8: 00800044 movi r2,1 +8113fcec: 18800126 beq r3,r2,8113fcf4 <__malloc_unlock+0x10> +8113fcf0: f800283a ret +8113fcf4: 0007303a rdctl r3,status +8113fcf8: 00bfff84 movi r2,-2 +8113fcfc: 1884703a and r2,r3,r2 +8113fd00: 1001703a wrctl status,r2 +8113fd04: d0a0a617 ldw r2,-32104(gp) +8113fd08: 10000326 beq r2,zero,8113fd18 <__malloc_unlock+0x34> +8113fd0c: 10bfffc4 addi r2,r2,-1 +8113fd10: d0a0a615 stw r2,-32104(gp) +8113fd14: 10000226 beq r2,zero,8113fd20 <__malloc_unlock+0x3c> +8113fd18: 1801703a wrctl status,r3 +8113fd1c: f800283a ret +8113fd20: 00bfffc4 movi r2,-1 +8113fd24: d0a02015 stw r2,-32640(gp) +8113fd28: 1801703a wrctl status,r3 +8113fd2c: d120a717 ldw r4,-32100(gp) +8113fd30: 11437dc1 jmpi 811437dc + +8113fd34 : +8113fd34: defffe04 addi sp,sp,-8 +8113fd38: dc000015 stw r16,0(sp) +8113fd3c: dfc00115 stw ra,4(sp) +8113fd40: 043fff84 movi r16,-2 +8113fd44: 0007303a rdctl r3,status +8113fd48: 1c04703a and r2,r3,r16 +8113fd4c: 1001703a wrctl status,r2 +8113fd50: d0a0ac17 ldw r2,-32080(gp) +8113fd54: 10800044 addi r2,r2,1 +8113fd58: d0a0ac15 stw r2,-32080(gp) +8113fd5c: 1801703a wrctl status,r3 +8113fd60: 1146aac0 call 81146aac +8113fd64: 003ff706 br 8113fd44 <__reset+0xfb11fd44> + +8113fd68 : +8113fd68: 30002626 beq r6,zero,8113fe04 +8113fd6c: 20002726 beq r4,zero,8113fe0c +8113fd70: 28002a26 beq r5,zero,8113fe1c +8113fd74: d0a0bb03 ldbu r2,-32020(gp) +8113fd78: 10c03fcc andi r3,r2,255 +8113fd7c: 1800071e bne r3,zero,8113fd9c +8113fd80: 20c00003 ldbu r3,0(r4) +8113fd84: 01c000c4 movi r7,3 +8113fd88: 18ffffc4 addi r3,r3,-1 +8113fd8c: 38c0072e bgeu r7,r3,8113fdac +8113fd90: 00c00044 movi r3,1 +8113fd94: 30c00005 stb r3,0(r6) +8113fd98: f800283a ret +8113fd9c: 00800444 movi r2,17 +8113fda0: 30800005 stb r2,0(r6) +8113fda4: 0005883a mov r2,zero +8113fda8: f800283a ret +8113fdac: 0013303a rdctl r9,status +8113fdb0: 00bfff84 movi r2,-2 +8113fdb4: 4884703a and r2,r9,r2 +8113fdb8: 1001703a wrctl status,r2 +8113fdbc: 20c00443 ldbu r3,17(r4) +8113fdc0: 21000444 addi r4,r4,17 +8113fdc4: 18803fcc andi r2,r3,255 +8113fdc8: 10001826 beq r2,zero,8113fe2c +8113fdcc: 280f883a mov r7,r5 +8113fdd0: 0005883a mov r2,zero +8113fdd4: 38c00005 stb r3,0(r7) +8113fdd8: 21000044 addi r4,r4,1 +8113fddc: 20c00003 ldbu r3,0(r4) +8113fde0: 29400044 addi r5,r5,1 +8113fde4: 10800044 addi r2,r2,1 +8113fde8: 1a003fcc andi r8,r3,255 +8113fdec: 280f883a mov r7,r5 +8113fdf0: 403ff81e bne r8,zero,8113fdd4 <__reset+0xfb11fdd4> +8113fdf4: 28000005 stb zero,0(r5) +8113fdf8: 4801703a wrctl status,r9 +8113fdfc: 30000005 stb zero,0(r6) +8113fe00: f800283a ret +8113fe04: 0005883a mov r2,zero +8113fe08: f800283a ret +8113fe0c: 00800104 movi r2,4 +8113fe10: 30800005 stb r2,0(r6) +8113fe14: 0005883a mov r2,zero +8113fe18: f800283a ret +8113fe1c: 00800304 movi r2,12 +8113fe20: 30800005 stb r2,0(r6) +8113fe24: 0005883a mov r2,zero +8113fe28: f800283a ret +8113fe2c: 0005883a mov r2,zero +8113fe30: 003ff006 br 8113fdf4 <__reset+0xfb11fdf4> + +8113fe34 : +8113fe34: 30000b26 beq r6,zero,8113fe64 +8113fe38: 20002a26 beq r4,zero,8113fee4 +8113fe3c: 28002c26 beq r5,zero,8113fef0 +8113fe40: d0a0bb03 ldbu r2,-32020(gp) +8113fe44: 1000081e bne r2,zero,8113fe68 +8113fe48: 20800003 ldbu r2,0(r4) +8113fe4c: 00c000c4 movi r3,3 +8113fe50: 10bfffc4 addi r2,r2,-1 +8113fe54: 1880072e bgeu r3,r2,8113fe74 +8113fe58: 00800044 movi r2,1 +8113fe5c: 30800005 stb r2,0(r6) +8113fe60: f800283a ret +8113fe64: f800283a ret +8113fe68: 00800484 movi r2,18 +8113fe6c: 30800005 stb r2,0(r6) +8113fe70: f800283a ret +8113fe74: 0013303a rdctl r9,status +8113fe78: 00bfff84 movi r2,-2 +8113fe7c: 4884703a and r2,r9,r2 +8113fe80: 1001703a wrctl status,r2 +8113fe84: 28c00003 ldbu r3,0(r5) +8113fe88: 18803fcc andi r2,r3,255 +8113fe8c: 10001f26 beq r2,zero,8113ff0c +8113fe90: 2805883a mov r2,r5 +8113fe94: 000f883a mov r7,zero +8113fe98: 10800044 addi r2,r2,1 +8113fe9c: 12000003 ldbu r8,0(r2) +8113fea0: 39c00044 addi r7,r7,1 +8113fea4: 403ffc1e bne r8,zero,8113fe98 <__reset+0xfb11fe98> +8113fea8: 39c03fcc andi r7,r7,255 +8113feac: 008007c4 movi r2,31 +8113feb0: 11c01236 bltu r2,r7,8113fefc +8113feb4: 21000444 addi r4,r4,17 +8113feb8: 20c00005 stb r3,0(r4) +8113febc: 29400044 addi r5,r5,1 +8113fec0: 28c00003 ldbu r3,0(r5) +8113fec4: 20800044 addi r2,r4,1 +8113fec8: 1009883a mov r4,r2 +8113fecc: 19c03fcc andi r7,r3,255 +8113fed0: 383ff91e bne r7,zero,8113feb8 <__reset+0xfb11feb8> +8113fed4: 10000005 stb zero,0(r2) +8113fed8: 4801703a wrctl status,r9 +8113fedc: 30000005 stb zero,0(r6) +8113fee0: f800283a ret +8113fee4: 00800104 movi r2,4 +8113fee8: 30800005 stb r2,0(r6) +8113feec: f800283a ret +8113fef0: 00800304 movi r2,12 +8113fef4: 30800005 stb r2,0(r6) +8113fef8: f800283a ret +8113fefc: 4801703a wrctl status,r9 +8113ff00: 008002c4 movi r2,11 +8113ff04: 30800005 stb r2,0(r6) +8113ff08: f800283a ret +8113ff0c: 20800444 addi r2,r4,17 +8113ff10: 003ff006 br 8113fed4 <__reset+0xfb11fed4> + +8113ff14 : +8113ff14: defff704 addi sp,sp,-36 +8113ff18: dfc00815 stw ra,32(sp) +8113ff1c: dc400715 stw r17,28(sp) +8113ff20: dc000615 stw r16,24(sp) +8113ff24: 1146aa00 call 81146aa0 +8113ff28: 02604674 movhi r9,33049 +8113ff2c: 4a6b0104 addi r9,r9,-21500 +8113ff30: d020be15 stw zero,-32008(gp) +8113ff34: d020bb05 stb zero,-32020(gp) +8113ff38: d020a905 stb zero,-32092(gp) +8113ff3c: d020b445 stb zero,-32047(gp) +8113ff40: d020ab05 stb zero,-32084(gp) +8113ff44: d020b015 stw zero,-32064(gp) +8113ff48: d020ac15 stw zero,-32080(gp) +8113ff4c: d020c215 stw zero,-31992(gp) +8113ff50: d020b215 stw zero,-32056(gp) +8113ff54: d020c105 stb zero,-31996(gp) +8113ff58: d020b805 stb zero,-32032(gp) +8113ff5c: d020b845 stb zero,-32031(gp) +8113ff60: d020b885 stb zero,-32030(gp) +8113ff64: d020b8c5 stb zero,-32029(gp) +8113ff68: d020b905 stb zero,-32028(gp) +8113ff6c: d020b945 stb zero,-32027(gp) +8113ff70: d020b985 stb zero,-32026(gp) +8113ff74: d020adc5 stb zero,-32073(gp) +8113ff78: d020ad85 stb zero,-32074(gp) +8113ff7c: d020b615 stw zero,-32040(gp) +8113ff80: d020bc15 stw zero,-32016(gp) +8113ff84: 4805883a mov r2,r9 +8113ff88: 48c4a404 addi r3,r9,4752 +8113ff8c: 10000005 stb zero,0(r2) +8113ff90: 10800044 addi r2,r2,1 +8113ff94: 18bffd1e bne r3,r2,8113ff8c <__reset+0xfb11ff8c> +8113ff98: 00a04674 movhi r2,33049 +8113ff9c: 10afa504 addi r2,r2,-16748 +8113ffa0: 10c02d04 addi r3,r2,180 +8113ffa4: 10000005 stb zero,0(r2) +8113ffa8: 10800044 addi r2,r2,1 +8113ffac: 18bffd1e bne r3,r2,8113ffa4 <__reset+0xfb11ffa4> +8113ffb0: 00a04674 movhi r2,33049 +8113ffb4: 10ab0604 addi r2,r2,-21480 +8113ffb8: 01c00fc4 movi r7,63 +8113ffbc: 11000e04 addi r4,r2,56 +8113ffc0: 10c00e44 addi r3,r2,57 +8113ffc4: 11848904 addi r6,r2,4644 +8113ffc8: 11401604 addi r5,r2,88 +8113ffcc: 11400015 stw r5,0(r2) +8113ffd0: 21c00005 stb r7,0(r4) +8113ffd4: 18000005 stb zero,0(r3) +8113ffd8: 10801b04 addi r2,r2,108 +8113ffdc: 21001b04 addi r4,r4,108 +8113ffe0: 18c01b04 addi r3,r3,108 +8113ffe4: 30bff81e bne r6,r2,8113ffc8 <__reset+0xfb11ffc8> +8113ffe8: 02204674 movhi r8,33049 +8113ffec: 42248104 addi r8,r8,-28156 +8113fff0: 00800fc4 movi r2,63 +8113fff4: 48849c05 stb r2,4720(r9) +8113fff8: 48048e15 stw zero,4664(r9) +8113fffc: 48049c45 stb zero,4721(r9) +81140000: d020ae15 stw zero,-32072(gp) +81140004: d260b315 stw r9,-32052(gp) +81140008: 4005883a mov r2,r8 +8114000c: 40c68004 addi r3,r8,6656 +81140010: 10000005 stb zero,0(r2) +81140014: 10800044 addi r2,r2,1 +81140018: 10fffd1e bne r2,r3,81140010 <__reset+0xfb120010> +8114001c: 00e04674 movhi r3,33049 +81140020: 18e48204 addi r3,r3,-28152 +81140024: 01c00fc4 movi r7,63 +81140028: 18bfff04 addi r2,r3,-4 +8114002c: 19400344 addi r5,r3,13 +81140030: 19000384 addi r4,r3,14 +81140034: 19867204 addi r6,r3,6600 +81140038: 10000005 stb zero,0(r2) +8114003c: 10800d04 addi r2,r2,52 +81140040: 18800015 stw r2,0(r3) +81140044: 29c00005 stb r7,0(r5) +81140048: 20000005 stb zero,0(r4) +8114004c: 18c00d04 addi r3,r3,52 +81140050: 29400d04 addi r5,r5,52 +81140054: 21000d04 addi r4,r4,52 +81140058: 11bff71e bne r2,r6,81140038 <__reset+0xfb120038> +8114005c: 10000005 stb zero,0(r2) +81140060: 00800fc4 movi r2,63 +81140064: 40867745 stb r2,6621(r8) +81140068: 40067415 stw zero,6608(r8) +8114006c: 40067785 stb zero,6622(r8) +81140070: d220ba15 stw r8,-32024(gp) +81140074: 1141b700 call 81141b70 +81140078: 1141f9c0 call 81141f9c +8114007c: 11433200 call 81143320 +81140080: 01a04674 movhi r6,33049 +81140084: 044000c4 movi r17,3 +81140088: 04010004 movi r16,1024 +8114008c: 31a08104 addi r6,r6,-32252 +81140090: 00bfffd4 movui r2,65535 +81140094: 01204534 movhi r4,33044 +81140098: 01c00b04 movi r7,44 +8114009c: d9800115 stw r6,4(sp) +811400a0: 213f4d04 addi r4,r4,-716 +811400a4: 000b883a mov r5,zero +811400a8: dc400415 stw r17,16(sp) +811400ac: d8000315 stw zero,12(sp) +811400b0: dc000215 stw r16,8(sp) +811400b4: d8800015 stw r2,0(sp) +811400b8: 3183ff04 addi r6,r6,4092 +811400bc: 1143cc00 call 81143cc0 +811400c0: 01604574 movhi r5,33045 +811400c4: d9800504 addi r6,sp,20 +811400c8: 297fa704 addi r5,r5,-356 +811400cc: 01000b04 movi r4,44 +811400d0: 11442040 call 81144204 +811400d4: 01a04634 movhi r6,33048 +811400d8: 31990104 addi r6,r6,25604 +811400dc: 00bfff94 movui r2,65534 +811400e0: 01204534 movhi r4,33044 +811400e4: 01c00ac4 movi r7,43 +811400e8: d9800115 stw r6,4(sp) +811400ec: 21036f04 addi r4,r4,3516 +811400f0: 000b883a mov r5,zero +811400f4: dc400415 stw r17,16(sp) +811400f8: d8000315 stw zero,12(sp) +811400fc: dc000215 stw r16,8(sp) +81140100: d8800015 stw r2,0(sp) +81140104: 3183ff04 addi r6,r6,4092 +81140108: 1143cc00 call 81143cc0 +8114010c: 01604574 movhi r5,33045 +81140110: d9800504 addi r6,sp,20 +81140114: 297fab04 addi r5,r5,-340 +81140118: 01000ac4 movi r4,43 +8114011c: 11442040 call 81144204 +81140120: 11454e00 call 811454e0 +81140124: 1146aa80 call 81146aa8 +81140128: 1140fd40 call 81140fd4 +8114012c: dfc00817 ldw ra,32(sp) +81140130: dc400717 ldw r17,28(sp) +81140134: dc000617 ldw r16,24(sp) +81140138: dec00904 addi sp,sp,36 +8114013c: f800283a ret + +81140140 : +81140140: d0e0ab03 ldbu r3,-32084(gp) +81140144: 00800044 movi r2,1 +81140148: 18800126 beq r3,r2,81140150 +8114014c: f800283a ret +81140150: d0a0bb03 ldbu r2,-32020(gp) +81140154: 00c03fc4 movi r3,255 +81140158: 11003fcc andi r4,r2,255 +8114015c: 20fffb26 beq r4,r3,8114014c <__reset+0xfb12014c> +81140160: 10800044 addi r2,r2,1 +81140164: d0a0bb05 stb r2,-32020(gp) +81140168: f800283a ret + +8114016c : +8114016c: d0e0ab03 ldbu r3,-32084(gp) +81140170: 00800044 movi r2,1 +81140174: 18800126 beq r3,r2,8114017c +81140178: f800283a ret +8114017c: defffe04 addi sp,sp,-8 +81140180: dfc00115 stw ra,4(sp) +81140184: dc000015 stw r16,0(sp) +81140188: 0021303a rdctl r16,status +8114018c: 00bfff84 movi r2,-2 +81140190: 8084703a and r2,r16,r2 +81140194: 1001703a wrctl status,r2 +81140198: d0a0bb03 ldbu r2,-32020(gp) +8114019c: 10c03fcc andi r3,r2,255 +811401a0: 1800261e bne r3,zero,8114023c +811401a4: d0a0a903 ldbu r2,-32092(gp) +811401a8: 10001f1e bne r2,zero,81140228 +811401ac: d0e0b803 ldbu r3,-32032(gp) +811401b0: 01604574 movhi r5,33045 +811401b4: 297fae84 addi r5,r5,-326 +811401b8: 28c7883a add r3,r5,r3 +811401bc: 18800003 ldbu r2,0(r3) +811401c0: d120b844 addi r4,gp,-32031 +811401c4: d1a0adc3 ldbu r6,-32073(gp) +811401c8: 10c03fcc andi r3,r2,255 +811401cc: 20c7883a add r3,r4,r3 +811401d0: 19000003 ldbu r4,0(r3) +811401d4: 100690fa slli r3,r2,3 +811401d8: 2905883a add r2,r5,r4 +811401dc: 10800003 ldbu r2,0(r2) +811401e0: 1885883a add r2,r3,r2 +811401e4: d0a0ad85 stb r2,-32074(gp) +811401e8: 10803fcc andi r2,r2,255 +811401ec: 30800e26 beq r6,r2,81140228 +811401f0: 1085883a add r2,r2,r2 +811401f4: 00e04674 movhi r3,33049 +811401f8: 18efa504 addi r3,r3,-16748 +811401fc: 1085883a add r2,r2,r2 +81140200: 1885883a add r2,r3,r2 +81140204: 10c00017 ldw r3,0(r2) +81140208: d0a0b017 ldw r2,-32064(gp) +8114020c: 19000e17 ldw r4,56(r3) +81140210: 10800044 addi r2,r2,1 +81140214: d0e0b615 stw r3,-32040(gp) +81140218: 21000044 addi r4,r4,1 +8114021c: 19000e15 stw r4,56(r3) +81140220: d0a0b015 stw r2,-32064(gp) +81140224: 11468c40 call 811468c4 +81140228: 8001703a wrctl status,r16 +8114022c: dfc00117 ldw ra,4(sp) +81140230: dc000017 ldw r16,0(sp) +81140234: dec00204 addi sp,sp,8 +81140238: f800283a ret +8114023c: 10bfffc4 addi r2,r2,-1 +81140240: d0a0bb05 stb r2,-32020(gp) +81140244: 10803fcc andi r2,r2,255 +81140248: 103ff71e bne r2,zero,81140228 <__reset+0xfb120228> +8114024c: 003fd506 br 811401a4 <__reset+0xfb1201a4> + +81140250 : +81140250: d0e0ab03 ldbu r3,-32084(gp) +81140254: 00800044 movi r2,1 +81140258: 18800126 beq r3,r2,81140260 +8114025c: f800283a ret +81140260: 0007303a rdctl r3,status +81140264: 00bfff84 movi r2,-2 +81140268: 1884703a and r2,r3,r2 +8114026c: 1001703a wrctl status,r2 +81140270: d0a0bb03 ldbu r2,-32020(gp) +81140274: 1000061e bne r2,zero,81140290 +81140278: d0a0a903 ldbu r2,-32092(gp) +8114027c: 01003fc4 movi r4,255 +81140280: 11403fcc andi r5,r2,255 +81140284: 29000226 beq r5,r4,81140290 +81140288: 10800044 addi r2,r2,1 +8114028c: d0a0a905 stb r2,-32092(gp) +81140290: 1801703a wrctl status,r3 +81140294: f800283a ret + +81140298 : +81140298: d0a0ab03 ldbu r2,-32084(gp) +8114029c: 10000126 beq r2,zero,811402a4 +811402a0: f800283a ret +811402a4: d0e0b803 ldbu r3,-32032(gp) +811402a8: 01604574 movhi r5,33045 +811402ac: 297fae84 addi r5,r5,-326 +811402b0: 28c7883a add r3,r5,r3 +811402b4: 18c00003 ldbu r3,0(r3) +811402b8: d0a0b844 addi r2,gp,-32031 +811402bc: 19003fcc andi r4,r3,255 +811402c0: 1109883a add r4,r2,r4 +811402c4: 20800003 ldbu r2,0(r4) +811402c8: 180690fa slli r3,r3,3 +811402cc: 01204674 movhi r4,33049 +811402d0: 2885883a add r2,r5,r2 +811402d4: 10800003 ldbu r2,0(r2) +811402d8: 212fa504 addi r4,r4,-16748 +811402dc: 1887883a add r3,r3,r2 +811402e0: 18803fcc andi r2,r3,255 +811402e4: 1085883a add r2,r2,r2 +811402e8: 1085883a add r2,r2,r2 +811402ec: 2085883a add r2,r4,r2 +811402f0: 10800017 ldw r2,0(r2) +811402f4: d0e0ad85 stb r3,-32074(gp) +811402f8: d0e0adc5 stb r3,-32073(gp) +811402fc: d0a0b615 stw r2,-32040(gp) +81140300: d0a0bc15 stw r2,-32016(gp) +81140304: 11469501 jmpi 81146950 + +81140308 : +81140308: defffe04 addi sp,sp,-8 +8114030c: 01000084 movi r4,2 +81140310: dfc00115 stw ra,4(sp) +81140314: dc000015 stw r16,0(sp) +81140318: 11447b40 call 811447b4 +8114031c: 0005303a rdctl r2,status +81140320: 043fff84 movi r16,-2 +81140324: 1406703a and r3,r2,r16 +81140328: 1801703a wrctl status,r3 +8114032c: d020ac15 stw zero,-32080(gp) +81140330: 1001703a wrctl status,r2 +81140334: 01001904 movi r4,100 +81140338: 11447b40 call 811447b4 +8114033c: 0005303a rdctl r2,status +81140340: 1420703a and r16,r2,r16 +81140344: 8001703a wrctl status,r16 +81140348: d0e0ac17 ldw r3,-32080(gp) +8114034c: 01000044 movi r4,1 +81140350: d120c105 stb r4,-31996(gp) +81140354: d0e0b215 stw r3,-32056(gp) +81140358: 1001703a wrctl status,r2 +8114035c: dfc00117 ldw ra,4(sp) +81140360: dc000017 ldw r16,0(sp) +81140364: dec00204 addi sp,sp,8 +81140368: f800283a ret + +8114036c : +8114036c: deffff04 addi sp,sp,-4 +81140370: dfc00015 stw ra,0(sp) +81140374: 1146a7c0 call 81146a7c +81140378: 0007303a rdctl r3,status +8114037c: 00bfff84 movi r2,-2 +81140380: 1884703a and r2,r3,r2 +81140384: 1001703a wrctl status,r2 +81140388: d0a0be17 ldw r2,-32008(gp) +8114038c: 10800044 addi r2,r2,1 +81140390: d0a0be15 stw r2,-32008(gp) +81140394: 1801703a wrctl status,r3 +81140398: d0a0ab03 ldbu r2,-32084(gp) +8114039c: 00c00044 movi r3,1 +811403a0: 10c00326 beq r2,r3,811403b0 +811403a4: dfc00017 ldw ra,0(sp) +811403a8: dec00104 addi sp,sp,4 +811403ac: f800283a ret +811403b0: d0e0af03 ldbu r3,-32068(gp) +811403b4: 18bffb26 beq r3,r2,811403a4 <__reset+0xfb1203a4> +811403b8: 18000326 beq r3,zero,811403c8 +811403bc: 01000084 movi r4,2 +811403c0: 19002d1e bne r3,r4,81140478 +811403c4: d0a0af05 stb r2,-32068(gp) +811403c8: d0a0ae17 ldw r2,-32072(gp) +811403cc: 01c00b04 movi r7,44 +811403d0: 10c00c83 ldbu r3,50(r2) +811403d4: 19fff326 beq r3,r7,811403a4 <__reset+0xfb1203a4> +811403d8: 023fff84 movi r8,-2 +811403dc: d2e0b844 addi r11,gp,-32031 +811403e0: 027ff204 movi r9,-56 +811403e4: 02800044 movi r10,1 +811403e8: 00001306 br 81140438 +811403ec: 3007883a mov r3,r6 +811403f0: 11800c05 stb r6,48(r2) +811403f4: 12800c45 stb r10,49(r2) +811403f8: 18c0020c andi r3,r3,8 +811403fc: 18000a1e bne r3,zero,81140428 +81140400: 10c00d03 ldbu r3,52(r2) +81140404: d1a0b803 ldbu r6,-32032(gp) +81140408: 13400d83 ldbu r13,54(r2) +8114040c: 58c7883a add r3,r11,r3 +81140410: 1b000003 ldbu r12,0(r3) +81140414: 11400d43 ldbu r5,53(r2) +81140418: 698cb03a or r6,r13,r6 +8114041c: d1a0b805 stb r6,-32032(gp) +81140420: 614ab03a or r5,r12,r5 +81140424: 19400005 stb r5,0(r3) +81140428: 10800517 ldw r2,20(r2) +8114042c: 2001703a wrctl status,r4 +81140430: 10c00c83 ldbu r3,50(r2) +81140434: 19ffdb26 beq r3,r7,811403a4 <__reset+0xfb1203a4> +81140438: 0009303a rdctl r4,status +8114043c: 2206703a and r3,r4,r8 +81140440: 1801703a wrctl status,r3 +81140444: 10c00b8b ldhu r3,46(r2) +81140448: 197fffc4 addi r5,r3,-1 +8114044c: 18ffffcc andi r3,r3,65535 +81140450: 29bfffcc andi r6,r5,65535 +81140454: 183ff426 beq r3,zero,81140428 <__reset+0xfb120428> +81140458: 11400b8d sth r5,46(r2) +8114045c: 303ff21e bne r6,zero,81140428 <__reset+0xfb120428> +81140460: 10c00c03 ldbu r3,48(r2) +81140464: 19400dcc andi r5,r3,55 +81140468: 1a4c703a and r6,r3,r9 +8114046c: 283fdf1e bne r5,zero,811403ec <__reset+0xfb1203ec> +81140470: 10000c45 stb zero,49(r2) +81140474: 003fe006 br 811403f8 <__reset+0xfb1203f8> +81140478: d020af05 stb zero,-32068(gp) +8114047c: 003fd206 br 811403c8 <__reset+0xfb1203c8> + +81140480 : +81140480: 00804784 movi r2,286 +81140484: f800283a ret + +81140488 : +81140488: f800283a ret + +8114048c : +8114048c: 20800283 ldbu r2,10(r4) +81140490: 02204574 movhi r8,33045 +81140494: 423fae84 addi r8,r8,-326 +81140498: 4085883a add r2,r8,r2 +8114049c: 10800003 ldbu r2,0(r2) +811404a0: 018c303a nor r6,zero,r6 +811404a4: 12403fcc andi r9,r2,255 +811404a8: 2247883a add r3,r4,r9 +811404ac: 18c002c3 ldbu r3,11(r3) +811404b0: 100490fa slli r2,r2,3 +811404b4: 40c7883a add r3,r8,r3 +811404b8: 18c00003 ldbu r3,0(r3) +811404bc: 02204674 movhi r8,33049 +811404c0: 422fa504 addi r8,r8,-16748 +811404c4: 10c5883a add r2,r2,r3 +811404c8: 10c03fcc andi r3,r2,255 +811404cc: 18c7883a add r3,r3,r3 +811404d0: 18c7883a add r3,r3,r3 +811404d4: 40c7883a add r3,r8,r3 +811404d8: 1a000017 ldw r8,0(r3) +811404dc: 40c00c03 ldbu r3,48(r8) +811404e0: 40000b8d sth zero,46(r8) +811404e4: 41400915 stw r5,36(r8) +811404e8: 30cc703a and r6,r6,r3 +811404ec: 41800c05 stb r6,48(r8) +811404f0: 41c00c45 stb r7,49(r8) +811404f4: 3180020c andi r6,r6,8 +811404f8: 30002426 beq r6,zero,8114058c +811404fc: 40c00d43 ldbu r3,53(r8) +81140500: 41400d03 ldbu r5,52(r8) +81140504: 00cc303a nor r6,zero,r3 +81140508: 214b883a add r5,r4,r5 +8114050c: 294002c4 addi r5,r5,11 +81140510: 28c00003 ldbu r3,0(r5) +81140514: 30c6703a and r3,r6,r3 +81140518: 28c00005 stb r3,0(r5) +8114051c: 18c03fcc andi r3,r3,255 +81140520: 18002526 beq r3,zero,811405b8 +81140524: 41c00817 ldw r7,32(r8) +81140528: 38001726 beq r7,zero,81140588 +8114052c: 39800017 ldw r6,0(r7) +81140530: 42800d03 ldbu r10,52(r8) +81140534: 42c00d83 ldbu r11,54(r8) +81140538: 42400d43 ldbu r9,53(r8) +8114053c: 30001026 beq r6,zero,81140580 +81140540: 52803fcc andi r10,r10,255 +81140544: 0252303a nor r9,zero,r9 +81140548: 02d6303a nor r11,zero,r11 +8114054c: 328b883a add r5,r6,r10 +81140550: 294002c4 addi r5,r5,11 +81140554: 28c00003 ldbu r3,0(r5) +81140558: 39c00104 addi r7,r7,4 +8114055c: 1a46703a and r3,r3,r9 +81140560: 28c00005 stb r3,0(r5) +81140564: 18c03fcc andi r3,r3,255 +81140568: 1800031e bne r3,zero,81140578 +8114056c: 30c00283 ldbu r3,10(r6) +81140570: 58c6703a and r3,r11,r3 +81140574: 30c00285 stb r3,10(r6) +81140578: 39800017 ldw r6,0(r7) +8114057c: 303ff31e bne r6,zero,8114054c <__reset+0xfb12054c> +81140580: 41000715 stw r4,28(r8) +81140584: f800283a ret +81140588: f800283a ret +8114058c: d0e0b844 addi r3,gp,-32031 +81140590: 1a53883a add r9,r3,r9 +81140594: d1a0b803 ldbu r6,-32032(gp) +81140598: 49400003 ldbu r5,0(r9) +8114059c: 41c00d83 ldbu r7,54(r8) +811405a0: 40c00d43 ldbu r3,53(r8) +811405a4: 398cb03a or r6,r7,r6 +811405a8: 28cab03a or r5,r5,r3 +811405ac: d1a0b805 stb r6,-32032(gp) +811405b0: 49400005 stb r5,0(r9) +811405b4: 003fd206 br 81140500 <__reset+0xfb120500> +811405b8: 40c00d83 ldbu r3,54(r8) +811405bc: 21400283 ldbu r5,10(r4) +811405c0: 00c6303a nor r3,zero,r3 +811405c4: 1946703a and r3,r3,r5 +811405c8: 20c00285 stb r3,10(r4) +811405cc: 003fd506 br 81140524 <__reset+0xfb120524> + +811405d0 : +811405d0: d0a0bc17 ldw r2,-32016(gp) +811405d4: 10c00d03 ldbu r3,52(r2) +811405d8: 11000715 stw r4,28(r2) +811405dc: 11400d43 ldbu r5,53(r2) +811405e0: 20c7883a add r3,r4,r3 +811405e4: 18c002c4 addi r3,r3,11 +811405e8: 19800003 ldbu r6,0(r3) +811405ec: 314ab03a or r5,r6,r5 +811405f0: 19400005 stb r5,0(r3) +811405f4: 21400283 ldbu r5,10(r4) +811405f8: 10c00d83 ldbu r3,54(r2) +811405fc: 28c6b03a or r3,r5,r3 +81140600: 20c00285 stb r3,10(r4) +81140604: 11000d03 ldbu r4,52(r2) +81140608: d160b844 addi r5,gp,-32031 +8114060c: 10c00d43 ldbu r3,53(r2) +81140610: 290b883a add r5,r5,r4 +81140614: 29000003 ldbu r4,0(r5) +81140618: 00c6303a nor r3,zero,r3 +8114061c: 1906703a and r3,r3,r4 +81140620: 28c00005 stb r3,0(r5) +81140624: 18c03fcc andi r3,r3,255 +81140628: 1800051e bne r3,zero,81140640 +8114062c: 10800d83 ldbu r2,54(r2) +81140630: d0e0b803 ldbu r3,-32032(gp) +81140634: 0084303a nor r2,zero,r2 +81140638: 10c4703a and r2,r2,r3 +8114063c: d0a0b805 stb r2,-32032(gp) +81140640: f800283a ret + +81140644 : +81140644: d1a0bc17 ldw r6,-32016(gp) +81140648: 30000715 stw zero,28(r6) +8114064c: 31000815 stw r4,32(r6) +81140650: 20c00017 ldw r3,0(r4) +81140654: 18000e26 beq r3,zero,81140690 +81140658: 30800d03 ldbu r2,52(r6) +8114065c: 31400d43 ldbu r5,53(r6) +81140660: 21000104 addi r4,r4,4 +81140664: 1885883a add r2,r3,r2 +81140668: 108002c4 addi r2,r2,11 +8114066c: 11c00003 ldbu r7,0(r2) +81140670: 394ab03a or r5,r7,r5 +81140674: 11400005 stb r5,0(r2) +81140678: 19400283 ldbu r5,10(r3) +8114067c: 30800d83 ldbu r2,54(r6) +81140680: 2884b03a or r2,r5,r2 +81140684: 18800285 stb r2,10(r3) +81140688: 20c00017 ldw r3,0(r4) +8114068c: 183ff21e bne r3,zero,81140658 <__reset+0xfb120658> +81140690: 30c00d03 ldbu r3,52(r6) +81140694: d120b844 addi r4,gp,-32031 +81140698: 30800d43 ldbu r2,53(r6) +8114069c: 20c9883a add r4,r4,r3 +811406a0: 20c00003 ldbu r3,0(r4) +811406a4: 0084303a nor r2,zero,r2 +811406a8: 10c4703a and r2,r2,r3 +811406ac: 20800005 stb r2,0(r4) +811406b0: 10803fcc andi r2,r2,255 +811406b4: 1000061e bne r2,zero,811406d0 +811406b8: 30800d83 ldbu r2,54(r6) +811406bc: d0e0b803 ldbu r3,-32032(gp) +811406c0: 0084303a nor r2,zero,r2 +811406c4: 10c4703a and r2,r2,r3 +811406c8: d0a0b805 stb r2,-32032(gp) +811406cc: f800283a ret 811406d0: f800283a ret -811406d4 : -811406d4: 29800017 ldw r6,0(r5) -811406d8: 21c00d03 ldbu r7,52(r4) -811406dc: 22000d83 ldbu r8,54(r4) -811406e0: 21000d43 ldbu r4,53(r4) -811406e4: 30001026 beq r6,zero,81140728 -811406e8: 39c03fcc andi r7,r7,255 -811406ec: 0108303a nor r4,zero,r4 -811406f0: 0210303a nor r8,zero,r8 -811406f4: 31c7883a add r3,r6,r7 -811406f8: 18c002c4 addi r3,r3,11 -811406fc: 18800003 ldbu r2,0(r3) -81140700: 29400104 addi r5,r5,4 -81140704: 1104703a and r2,r2,r4 -81140708: 18800005 stb r2,0(r3) -8114070c: 10803fcc andi r2,r2,255 -81140710: 1000031e bne r2,zero,81140720 -81140714: 30800283 ldbu r2,10(r6) -81140718: 4084703a and r2,r8,r2 -8114071c: 30800285 stb r2,10(r6) -81140720: 29800017 ldw r6,0(r5) -81140724: 303ff31e bne r6,zero,811406f4 <__reset+0xfb1206f4> -81140728: f800283a ret - -8114072c : -8114072c: 20000285 stb zero,10(r4) -81140730: 208002c4 addi r2,r4,11 -81140734: 21000444 addi r4,r4,17 -81140738: 10000005 stb zero,0(r2) -8114073c: 10800044 addi r2,r2,1 -81140740: 113ffd1e bne r2,r4,81140738 <__reset+0xfb120738> -81140744: f800283a ret - -81140748 : -81140748: 28bfffcc andi r2,r5,65535 -8114074c: 10000726 beq r2,zero,8114076c -81140750: 28bfffc4 addi r2,r5,-1 -81140754: 10bfffcc andi r2,r2,65535 -81140758: 10800044 addi r2,r2,1 -8114075c: 2085883a add r2,r4,r2 -81140760: 20000005 stb zero,0(r4) -81140764: 21000044 addi r4,r4,1 -81140768: 20bffd1e bne r4,r2,81140760 <__reset+0xfb120760> -8114076c: f800283a ret - -81140770 : -81140770: 30bfffcc andi r2,r6,65535 -81140774: 10000926 beq r2,zero,8114079c -81140778: 30ffffc4 addi r3,r6,-1 -8114077c: 18ffffcc andi r3,r3,65535 -81140780: 18c00044 addi r3,r3,1 -81140784: 20c7883a add r3,r4,r3 -81140788: 28800003 ldbu r2,0(r5) -8114078c: 21000044 addi r4,r4,1 -81140790: 29400044 addi r5,r5,1 -81140794: 20bfffc5 stb r2,-1(r4) -81140798: 20fffb1e bne r4,r3,81140788 <__reset+0xfb120788> -8114079c: f800283a ret - -811407a0 : -811407a0: defffe04 addi sp,sp,-8 -811407a4: dfc00115 stw ra,4(sp) -811407a8: dc000015 stw r16,0(sp) -811407ac: 0021303a rdctl r16,status -811407b0: 00bfff84 movi r2,-2 -811407b4: 8084703a and r2,r16,r2 -811407b8: 1001703a wrctl status,r2 -811407bc: d0a0bb03 ldbu r2,-32020(gp) -811407c0: 1000211e bne r2,zero,81140848 -811407c4: d0a0a903 ldbu r2,-32092(gp) -811407c8: 10001f1e bne r2,zero,81140848 -811407cc: d0e0b803 ldbu r3,-32032(gp) -811407d0: 01604574 movhi r5,33045 -811407d4: 297f9d84 addi r5,r5,-394 -811407d8: 28c7883a add r3,r5,r3 -811407dc: 18800003 ldbu r2,0(r3) -811407e0: d120b844 addi r4,gp,-32031 -811407e4: d1a0adc3 ldbu r6,-32073(gp) -811407e8: 10c03fcc andi r3,r2,255 -811407ec: 20c7883a add r3,r4,r3 -811407f0: 19000003 ldbu r4,0(r3) -811407f4: 100690fa slli r3,r2,3 -811407f8: 2905883a add r2,r5,r4 -811407fc: 10800003 ldbu r2,0(r2) -81140800: 1885883a add r2,r3,r2 -81140804: d0a0ad85 stb r2,-32074(gp) -81140808: 10803fcc andi r2,r2,255 -8114080c: 30800e26 beq r6,r2,81140848 -81140810: 1085883a add r2,r2,r2 -81140814: 00e04674 movhi r3,33049 -81140818: 18ef9404 addi r3,r3,-16816 -8114081c: 1085883a add r2,r2,r2 -81140820: 1885883a add r2,r3,r2 -81140824: 10c00017 ldw r3,0(r2) -81140828: d0a0b017 ldw r2,-32064(gp) -8114082c: 19000e17 ldw r4,56(r3) -81140830: 10800044 addi r2,r2,1 -81140834: d0e0b615 stw r3,-32040(gp) -81140838: 21000044 addi r4,r4,1 -8114083c: 19000e15 stw r4,56(r3) -81140840: d0a0b015 stw r2,-32064(gp) -81140844: 11468840 call 81146884 -81140848: 8001703a wrctl status,r16 -8114084c: dfc00117 ldw ra,4(sp) -81140850: dc000017 ldw r16,0(sp) -81140854: dec00204 addi sp,sp,8 -81140858: f800283a ret - -8114085c : -8114085c: defff904 addi sp,sp,-28 -81140860: dc400315 stw r17,12(sp) -81140864: dc400717 ldw r17,28(sp) -81140868: dfc00615 stw ra,24(sp) -8114086c: dcc00515 stw r19,20(sp) -81140870: dc800415 stw r18,16(sp) -81140874: dc000215 stw r16,8(sp) -81140878: 88004526 beq r17,zero,81140990 -8114087c: 2021883a mov r16,r4 -81140880: 20002126 beq r4,zero,81140908 -81140884: 28002026 beq r5,zero,81140908 -81140888: 30001f26 beq r6,zero,81140908 -8114088c: 28000015 stw zero,0(r5) -81140890: 22000017 ldw r8,0(r4) -81140894: 4005883a mov r2,r8 -81140898: 40001326 beq r8,zero,811408e8 -8114089c: 2007883a mov r3,r4 -811408a0: 01000044 movi r4,1 -811408a4: 00000206 br 811408b0 -811408a8: 18800017 ldw r2,0(r3) -811408ac: 10000e26 beq r2,zero,811408e8 -811408b0: 10800003 ldbu r2,0(r2) -811408b4: 18c00104 addi r3,r3,4 -811408b8: 10bfff84 addi r2,r2,-2 -811408bc: 20bffa2e bgeu r4,r2,811408a8 <__reset+0xfb1208a8> -811408c0: 00800044 movi r2,1 -811408c4: 88800005 stb r2,0(r17) -811408c8: 0005883a mov r2,zero -811408cc: dfc00617 ldw ra,24(sp) -811408d0: dcc00517 ldw r19,20(sp) -811408d4: dc800417 ldw r18,16(sp) -811408d8: dc400317 ldw r17,12(sp) -811408dc: dc000217 ldw r16,8(sp) -811408e0: dec00704 addi sp,sp,28 -811408e4: f800283a ret -811408e8: d0a0bb03 ldbu r2,-32020(gp) -811408ec: 1000241e bne r2,zero,81140980 -811408f0: d0a0a903 ldbu r2,-32092(gp) -811408f4: 10000826 beq r2,zero,81140918 -811408f8: 00800344 movi r2,13 -811408fc: 88800005 stb r2,0(r17) -81140900: 0005883a mov r2,zero -81140904: 003ff106 br 811408cc <__reset+0xfb1208cc> -81140908: 00800104 movi r2,4 -8114090c: 88800005 stb r2,0(r17) -81140910: 0005883a mov r2,zero -81140914: 003fed06 br 811408cc <__reset+0xfb1208cc> -81140918: 0025303a rdctl r18,status -8114091c: 00bfff84 movi r2,-2 -81140920: 9084703a and r2,r18,r2 -81140924: 1001703a wrctl status,r2 -81140928: 40007f26 beq r8,zero,81140b28 -8114092c: 8009883a mov r4,r16 -81140930: 0015883a mov r10,zero -81140934: 0027883a mov r19,zero -81140938: 001b883a mov r13,zero -8114093c: 02400084 movi r9,2 -81140940: 030000c4 movi r12,3 -81140944: 40c00003 ldbu r3,0(r8) -81140948: 1a401926 beq r3,r9,811409b0 -8114094c: 1b00121e bne r3,r12,81140998 -81140950: 40c0020b ldhu r3,8(r8) -81140954: 1affffcc andi r11,r3,65535 -81140958: 58006e26 beq r11,zero,81140b14 -8114095c: 18ffffc4 addi r3,r3,-1 -81140960: 40c0020d sth r3,8(r8) -81140964: 2a000015 stw r8,0(r5) -81140968: 30000015 stw zero,0(r6) -8114096c: 9cc00044 addi r19,r19,1 -81140970: 31800104 addi r6,r6,4 -81140974: 29400104 addi r5,r5,4 -81140978: 03400044 movi r13,1 -8114097c: 00001106 br 811409c4 -81140980: 00800084 movi r2,2 -81140984: 88800005 stb r2,0(r17) -81140988: 0005883a mov r2,zero -8114098c: 003fcf06 br 811408cc <__reset+0xfb1208cc> -81140990: 0005883a mov r2,zero -81140994: 003fcd06 br 811408cc <__reset+0xfb1208cc> -81140998: 9001703a wrctl status,r18 -8114099c: 28000015 stw zero,0(r5) -811409a0: 00c00044 movi r3,1 -811409a4: 88c00005 stb r3,0(r17) -811409a8: 9805883a mov r2,r19 -811409ac: 003fc706 br 811408cc <__reset+0xfb1208cc> -811409b0: 40c00117 ldw r3,4(r8) -811409b4: 1ac0058b ldhu r11,22(r3) -811409b8: 5bbfffcc andi r14,r11,65535 -811409bc: 7000441e bne r14,zero,81140ad0 -811409c0: 52800114 ori r10,r10,4 -811409c4: 21000104 addi r4,r4,4 -811409c8: 22000017 ldw r8,0(r4) -811409cc: 403fdd1e bne r8,zero,81140944 <__reset+0xfb120944> -811409d0: 6b403fcc andi r13,r13,255 -811409d4: 68006c1e bne r13,zero,81140b88 -811409d8: d0e0bc17 ldw r3,-32016(gp) -811409dc: 8009883a mov r4,r16 -811409e0: 1a000c03 ldbu r8,48(r3) -811409e4: 19c00b8d sth r7,46(r3) -811409e8: 01ffe004 movi r7,-128 -811409ec: 41ceb03a or r7,r8,r7 -811409f0: 3a94b03a or r10,r7,r10 -811409f4: 18000c45 stb zero,49(r3) -811409f8: 1a800c05 stb r10,48(r3) -811409fc: d9400015 stw r5,0(sp) -81140a00: d9800115 stw r6,4(sp) -81140a04: 11406040 call 81140604 -81140a08: 9001703a wrctl status,r18 -81140a0c: 11407a00 call 811407a0 -81140a10: 0017303a rdctl r11,status -81140a14: 00ffff84 movi r3,-2 -81140a18: 58c6703a and r3,r11,r3 -81140a1c: 1801703a wrctl status,r3 -81140a20: d220bc17 ldw r8,-32016(gp) -81140a24: d9400017 ldw r5,0(sp) -81140a28: d9800117 ldw r6,4(sp) -81140a2c: 40c00c43 ldbu r3,49(r8) -81140a30: 18004026 beq r3,zero,81140b34 -81140a34: 01000084 movi r4,2 -81140a38: 19003e26 beq r3,r4,81140b34 -81140a3c: 81c00017 ldw r7,0(r16) -81140a40: 42800d03 ldbu r10,52(r8) -81140a44: 43000d83 ldbu r12,54(r8) -81140a48: 42400d43 ldbu r9,53(r8) -81140a4c: 38007426 beq r7,zero,81140c20 -81140a50: 52803fcc andi r10,r10,255 -81140a54: 0252303a nor r9,zero,r9 -81140a58: 0318303a nor r12,zero,r12 -81140a5c: 3a89883a add r4,r7,r10 -81140a60: 210002c4 addi r4,r4,11 -81140a64: 20c00003 ldbu r3,0(r4) -81140a68: 84000104 addi r16,r16,4 -81140a6c: 1a46703a and r3,r3,r9 -81140a70: 20c00005 stb r3,0(r4) -81140a74: 18c03fcc andi r3,r3,255 -81140a78: 1800031e bne r3,zero,81140a88 -81140a7c: 38c00283 ldbu r3,10(r7) -81140a80: 60c6703a and r3,r12,r3 -81140a84: 38c00285 stb r3,10(r7) -81140a88: 81c00017 ldw r7,0(r16) -81140a8c: 383ff31e bne r7,zero,81140a5c <__reset+0xfb120a5c> -81140a90: 40c00c43 ldbu r3,49(r8) -81140a94: 18003326 beq r3,zero,81140b64 -81140a98: 01000084 movi r4,2 -81140a9c: 19002d1e bne r3,r4,81140b54 -81140aa0: 30000015 stw zero,0(r6) -81140aa4: 00c00384 movi r3,14 -81140aa8: 88c00005 stb r3,0(r17) -81140aac: d0e0bc17 ldw r3,-32016(gp) -81140ab0: 18000c05 stb zero,48(r3) -81140ab4: 18000c45 stb zero,49(r3) -81140ab8: 18000715 stw zero,28(r3) -81140abc: 18000815 stw zero,32(r3) -81140ac0: 18000915 stw zero,36(r3) -81140ac4: 5801703a wrctl status,r11 -81140ac8: 9805883a mov r2,r19 -81140acc: 003f7f06 br 811408cc <__reset+0xfb1208cc> -81140ad0: 1b400417 ldw r13,16(r3) -81140ad4: 33800104 addi r14,r6,4 -81140ad8: 6bc00104 addi r15,r13,4 -81140adc: 1bc00415 stw r15,16(r3) -81140ae0: 6b400017 ldw r13,0(r13) -81140ae4: 33400015 stw r13,0(r6) -81140ae8: 1b400417 ldw r13,16(r3) -81140aec: 19800217 ldw r6,8(r3) -81140af0: 69800a26 beq r13,r6,81140b1c -81140af4: 5affffc4 addi r11,r11,-1 -81140af8: 1ac0058d sth r11,22(r3) -81140afc: 2a000015 stw r8,0(r5) -81140b00: 9cc00044 addi r19,r19,1 -81140b04: 700d883a mov r6,r14 -81140b08: 29400104 addi r5,r5,4 -81140b0c: 03400044 movi r13,1 -81140b10: 003fac06 br 811409c4 <__reset+0xfb1209c4> -81140b14: 52800054 ori r10,r10,1 -81140b18: 003faa06 br 811409c4 <__reset+0xfb1209c4> -81140b1c: 19800117 ldw r6,4(r3) -81140b20: 19800415 stw r6,16(r3) -81140b24: 003ff306 br 81140af4 <__reset+0xfb120af4> -81140b28: 0015883a mov r10,zero -81140b2c: 0027883a mov r19,zero -81140b30: 003fa906 br 811409d8 <__reset+0xfb1209d8> -81140b34: 41c00717 ldw r7,28(r8) -81140b38: 38001826 beq r7,zero,81140b9c -81140b3c: 29c00015 stw r7,0(r5) -81140b40: 28000115 stw zero,4(r5) -81140b44: 9cc00044 addi r19,r19,1 -81140b48: d220bc17 ldw r8,-32016(gp) -81140b4c: 29400104 addi r5,r5,4 -81140b50: 003fcf06 br 81140a90 <__reset+0xfb120a90> -81140b54: 30000015 stw zero,0(r6) -81140b58: 00c00284 movi r3,10 -81140b5c: 88c00005 stb r3,0(r17) -81140b60: 003fd206 br 81140aac <__reset+0xfb120aac> -81140b64: 38c00003 ldbu r3,0(r7) -81140b68: 18002726 beq r3,zero,81140c08 -81140b6c: 01000084 movi r4,2 -81140b70: 20c0222e bgeu r4,r3,81140bfc -81140b74: 010000c4 movi r4,3 -81140b78: 1900231e bne r3,r4,81140c08 -81140b7c: 30000015 stw zero,0(r6) -81140b80: 88000005 stb zero,0(r17) -81140b84: 003fc906 br 81140aac <__reset+0xfb120aac> -81140b88: 28000015 stw zero,0(r5) -81140b8c: 9001703a wrctl status,r18 -81140b90: 88000005 stb zero,0(r17) -81140b94: 9805883a mov r2,r19 -81140b98: 003f4c06 br 811408cc <__reset+0xfb1208cc> -81140b9c: 00c00044 movi r3,1 -81140ba0: 40c00c45 stb r3,49(r8) -81140ba4: 80c00017 ldw r3,0(r16) -81140ba8: 43400d03 ldbu r13,52(r8) -81140bac: 42800d83 ldbu r10,54(r8) -81140bb0: 43000d43 ldbu r12,53(r8) -81140bb4: 183fe726 beq r3,zero,81140b54 <__reset+0xfb120b54> -81140bb8: 6b403fcc andi r13,r13,255 -81140bbc: 0318303a nor r12,zero,r12 -81140bc0: 0294303a nor r10,zero,r10 -81140bc4: 1b53883a add r9,r3,r13 -81140bc8: 4a4002c4 addi r9,r9,11 -81140bcc: 49000003 ldbu r4,0(r9) -81140bd0: 84000104 addi r16,r16,4 -81140bd4: 2308703a and r4,r4,r12 -81140bd8: 49000005 stb r4,0(r9) -81140bdc: 21003fcc andi r4,r4,255 -81140be0: 2000031e bne r4,zero,81140bf0 -81140be4: 19000283 ldbu r4,10(r3) -81140be8: 5108703a and r4,r10,r4 -81140bec: 19000285 stb r4,10(r3) -81140bf0: 80c00017 ldw r3,0(r16) -81140bf4: 183ff31e bne r3,zero,81140bc4 <__reset+0xfb120bc4> -81140bf8: 003fa506 br 81140a90 <__reset+0xfb120a90> -81140bfc: 40c00917 ldw r3,36(r8) -81140c00: 30c00015 stw r3,0(r6) -81140c04: 003fde06 br 81140b80 <__reset+0xfb120b80> -81140c08: 5801703a wrctl status,r11 -81140c0c: 28000015 stw zero,0(r5) -81140c10: 00c00044 movi r3,1 -81140c14: 88c00005 stb r3,0(r17) -81140c18: 9805883a mov r2,r19 -81140c1c: 003f2b06 br 811408cc <__reset+0xfb1208cc> -81140c20: 000f883a mov r7,zero -81140c24: 003f9a06 br 81140a90 <__reset+0xfb120a90> - -81140c28 : -81140c28: d0e0ab03 ldbu r3,-32084(gp) -81140c2c: 00800044 movi r2,1 -81140c30: 18800126 beq r3,r2,81140c38 -81140c34: f800283a ret -81140c38: 0007303a rdctl r3,status -81140c3c: 00bfff84 movi r2,-2 -81140c40: 1884703a and r2,r3,r2 -81140c44: 1001703a wrctl status,r2 -81140c48: d0a0a903 ldbu r2,-32092(gp) -81140c4c: 11003fcc andi r4,r2,255 -81140c50: 20000826 beq r4,zero,81140c74 -81140c54: 10bfffc4 addi r2,r2,-1 -81140c58: d0a0a905 stb r2,-32092(gp) -81140c5c: 10803fcc andi r2,r2,255 -81140c60: 1000041e bne r2,zero,81140c74 -81140c64: d0a0bb03 ldbu r2,-32020(gp) -81140c68: 1000021e bne r2,zero,81140c74 -81140c6c: 1801703a wrctl status,r3 -81140c70: 11407a01 jmpi 811407a0 -81140c74: 1801703a wrctl status,r3 -81140c78: f800283a ret - -81140c7c : -81140c7c: 28c00003 ldbu r3,0(r5) -81140c80: 18803fcc andi r2,r3,255 -81140c84: 10000c26 beq r2,zero,81140cb8 -81140c88: 200d883a mov r6,r4 -81140c8c: 0005883a mov r2,zero -81140c90: 30c00005 stb r3,0(r6) -81140c94: 29400044 addi r5,r5,1 -81140c98: 28c00003 ldbu r3,0(r5) -81140c9c: 21000044 addi r4,r4,1 -81140ca0: 10800044 addi r2,r2,1 -81140ca4: 19c03fcc andi r7,r3,255 -81140ca8: 200d883a mov r6,r4 -81140cac: 383ff81e bne r7,zero,81140c90 <__reset+0xfb120c90> -81140cb0: 20000005 stb zero,0(r4) -81140cb4: f800283a ret -81140cb8: 0005883a mov r2,zero -81140cbc: 003ffc06 br 81140cb0 <__reset+0xfb120cb0> - -81140cc0 : -81140cc0: 20800003 ldbu r2,0(r4) -81140cc4: 10000626 beq r2,zero,81140ce0 -81140cc8: 0005883a mov r2,zero -81140ccc: 21000044 addi r4,r4,1 -81140cd0: 20c00003 ldbu r3,0(r4) -81140cd4: 10800044 addi r2,r2,1 -81140cd8: 183ffc1e bne r3,zero,81140ccc <__reset+0xfb120ccc> -81140cdc: f800283a ret -81140ce0: 0005883a mov r2,zero -81140ce4: f800283a ret - -81140ce8 : -81140ce8: defff904 addi sp,sp,-28 -81140cec: dc400315 stw r17,12(sp) -81140cf0: 04604674 movhi r17,33049 -81140cf4: dcc00515 stw r19,20(sp) -81140cf8: dc800415 stw r18,16(sp) -81140cfc: dc000215 stw r16,8(sp) -81140d00: dfc00615 stw ra,24(sp) -81140d04: 8c6f9404 addi r17,r17,-16816 -81140d08: 0021883a mov r16,zero -81140d0c: 04c00044 movi r19,1 -81140d10: 04800b44 movi r18,45 -81140d14: 8009883a mov r4,r16 -81140d18: d80b883a mov r5,sp -81140d1c: 11443e40 call 811443e4 -81140d20: 10803fcc andi r2,r2,255 -81140d24: 84000044 addi r16,r16,1 -81140d28: 10000b1e bne r2,zero,81140d58 -81140d2c: 88c00017 ldw r3,0(r17) -81140d30: 18000926 beq r3,zero,81140d58 -81140d34: 1cc00826 beq r3,r19,81140d58 -81140d38: 18800317 ldw r2,12(r3) -81140d3c: 19000217 ldw r4,8(r3) -81140d40: 1085883a add r2,r2,r2 -81140d44: 1085883a add r2,r2,r2 -81140d48: 2085883a add r2,r4,r2 -81140d4c: 18801115 stw r2,68(r3) -81140d50: d8800117 ldw r2,4(sp) -81140d54: 18801215 stw r2,72(r3) -81140d58: 8c400104 addi r17,r17,4 -81140d5c: 84bfed1e bne r16,r18,81140d14 <__reset+0xfb120d14> -81140d60: dfc00617 ldw ra,24(sp) -81140d64: dcc00517 ldw r19,20(sp) -81140d68: dc800417 ldw r18,16(sp) -81140d6c: dc400317 ldw r17,12(sp) -81140d70: dc000217 ldw r16,8(sp) -81140d74: dec00704 addi sp,sp,28 -81140d78: f800283a ret - -81140d7c : -81140d7c: d0a0c103 ldbu r2,-31996(gp) -81140d80: defffd04 addi sp,sp,-12 -81140d84: dfc00215 stw ra,8(sp) -81140d88: dc400115 stw r17,4(sp) -81140d8c: dc000015 stw r16,0(sp) -81140d90: 1000041e bne r2,zero,81140da4 -81140d94: 01003204 movi r4,200 -81140d98: 11447740 call 81144774 -81140d9c: d0a0c103 ldbu r2,-31996(gp) -81140da0: 103ffc26 beq r2,zero,81140d94 <__reset+0xfb120d94> -81140da4: d120b217 ldw r4,-32056(gp) -81140da8: 00947b34 movhi r2,20972 -81140dac: 10a147c4 addi r2,r2,-31457 -81140db0: 2084383a mulxuu r2,r4,r2 -81140db4: 1008d17a srli r4,r2,5 -81140db8: d120b215 stw r4,-32056(gp) -81140dbc: 20001226 beq r4,zero,81140e08 -81140dc0: 047fff84 movi r17,-2 -81140dc4: 04001904 movi r16,100 -81140dc8: 0007303a rdctl r3,status -81140dcc: 1c44703a and r2,r3,r17 -81140dd0: 1001703a wrctl status,r2 -81140dd4: d0a0ac17 ldw r2,-32080(gp) -81140dd8: d020ac15 stw zero,-32080(gp) -81140ddc: d0a0c215 stw r2,-31992(gp) -81140de0: 1801703a wrctl status,r3 -81140de4: 1105203a divu r2,r2,r4 -81140de8: 8085c83a sub r2,r16,r2 -81140dec: d0a0b405 stb r2,-32048(gp) -81140df0: 1146a380 call 81146a38 -81140df4: 1140ce80 call 81140ce8 -81140df8: 01001904 movi r4,100 -81140dfc: 11447740 call 81144774 -81140e00: d120b217 ldw r4,-32056(gp) -81140e04: 003ff006 br 81140dc8 <__reset+0xfb120dc8> -81140e08: 01003fc4 movi r4,255 -81140e0c: d020b405 stb zero,-32048(gp) -81140e10: 11444f00 call 811444f0 -81140e14: d120b217 ldw r4,-32056(gp) -81140e18: 003fe906 br 81140dc0 <__reset+0xfb120dc0> - -81140e1c : -81140e1c: defffc04 addi sp,sp,-16 -81140e20: da400617 ldw r9,24(sp) -81140e24: dfc00315 stw ra,12(sp) -81140e28: dc800215 stw r18,8(sp) -81140e2c: dc400115 stw r17,4(sp) -81140e30: dc000015 stw r16,0(sp) -81140e34: 0005303a rdctl r2,status -81140e38: 04bfff84 movi r18,-2 -81140e3c: 1486703a and r3,r2,r18 -81140e40: 1801703a wrctl status,r3 -81140e44: d420b317 ldw r16,-32052(gp) -81140e48: 80004f26 beq r16,zero,81140f88 -81140e4c: 80c00517 ldw r3,20(r16) -81140e50: d0e0b315 stw r3,-32052(gp) -81140e54: 1001703a wrctl status,r2 -81140e58: 24403fcc andi r17,r4,255 -81140e5c: 8810d0fa srli r8,r17,3 -81140e60: 20c001cc andi r3,r4,7 -81140e64: 81000c85 stb r4,50(r16) -81140e68: d9000517 ldw r4,20(sp) -81140e6c: 00800044 movi r2,1 -81140e70: 1214983a sll r10,r2,r8 -81140e74: 10c4983a sll r2,r2,r3 -81140e78: 81000115 stw r4,4(r16) -81140e7c: d9000417 ldw r4,16(sp) -81140e80: 80800d45 stb r2,53(r16) -81140e84: 00800fc4 movi r2,63 -81140e88: 81400015 stw r5,0(r16) -81140e8c: 81000315 stw r4,12(r16) -81140e90: 81800215 stw r6,8(r16) -81140e94: 8240040d sth r9,16(r16) -81140e98: 81c0048d sth r7,18(r16) -81140e9c: 82000d05 stb r8,52(r16) -81140ea0: 80c00cc5 stb r3,51(r16) -81140ea4: 82800d85 stb r10,54(r16) -81140ea8: 80801305 stb r2,76(r16) -81140eac: 8009883a mov r4,r16 -81140eb0: 80000c05 stb zero,48(r16) -81140eb4: 80000c45 stb zero,49(r16) -81140eb8: 80000b8d sth zero,46(r16) -81140ebc: 80000dc5 stb zero,55(r16) -81140ec0: 80000715 stw zero,28(r16) -81140ec4: 80000815 stw zero,32(r16) -81140ec8: 80000a15 stw zero,40(r16) -81140ecc: 80000915 stw zero,36(r16) -81140ed0: 80000e15 stw zero,56(r16) -81140ed4: 80001015 stw zero,64(r16) -81140ed8: 80000f15 stw zero,60(r16) -81140edc: 80001115 stw zero,68(r16) -81140ee0: 80001215 stw zero,72(r16) -81140ee4: 80001345 stb zero,77(r16) -81140ee8: 1146a700 call 81146a70 +811406d4 : +811406d4: 21800d03 ldbu r6,52(r4) +811406d8: 20800d43 ldbu r2,53(r4) +811406dc: 298d883a add r6,r5,r6 +811406e0: 318002c4 addi r6,r6,11 +811406e4: 30c00003 ldbu r3,0(r6) +811406e8: 0084303a nor r2,zero,r2 +811406ec: 10c4703a and r2,r2,r3 +811406f0: 30800005 stb r2,0(r6) +811406f4: 10803fcc andi r2,r2,255 +811406f8: 1000051e bne r2,zero,81140710 +811406fc: 20800d83 ldbu r2,54(r4) +81140700: 28c00283 ldbu r3,10(r5) +81140704: 0084303a nor r2,zero,r2 +81140708: 10c4703a and r2,r2,r3 +8114070c: 28800285 stb r2,10(r5) +81140710: f800283a ret + +81140714 : +81140714: 29800017 ldw r6,0(r5) +81140718: 21c00d03 ldbu r7,52(r4) +8114071c: 22000d83 ldbu r8,54(r4) +81140720: 21000d43 ldbu r4,53(r4) +81140724: 30001026 beq r6,zero,81140768 +81140728: 39c03fcc andi r7,r7,255 +8114072c: 0108303a nor r4,zero,r4 +81140730: 0210303a nor r8,zero,r8 +81140734: 31c7883a add r3,r6,r7 +81140738: 18c002c4 addi r3,r3,11 +8114073c: 18800003 ldbu r2,0(r3) +81140740: 29400104 addi r5,r5,4 +81140744: 1104703a and r2,r2,r4 +81140748: 18800005 stb r2,0(r3) +8114074c: 10803fcc andi r2,r2,255 +81140750: 1000031e bne r2,zero,81140760 +81140754: 30800283 ldbu r2,10(r6) +81140758: 4084703a and r2,r8,r2 +8114075c: 30800285 stb r2,10(r6) +81140760: 29800017 ldw r6,0(r5) +81140764: 303ff31e bne r6,zero,81140734 <__reset+0xfb120734> +81140768: f800283a ret + +8114076c : +8114076c: 20000285 stb zero,10(r4) +81140770: 208002c4 addi r2,r4,11 +81140774: 21000444 addi r4,r4,17 +81140778: 10000005 stb zero,0(r2) +8114077c: 10800044 addi r2,r2,1 +81140780: 113ffd1e bne r2,r4,81140778 <__reset+0xfb120778> +81140784: f800283a ret + +81140788 : +81140788: 28bfffcc andi r2,r5,65535 +8114078c: 10000726 beq r2,zero,811407ac +81140790: 28bfffc4 addi r2,r5,-1 +81140794: 10bfffcc andi r2,r2,65535 +81140798: 10800044 addi r2,r2,1 +8114079c: 2085883a add r2,r4,r2 +811407a0: 20000005 stb zero,0(r4) +811407a4: 21000044 addi r4,r4,1 +811407a8: 20bffd1e bne r4,r2,811407a0 <__reset+0xfb1207a0> +811407ac: f800283a ret + +811407b0 : +811407b0: 30bfffcc andi r2,r6,65535 +811407b4: 10000926 beq r2,zero,811407dc +811407b8: 30ffffc4 addi r3,r6,-1 +811407bc: 18ffffcc andi r3,r3,65535 +811407c0: 18c00044 addi r3,r3,1 +811407c4: 20c7883a add r3,r4,r3 +811407c8: 28800003 ldbu r2,0(r5) +811407cc: 21000044 addi r4,r4,1 +811407d0: 29400044 addi r5,r5,1 +811407d4: 20bfffc5 stb r2,-1(r4) +811407d8: 20fffb1e bne r4,r3,811407c8 <__reset+0xfb1207c8> +811407dc: f800283a ret + +811407e0 : +811407e0: defffe04 addi sp,sp,-8 +811407e4: dfc00115 stw ra,4(sp) +811407e8: dc000015 stw r16,0(sp) +811407ec: 0021303a rdctl r16,status +811407f0: 00bfff84 movi r2,-2 +811407f4: 8084703a and r2,r16,r2 +811407f8: 1001703a wrctl status,r2 +811407fc: d0a0bb03 ldbu r2,-32020(gp) +81140800: 1000211e bne r2,zero,81140888 +81140804: d0a0a903 ldbu r2,-32092(gp) +81140808: 10001f1e bne r2,zero,81140888 +8114080c: d0e0b803 ldbu r3,-32032(gp) +81140810: 01604574 movhi r5,33045 +81140814: 297fae84 addi r5,r5,-326 +81140818: 28c7883a add r3,r5,r3 +8114081c: 18800003 ldbu r2,0(r3) +81140820: d120b844 addi r4,gp,-32031 +81140824: d1a0adc3 ldbu r6,-32073(gp) +81140828: 10c03fcc andi r3,r2,255 +8114082c: 20c7883a add r3,r4,r3 +81140830: 19000003 ldbu r4,0(r3) +81140834: 100690fa slli r3,r2,3 +81140838: 2905883a add r2,r5,r4 +8114083c: 10800003 ldbu r2,0(r2) +81140840: 1885883a add r2,r3,r2 +81140844: d0a0ad85 stb r2,-32074(gp) +81140848: 10803fcc andi r2,r2,255 +8114084c: 30800e26 beq r6,r2,81140888 +81140850: 1085883a add r2,r2,r2 +81140854: 00e04674 movhi r3,33049 +81140858: 18efa504 addi r3,r3,-16748 +8114085c: 1085883a add r2,r2,r2 +81140860: 1885883a add r2,r3,r2 +81140864: 10c00017 ldw r3,0(r2) +81140868: d0a0b017 ldw r2,-32064(gp) +8114086c: 19000e17 ldw r4,56(r3) +81140870: 10800044 addi r2,r2,1 +81140874: d0e0b615 stw r3,-32040(gp) +81140878: 21000044 addi r4,r4,1 +8114087c: 19000e15 stw r4,56(r3) +81140880: d0a0b015 stw r2,-32064(gp) +81140884: 11468c40 call 811468c4 +81140888: 8001703a wrctl status,r16 +8114088c: dfc00117 ldw ra,4(sp) +81140890: dc000017 ldw r16,0(sp) +81140894: dec00204 addi sp,sp,8 +81140898: f800283a ret + +8114089c : +8114089c: defff904 addi sp,sp,-28 +811408a0: dc400315 stw r17,12(sp) +811408a4: dc400717 ldw r17,28(sp) +811408a8: dfc00615 stw ra,24(sp) +811408ac: dcc00515 stw r19,20(sp) +811408b0: dc800415 stw r18,16(sp) +811408b4: dc000215 stw r16,8(sp) +811408b8: 88004526 beq r17,zero,811409d0 +811408bc: 2021883a mov r16,r4 +811408c0: 20002126 beq r4,zero,81140948 +811408c4: 28002026 beq r5,zero,81140948 +811408c8: 30001f26 beq r6,zero,81140948 +811408cc: 28000015 stw zero,0(r5) +811408d0: 22000017 ldw r8,0(r4) +811408d4: 4005883a mov r2,r8 +811408d8: 40001326 beq r8,zero,81140928 +811408dc: 2007883a mov r3,r4 +811408e0: 01000044 movi r4,1 +811408e4: 00000206 br 811408f0 +811408e8: 18800017 ldw r2,0(r3) +811408ec: 10000e26 beq r2,zero,81140928 +811408f0: 10800003 ldbu r2,0(r2) +811408f4: 18c00104 addi r3,r3,4 +811408f8: 10bfff84 addi r2,r2,-2 +811408fc: 20bffa2e bgeu r4,r2,811408e8 <__reset+0xfb1208e8> +81140900: 00800044 movi r2,1 +81140904: 88800005 stb r2,0(r17) +81140908: 0005883a mov r2,zero +8114090c: dfc00617 ldw ra,24(sp) +81140910: dcc00517 ldw r19,20(sp) +81140914: dc800417 ldw r18,16(sp) +81140918: dc400317 ldw r17,12(sp) +8114091c: dc000217 ldw r16,8(sp) +81140920: dec00704 addi sp,sp,28 +81140924: f800283a ret +81140928: d0a0bb03 ldbu r2,-32020(gp) +8114092c: 1000241e bne r2,zero,811409c0 +81140930: d0a0a903 ldbu r2,-32092(gp) +81140934: 10000826 beq r2,zero,81140958 +81140938: 00800344 movi r2,13 +8114093c: 88800005 stb r2,0(r17) +81140940: 0005883a mov r2,zero +81140944: 003ff106 br 8114090c <__reset+0xfb12090c> +81140948: 00800104 movi r2,4 +8114094c: 88800005 stb r2,0(r17) +81140950: 0005883a mov r2,zero +81140954: 003fed06 br 8114090c <__reset+0xfb12090c> +81140958: 0025303a rdctl r18,status +8114095c: 00bfff84 movi r2,-2 +81140960: 9084703a and r2,r18,r2 +81140964: 1001703a wrctl status,r2 +81140968: 40007f26 beq r8,zero,81140b68 +8114096c: 8009883a mov r4,r16 +81140970: 0015883a mov r10,zero +81140974: 0027883a mov r19,zero +81140978: 001b883a mov r13,zero +8114097c: 02400084 movi r9,2 +81140980: 030000c4 movi r12,3 +81140984: 40c00003 ldbu r3,0(r8) +81140988: 1a401926 beq r3,r9,811409f0 +8114098c: 1b00121e bne r3,r12,811409d8 +81140990: 40c0020b ldhu r3,8(r8) +81140994: 1affffcc andi r11,r3,65535 +81140998: 58006e26 beq r11,zero,81140b54 +8114099c: 18ffffc4 addi r3,r3,-1 +811409a0: 40c0020d sth r3,8(r8) +811409a4: 2a000015 stw r8,0(r5) +811409a8: 30000015 stw zero,0(r6) +811409ac: 9cc00044 addi r19,r19,1 +811409b0: 31800104 addi r6,r6,4 +811409b4: 29400104 addi r5,r5,4 +811409b8: 03400044 movi r13,1 +811409bc: 00001106 br 81140a04 +811409c0: 00800084 movi r2,2 +811409c4: 88800005 stb r2,0(r17) +811409c8: 0005883a mov r2,zero +811409cc: 003fcf06 br 8114090c <__reset+0xfb12090c> +811409d0: 0005883a mov r2,zero +811409d4: 003fcd06 br 8114090c <__reset+0xfb12090c> +811409d8: 9001703a wrctl status,r18 +811409dc: 28000015 stw zero,0(r5) +811409e0: 00c00044 movi r3,1 +811409e4: 88c00005 stb r3,0(r17) +811409e8: 9805883a mov r2,r19 +811409ec: 003fc706 br 8114090c <__reset+0xfb12090c> +811409f0: 40c00117 ldw r3,4(r8) +811409f4: 1ac0058b ldhu r11,22(r3) +811409f8: 5bbfffcc andi r14,r11,65535 +811409fc: 7000441e bne r14,zero,81140b10 +81140a00: 52800114 ori r10,r10,4 +81140a04: 21000104 addi r4,r4,4 +81140a08: 22000017 ldw r8,0(r4) +81140a0c: 403fdd1e bne r8,zero,81140984 <__reset+0xfb120984> +81140a10: 6b403fcc andi r13,r13,255 +81140a14: 68006c1e bne r13,zero,81140bc8 +81140a18: d0e0bc17 ldw r3,-32016(gp) +81140a1c: 8009883a mov r4,r16 +81140a20: 1a000c03 ldbu r8,48(r3) +81140a24: 19c00b8d sth r7,46(r3) +81140a28: 01ffe004 movi r7,-128 +81140a2c: 41ceb03a or r7,r8,r7 +81140a30: 3a94b03a or r10,r7,r10 +81140a34: 18000c45 stb zero,49(r3) +81140a38: 1a800c05 stb r10,48(r3) +81140a3c: d9400015 stw r5,0(sp) +81140a40: d9800115 stw r6,4(sp) +81140a44: 11406440 call 81140644 +81140a48: 9001703a wrctl status,r18 +81140a4c: 11407e00 call 811407e0 +81140a50: 0017303a rdctl r11,status +81140a54: 00ffff84 movi r3,-2 +81140a58: 58c6703a and r3,r11,r3 +81140a5c: 1801703a wrctl status,r3 +81140a60: d220bc17 ldw r8,-32016(gp) +81140a64: d9400017 ldw r5,0(sp) +81140a68: d9800117 ldw r6,4(sp) +81140a6c: 40c00c43 ldbu r3,49(r8) +81140a70: 18004026 beq r3,zero,81140b74 +81140a74: 01000084 movi r4,2 +81140a78: 19003e26 beq r3,r4,81140b74 +81140a7c: 81c00017 ldw r7,0(r16) +81140a80: 42800d03 ldbu r10,52(r8) +81140a84: 43000d83 ldbu r12,54(r8) +81140a88: 42400d43 ldbu r9,53(r8) +81140a8c: 38007426 beq r7,zero,81140c60 +81140a90: 52803fcc andi r10,r10,255 +81140a94: 0252303a nor r9,zero,r9 +81140a98: 0318303a nor r12,zero,r12 +81140a9c: 3a89883a add r4,r7,r10 +81140aa0: 210002c4 addi r4,r4,11 +81140aa4: 20c00003 ldbu r3,0(r4) +81140aa8: 84000104 addi r16,r16,4 +81140aac: 1a46703a and r3,r3,r9 +81140ab0: 20c00005 stb r3,0(r4) +81140ab4: 18c03fcc andi r3,r3,255 +81140ab8: 1800031e bne r3,zero,81140ac8 +81140abc: 38c00283 ldbu r3,10(r7) +81140ac0: 60c6703a and r3,r12,r3 +81140ac4: 38c00285 stb r3,10(r7) +81140ac8: 81c00017 ldw r7,0(r16) +81140acc: 383ff31e bne r7,zero,81140a9c <__reset+0xfb120a9c> +81140ad0: 40c00c43 ldbu r3,49(r8) +81140ad4: 18003326 beq r3,zero,81140ba4 +81140ad8: 01000084 movi r4,2 +81140adc: 19002d1e bne r3,r4,81140b94 +81140ae0: 30000015 stw zero,0(r6) +81140ae4: 00c00384 movi r3,14 +81140ae8: 88c00005 stb r3,0(r17) +81140aec: d0e0bc17 ldw r3,-32016(gp) +81140af0: 18000c05 stb zero,48(r3) +81140af4: 18000c45 stb zero,49(r3) +81140af8: 18000715 stw zero,28(r3) +81140afc: 18000815 stw zero,32(r3) +81140b00: 18000915 stw zero,36(r3) +81140b04: 5801703a wrctl status,r11 +81140b08: 9805883a mov r2,r19 +81140b0c: 003f7f06 br 8114090c <__reset+0xfb12090c> +81140b10: 1b400417 ldw r13,16(r3) +81140b14: 33800104 addi r14,r6,4 +81140b18: 6bc00104 addi r15,r13,4 +81140b1c: 1bc00415 stw r15,16(r3) +81140b20: 6b400017 ldw r13,0(r13) +81140b24: 33400015 stw r13,0(r6) +81140b28: 1b400417 ldw r13,16(r3) +81140b2c: 19800217 ldw r6,8(r3) +81140b30: 69800a26 beq r13,r6,81140b5c +81140b34: 5affffc4 addi r11,r11,-1 +81140b38: 1ac0058d sth r11,22(r3) +81140b3c: 2a000015 stw r8,0(r5) +81140b40: 9cc00044 addi r19,r19,1 +81140b44: 700d883a mov r6,r14 +81140b48: 29400104 addi r5,r5,4 +81140b4c: 03400044 movi r13,1 +81140b50: 003fac06 br 81140a04 <__reset+0xfb120a04> +81140b54: 52800054 ori r10,r10,1 +81140b58: 003faa06 br 81140a04 <__reset+0xfb120a04> +81140b5c: 19800117 ldw r6,4(r3) +81140b60: 19800415 stw r6,16(r3) +81140b64: 003ff306 br 81140b34 <__reset+0xfb120b34> +81140b68: 0015883a mov r10,zero +81140b6c: 0027883a mov r19,zero +81140b70: 003fa906 br 81140a18 <__reset+0xfb120a18> +81140b74: 41c00717 ldw r7,28(r8) +81140b78: 38001826 beq r7,zero,81140bdc +81140b7c: 29c00015 stw r7,0(r5) +81140b80: 28000115 stw zero,4(r5) +81140b84: 9cc00044 addi r19,r19,1 +81140b88: d220bc17 ldw r8,-32016(gp) +81140b8c: 29400104 addi r5,r5,4 +81140b90: 003fcf06 br 81140ad0 <__reset+0xfb120ad0> +81140b94: 30000015 stw zero,0(r6) +81140b98: 00c00284 movi r3,10 +81140b9c: 88c00005 stb r3,0(r17) +81140ba0: 003fd206 br 81140aec <__reset+0xfb120aec> +81140ba4: 38c00003 ldbu r3,0(r7) +81140ba8: 18002726 beq r3,zero,81140c48 +81140bac: 01000084 movi r4,2 +81140bb0: 20c0222e bgeu r4,r3,81140c3c +81140bb4: 010000c4 movi r4,3 +81140bb8: 1900231e bne r3,r4,81140c48 +81140bbc: 30000015 stw zero,0(r6) +81140bc0: 88000005 stb zero,0(r17) +81140bc4: 003fc906 br 81140aec <__reset+0xfb120aec> +81140bc8: 28000015 stw zero,0(r5) +81140bcc: 9001703a wrctl status,r18 +81140bd0: 88000005 stb zero,0(r17) +81140bd4: 9805883a mov r2,r19 +81140bd8: 003f4c06 br 8114090c <__reset+0xfb12090c> +81140bdc: 00c00044 movi r3,1 +81140be0: 40c00c45 stb r3,49(r8) +81140be4: 80c00017 ldw r3,0(r16) +81140be8: 43400d03 ldbu r13,52(r8) +81140bec: 42800d83 ldbu r10,54(r8) +81140bf0: 43000d43 ldbu r12,53(r8) +81140bf4: 183fe726 beq r3,zero,81140b94 <__reset+0xfb120b94> +81140bf8: 6b403fcc andi r13,r13,255 +81140bfc: 0318303a nor r12,zero,r12 +81140c00: 0294303a nor r10,zero,r10 +81140c04: 1b53883a add r9,r3,r13 +81140c08: 4a4002c4 addi r9,r9,11 +81140c0c: 49000003 ldbu r4,0(r9) +81140c10: 84000104 addi r16,r16,4 +81140c14: 2308703a and r4,r4,r12 +81140c18: 49000005 stb r4,0(r9) +81140c1c: 21003fcc andi r4,r4,255 +81140c20: 2000031e bne r4,zero,81140c30 +81140c24: 19000283 ldbu r4,10(r3) +81140c28: 5108703a and r4,r10,r4 +81140c2c: 19000285 stb r4,10(r3) +81140c30: 80c00017 ldw r3,0(r16) +81140c34: 183ff31e bne r3,zero,81140c04 <__reset+0xfb120c04> +81140c38: 003fa506 br 81140ad0 <__reset+0xfb120ad0> +81140c3c: 40c00917 ldw r3,36(r8) +81140c40: 30c00015 stw r3,0(r6) +81140c44: 003fde06 br 81140bc0 <__reset+0xfb120bc0> +81140c48: 5801703a wrctl status,r11 +81140c4c: 28000015 stw zero,0(r5) +81140c50: 00c00044 movi r3,1 +81140c54: 88c00005 stb r3,0(r17) +81140c58: 9805883a mov r2,r19 +81140c5c: 003f2b06 br 8114090c <__reset+0xfb12090c> +81140c60: 000f883a mov r7,zero +81140c64: 003f9a06 br 81140ad0 <__reset+0xfb120ad0> + +81140c68 : +81140c68: d0e0ab03 ldbu r3,-32084(gp) +81140c6c: 00800044 movi r2,1 +81140c70: 18800126 beq r3,r2,81140c78 +81140c74: f800283a ret +81140c78: 0007303a rdctl r3,status +81140c7c: 00bfff84 movi r2,-2 +81140c80: 1884703a and r2,r3,r2 +81140c84: 1001703a wrctl status,r2 +81140c88: d0a0a903 ldbu r2,-32092(gp) +81140c8c: 11003fcc andi r4,r2,255 +81140c90: 20000826 beq r4,zero,81140cb4 +81140c94: 10bfffc4 addi r2,r2,-1 +81140c98: d0a0a905 stb r2,-32092(gp) +81140c9c: 10803fcc andi r2,r2,255 +81140ca0: 1000041e bne r2,zero,81140cb4 +81140ca4: d0a0bb03 ldbu r2,-32020(gp) +81140ca8: 1000021e bne r2,zero,81140cb4 +81140cac: 1801703a wrctl status,r3 +81140cb0: 11407e01 jmpi 811407e0 +81140cb4: 1801703a wrctl status,r3 +81140cb8: f800283a ret + +81140cbc : +81140cbc: 28c00003 ldbu r3,0(r5) +81140cc0: 18803fcc andi r2,r3,255 +81140cc4: 10000c26 beq r2,zero,81140cf8 +81140cc8: 200d883a mov r6,r4 +81140ccc: 0005883a mov r2,zero +81140cd0: 30c00005 stb r3,0(r6) +81140cd4: 29400044 addi r5,r5,1 +81140cd8: 28c00003 ldbu r3,0(r5) +81140cdc: 21000044 addi r4,r4,1 +81140ce0: 10800044 addi r2,r2,1 +81140ce4: 19c03fcc andi r7,r3,255 +81140ce8: 200d883a mov r6,r4 +81140cec: 383ff81e bne r7,zero,81140cd0 <__reset+0xfb120cd0> +81140cf0: 20000005 stb zero,0(r4) +81140cf4: f800283a ret +81140cf8: 0005883a mov r2,zero +81140cfc: 003ffc06 br 81140cf0 <__reset+0xfb120cf0> + +81140d00 : +81140d00: 20800003 ldbu r2,0(r4) +81140d04: 10000626 beq r2,zero,81140d20 +81140d08: 0005883a mov r2,zero +81140d0c: 21000044 addi r4,r4,1 +81140d10: 20c00003 ldbu r3,0(r4) +81140d14: 10800044 addi r2,r2,1 +81140d18: 183ffc1e bne r3,zero,81140d0c <__reset+0xfb120d0c> +81140d1c: f800283a ret +81140d20: 0005883a mov r2,zero +81140d24: f800283a ret + +81140d28 : +81140d28: defff904 addi sp,sp,-28 +81140d2c: dc400315 stw r17,12(sp) +81140d30: 04604674 movhi r17,33049 +81140d34: dcc00515 stw r19,20(sp) +81140d38: dc800415 stw r18,16(sp) +81140d3c: dc000215 stw r16,8(sp) +81140d40: dfc00615 stw ra,24(sp) +81140d44: 8c6fa504 addi r17,r17,-16748 +81140d48: 0021883a mov r16,zero +81140d4c: 04c00044 movi r19,1 +81140d50: 04800b44 movi r18,45 +81140d54: 8009883a mov r4,r16 +81140d58: d80b883a mov r5,sp +81140d5c: 11444240 call 81144424 +81140d60: 10803fcc andi r2,r2,255 +81140d64: 84000044 addi r16,r16,1 +81140d68: 10000b1e bne r2,zero,81140d98 +81140d6c: 88c00017 ldw r3,0(r17) +81140d70: 18000926 beq r3,zero,81140d98 +81140d74: 1cc00826 beq r3,r19,81140d98 +81140d78: 18800317 ldw r2,12(r3) +81140d7c: 19000217 ldw r4,8(r3) +81140d80: 1085883a add r2,r2,r2 +81140d84: 1085883a add r2,r2,r2 +81140d88: 2085883a add r2,r4,r2 +81140d8c: 18801115 stw r2,68(r3) +81140d90: d8800117 ldw r2,4(sp) +81140d94: 18801215 stw r2,72(r3) +81140d98: 8c400104 addi r17,r17,4 +81140d9c: 84bfed1e bne r16,r18,81140d54 <__reset+0xfb120d54> +81140da0: dfc00617 ldw ra,24(sp) +81140da4: dcc00517 ldw r19,20(sp) +81140da8: dc800417 ldw r18,16(sp) +81140dac: dc400317 ldw r17,12(sp) +81140db0: dc000217 ldw r16,8(sp) +81140db4: dec00704 addi sp,sp,28 +81140db8: f800283a ret + +81140dbc : +81140dbc: d0a0c103 ldbu r2,-31996(gp) +81140dc0: defffd04 addi sp,sp,-12 +81140dc4: dfc00215 stw ra,8(sp) +81140dc8: dc400115 stw r17,4(sp) +81140dcc: dc000015 stw r16,0(sp) +81140dd0: 1000041e bne r2,zero,81140de4 +81140dd4: 01003204 movi r4,200 +81140dd8: 11447b40 call 811447b4 +81140ddc: d0a0c103 ldbu r2,-31996(gp) +81140de0: 103ffc26 beq r2,zero,81140dd4 <__reset+0xfb120dd4> +81140de4: d120b217 ldw r4,-32056(gp) +81140de8: 00947b34 movhi r2,20972 +81140dec: 10a147c4 addi r2,r2,-31457 +81140df0: 2084383a mulxuu r2,r4,r2 +81140df4: 1008d17a srli r4,r2,5 +81140df8: d120b215 stw r4,-32056(gp) +81140dfc: 20001226 beq r4,zero,81140e48 +81140e00: 047fff84 movi r17,-2 +81140e04: 04001904 movi r16,100 +81140e08: 0007303a rdctl r3,status +81140e0c: 1c44703a and r2,r3,r17 +81140e10: 1001703a wrctl status,r2 +81140e14: d0a0ac17 ldw r2,-32080(gp) +81140e18: d020ac15 stw zero,-32080(gp) +81140e1c: d0a0c215 stw r2,-31992(gp) +81140e20: 1801703a wrctl status,r3 +81140e24: 1105203a divu r2,r2,r4 +81140e28: 8085c83a sub r2,r16,r2 +81140e2c: d0a0b405 stb r2,-32048(gp) +81140e30: 1146a780 call 81146a78 +81140e34: 1140d280 call 81140d28 +81140e38: 01001904 movi r4,100 +81140e3c: 11447b40 call 811447b4 +81140e40: d120b217 ldw r4,-32056(gp) +81140e44: 003ff006 br 81140e08 <__reset+0xfb120e08> +81140e48: 01003fc4 movi r4,255 +81140e4c: d020b405 stb zero,-32048(gp) +81140e50: 11445300 call 81144530 +81140e54: d120b217 ldw r4,-32056(gp) +81140e58: 003fe906 br 81140e00 <__reset+0xfb120e00> + +81140e5c : +81140e5c: defffc04 addi sp,sp,-16 +81140e60: da400617 ldw r9,24(sp) +81140e64: dfc00315 stw ra,12(sp) +81140e68: dc800215 stw r18,8(sp) +81140e6c: dc400115 stw r17,4(sp) +81140e70: dc000015 stw r16,0(sp) +81140e74: 0005303a rdctl r2,status +81140e78: 04bfff84 movi r18,-2 +81140e7c: 1486703a and r3,r2,r18 +81140e80: 1801703a wrctl status,r3 +81140e84: d420b317 ldw r16,-32052(gp) +81140e88: 80004f26 beq r16,zero,81140fc8 +81140e8c: 80c00517 ldw r3,20(r16) +81140e90: d0e0b315 stw r3,-32052(gp) +81140e94: 1001703a wrctl status,r2 +81140e98: 24403fcc andi r17,r4,255 +81140e9c: 8810d0fa srli r8,r17,3 +81140ea0: 20c001cc andi r3,r4,7 +81140ea4: 81000c85 stb r4,50(r16) +81140ea8: d9000517 ldw r4,20(sp) +81140eac: 00800044 movi r2,1 +81140eb0: 1214983a sll r10,r2,r8 +81140eb4: 10c4983a sll r2,r2,r3 +81140eb8: 81000115 stw r4,4(r16) +81140ebc: d9000417 ldw r4,16(sp) +81140ec0: 80800d45 stb r2,53(r16) +81140ec4: 00800fc4 movi r2,63 +81140ec8: 81400015 stw r5,0(r16) +81140ecc: 81000315 stw r4,12(r16) +81140ed0: 81800215 stw r6,8(r16) +81140ed4: 8240040d sth r9,16(r16) +81140ed8: 81c0048d sth r7,18(r16) +81140edc: 82000d05 stb r8,52(r16) +81140ee0: 80c00cc5 stb r3,51(r16) +81140ee4: 82800d85 stb r10,54(r16) +81140ee8: 80801305 stb r2,76(r16) 81140eec: 8009883a mov r4,r16 -81140ef0: 1146a2c0 call 81146a2c -81140ef4: 000d303a rdctl r6,status -81140ef8: 34a4703a and r18,r6,r18 -81140efc: 9001703a wrctl status,r18 -81140f00: 8c63883a add r17,r17,r17 -81140f04: 00e04674 movhi r3,33049 -81140f08: 8c63883a add r17,r17,r17 -81140f0c: d0a0ae17 ldw r2,-32072(gp) -81140f10: 18ef9404 addi r3,r3,-16816 -81140f14: 1c63883a add r17,r3,r17 -81140f18: 8c000015 stw r16,0(r17) -81140f1c: 80800515 stw r2,20(r16) -81140f20: 80000615 stw zero,24(r16) -81140f24: 10000126 beq r2,zero,81140f2c -81140f28: 14000615 stw r16,24(r2) -81140f2c: 80800d03 ldbu r2,52(r16) -81140f30: d0e0b844 addi r3,gp,-32031 -81140f34: d160b803 ldbu r5,-32032(gp) -81140f38: 1885883a add r2,r3,r2 -81140f3c: 11c00003 ldbu r7,0(r2) -81140f40: d0e0b443 ldbu r3,-32047(gp) -81140f44: 82000d83 ldbu r8,54(r16) -81140f48: 81000d43 ldbu r4,53(r16) -81140f4c: 18c00044 addi r3,r3,1 -81140f50: 414ab03a or r5,r8,r5 -81140f54: 3908b03a or r4,r7,r4 -81140f58: d420ae15 stw r16,-32072(gp) -81140f5c: d160b805 stb r5,-32032(gp) -81140f60: 11000005 stb r4,0(r2) -81140f64: d0e0b445 stb r3,-32047(gp) -81140f68: 3001703a wrctl status,r6 -81140f6c: 0005883a mov r2,zero -81140f70: dfc00317 ldw ra,12(sp) -81140f74: dc800217 ldw r18,8(sp) -81140f78: dc400117 ldw r17,4(sp) -81140f7c: dc000017 ldw r16,0(sp) -81140f80: dec00404 addi sp,sp,16 -81140f84: f800283a ret -81140f88: 1001703a wrctl status,r2 -81140f8c: 00801084 movi r2,66 -81140f90: 003ff706 br 81140f70 <__reset+0xfb120f70> - -81140f94 : -81140f94: f800283a ret - -81140f98 : -81140f98: d0a0bc17 ldw r2,-32016(gp) -81140f9c: da000017 ldw r8,0(sp) -81140fa0: 10c00c03 ldbu r3,48(r2) -81140fa4: 10000c45 stb zero,49(r2) -81140fa8: 12000b8d sth r8,46(r2) -81140fac: 18c00814 ori r3,r3,32 -81140fb0: 10c00c05 stb r3,48(r2) -81140fb4: 11400a15 stw r5,40(r2) -81140fb8: 29c00485 stb r7,18(r5) -81140fbc: 20c00117 ldw r3,4(r4) -81140fc0: 2980040d sth r6,16(r5) -81140fc4: 28800215 stw r2,8(r5) -81140fc8: 28c00015 stw r3,0(r5) -81140fcc: 28000115 stw zero,4(r5) -81140fd0: 29000315 stw r4,12(r5) -81140fd4: 18000126 beq r3,zero,81140fdc -81140fd8: 19400115 stw r5,4(r3) -81140fdc: 21400115 stw r5,4(r4) -81140fe0: 11000d03 ldbu r4,52(r2) -81140fe4: d160b844 addi r5,gp,-32031 -81140fe8: 10c00d43 ldbu r3,53(r2) -81140fec: 290b883a add r5,r5,r4 -81140ff0: 29000003 ldbu r4,0(r5) -81140ff4: 00c6303a nor r3,zero,r3 -81140ff8: 1906703a and r3,r3,r4 -81140ffc: 28c00005 stb r3,0(r5) -81141000: 18c03fcc andi r3,r3,255 -81141004: 1800051e bne r3,zero,8114101c -81141008: 10800d83 ldbu r2,54(r2) -8114100c: d0e0b803 ldbu r3,-32032(gp) -81141010: 0084303a nor r2,zero,r2 -81141014: 10c4703a and r2,r2,r3 -81141018: d0a0b805 stb r2,-32032(gp) -8114101c: f800283a ret - -81141020 : -81141020: 20800217 ldw r2,8(r4) -81141024: 00fff7c4 movi r3,-33 -81141028: 11800c03 ldbu r6,48(r2) -8114102c: 10000b8d sth zero,46(r2) -81141030: 11400b0d sth r5,44(r2) -81141034: 30c6703a and r3,r6,r3 -81141038: 10c00c05 stb r3,48(r2) -8114103c: 10000c45 stb zero,49(r2) +81140ef0: 80000c05 stb zero,48(r16) +81140ef4: 80000c45 stb zero,49(r16) +81140ef8: 80000b8d sth zero,46(r16) +81140efc: 80000dc5 stb zero,55(r16) +81140f00: 80000715 stw zero,28(r16) +81140f04: 80000815 stw zero,32(r16) +81140f08: 80000a15 stw zero,40(r16) +81140f0c: 80000915 stw zero,36(r16) +81140f10: 80000e15 stw zero,56(r16) +81140f14: 80001015 stw zero,64(r16) +81140f18: 80000f15 stw zero,60(r16) +81140f1c: 80001115 stw zero,68(r16) +81140f20: 80001215 stw zero,72(r16) +81140f24: 80001345 stb zero,77(r16) +81140f28: 1146ab00 call 81146ab0 +81140f2c: 8009883a mov r4,r16 +81140f30: 1146a6c0 call 81146a6c +81140f34: 000d303a rdctl r6,status +81140f38: 34a4703a and r18,r6,r18 +81140f3c: 9001703a wrctl status,r18 +81140f40: 8c63883a add r17,r17,r17 +81140f44: 00e04674 movhi r3,33049 +81140f48: 8c63883a add r17,r17,r17 +81140f4c: d0a0ae17 ldw r2,-32072(gp) +81140f50: 18efa504 addi r3,r3,-16748 +81140f54: 1c63883a add r17,r3,r17 +81140f58: 8c000015 stw r16,0(r17) +81140f5c: 80800515 stw r2,20(r16) +81140f60: 80000615 stw zero,24(r16) +81140f64: 10000126 beq r2,zero,81140f6c +81140f68: 14000615 stw r16,24(r2) +81140f6c: 80800d03 ldbu r2,52(r16) +81140f70: d0e0b844 addi r3,gp,-32031 +81140f74: d160b803 ldbu r5,-32032(gp) +81140f78: 1885883a add r2,r3,r2 +81140f7c: 11c00003 ldbu r7,0(r2) +81140f80: d0e0b443 ldbu r3,-32047(gp) +81140f84: 82000d83 ldbu r8,54(r16) +81140f88: 81000d43 ldbu r4,53(r16) +81140f8c: 18c00044 addi r3,r3,1 +81140f90: 414ab03a or r5,r8,r5 +81140f94: 3908b03a or r4,r7,r4 +81140f98: d420ae15 stw r16,-32072(gp) +81140f9c: d160b805 stb r5,-32032(gp) +81140fa0: 11000005 stb r4,0(r2) +81140fa4: d0e0b445 stb r3,-32047(gp) +81140fa8: 3001703a wrctl status,r6 +81140fac: 0005883a mov r2,zero +81140fb0: dfc00317 ldw ra,12(sp) +81140fb4: dc800217 ldw r18,8(sp) +81140fb8: dc400117 ldw r17,4(sp) +81140fbc: dc000017 ldw r16,0(sp) +81140fc0: dec00404 addi sp,sp,16 +81140fc4: f800283a ret +81140fc8: 1001703a wrctl status,r2 +81140fcc: 00801084 movi r2,66 +81140fd0: 003ff706 br 81140fb0 <__reset+0xfb120fb0> + +81140fd4 : +81140fd4: f800283a ret + +81140fd8 : +81140fd8: d0a0bc17 ldw r2,-32016(gp) +81140fdc: da000017 ldw r8,0(sp) +81140fe0: 10c00c03 ldbu r3,48(r2) +81140fe4: 10000c45 stb zero,49(r2) +81140fe8: 12000b8d sth r8,46(r2) +81140fec: 18c00814 ori r3,r3,32 +81140ff0: 10c00c05 stb r3,48(r2) +81140ff4: 11400a15 stw r5,40(r2) +81140ff8: 29c00485 stb r7,18(r5) +81140ffc: 20c00117 ldw r3,4(r4) +81141000: 2980040d sth r6,16(r5) +81141004: 28800215 stw r2,8(r5) +81141008: 28c00015 stw r3,0(r5) +8114100c: 28000115 stw zero,4(r5) +81141010: 29000315 stw r4,12(r5) +81141014: 18000126 beq r3,zero,8114101c +81141018: 19400115 stw r5,4(r3) +8114101c: 21400115 stw r5,4(r4) +81141020: 11000d03 ldbu r4,52(r2) +81141024: d160b844 addi r5,gp,-32031 +81141028: 10c00d43 ldbu r3,53(r2) +8114102c: 290b883a add r5,r5,r4 +81141030: 29000003 ldbu r4,0(r5) +81141034: 00c6303a nor r3,zero,r3 +81141038: 1906703a and r3,r3,r4 +8114103c: 28c00005 stb r3,0(r5) 81141040: 18c03fcc andi r3,r3,255 -81141044: 1800151e bne r3,zero,8114109c -81141048: 10c00d03 ldbu r3,52(r2) -8114104c: d160b844 addi r5,gp,-32031 -81141050: d1a0b803 ldbu r6,-32032(gp) -81141054: 28c7883a add r3,r5,r3 -81141058: 19c00003 ldbu r7,0(r3) -8114105c: 11400d43 ldbu r5,53(r2) -81141060: 12000d83 ldbu r8,54(r2) -81141064: 00800044 movi r2,1 -81141068: 394ab03a or r5,r7,r5 -8114106c: 19400005 stb r5,0(r3) -81141070: 21400117 ldw r5,4(r4) -81141074: 418cb03a or r6,r8,r6 -81141078: d1a0b805 stb r6,-32032(gp) -8114107c: 20c00017 ldw r3,0(r4) -81141080: 28000a26 beq r5,zero,811410ac -81141084: 28c00015 stw r3,0(r5) -81141088: 18000126 beq r3,zero,81141090 -8114108c: 19400115 stw r5,4(r3) -81141090: 20c00217 ldw r3,8(r4) -81141094: 18000a15 stw zero,40(r3) -81141098: f800283a ret -8114109c: 21400117 ldw r5,4(r4) -811410a0: 0005883a mov r2,zero -811410a4: 20c00017 ldw r3,0(r4) -811410a8: 283ff61e bne r5,zero,81141084 <__reset+0xfb121084> -811410ac: 21400317 ldw r5,12(r4) -811410b0: 28c00115 stw r3,4(r5) -811410b4: 183ff626 beq r3,zero,81141090 <__reset+0xfb121090> -811410b8: 18000115 stw zero,4(r3) -811410bc: 20c00217 ldw r3,8(r4) -811410c0: 18000a15 stw zero,40(r3) -811410c4: f800283a ret - -811410c8 : -811410c8: 3007883a mov r3,r6 -811410cc: 38003026 beq r7,zero,81141190 -811410d0: 20003126 beq r4,zero,81141198 -811410d4: 22400003 ldbu r9,0(r4) -811410d8: 02000144 movi r8,5 -811410dc: 4a000426 beq r9,r8,811410f0 -811410e0: 00800044 movi r2,1 -811410e4: 38800005 stb r2,0(r7) -811410e8: 0005883a mov r2,zero -811410ec: f800283a ret -811410f0: 023fe004 movi r8,-128 -811410f4: 3210703a and r8,r6,r8 -811410f8: 42003fcc andi r8,r8,255 -811410fc: 4000181e bne r8,zero,81141160 -81141100: 0015883a mov r10,zero -81141104: 38000005 stb zero,0(r7) -81141108: 0011303a rdctl r8,status -8114110c: 00bfff84 movi r2,-2 -81141110: 4084703a and r2,r8,r2 -81141114: 1001703a wrctl status,r2 -81141118: 19803fcc andi r6,r3,255 -8114111c: 02400044 movi r9,1 -81141120: 32403126 beq r6,r9,811411e8 -81141124: 30002526 beq r6,zero,811411bc -81141128: 00800084 movi r2,2 -8114112c: 30800f26 beq r6,r2,8114116c -81141130: 008000c4 movi r2,3 -81141134: 30801c1e bne r6,r2,811411a8 -81141138: 20c0020b ldhu r3,8(r4) -8114113c: 28c4703a and r2,r5,r3 -81141140: 117fffcc andi r5,r2,65535 -81141144: 28000e26 beq r5,zero,81141180 -81141148: 52803fcc andi r10,r10,255 -8114114c: 52400e1e bne r10,r9,81141188 -81141150: 008a303a nor r5,zero,r2 -81141154: 28c6703a and r3,r5,r3 -81141158: 20c0020d sth r3,8(r4) -8114115c: 00000a06 br 81141188 -81141160: 30c01fcc andi r3,r6,127 -81141164: 02800044 movi r10,1 -81141168: 003fe606 br 81141104 <__reset+0xfb121104> -8114116c: 2180020b ldhu r6,8(r4) -81141170: 28ffffcc andi r3,r5,65535 -81141174: 2984703a and r2,r5,r6 -81141178: 12ffffcc andi r11,r2,65535 -8114117c: 1ac02426 beq r3,r11,81141210 -81141180: 00c01c04 movi r3,112 -81141184: 38c00005 stb r3,0(r7) -81141188: 4001703a wrctl status,r8 -8114118c: f800283a ret -81141190: 0005883a mov r2,zero -81141194: f800283a ret -81141198: 00801b84 movi r2,110 -8114119c: 38800005 stb r2,0(r7) -811411a0: 0005883a mov r2,zero -811411a4: f800283a ret -811411a8: 4001703a wrctl status,r8 -811411ac: 00801bc4 movi r2,111 -811411b0: 38800005 stb r2,0(r7) -811411b4: 0005883a mov r2,zero -811411b8: f800283a ret -811411bc: 22c0020b ldhu r11,8(r4) -811411c0: 29bfffcc andi r6,r5,65535 -811411c4: 02c6303a nor r3,zero,r11 -811411c8: 28c4703a and r2,r5,r3 -811411cc: 133fffcc andi r12,r2,65535 -811411d0: 333feb1e bne r6,r12,81141180 <__reset+0xfb121180> -811411d4: 52803fcc andi r10,r10,255 -811411d8: 527feb1e bne r10,r9,81141188 <__reset+0xfb121188> -811411dc: 2acab03a or r5,r5,r11 -811411e0: 2140020d sth r5,8(r4) -811411e4: 003fe806 br 81141188 <__reset+0xfb121188> -811411e8: 2240020b ldhu r9,8(r4) -811411ec: 0246303a nor r3,zero,r9 -811411f0: 28c4703a and r2,r5,r3 -811411f4: 10ffffcc andi r3,r2,65535 -811411f8: 183fe126 beq r3,zero,81141180 <__reset+0xfb121180> -811411fc: 52803fcc andi r10,r10,255 -81141200: 51bfe11e bne r10,r6,81141188 <__reset+0xfb121188> -81141204: 1252b03a or r9,r2,r9 -81141208: 2240020d sth r9,8(r4) -8114120c: 003fde06 br 81141188 <__reset+0xfb121188> -81141210: 52803fcc andi r10,r10,255 -81141214: 527fdc1e bne r10,r9,81141188 <__reset+0xfb121188> -81141218: 014a303a nor r5,zero,r5 -8114121c: 298c703a and r6,r5,r6 -81141220: 2180020d sth r6,8(r4) -81141224: 003fd806 br 81141188 <__reset+0xfb121188> - -81141228 : -81141228: 28001d26 beq r5,zero,811412a0 -8114122c: d0a0bb03 ldbu r2,-32020(gp) -81141230: 1000121e bne r2,zero,8114127c -81141234: 0007303a rdctl r3,status -81141238: 00bfff84 movi r2,-2 -8114123c: 1884703a and r2,r3,r2 -81141240: 1001703a wrctl status,r2 -81141244: d0a0c017 ldw r2,-32000(gp) -81141248: 10001026 beq r2,zero,8114128c -8114124c: 11800117 ldw r6,4(r2) -81141250: 1100020d sth r4,8(r2) -81141254: 01000fc4 movi r4,63 -81141258: d1a0c015 stw r6,-32000(gp) -8114125c: 01800144 movi r6,5 -81141260: 11800005 stb r6,0(r2) -81141264: 10000115 stw zero,4(r2) -81141268: 11000285 stb r4,10(r2) -8114126c: 100002c5 stb zero,11(r2) -81141270: 1801703a wrctl status,r3 -81141274: 28000005 stb zero,0(r5) -81141278: f800283a ret -8114127c: 00800404 movi r2,16 -81141280: 28800005 stb r2,0(r5) -81141284: 0005883a mov r2,zero -81141288: f800283a ret -8114128c: 1801703a wrctl status,r3 -81141290: 00801c84 movi r2,114 -81141294: 28800005 stb r2,0(r5) -81141298: 0005883a mov r2,zero -8114129c: f800283a ret -811412a0: 0005883a mov r2,zero -811412a4: f800283a ret - -811412a8 : -811412a8: defffb04 addi sp,sp,-20 -811412ac: dc000115 stw r16,4(sp) -811412b0: dfc00415 stw ra,16(sp) -811412b4: dc800315 stw r18,12(sp) -811412b8: dc400215 stw r17,8(sp) -811412bc: 2021883a mov r16,r4 -811412c0: 30000826 beq r6,zero,811412e4 -811412c4: 20003126 beq r4,zero,8114138c -811412c8: d0a0bb03 ldbu r2,-32020(gp) -811412cc: 10000c1e bne r2,zero,81141300 -811412d0: 20c00003 ldbu r3,0(r4) -811412d4: 00800144 movi r2,5 -811412d8: 18800c26 beq r3,r2,8114130c -811412dc: 00800044 movi r2,1 -811412e0: 30800005 stb r2,0(r6) -811412e4: 8005883a mov r2,r16 -811412e8: dfc00417 ldw ra,16(sp) -811412ec: dc800317 ldw r18,12(sp) -811412f0: dc400217 ldw r17,8(sp) -811412f4: dc000117 ldw r16,4(sp) -811412f8: dec00504 addi sp,sp,20 -811412fc: f800283a ret -81141300: 008003c4 movi r2,15 -81141304: 30800005 stb r2,0(r6) -81141308: 003ff606 br 811412e4 <__reset+0xfb1212e4> -8114130c: 0025303a rdctl r18,status -81141310: 00bfff84 movi r2,-2 -81141314: 9084703a and r2,r18,r2 -81141318: 1001703a wrctl status,r2 -8114131c: 24400117 ldw r17,4(r4) -81141320: 88002526 beq r17,zero,811413b8 -81141324: 29403fcc andi r5,r5,255 -81141328: 28001f26 beq r5,zero,811413a8 -8114132c: 00800044 movi r2,1 -81141330: 2880191e bne r5,r2,81141398 -81141334: 8809883a mov r4,r17 -81141338: 000b883a mov r5,zero -8114133c: d9800015 stw r6,0(sp) -81141340: 11410200 call 81141020 -81141344: 8c400017 ldw r17,0(r17) -81141348: d9800017 ldw r6,0(sp) -8114134c: 883ff91e bne r17,zero,81141334 <__reset+0xfb121334> -81141350: 00800044 movi r2,1 -81141354: 80000005 stb zero,0(r16) -81141358: 00c00fc4 movi r3,63 -8114135c: 80c00285 stb r3,10(r16) -81141360: d0e0c017 ldw r3,-32000(gp) -81141364: 800002c5 stb zero,11(r16) -81141368: 8000020d sth zero,8(r16) -8114136c: 80c00115 stw r3,4(r16) -81141370: d420c015 stw r16,-32000(gp) -81141374: 9001703a wrctl status,r18 -81141378: 10803fcc andi r2,r2,255 -8114137c: 1000141e bne r2,zero,811413d0 -81141380: 30000005 stb zero,0(r6) -81141384: 0021883a mov r16,zero -81141388: 003fd606 br 811412e4 <__reset+0xfb1212e4> -8114138c: 00801b84 movi r2,110 -81141390: 30800005 stb r2,0(r6) -81141394: 003fd306 br 811412e4 <__reset+0xfb1212e4> -81141398: 9001703a wrctl status,r18 -8114139c: 008001c4 movi r2,7 -811413a0: 30800005 stb r2,0(r6) -811413a4: 003fcf06 br 811412e4 <__reset+0xfb1212e4> -811413a8: 9001703a wrctl status,r18 -811413ac: 00801244 movi r2,73 -811413b0: 30800005 stb r2,0(r6) -811413b4: 003fcb06 br 811412e4 <__reset+0xfb1212e4> -811413b8: 29403fcc andi r5,r5,255 -811413bc: 28000826 beq r5,zero,811413e0 -811413c0: 00800044 movi r2,1 -811413c4: 28bff41e bne r5,r2,81141398 <__reset+0xfb121398> -811413c8: 0005883a mov r2,zero -811413cc: 003fe106 br 81141354 <__reset+0xfb121354> -811413d0: d9800015 stw r6,0(sp) -811413d4: 11407a00 call 811407a0 -811413d8: d9800017 ldw r6,0(sp) -811413dc: 003fe806 br 81141380 <__reset+0xfb121380> -811413e0: 80000005 stb zero,0(r16) -811413e4: 00800fc4 movi r2,63 -811413e8: 80800285 stb r2,10(r16) -811413ec: d0a0c017 ldw r2,-32000(gp) -811413f0: 800002c5 stb zero,11(r16) -811413f4: 8000020d sth zero,8(r16) -811413f8: 80800115 stw r2,4(r16) -811413fc: d420c015 stw r16,-32000(gp) -81141400: 9001703a wrctl status,r18 -81141404: 30000005 stb zero,0(r6) -81141408: 0021883a mov r16,zero -8114140c: 003fb506 br 811412e4 <__reset+0xfb1212e4> - -81141410 : -81141410: 30002426 beq r6,zero,811414a4 -81141414: 20002526 beq r4,zero,811414ac -81141418: 28002826 beq r5,zero,811414bc -8114141c: d0a0bb03 ldbu r2,-32020(gp) -81141420: 10c03fcc andi r3,r2,255 -81141424: 18000426 beq r3,zero,81141438 -81141428: 00800444 movi r2,17 -8114142c: 30800005 stb r2,0(r6) -81141430: 0005883a mov r2,zero -81141434: f800283a ret -81141438: defffd04 addi sp,sp,-12 -8114143c: dfc00215 stw ra,8(sp) -81141440: dc400115 stw r17,4(sp) -81141444: dc000015 stw r16,0(sp) -81141448: 0023303a rdctl r17,status -8114144c: 00ffff84 movi r3,-2 -81141450: 88c6703a and r3,r17,r3 -81141454: 1801703a wrctl status,r3 -81141458: 21c00003 ldbu r7,0(r4) -8114145c: 00c00144 movi r3,5 -81141460: 38c00826 beq r7,r3,81141484 -81141464: 8801703a wrctl status,r17 -81141468: 00c00044 movi r3,1 -8114146c: 30c00005 stb r3,0(r6) -81141470: dfc00217 ldw ra,8(sp) -81141474: dc400117 ldw r17,4(sp) -81141478: dc000017 ldw r16,0(sp) -8114147c: dec00304 addi sp,sp,12 -81141480: f800283a ret -81141484: 2805883a mov r2,r5 -81141488: 21400284 addi r5,r4,10 -8114148c: 1009883a mov r4,r2 -81141490: 3021883a mov r16,r6 -81141494: 1140c7c0 call 81140c7c -81141498: 8801703a wrctl status,r17 -8114149c: 80000005 stb zero,0(r16) -811414a0: 003ff306 br 81141470 <__reset+0xfb121470> -811414a4: 0005883a mov r2,zero -811414a8: f800283a ret -811414ac: 00801b84 movi r2,110 -811414b0: 30800005 stb r2,0(r6) -811414b4: 0005883a mov r2,zero -811414b8: f800283a ret -811414bc: 00800304 movi r2,12 -811414c0: 30800005 stb r2,0(r6) -811414c4: 0005883a mov r2,zero -811414c8: f800283a ret - -811414cc : -811414cc: 30001d26 beq r6,zero,81141544 -811414d0: 20002b26 beq r4,zero,81141580 -811414d4: 28002d26 beq r5,zero,8114158c -811414d8: d0a0bb03 ldbu r2,-32020(gp) -811414dc: 10000326 beq r2,zero,811414ec -811414e0: 00800484 movi r2,18 -811414e4: 30800005 stb r2,0(r6) +81141044: 1800051e bne r3,zero,8114105c +81141048: 10800d83 ldbu r2,54(r2) +8114104c: d0e0b803 ldbu r3,-32032(gp) +81141050: 0084303a nor r2,zero,r2 +81141054: 10c4703a and r2,r2,r3 +81141058: d0a0b805 stb r2,-32032(gp) +8114105c: f800283a ret + +81141060 : +81141060: 20800217 ldw r2,8(r4) +81141064: 00fff7c4 movi r3,-33 +81141068: 11800c03 ldbu r6,48(r2) +8114106c: 10000b8d sth zero,46(r2) +81141070: 11400b0d sth r5,44(r2) +81141074: 30c6703a and r3,r6,r3 +81141078: 10c00c05 stb r3,48(r2) +8114107c: 10000c45 stb zero,49(r2) +81141080: 18c03fcc andi r3,r3,255 +81141084: 1800151e bne r3,zero,811410dc +81141088: 10c00d03 ldbu r3,52(r2) +8114108c: d160b844 addi r5,gp,-32031 +81141090: d1a0b803 ldbu r6,-32032(gp) +81141094: 28c7883a add r3,r5,r3 +81141098: 19c00003 ldbu r7,0(r3) +8114109c: 11400d43 ldbu r5,53(r2) +811410a0: 12000d83 ldbu r8,54(r2) +811410a4: 00800044 movi r2,1 +811410a8: 394ab03a or r5,r7,r5 +811410ac: 19400005 stb r5,0(r3) +811410b0: 21400117 ldw r5,4(r4) +811410b4: 418cb03a or r6,r8,r6 +811410b8: d1a0b805 stb r6,-32032(gp) +811410bc: 20c00017 ldw r3,0(r4) +811410c0: 28000a26 beq r5,zero,811410ec +811410c4: 28c00015 stw r3,0(r5) +811410c8: 18000126 beq r3,zero,811410d0 +811410cc: 19400115 stw r5,4(r3) +811410d0: 20c00217 ldw r3,8(r4) +811410d4: 18000a15 stw zero,40(r3) +811410d8: f800283a ret +811410dc: 21400117 ldw r5,4(r4) +811410e0: 0005883a mov r2,zero +811410e4: 20c00017 ldw r3,0(r4) +811410e8: 283ff61e bne r5,zero,811410c4 <__reset+0xfb1210c4> +811410ec: 21400317 ldw r5,12(r4) +811410f0: 28c00115 stw r3,4(r5) +811410f4: 183ff626 beq r3,zero,811410d0 <__reset+0xfb1210d0> +811410f8: 18000115 stw zero,4(r3) +811410fc: 20c00217 ldw r3,8(r4) +81141100: 18000a15 stw zero,40(r3) +81141104: f800283a ret + +81141108 : +81141108: 3007883a mov r3,r6 +8114110c: 38003026 beq r7,zero,811411d0 +81141110: 20003126 beq r4,zero,811411d8 +81141114: 22400003 ldbu r9,0(r4) +81141118: 02000144 movi r8,5 +8114111c: 4a000426 beq r9,r8,81141130 +81141120: 00800044 movi r2,1 +81141124: 38800005 stb r2,0(r7) +81141128: 0005883a mov r2,zero +8114112c: f800283a ret +81141130: 023fe004 movi r8,-128 +81141134: 3210703a and r8,r6,r8 +81141138: 42003fcc andi r8,r8,255 +8114113c: 4000181e bne r8,zero,811411a0 +81141140: 0015883a mov r10,zero +81141144: 38000005 stb zero,0(r7) +81141148: 0011303a rdctl r8,status +8114114c: 00bfff84 movi r2,-2 +81141150: 4084703a and r2,r8,r2 +81141154: 1001703a wrctl status,r2 +81141158: 19803fcc andi r6,r3,255 +8114115c: 02400044 movi r9,1 +81141160: 32403126 beq r6,r9,81141228 +81141164: 30002526 beq r6,zero,811411fc +81141168: 00800084 movi r2,2 +8114116c: 30800f26 beq r6,r2,811411ac +81141170: 008000c4 movi r2,3 +81141174: 30801c1e bne r6,r2,811411e8 +81141178: 20c0020b ldhu r3,8(r4) +8114117c: 28c4703a and r2,r5,r3 +81141180: 117fffcc andi r5,r2,65535 +81141184: 28000e26 beq r5,zero,811411c0 +81141188: 52803fcc andi r10,r10,255 +8114118c: 52400e1e bne r10,r9,811411c8 +81141190: 008a303a nor r5,zero,r2 +81141194: 28c6703a and r3,r5,r3 +81141198: 20c0020d sth r3,8(r4) +8114119c: 00000a06 br 811411c8 +811411a0: 30c01fcc andi r3,r6,127 +811411a4: 02800044 movi r10,1 +811411a8: 003fe606 br 81141144 <__reset+0xfb121144> +811411ac: 2180020b ldhu r6,8(r4) +811411b0: 28ffffcc andi r3,r5,65535 +811411b4: 2984703a and r2,r5,r6 +811411b8: 12ffffcc andi r11,r2,65535 +811411bc: 1ac02426 beq r3,r11,81141250 +811411c0: 00c01c04 movi r3,112 +811411c4: 38c00005 stb r3,0(r7) +811411c8: 4001703a wrctl status,r8 +811411cc: f800283a ret +811411d0: 0005883a mov r2,zero +811411d4: f800283a ret +811411d8: 00801b84 movi r2,110 +811411dc: 38800005 stb r2,0(r7) +811411e0: 0005883a mov r2,zero +811411e4: f800283a ret +811411e8: 4001703a wrctl status,r8 +811411ec: 00801bc4 movi r2,111 +811411f0: 38800005 stb r2,0(r7) +811411f4: 0005883a mov r2,zero +811411f8: f800283a ret +811411fc: 22c0020b ldhu r11,8(r4) +81141200: 29bfffcc andi r6,r5,65535 +81141204: 02c6303a nor r3,zero,r11 +81141208: 28c4703a and r2,r5,r3 +8114120c: 133fffcc andi r12,r2,65535 +81141210: 333feb1e bne r6,r12,811411c0 <__reset+0xfb1211c0> +81141214: 52803fcc andi r10,r10,255 +81141218: 527feb1e bne r10,r9,811411c8 <__reset+0xfb1211c8> +8114121c: 2acab03a or r5,r5,r11 +81141220: 2140020d sth r5,8(r4) +81141224: 003fe806 br 811411c8 <__reset+0xfb1211c8> +81141228: 2240020b ldhu r9,8(r4) +8114122c: 0246303a nor r3,zero,r9 +81141230: 28c4703a and r2,r5,r3 +81141234: 10ffffcc andi r3,r2,65535 +81141238: 183fe126 beq r3,zero,811411c0 <__reset+0xfb1211c0> +8114123c: 52803fcc andi r10,r10,255 +81141240: 51bfe11e bne r10,r6,811411c8 <__reset+0xfb1211c8> +81141244: 1252b03a or r9,r2,r9 +81141248: 2240020d sth r9,8(r4) +8114124c: 003fde06 br 811411c8 <__reset+0xfb1211c8> +81141250: 52803fcc andi r10,r10,255 +81141254: 527fdc1e bne r10,r9,811411c8 <__reset+0xfb1211c8> +81141258: 014a303a nor r5,zero,r5 +8114125c: 298c703a and r6,r5,r6 +81141260: 2180020d sth r6,8(r4) +81141264: 003fd806 br 811411c8 <__reset+0xfb1211c8> + +81141268 : +81141268: 28001d26 beq r5,zero,811412e0 +8114126c: d0a0bb03 ldbu r2,-32020(gp) +81141270: 1000121e bne r2,zero,811412bc +81141274: 0007303a rdctl r3,status +81141278: 00bfff84 movi r2,-2 +8114127c: 1884703a and r2,r3,r2 +81141280: 1001703a wrctl status,r2 +81141284: d0a0c017 ldw r2,-32000(gp) +81141288: 10001026 beq r2,zero,811412cc +8114128c: 11800117 ldw r6,4(r2) +81141290: 1100020d sth r4,8(r2) +81141294: 01000fc4 movi r4,63 +81141298: d1a0c015 stw r6,-32000(gp) +8114129c: 01800144 movi r6,5 +811412a0: 11800005 stb r6,0(r2) +811412a4: 10000115 stw zero,4(r2) +811412a8: 11000285 stb r4,10(r2) +811412ac: 100002c5 stb zero,11(r2) +811412b0: 1801703a wrctl status,r3 +811412b4: 28000005 stb zero,0(r5) +811412b8: f800283a ret +811412bc: 00800404 movi r2,16 +811412c0: 28800005 stb r2,0(r5) +811412c4: 0005883a mov r2,zero +811412c8: f800283a ret +811412cc: 1801703a wrctl status,r3 +811412d0: 00801c84 movi r2,114 +811412d4: 28800005 stb r2,0(r5) +811412d8: 0005883a mov r2,zero +811412dc: f800283a ret +811412e0: 0005883a mov r2,zero +811412e4: f800283a ret + +811412e8 : +811412e8: defffb04 addi sp,sp,-20 +811412ec: dc000115 stw r16,4(sp) +811412f0: dfc00415 stw ra,16(sp) +811412f4: dc800315 stw r18,12(sp) +811412f8: dc400215 stw r17,8(sp) +811412fc: 2021883a mov r16,r4 +81141300: 30000826 beq r6,zero,81141324 +81141304: 20003126 beq r4,zero,811413cc +81141308: d0a0bb03 ldbu r2,-32020(gp) +8114130c: 10000c1e bne r2,zero,81141340 +81141310: 20c00003 ldbu r3,0(r4) +81141314: 00800144 movi r2,5 +81141318: 18800c26 beq r3,r2,8114134c +8114131c: 00800044 movi r2,1 +81141320: 30800005 stb r2,0(r6) +81141324: 8005883a mov r2,r16 +81141328: dfc00417 ldw ra,16(sp) +8114132c: dc800317 ldw r18,12(sp) +81141330: dc400217 ldw r17,8(sp) +81141334: dc000117 ldw r16,4(sp) +81141338: dec00504 addi sp,sp,20 +8114133c: f800283a ret +81141340: 008003c4 movi r2,15 +81141344: 30800005 stb r2,0(r6) +81141348: 003ff606 br 81141324 <__reset+0xfb121324> +8114134c: 0025303a rdctl r18,status +81141350: 00bfff84 movi r2,-2 +81141354: 9084703a and r2,r18,r2 +81141358: 1001703a wrctl status,r2 +8114135c: 24400117 ldw r17,4(r4) +81141360: 88002526 beq r17,zero,811413f8 +81141364: 29403fcc andi r5,r5,255 +81141368: 28001f26 beq r5,zero,811413e8 +8114136c: 00800044 movi r2,1 +81141370: 2880191e bne r5,r2,811413d8 +81141374: 8809883a mov r4,r17 +81141378: 000b883a mov r5,zero +8114137c: d9800015 stw r6,0(sp) +81141380: 11410600 call 81141060 +81141384: 8c400017 ldw r17,0(r17) +81141388: d9800017 ldw r6,0(sp) +8114138c: 883ff91e bne r17,zero,81141374 <__reset+0xfb121374> +81141390: 00800044 movi r2,1 +81141394: 80000005 stb zero,0(r16) +81141398: 00c00fc4 movi r3,63 +8114139c: 80c00285 stb r3,10(r16) +811413a0: d0e0c017 ldw r3,-32000(gp) +811413a4: 800002c5 stb zero,11(r16) +811413a8: 8000020d sth zero,8(r16) +811413ac: 80c00115 stw r3,4(r16) +811413b0: d420c015 stw r16,-32000(gp) +811413b4: 9001703a wrctl status,r18 +811413b8: 10803fcc andi r2,r2,255 +811413bc: 1000141e bne r2,zero,81141410 +811413c0: 30000005 stb zero,0(r6) +811413c4: 0021883a mov r16,zero +811413c8: 003fd606 br 81141324 <__reset+0xfb121324> +811413cc: 00801b84 movi r2,110 +811413d0: 30800005 stb r2,0(r6) +811413d4: 003fd306 br 81141324 <__reset+0xfb121324> +811413d8: 9001703a wrctl status,r18 +811413dc: 008001c4 movi r2,7 +811413e0: 30800005 stb r2,0(r6) +811413e4: 003fcf06 br 81141324 <__reset+0xfb121324> +811413e8: 9001703a wrctl status,r18 +811413ec: 00801244 movi r2,73 +811413f0: 30800005 stb r2,0(r6) +811413f4: 003fcb06 br 81141324 <__reset+0xfb121324> +811413f8: 29403fcc andi r5,r5,255 +811413fc: 28000826 beq r5,zero,81141420 +81141400: 00800044 movi r2,1 +81141404: 28bff41e bne r5,r2,811413d8 <__reset+0xfb1213d8> +81141408: 0005883a mov r2,zero +8114140c: 003fe106 br 81141394 <__reset+0xfb121394> +81141410: d9800015 stw r6,0(sp) +81141414: 11407e00 call 811407e0 +81141418: d9800017 ldw r6,0(sp) +8114141c: 003fe806 br 811413c0 <__reset+0xfb1213c0> +81141420: 80000005 stb zero,0(r16) +81141424: 00800fc4 movi r2,63 +81141428: 80800285 stb r2,10(r16) +8114142c: d0a0c017 ldw r2,-32000(gp) +81141430: 800002c5 stb zero,11(r16) +81141434: 8000020d sth zero,8(r16) +81141438: 80800115 stw r2,4(r16) +8114143c: d420c015 stw r16,-32000(gp) +81141440: 9001703a wrctl status,r18 +81141444: 30000005 stb zero,0(r6) +81141448: 0021883a mov r16,zero +8114144c: 003fb506 br 81141324 <__reset+0xfb121324> + +81141450 : +81141450: 30002426 beq r6,zero,811414e4 +81141454: 20002526 beq r4,zero,811414ec +81141458: 28002826 beq r5,zero,811414fc +8114145c: d0a0bb03 ldbu r2,-32020(gp) +81141460: 10c03fcc andi r3,r2,255 +81141464: 18000426 beq r3,zero,81141478 +81141468: 00800444 movi r2,17 +8114146c: 30800005 stb r2,0(r6) +81141470: 0005883a mov r2,zero +81141474: f800283a ret +81141478: defffd04 addi sp,sp,-12 +8114147c: dfc00215 stw ra,8(sp) +81141480: dc400115 stw r17,4(sp) +81141484: dc000015 stw r16,0(sp) +81141488: 0023303a rdctl r17,status +8114148c: 00ffff84 movi r3,-2 +81141490: 88c6703a and r3,r17,r3 +81141494: 1801703a wrctl status,r3 +81141498: 21c00003 ldbu r7,0(r4) +8114149c: 00c00144 movi r3,5 +811414a0: 38c00826 beq r7,r3,811414c4 +811414a4: 8801703a wrctl status,r17 +811414a8: 00c00044 movi r3,1 +811414ac: 30c00005 stb r3,0(r6) +811414b0: dfc00217 ldw ra,8(sp) +811414b4: dc400117 ldw r17,4(sp) +811414b8: dc000017 ldw r16,0(sp) +811414bc: dec00304 addi sp,sp,12 +811414c0: f800283a ret +811414c4: 2805883a mov r2,r5 +811414c8: 21400284 addi r5,r4,10 +811414cc: 1009883a mov r4,r2 +811414d0: 3021883a mov r16,r6 +811414d4: 1140cbc0 call 81140cbc +811414d8: 8801703a wrctl status,r17 +811414dc: 80000005 stb zero,0(r16) +811414e0: 003ff306 br 811414b0 <__reset+0xfb1214b0> +811414e4: 0005883a mov r2,zero 811414e8: f800283a ret -811414ec: defffb04 addi sp,sp,-20 -811414f0: dfc00415 stw ra,16(sp) -811414f4: dcc00315 stw r19,12(sp) -811414f8: dc800215 stw r18,8(sp) -811414fc: dc400115 stw r17,4(sp) -81141500: dc000015 stw r16,0(sp) -81141504: 0027303a rdctl r19,status -81141508: 00bfff84 movi r2,-2 -8114150c: 9884703a and r2,r19,r2 -81141510: 1001703a wrctl status,r2 -81141514: 20c00003 ldbu r3,0(r4) -81141518: 00800144 movi r2,5 -8114151c: 18800a26 beq r3,r2,81141548 -81141520: 9801703a wrctl status,r19 -81141524: 00800044 movi r2,1 -81141528: 30800005 stb r2,0(r6) -8114152c: dfc00417 ldw ra,16(sp) -81141530: dcc00317 ldw r19,12(sp) -81141534: dc800217 ldw r18,8(sp) -81141538: dc400117 ldw r17,4(sp) -8114153c: dc000017 ldw r16,0(sp) -81141540: dec00504 addi sp,sp,20 -81141544: f800283a ret -81141548: 2023883a mov r17,r4 -8114154c: 2809883a mov r4,r5 -81141550: 2825883a mov r18,r5 -81141554: 3021883a mov r16,r6 -81141558: 1140cc00 call 81140cc0 -8114155c: 10803fcc andi r2,r2,255 -81141560: 00c007c4 movi r3,31 -81141564: 18800c36 bltu r3,r2,81141598 -81141568: 900b883a mov r5,r18 -8114156c: 89000284 addi r4,r17,10 -81141570: 1140c7c0 call 81140c7c -81141574: 9801703a wrctl status,r19 -81141578: 80000005 stb zero,0(r16) -8114157c: 003feb06 br 8114152c <__reset+0xfb12152c> -81141580: 00801b84 movi r2,110 -81141584: 30800005 stb r2,0(r6) -81141588: f800283a ret -8114158c: 00800304 movi r2,12 -81141590: 30800005 stb r2,0(r6) -81141594: f800283a ret -81141598: 9801703a wrctl status,r19 -8114159c: 00801cc4 movi r2,115 -811415a0: 80800005 stb r2,0(r16) -811415a4: 003fe106 br 8114152c <__reset+0xfb12152c> - -811415a8 : -811415a8: defff404 addi sp,sp,-48 -811415ac: dc000615 stw r16,24(sp) -811415b0: dc000c17 ldw r16,48(sp) -811415b4: dfc00b15 stw ra,44(sp) -811415b8: dd000a15 stw r20,40(sp) -811415bc: dcc00915 stw r19,36(sp) -811415c0: dc800815 stw r18,32(sp) -811415c4: dc400715 stw r17,28(sp) -811415c8: 80003d26 beq r16,zero,811416c0 -811415cc: 20003e26 beq r4,zero,811416c8 -811415d0: d0e0bb03 ldbu r3,-32020(gp) -811415d4: 1800091e bne r3,zero,811415fc -811415d8: d0a0a903 ldbu r2,-32092(gp) -811415dc: 1000341e bne r2,zero,811416b0 -811415e0: 20c00003 ldbu r3,0(r4) -811415e4: 00800144 movi r2,5 -811415e8: 18800f26 beq r3,r2,81141628 -811415ec: 00800044 movi r2,1 -811415f0: 80800005 stb r2,0(r16) -811415f4: 0005883a mov r2,zero -811415f8: 00000306 br 81141608 -811415fc: 00800084 movi r2,2 -81141600: 80800005 stb r2,0(r16) -81141604: 0005883a mov r2,zero -81141608: dfc00b17 ldw ra,44(sp) -8114160c: dd000a17 ldw r20,40(sp) -81141610: dcc00917 ldw r19,36(sp) -81141614: dc800817 ldw r18,32(sp) -81141618: dc400717 ldw r17,28(sp) -8114161c: dc000617 ldw r16,24(sp) -81141620: dec00c04 addi sp,sp,48 -81141624: f800283a ret -81141628: 00bfe004 movi r2,-128 -8114162c: 3084703a and r2,r6,r2 -81141630: 10803fcc andi r2,r2,255 -81141634: 10002826 beq r2,zero,811416d8 -81141638: 32001fcc andi r8,r6,127 -8114163c: 04c00044 movi r19,1 -81141640: 2023883a mov r17,r4 -81141644: 0029303a rdctl r20,status -81141648: 00bfff84 movi r2,-2 -8114164c: a084703a and r2,r20,r2 -81141650: 1001703a wrctl status,r2 -81141654: 44803fcc andi r18,r8,255 -81141658: 00800044 movi r2,1 -8114165c: 90804126 beq r18,r2,81141764 -81141660: 90003526 beq r18,zero,81141738 -81141664: 00c00084 movi r3,2 -81141668: 90c01e26 beq r18,r3,811416e4 -8114166c: 00c000c4 movi r3,3 -81141670: 90c02c1e bne r18,r3,81141724 -81141674: 2100020b ldhu r4,8(r4) -81141678: 2906703a and r3,r5,r4 -8114167c: 19bfffcc andi r6,r3,65535 -81141680: 30004226 beq r6,zero,8114178c -81141684: 9cc03fcc andi r19,r19,255 -81141688: 9880031e bne r19,r2,81141698 -8114168c: 00c4303a nor r2,zero,r3 -81141690: 1108703a and r4,r2,r4 -81141694: 8900020d sth r4,8(r17) -81141698: d0a0bc17 ldw r2,-32016(gp) -8114169c: 10c00b0d sth r3,44(r2) -811416a0: a001703a wrctl status,r20 -811416a4: 80000005 stb zero,0(r16) -811416a8: 1805883a mov r2,r3 -811416ac: 003fd606 br 81141608 <__reset+0xfb121608> -811416b0: 00800344 movi r2,13 -811416b4: 80800005 stb r2,0(r16) -811416b8: 0005883a mov r2,zero -811416bc: 003fd206 br 81141608 <__reset+0xfb121608> -811416c0: 0005883a mov r2,zero -811416c4: 003fd006 br 81141608 <__reset+0xfb121608> -811416c8: 00801b84 movi r2,110 -811416cc: 80800005 stb r2,0(r16) -811416d0: 0005883a mov r2,zero -811416d4: 003fcc06 br 81141608 <__reset+0xfb121608> -811416d8: 3011883a mov r8,r6 -811416dc: 0027883a mov r19,zero -811416e0: 003fd706 br 81141640 <__reset+0xfb121640> -811416e4: 2100020b ldhu r4,8(r4) -811416e8: 29bfffcc andi r6,r5,65535 -811416ec: 2906703a and r3,r5,r4 -811416f0: 18ffffcc andi r3,r3,65535 -811416f4: 30c05b1e bne r6,r3,81141864 -811416f8: 98c03fcc andi r3,r19,255 -811416fc: 1880031e bne r3,r2,8114170c -81141700: 0144303a nor r2,zero,r5 -81141704: 1108703a and r4,r2,r4 -81141708: 8900020d sth r4,8(r17) -8114170c: d0a0bc17 ldw r2,-32016(gp) -81141710: 11400b0d sth r5,44(r2) -81141714: a001703a wrctl status,r20 -81141718: 80000005 stb zero,0(r16) -8114171c: 2805883a mov r2,r5 -81141720: 003fb906 br 81141608 <__reset+0xfb121608> -81141724: a001703a wrctl status,r20 -81141728: 00801bc4 movi r2,111 -8114172c: 80800005 stb r2,0(r16) -81141730: 0005883a mov r2,zero -81141734: 003fb406 br 81141608 <__reset+0xfb121608> -81141738: 2100020b ldhu r4,8(r4) -8114173c: 29bfffcc andi r6,r5,65535 -81141740: 0106303a nor r3,zero,r4 -81141744: 28c6703a and r3,r5,r3 -81141748: 18ffffcc andi r3,r3,65535 -8114174c: 30c03d1e bne r6,r3,81141844 -81141750: 98c03fcc andi r3,r19,255 -81141754: 18bfed1e bne r3,r2,8114170c <__reset+0xfb12170c> -81141758: 2908b03a or r4,r5,r4 -8114175c: 8900020d sth r4,8(r17) -81141760: 003fea06 br 8114170c <__reset+0xfb12170c> -81141764: 2080020b ldhu r2,8(r4) -81141768: 0086303a nor r3,zero,r2 -8114176c: 28c6703a and r3,r5,r3 -81141770: 193fffcc andi r4,r3,65535 -81141774: 20000526 beq r4,zero,8114178c -81141778: 9cc03fcc andi r19,r19,255 -8114177c: 9cbfc61e bne r19,r18,81141698 <__reset+0xfb121698> -81141780: 1884b03a or r2,r3,r2 -81141784: 8880020d sth r2,8(r17) -81141788: 003fc306 br 81141698 <__reset+0xfb121698> -8114178c: 39ffffcc andi r7,r7,65535 -81141790: d9c00015 stw r7,0(sp) -81141794: 29bfffcc andi r6,r5,65535 -81141798: 900f883a mov r7,r18 -8114179c: d9400104 addi r5,sp,4 -811417a0: 8809883a mov r4,r17 -811417a4: 1140f980 call 81140f98 -811417a8: a001703a wrctl status,r20 -811417ac: 11407a00 call 811407a0 -811417b0: 0009303a rdctl r4,status -811417b4: 00bfff84 movi r2,-2 -811417b8: 2084703a and r2,r4,r2 -811417bc: 1001703a wrctl status,r2 -811417c0: d0a0bc17 ldw r2,-32016(gp) -811417c4: 10c00c43 ldbu r3,49(r2) -811417c8: 18001126 beq r3,zero,81141810 -811417cc: d9800217 ldw r6,8(sp) -811417d0: 10000c45 stb zero,49(r2) -811417d4: d9400117 ldw r5,4(sp) -811417d8: 30003726 beq r6,zero,811418b8 -811417dc: 31400015 stw r5,0(r6) -811417e0: 28000126 beq r5,zero,811417e8 -811417e4: 29800115 stw r6,4(r5) -811417e8: d9400317 ldw r5,12(sp) -811417ec: 28000a15 stw zero,40(r5) -811417f0: 10000c05 stb zero,48(r2) -811417f4: 2001703a wrctl status,r4 -811417f8: 00800084 movi r2,2 -811417fc: 1880211e bne r3,r2,81141884 -81141800: 00800384 movi r2,14 -81141804: 80800005 stb r2,0(r16) -81141808: 0005883a mov r2,zero -8114180c: 003f7e06 br 81141608 <__reset+0xfb121608> -81141810: 98c03fcc andi r3,r19,255 -81141814: 10800b0b ldhu r2,44(r2) -81141818: 18000726 beq r3,zero,81141838 -8114181c: 1c80222e bgeu r3,r18,811418a8 -81141820: 00c000c4 movi r3,3 -81141824: 1c801b36 bltu r3,r18,81141894 -81141828: 88c0020b ldhu r3,8(r17) -8114182c: 008a303a nor r5,zero,r2 -81141830: 28c6703a and r3,r5,r3 -81141834: 88c0020d sth r3,8(r17) -81141838: 2001703a wrctl status,r4 -8114183c: 80000005 stb zero,0(r16) -81141840: 003f7106 br 81141608 <__reset+0xfb121608> -81141844: 39ffffcc andi r7,r7,65535 -81141848: d9c00015 stw r7,0(sp) -8114184c: d9400104 addi r5,sp,4 -81141850: 000f883a mov r7,zero -81141854: 8809883a mov r4,r17 -81141858: 1140f980 call 81140f98 -8114185c: a001703a wrctl status,r20 -81141860: 003fd206 br 811417ac <__reset+0xfb1217ac> -81141864: 39ffffcc andi r7,r7,65535 -81141868: d9c00015 stw r7,0(sp) -8114186c: d9400104 addi r5,sp,4 -81141870: 900f883a mov r7,r18 -81141874: 8809883a mov r4,r17 -81141878: 1140f980 call 81140f98 -8114187c: a001703a wrctl status,r20 -81141880: 003fca06 br 811417ac <__reset+0xfb1217ac> -81141884: 00800284 movi r2,10 -81141888: 80800005 stb r2,0(r16) -8114188c: 0005883a mov r2,zero -81141890: 003f5d06 br 81141608 <__reset+0xfb121608> -81141894: 2001703a wrctl status,r4 -81141898: 00801bc4 movi r2,111 -8114189c: 80800005 stb r2,0(r16) -811418a0: 0005883a mov r2,zero -811418a4: 003f5806 br 81141608 <__reset+0xfb121608> -811418a8: 88c0020b ldhu r3,8(r17) -811418ac: 1886b03a or r3,r3,r2 -811418b0: 88c0020d sth r3,8(r17) -811418b4: 003fe006 br 81141838 <__reset+0xfb121838> -811418b8: d9800417 ldw r6,16(sp) -811418bc: 31400115 stw r5,4(r6) -811418c0: 283fc926 beq r5,zero,811417e8 <__reset+0xfb1217e8> -811418c4: 28000115 stw zero,4(r5) -811418c8: 003fc706 br 811417e8 <__reset+0xfb1217e8> - -811418cc : -811418cc: 0007303a rdctl r3,status -811418d0: 00bfff84 movi r2,-2 -811418d4: 1884703a and r2,r3,r2 -811418d8: 1001703a wrctl status,r2 -811418dc: d0a0bc17 ldw r2,-32016(gp) -811418e0: 10800b0b ldhu r2,44(r2) -811418e4: 1801703a wrctl status,r3 -811418e8: f800283a ret - -811418ec : -811418ec: 38003c26 beq r7,zero,811419e0 -811418f0: 20003d26 beq r4,zero,811419e8 -811418f4: 20c00003 ldbu r3,0(r4) -811418f8: 00800144 movi r2,5 -811418fc: 18800426 beq r3,r2,81141910 -81141900: 00800044 movi r2,1 -81141904: 38800005 stb r2,0(r7) -81141908: 0005883a mov r2,zero -8114190c: f800283a ret -81141910: defff704 addi sp,sp,-36 -81141914: dfc00815 stw ra,32(sp) -81141918: ddc00715 stw r23,28(sp) -8114191c: dd800615 stw r22,24(sp) -81141920: dd400515 stw r21,20(sp) -81141924: dd000415 stw r20,16(sp) -81141928: dcc00315 stw r19,12(sp) -8114192c: dc800215 stw r18,8(sp) -81141930: dc400115 stw r17,4(sp) -81141934: dc000015 stw r16,0(sp) -81141938: 0029303a rdctl r20,status -8114193c: 00bfff84 movi r2,-2 -81141940: a084703a and r2,r20,r2 -81141944: 1001703a wrctl status,r2 -81141948: 31803fcc andi r6,r6,255 -8114194c: 30005726 beq r6,zero,81141aac -81141950: 00800044 movi r2,1 -81141954: 3080501e bne r6,r2,81141a98 -81141958: 2080020b ldhu r2,8(r4) -8114195c: 288ab03a or r5,r5,r2 -81141960: 2805883a mov r2,r5 -81141964: 2140020d sth r5,8(r4) -81141968: 24800117 ldw r18,4(r4) -8114196c: 2021883a mov r16,r4 -81141970: 3823883a mov r17,r7 -81141974: 90005326 beq r18,zero,81141ac4 -81141978: 0027883a mov r19,zero -8114197c: 05400044 movi r21,1 -81141980: 05800084 movi r22,2 -81141984: 05c000c4 movi r23,3 -81141988: 90800483 ldbu r2,18(r18) -8114198c: 15403c26 beq r2,r21,81141a80 -81141990: 10003326 beq r2,zero,81141a60 -81141994: 15802726 beq r2,r22,81141a34 -81141998: 15c0171e bne r2,r23,811419f8 -8114199c: 9080040b ldhu r2,16(r18) -811419a0: 8140020b ldhu r5,8(r16) -811419a4: 288a703a and r5,r5,r2 -811419a8: 2800271e bne r5,zero,81141a48 -811419ac: 94800017 ldw r18,0(r18) -811419b0: 903ff51e bne r18,zero,81141988 <__reset+0xfb121988> -811419b4: a001703a wrctl status,r20 -811419b8: 9cc03fcc andi r19,r19,255 -811419bc: 9800431e bne r19,zero,81141acc -811419c0: 8080020b ldhu r2,8(r16) -811419c4: 0009303a rdctl r4,status -811419c8: 00ffff84 movi r3,-2 -811419cc: 20c6703a and r3,r4,r3 -811419d0: 1801703a wrctl status,r3 -811419d4: 2001703a wrctl status,r4 -811419d8: 88000005 stb zero,0(r17) -811419dc: 00000a06 br 81141a08 -811419e0: 0005883a mov r2,zero -811419e4: f800283a ret -811419e8: 00801b84 movi r2,110 -811419ec: 38800005 stb r2,0(r7) -811419f0: 0005883a mov r2,zero -811419f4: f800283a ret -811419f8: a001703a wrctl status,r20 -811419fc: 00801bc4 movi r2,111 -81141a00: 88800005 stb r2,0(r17) -81141a04: 0005883a mov r2,zero -81141a08: dfc00817 ldw ra,32(sp) -81141a0c: ddc00717 ldw r23,28(sp) -81141a10: dd800617 ldw r22,24(sp) -81141a14: dd400517 ldw r21,20(sp) -81141a18: dd000417 ldw r20,16(sp) -81141a1c: dcc00317 ldw r19,12(sp) -81141a20: dc800217 ldw r18,8(sp) -81141a24: dc400117 ldw r17,4(sp) -81141a28: dc000017 ldw r16,0(sp) -81141a2c: dec00904 addi sp,sp,36 -81141a30: f800283a ret -81141a34: 9080040b ldhu r2,16(r18) -81141a38: 80c0020b ldhu r3,8(r16) -81141a3c: 117fffcc andi r5,r2,65535 -81141a40: 1884703a and r2,r3,r2 -81141a44: 28bfd91e bne r5,r2,811419ac <__reset+0xfb1219ac> -81141a48: 9009883a mov r4,r18 -81141a4c: 11410200 call 81141020 -81141a50: 10803fcc andi r2,r2,255 -81141a54: 157fd51e bne r2,r21,811419ac <__reset+0xfb1219ac> -81141a58: 04c00044 movi r19,1 -81141a5c: 003fd306 br 811419ac <__reset+0xfb1219ac> -81141a60: 8080020b ldhu r2,8(r16) -81141a64: 9140040b ldhu r5,16(r18) -81141a68: 0084303a nor r2,zero,r2 -81141a6c: 1144703a and r2,r2,r5 -81141a70: 10bfffcc andi r2,r2,65535 -81141a74: 297fffcc andi r5,r5,65535 -81141a78: 28bfcc1e bne r5,r2,811419ac <__reset+0xfb1219ac> -81141a7c: 003ff206 br 81141a48 <__reset+0xfb121a48> -81141a80: 8080020b ldhu r2,8(r16) -81141a84: 9140040b ldhu r5,16(r18) -81141a88: 0084303a nor r2,zero,r2 -81141a8c: 114a703a and r5,r2,r5 -81141a90: 283fc626 beq r5,zero,811419ac <__reset+0xfb1219ac> -81141a94: 003fec06 br 81141a48 <__reset+0xfb121a48> -81141a98: a001703a wrctl status,r20 -81141a9c: 00801c44 movi r2,113 -81141aa0: 38800005 stb r2,0(r7) -81141aa4: 0005883a mov r2,zero -81141aa8: 003fd706 br 81141a08 <__reset+0xfb121a08> -81141aac: 2080020b ldhu r2,8(r4) -81141ab0: 014a303a nor r5,zero,r5 -81141ab4: 288a703a and r5,r5,r2 -81141ab8: 2805883a mov r2,r5 -81141abc: 2140020d sth r5,8(r4) -81141ac0: 003fa906 br 81141968 <__reset+0xfb121968> -81141ac4: a001703a wrctl status,r20 -81141ac8: 003fbe06 br 811419c4 <__reset+0xfb1219c4> -81141acc: 11407a00 call 811407a0 -81141ad0: 003fbb06 br 811419c0 <__reset+0xfb1219c0> - -81141ad4 : -81141ad4: 28001026 beq r5,zero,81141b18 -81141ad8: 20001126 beq r4,zero,81141b20 -81141adc: 20c00003 ldbu r3,0(r4) -81141ae0: 00800144 movi r2,5 -81141ae4: 18800426 beq r3,r2,81141af8 -81141ae8: 00800044 movi r2,1 -81141aec: 28800005 stb r2,0(r5) -81141af0: 0005883a mov r2,zero -81141af4: f800283a ret -81141af8: 0007303a rdctl r3,status -81141afc: 00bfff84 movi r2,-2 -81141b00: 1884703a and r2,r3,r2 -81141b04: 1001703a wrctl status,r2 -81141b08: 2080020b ldhu r2,8(r4) -81141b0c: 1801703a wrctl status,r3 -81141b10: 28000005 stb zero,0(r5) -81141b14: f800283a ret -81141b18: 0005883a mov r2,zero -81141b1c: f800283a ret -81141b20: 00801b84 movi r2,110 -81141b24: 28800005 stb r2,0(r5) -81141b28: 0005883a mov r2,zero -81141b2c: f800283a ret - -81141b30 : -81141b30: 01204634 movhi r4,33048 -81141b34: deffff04 addi sp,sp,-4 -81141b38: 21136c04 addi r4,r4,19888 -81141b3c: 01421004 movi r5,2112 -81141b40: dfc00015 stw ra,0(sp) -81141b44: 11407480 call 81140748 -81141b48: 00e04634 movhi r3,33048 -81141b4c: 18d36d04 addi r3,r3,19892 -81141b50: 1a3fff04 addi r8,r3,-4 -81141b54: 01c00fc4 movi r7,63 -81141b58: 19400184 addi r5,r3,6 -81141b5c: 190001c4 addi r4,r3,7 -81141b60: 4005883a mov r2,r8 -81141b64: 41820504 addi r6,r8,2068 -81141b68: 10000005 stb zero,0(r2) -81141b6c: 10800b04 addi r2,r2,44 -81141b70: 18800015 stw r2,0(r3) -81141b74: 29c00005 stb r7,0(r5) -81141b78: 20000005 stb zero,0(r4) -81141b7c: 18c00b04 addi r3,r3,44 -81141b80: 29400b04 addi r5,r5,44 -81141b84: 21000b04 addi r4,r4,44 -81141b88: 11bff71e bne r2,r6,81141b68 <__reset+0xfb121b68> -81141b8c: 10000005 stb zero,0(r2) -81141b90: 00800fc4 movi r2,63 -81141b94: 40020615 stw zero,2072(r8) -81141b98: 40820785 stb r2,2078(r8) -81141b9c: 400207c5 stb zero,2079(r8) -81141ba0: d220c015 stw r8,-32000(gp) -81141ba4: dfc00017 ldw ra,0(sp) -81141ba8: dec00104 addi sp,sp,4 -81141bac: f800283a ret - -81141bb0 : -81141bb0: 20c00117 ldw r3,4(r4) -81141bb4: 20800017 ldw r2,0(r4) -81141bb8: 18000626 beq r3,zero,81141bd4 -81141bbc: 18800015 stw r2,0(r3) -81141bc0: 10000126 beq r2,zero,81141bc8 -81141bc4: 10c00115 stw r3,4(r2) -81141bc8: 20800217 ldw r2,8(r4) -81141bcc: 10000a15 stw zero,40(r2) -81141bd0: f800283a ret -81141bd4: 20c00317 ldw r3,12(r4) -81141bd8: 18800115 stw r2,4(r3) -81141bdc: 103ffa26 beq r2,zero,81141bc8 <__reset+0xfb121bc8> -81141be0: 10000115 stw zero,4(r2) -81141be4: 20800217 ldw r2,8(r4) -81141be8: 10000a15 stw zero,40(r2) +811414ec: 00801b84 movi r2,110 +811414f0: 30800005 stb r2,0(r6) +811414f4: 0005883a mov r2,zero +811414f8: f800283a ret +811414fc: 00800304 movi r2,12 +81141500: 30800005 stb r2,0(r6) +81141504: 0005883a mov r2,zero +81141508: f800283a ret + +8114150c : +8114150c: 30001d26 beq r6,zero,81141584 +81141510: 20002b26 beq r4,zero,811415c0 +81141514: 28002d26 beq r5,zero,811415cc +81141518: d0a0bb03 ldbu r2,-32020(gp) +8114151c: 10000326 beq r2,zero,8114152c +81141520: 00800484 movi r2,18 +81141524: 30800005 stb r2,0(r6) +81141528: f800283a ret +8114152c: defffb04 addi sp,sp,-20 +81141530: dfc00415 stw ra,16(sp) +81141534: dcc00315 stw r19,12(sp) +81141538: dc800215 stw r18,8(sp) +8114153c: dc400115 stw r17,4(sp) +81141540: dc000015 stw r16,0(sp) +81141544: 0027303a rdctl r19,status +81141548: 00bfff84 movi r2,-2 +8114154c: 9884703a and r2,r19,r2 +81141550: 1001703a wrctl status,r2 +81141554: 20c00003 ldbu r3,0(r4) +81141558: 00800144 movi r2,5 +8114155c: 18800a26 beq r3,r2,81141588 +81141560: 9801703a wrctl status,r19 +81141564: 00800044 movi r2,1 +81141568: 30800005 stb r2,0(r6) +8114156c: dfc00417 ldw ra,16(sp) +81141570: dcc00317 ldw r19,12(sp) +81141574: dc800217 ldw r18,8(sp) +81141578: dc400117 ldw r17,4(sp) +8114157c: dc000017 ldw r16,0(sp) +81141580: dec00504 addi sp,sp,20 +81141584: f800283a ret +81141588: 2023883a mov r17,r4 +8114158c: 2809883a mov r4,r5 +81141590: 2825883a mov r18,r5 +81141594: 3021883a mov r16,r6 +81141598: 1140d000 call 81140d00 +8114159c: 10803fcc andi r2,r2,255 +811415a0: 00c007c4 movi r3,31 +811415a4: 18800c36 bltu r3,r2,811415d8 +811415a8: 900b883a mov r5,r18 +811415ac: 89000284 addi r4,r17,10 +811415b0: 1140cbc0 call 81140cbc +811415b4: 9801703a wrctl status,r19 +811415b8: 80000005 stb zero,0(r16) +811415bc: 003feb06 br 8114156c <__reset+0xfb12156c> +811415c0: 00801b84 movi r2,110 +811415c4: 30800005 stb r2,0(r6) +811415c8: f800283a ret +811415cc: 00800304 movi r2,12 +811415d0: 30800005 stb r2,0(r6) +811415d4: f800283a ret +811415d8: 9801703a wrctl status,r19 +811415dc: 00801cc4 movi r2,115 +811415e0: 80800005 stb r2,0(r16) +811415e4: 003fe106 br 8114156c <__reset+0xfb12156c> + +811415e8 : +811415e8: defff404 addi sp,sp,-48 +811415ec: dc000615 stw r16,24(sp) +811415f0: dc000c17 ldw r16,48(sp) +811415f4: dfc00b15 stw ra,44(sp) +811415f8: dd000a15 stw r20,40(sp) +811415fc: dcc00915 stw r19,36(sp) +81141600: dc800815 stw r18,32(sp) +81141604: dc400715 stw r17,28(sp) +81141608: 80003d26 beq r16,zero,81141700 +8114160c: 20003e26 beq r4,zero,81141708 +81141610: d0e0bb03 ldbu r3,-32020(gp) +81141614: 1800091e bne r3,zero,8114163c +81141618: d0a0a903 ldbu r2,-32092(gp) +8114161c: 1000341e bne r2,zero,811416f0 +81141620: 20c00003 ldbu r3,0(r4) +81141624: 00800144 movi r2,5 +81141628: 18800f26 beq r3,r2,81141668 +8114162c: 00800044 movi r2,1 +81141630: 80800005 stb r2,0(r16) +81141634: 0005883a mov r2,zero +81141638: 00000306 br 81141648 +8114163c: 00800084 movi r2,2 +81141640: 80800005 stb r2,0(r16) +81141644: 0005883a mov r2,zero +81141648: dfc00b17 ldw ra,44(sp) +8114164c: dd000a17 ldw r20,40(sp) +81141650: dcc00917 ldw r19,36(sp) +81141654: dc800817 ldw r18,32(sp) +81141658: dc400717 ldw r17,28(sp) +8114165c: dc000617 ldw r16,24(sp) +81141660: dec00c04 addi sp,sp,48 +81141664: f800283a ret +81141668: 00bfe004 movi r2,-128 +8114166c: 3084703a and r2,r6,r2 +81141670: 10803fcc andi r2,r2,255 +81141674: 10002826 beq r2,zero,81141718 +81141678: 32001fcc andi r8,r6,127 +8114167c: 04c00044 movi r19,1 +81141680: 2023883a mov r17,r4 +81141684: 0029303a rdctl r20,status +81141688: 00bfff84 movi r2,-2 +8114168c: a084703a and r2,r20,r2 +81141690: 1001703a wrctl status,r2 +81141694: 44803fcc andi r18,r8,255 +81141698: 00800044 movi r2,1 +8114169c: 90804126 beq r18,r2,811417a4 +811416a0: 90003526 beq r18,zero,81141778 +811416a4: 00c00084 movi r3,2 +811416a8: 90c01e26 beq r18,r3,81141724 +811416ac: 00c000c4 movi r3,3 +811416b0: 90c02c1e bne r18,r3,81141764 +811416b4: 2100020b ldhu r4,8(r4) +811416b8: 2906703a and r3,r5,r4 +811416bc: 19bfffcc andi r6,r3,65535 +811416c0: 30004226 beq r6,zero,811417cc +811416c4: 9cc03fcc andi r19,r19,255 +811416c8: 9880031e bne r19,r2,811416d8 +811416cc: 00c4303a nor r2,zero,r3 +811416d0: 1108703a and r4,r2,r4 +811416d4: 8900020d sth r4,8(r17) +811416d8: d0a0bc17 ldw r2,-32016(gp) +811416dc: 10c00b0d sth r3,44(r2) +811416e0: a001703a wrctl status,r20 +811416e4: 80000005 stb zero,0(r16) +811416e8: 1805883a mov r2,r3 +811416ec: 003fd606 br 81141648 <__reset+0xfb121648> +811416f0: 00800344 movi r2,13 +811416f4: 80800005 stb r2,0(r16) +811416f8: 0005883a mov r2,zero +811416fc: 003fd206 br 81141648 <__reset+0xfb121648> +81141700: 0005883a mov r2,zero +81141704: 003fd006 br 81141648 <__reset+0xfb121648> +81141708: 00801b84 movi r2,110 +8114170c: 80800005 stb r2,0(r16) +81141710: 0005883a mov r2,zero +81141714: 003fcc06 br 81141648 <__reset+0xfb121648> +81141718: 3011883a mov r8,r6 +8114171c: 0027883a mov r19,zero +81141720: 003fd706 br 81141680 <__reset+0xfb121680> +81141724: 2100020b ldhu r4,8(r4) +81141728: 29bfffcc andi r6,r5,65535 +8114172c: 2906703a and r3,r5,r4 +81141730: 18ffffcc andi r3,r3,65535 +81141734: 30c05b1e bne r6,r3,811418a4 +81141738: 98c03fcc andi r3,r19,255 +8114173c: 1880031e bne r3,r2,8114174c +81141740: 0144303a nor r2,zero,r5 +81141744: 1108703a and r4,r2,r4 +81141748: 8900020d sth r4,8(r17) +8114174c: d0a0bc17 ldw r2,-32016(gp) +81141750: 11400b0d sth r5,44(r2) +81141754: a001703a wrctl status,r20 +81141758: 80000005 stb zero,0(r16) +8114175c: 2805883a mov r2,r5 +81141760: 003fb906 br 81141648 <__reset+0xfb121648> +81141764: a001703a wrctl status,r20 +81141768: 00801bc4 movi r2,111 +8114176c: 80800005 stb r2,0(r16) +81141770: 0005883a mov r2,zero +81141774: 003fb406 br 81141648 <__reset+0xfb121648> +81141778: 2100020b ldhu r4,8(r4) +8114177c: 29bfffcc andi r6,r5,65535 +81141780: 0106303a nor r3,zero,r4 +81141784: 28c6703a and r3,r5,r3 +81141788: 18ffffcc andi r3,r3,65535 +8114178c: 30c03d1e bne r6,r3,81141884 +81141790: 98c03fcc andi r3,r19,255 +81141794: 18bfed1e bne r3,r2,8114174c <__reset+0xfb12174c> +81141798: 2908b03a or r4,r5,r4 +8114179c: 8900020d sth r4,8(r17) +811417a0: 003fea06 br 8114174c <__reset+0xfb12174c> +811417a4: 2080020b ldhu r2,8(r4) +811417a8: 0086303a nor r3,zero,r2 +811417ac: 28c6703a and r3,r5,r3 +811417b0: 193fffcc andi r4,r3,65535 +811417b4: 20000526 beq r4,zero,811417cc +811417b8: 9cc03fcc andi r19,r19,255 +811417bc: 9cbfc61e bne r19,r18,811416d8 <__reset+0xfb1216d8> +811417c0: 1884b03a or r2,r3,r2 +811417c4: 8880020d sth r2,8(r17) +811417c8: 003fc306 br 811416d8 <__reset+0xfb1216d8> +811417cc: 39ffffcc andi r7,r7,65535 +811417d0: d9c00015 stw r7,0(sp) +811417d4: 29bfffcc andi r6,r5,65535 +811417d8: 900f883a mov r7,r18 +811417dc: d9400104 addi r5,sp,4 +811417e0: 8809883a mov r4,r17 +811417e4: 1140fd80 call 81140fd8 +811417e8: a001703a wrctl status,r20 +811417ec: 11407e00 call 811407e0 +811417f0: 0009303a rdctl r4,status +811417f4: 00bfff84 movi r2,-2 +811417f8: 2084703a and r2,r4,r2 +811417fc: 1001703a wrctl status,r2 +81141800: d0a0bc17 ldw r2,-32016(gp) +81141804: 10c00c43 ldbu r3,49(r2) +81141808: 18001126 beq r3,zero,81141850 +8114180c: d9800217 ldw r6,8(sp) +81141810: 10000c45 stb zero,49(r2) +81141814: d9400117 ldw r5,4(sp) +81141818: 30003726 beq r6,zero,811418f8 +8114181c: 31400015 stw r5,0(r6) +81141820: 28000126 beq r5,zero,81141828 +81141824: 29800115 stw r6,4(r5) +81141828: d9400317 ldw r5,12(sp) +8114182c: 28000a15 stw zero,40(r5) +81141830: 10000c05 stb zero,48(r2) +81141834: 2001703a wrctl status,r4 +81141838: 00800084 movi r2,2 +8114183c: 1880211e bne r3,r2,811418c4 +81141840: 00800384 movi r2,14 +81141844: 80800005 stb r2,0(r16) +81141848: 0005883a mov r2,zero +8114184c: 003f7e06 br 81141648 <__reset+0xfb121648> +81141850: 98c03fcc andi r3,r19,255 +81141854: 10800b0b ldhu r2,44(r2) +81141858: 18000726 beq r3,zero,81141878 +8114185c: 1c80222e bgeu r3,r18,811418e8 +81141860: 00c000c4 movi r3,3 +81141864: 1c801b36 bltu r3,r18,811418d4 +81141868: 88c0020b ldhu r3,8(r17) +8114186c: 008a303a nor r5,zero,r2 +81141870: 28c6703a and r3,r5,r3 +81141874: 88c0020d sth r3,8(r17) +81141878: 2001703a wrctl status,r4 +8114187c: 80000005 stb zero,0(r16) +81141880: 003f7106 br 81141648 <__reset+0xfb121648> +81141884: 39ffffcc andi r7,r7,65535 +81141888: d9c00015 stw r7,0(sp) +8114188c: d9400104 addi r5,sp,4 +81141890: 000f883a mov r7,zero +81141894: 8809883a mov r4,r17 +81141898: 1140fd80 call 81140fd8 +8114189c: a001703a wrctl status,r20 +811418a0: 003fd206 br 811417ec <__reset+0xfb1217ec> +811418a4: 39ffffcc andi r7,r7,65535 +811418a8: d9c00015 stw r7,0(sp) +811418ac: d9400104 addi r5,sp,4 +811418b0: 900f883a mov r7,r18 +811418b4: 8809883a mov r4,r17 +811418b8: 1140fd80 call 81140fd8 +811418bc: a001703a wrctl status,r20 +811418c0: 003fca06 br 811417ec <__reset+0xfb1217ec> +811418c4: 00800284 movi r2,10 +811418c8: 80800005 stb r2,0(r16) +811418cc: 0005883a mov r2,zero +811418d0: 003f5d06 br 81141648 <__reset+0xfb121648> +811418d4: 2001703a wrctl status,r4 +811418d8: 00801bc4 movi r2,111 +811418dc: 80800005 stb r2,0(r16) +811418e0: 0005883a mov r2,zero +811418e4: 003f5806 br 81141648 <__reset+0xfb121648> +811418e8: 88c0020b ldhu r3,8(r17) +811418ec: 1886b03a or r3,r3,r2 +811418f0: 88c0020d sth r3,8(r17) +811418f4: 003fe006 br 81141878 <__reset+0xfb121878> +811418f8: d9800417 ldw r6,16(sp) +811418fc: 31400115 stw r5,4(r6) +81141900: 283fc926 beq r5,zero,81141828 <__reset+0xfb121828> +81141904: 28000115 stw zero,4(r5) +81141908: 003fc706 br 81141828 <__reset+0xfb121828> + +8114190c : +8114190c: 0007303a rdctl r3,status +81141910: 00bfff84 movi r2,-2 +81141914: 1884703a and r2,r3,r2 +81141918: 1001703a wrctl status,r2 +8114191c: d0a0bc17 ldw r2,-32016(gp) +81141920: 10800b0b ldhu r2,44(r2) +81141924: 1801703a wrctl status,r3 +81141928: f800283a ret + +8114192c : +8114192c: 38003c26 beq r7,zero,81141a20 +81141930: 20003d26 beq r4,zero,81141a28 +81141934: 20c00003 ldbu r3,0(r4) +81141938: 00800144 movi r2,5 +8114193c: 18800426 beq r3,r2,81141950 +81141940: 00800044 movi r2,1 +81141944: 38800005 stb r2,0(r7) +81141948: 0005883a mov r2,zero +8114194c: f800283a ret +81141950: defff704 addi sp,sp,-36 +81141954: dfc00815 stw ra,32(sp) +81141958: ddc00715 stw r23,28(sp) +8114195c: dd800615 stw r22,24(sp) +81141960: dd400515 stw r21,20(sp) +81141964: dd000415 stw r20,16(sp) +81141968: dcc00315 stw r19,12(sp) +8114196c: dc800215 stw r18,8(sp) +81141970: dc400115 stw r17,4(sp) +81141974: dc000015 stw r16,0(sp) +81141978: 0029303a rdctl r20,status +8114197c: 00bfff84 movi r2,-2 +81141980: a084703a and r2,r20,r2 +81141984: 1001703a wrctl status,r2 +81141988: 31803fcc andi r6,r6,255 +8114198c: 30005726 beq r6,zero,81141aec +81141990: 00800044 movi r2,1 +81141994: 3080501e bne r6,r2,81141ad8 +81141998: 2080020b ldhu r2,8(r4) +8114199c: 288ab03a or r5,r5,r2 +811419a0: 2805883a mov r2,r5 +811419a4: 2140020d sth r5,8(r4) +811419a8: 24800117 ldw r18,4(r4) +811419ac: 2021883a mov r16,r4 +811419b0: 3823883a mov r17,r7 +811419b4: 90005326 beq r18,zero,81141b04 +811419b8: 0027883a mov r19,zero +811419bc: 05400044 movi r21,1 +811419c0: 05800084 movi r22,2 +811419c4: 05c000c4 movi r23,3 +811419c8: 90800483 ldbu r2,18(r18) +811419cc: 15403c26 beq r2,r21,81141ac0 +811419d0: 10003326 beq r2,zero,81141aa0 +811419d4: 15802726 beq r2,r22,81141a74 +811419d8: 15c0171e bne r2,r23,81141a38 +811419dc: 9080040b ldhu r2,16(r18) +811419e0: 8140020b ldhu r5,8(r16) +811419e4: 288a703a and r5,r5,r2 +811419e8: 2800271e bne r5,zero,81141a88 +811419ec: 94800017 ldw r18,0(r18) +811419f0: 903ff51e bne r18,zero,811419c8 <__reset+0xfb1219c8> +811419f4: a001703a wrctl status,r20 +811419f8: 9cc03fcc andi r19,r19,255 +811419fc: 9800431e bne r19,zero,81141b0c +81141a00: 8080020b ldhu r2,8(r16) +81141a04: 0009303a rdctl r4,status +81141a08: 00ffff84 movi r3,-2 +81141a0c: 20c6703a and r3,r4,r3 +81141a10: 1801703a wrctl status,r3 +81141a14: 2001703a wrctl status,r4 +81141a18: 88000005 stb zero,0(r17) +81141a1c: 00000a06 br 81141a48 +81141a20: 0005883a mov r2,zero +81141a24: f800283a ret +81141a28: 00801b84 movi r2,110 +81141a2c: 38800005 stb r2,0(r7) +81141a30: 0005883a mov r2,zero +81141a34: f800283a ret +81141a38: a001703a wrctl status,r20 +81141a3c: 00801bc4 movi r2,111 +81141a40: 88800005 stb r2,0(r17) +81141a44: 0005883a mov r2,zero +81141a48: dfc00817 ldw ra,32(sp) +81141a4c: ddc00717 ldw r23,28(sp) +81141a50: dd800617 ldw r22,24(sp) +81141a54: dd400517 ldw r21,20(sp) +81141a58: dd000417 ldw r20,16(sp) +81141a5c: dcc00317 ldw r19,12(sp) +81141a60: dc800217 ldw r18,8(sp) +81141a64: dc400117 ldw r17,4(sp) +81141a68: dc000017 ldw r16,0(sp) +81141a6c: dec00904 addi sp,sp,36 +81141a70: f800283a ret +81141a74: 9080040b ldhu r2,16(r18) +81141a78: 80c0020b ldhu r3,8(r16) +81141a7c: 117fffcc andi r5,r2,65535 +81141a80: 1884703a and r2,r3,r2 +81141a84: 28bfd91e bne r5,r2,811419ec <__reset+0xfb1219ec> +81141a88: 9009883a mov r4,r18 +81141a8c: 11410600 call 81141060 +81141a90: 10803fcc andi r2,r2,255 +81141a94: 157fd51e bne r2,r21,811419ec <__reset+0xfb1219ec> +81141a98: 04c00044 movi r19,1 +81141a9c: 003fd306 br 811419ec <__reset+0xfb1219ec> +81141aa0: 8080020b ldhu r2,8(r16) +81141aa4: 9140040b ldhu r5,16(r18) +81141aa8: 0084303a nor r2,zero,r2 +81141aac: 1144703a and r2,r2,r5 +81141ab0: 10bfffcc andi r2,r2,65535 +81141ab4: 297fffcc andi r5,r5,65535 +81141ab8: 28bfcc1e bne r5,r2,811419ec <__reset+0xfb1219ec> +81141abc: 003ff206 br 81141a88 <__reset+0xfb121a88> +81141ac0: 8080020b ldhu r2,8(r16) +81141ac4: 9140040b ldhu r5,16(r18) +81141ac8: 0084303a nor r2,zero,r2 +81141acc: 114a703a and r5,r2,r5 +81141ad0: 283fc626 beq r5,zero,811419ec <__reset+0xfb1219ec> +81141ad4: 003fec06 br 81141a88 <__reset+0xfb121a88> +81141ad8: a001703a wrctl status,r20 +81141adc: 00801c44 movi r2,113 +81141ae0: 38800005 stb r2,0(r7) +81141ae4: 0005883a mov r2,zero +81141ae8: 003fd706 br 81141a48 <__reset+0xfb121a48> +81141aec: 2080020b ldhu r2,8(r4) +81141af0: 014a303a nor r5,zero,r5 +81141af4: 288a703a and r5,r5,r2 +81141af8: 2805883a mov r2,r5 +81141afc: 2140020d sth r5,8(r4) +81141b00: 003fa906 br 811419a8 <__reset+0xfb1219a8> +81141b04: a001703a wrctl status,r20 +81141b08: 003fbe06 br 81141a04 <__reset+0xfb121a04> +81141b0c: 11407e00 call 811407e0 +81141b10: 003fbb06 br 81141a00 <__reset+0xfb121a00> + +81141b14 : +81141b14: 28001026 beq r5,zero,81141b58 +81141b18: 20001126 beq r4,zero,81141b60 +81141b1c: 20c00003 ldbu r3,0(r4) +81141b20: 00800144 movi r2,5 +81141b24: 18800426 beq r3,r2,81141b38 +81141b28: 00800044 movi r2,1 +81141b2c: 28800005 stb r2,0(r5) +81141b30: 0005883a mov r2,zero +81141b34: f800283a ret +81141b38: 0007303a rdctl r3,status +81141b3c: 00bfff84 movi r2,-2 +81141b40: 1884703a and r2,r3,r2 +81141b44: 1001703a wrctl status,r2 +81141b48: 2080020b ldhu r2,8(r4) +81141b4c: 1801703a wrctl status,r3 +81141b50: 28000005 stb zero,0(r5) +81141b54: f800283a ret +81141b58: 0005883a mov r2,zero +81141b5c: f800283a ret +81141b60: 00801b84 movi r2,110 +81141b64: 28800005 stb r2,0(r5) +81141b68: 0005883a mov r2,zero +81141b6c: f800283a ret + +81141b70 : +81141b70: 01204634 movhi r4,33048 +81141b74: deffff04 addi sp,sp,-4 +81141b78: 21137d04 addi r4,r4,19956 +81141b7c: 01421004 movi r5,2112 +81141b80: dfc00015 stw ra,0(sp) +81141b84: 11407880 call 81140788 +81141b88: 00e04634 movhi r3,33048 +81141b8c: 18d37e04 addi r3,r3,19960 +81141b90: 1a3fff04 addi r8,r3,-4 +81141b94: 01c00fc4 movi r7,63 +81141b98: 19400184 addi r5,r3,6 +81141b9c: 190001c4 addi r4,r3,7 +81141ba0: 4005883a mov r2,r8 +81141ba4: 41820504 addi r6,r8,2068 +81141ba8: 10000005 stb zero,0(r2) +81141bac: 10800b04 addi r2,r2,44 +81141bb0: 18800015 stw r2,0(r3) +81141bb4: 29c00005 stb r7,0(r5) +81141bb8: 20000005 stb zero,0(r4) +81141bbc: 18c00b04 addi r3,r3,44 +81141bc0: 29400b04 addi r5,r5,44 +81141bc4: 21000b04 addi r4,r4,44 +81141bc8: 11bff71e bne r2,r6,81141ba8 <__reset+0xfb121ba8> +81141bcc: 10000005 stb zero,0(r2) +81141bd0: 00800fc4 movi r2,63 +81141bd4: 40020615 stw zero,2072(r8) +81141bd8: 40820785 stb r2,2078(r8) +81141bdc: 400207c5 stb zero,2079(r8) +81141be0: d220c015 stw r8,-32000(gp) +81141be4: dfc00017 ldw ra,0(sp) +81141be8: dec00104 addi sp,sp,4 81141bec: f800283a ret -81141bf0 : -81141bf0: 38002d26 beq r7,zero,81141ca8 -81141bf4: 20002e26 beq r4,zero,81141cb0 -81141bf8: 208000cc andi r2,r4,3 -81141bfc: 10002c1e bne r2,zero,81141cb0 -81141c00: 00800044 movi r2,1 -81141c04: 11402e2e bgeu r2,r5,81141cc0 -81141c08: 008000c4 movi r2,3 -81141c0c: 11801f2e bgeu r2,r6,81141c8c -81141c10: 0007303a rdctl r3,status -81141c14: 00bfff84 movi r2,-2 -81141c18: 1884703a and r2,r3,r2 -81141c1c: 1001703a wrctl status,r2 -81141c20: d2a0b517 ldw r10,-32044(gp) -81141c24: 50001d26 beq r10,zero,81141c9c -81141c28: 50800117 ldw r2,4(r10) -81141c2c: d0a0b515 stw r2,-32044(gp) -81141c30: 1801703a wrctl status,r3 -81141c34: 2197883a add r11,r4,r6 -81141c38: 2a7fffc4 addi r9,r5,-1 -81141c3c: 5805883a mov r2,r11 -81141c40: 2011883a mov r8,r4 -81141c44: 0007883a mov r3,zero -81141c48: 40800015 stw r2,0(r8) -81141c4c: 18c00044 addi r3,r3,1 -81141c50: 1011883a mov r8,r2 -81141c54: 1185883a add r2,r2,r6 -81141c58: 48fffb1e bne r9,r3,81141c48 <__reset+0xfb121c48> -81141c5c: 28ffff84 addi r3,r5,-2 -81141c60: 1987383a mul r3,r3,r6 -81141c64: 5005883a mov r2,r10 -81141c68: 58c7883a add r3,r11,r3 -81141c6c: 18000015 stw zero,0(r3) -81141c70: 51000015 stw r4,0(r10) -81141c74: 51000115 stw r4,4(r10) -81141c78: 51400415 stw r5,16(r10) -81141c7c: 51400315 stw r5,12(r10) -81141c80: 51800215 stw r6,8(r10) -81141c84: 38000005 stb zero,0(r7) -81141c88: f800283a ret -81141c8c: 00801704 movi r2,92 -81141c90: 38800005 stb r2,0(r7) -81141c94: 0005883a mov r2,zero -81141c98: f800283a ret -81141c9c: 1801703a wrctl status,r3 -81141ca0: 00801684 movi r2,90 -81141ca4: 38800005 stb r2,0(r7) -81141ca8: 0005883a mov r2,zero -81141cac: f800283a ret -81141cb0: 00801884 movi r2,98 -81141cb4: 38800005 stb r2,0(r7) -81141cb8: 0005883a mov r2,zero -81141cbc: f800283a ret -81141cc0: 008016c4 movi r2,91 -81141cc4: 38800005 stb r2,0(r7) -81141cc8: 0005883a mov r2,zero -81141ccc: f800283a ret - -81141cd0 : -81141cd0: 28001826 beq r5,zero,81141d34 -81141cd4: 20001326 beq r4,zero,81141d24 -81141cd8: 000d303a rdctl r6,status -81141cdc: 00bfff84 movi r2,-2 -81141ce0: 3084703a and r2,r6,r2 -81141ce4: 1001703a wrctl status,r2 -81141ce8: 20c00417 ldw r3,16(r4) -81141cec: 18000826 beq r3,zero,81141d10 -81141cf0: 20800117 ldw r2,4(r4) -81141cf4: 18ffffc4 addi r3,r3,-1 -81141cf8: 11c00017 ldw r7,0(r2) -81141cfc: 20c00415 stw r3,16(r4) -81141d00: 21c00115 stw r7,4(r4) -81141d04: 3001703a wrctl status,r6 -81141d08: 28000005 stb zero,0(r5) +81141bf0 : +81141bf0: 20c00117 ldw r3,4(r4) +81141bf4: 20800017 ldw r2,0(r4) +81141bf8: 18000626 beq r3,zero,81141c14 +81141bfc: 18800015 stw r2,0(r3) +81141c00: 10000126 beq r2,zero,81141c08 +81141c04: 10c00115 stw r3,4(r2) +81141c08: 20800217 ldw r2,8(r4) +81141c0c: 10000a15 stw zero,40(r2) +81141c10: f800283a ret +81141c14: 20c00317 ldw r3,12(r4) +81141c18: 18800115 stw r2,4(r3) +81141c1c: 103ffa26 beq r2,zero,81141c08 <__reset+0xfb121c08> +81141c20: 10000115 stw zero,4(r2) +81141c24: 20800217 ldw r2,8(r4) +81141c28: 10000a15 stw zero,40(r2) +81141c2c: f800283a ret + +81141c30 : +81141c30: 38002d26 beq r7,zero,81141ce8 +81141c34: 20002e26 beq r4,zero,81141cf0 +81141c38: 208000cc andi r2,r4,3 +81141c3c: 10002c1e bne r2,zero,81141cf0 +81141c40: 00800044 movi r2,1 +81141c44: 11402e2e bgeu r2,r5,81141d00 +81141c48: 008000c4 movi r2,3 +81141c4c: 11801f2e bgeu r2,r6,81141ccc +81141c50: 0007303a rdctl r3,status +81141c54: 00bfff84 movi r2,-2 +81141c58: 1884703a and r2,r3,r2 +81141c5c: 1001703a wrctl status,r2 +81141c60: d2a0b517 ldw r10,-32044(gp) +81141c64: 50001d26 beq r10,zero,81141cdc +81141c68: 50800117 ldw r2,4(r10) +81141c6c: d0a0b515 stw r2,-32044(gp) +81141c70: 1801703a wrctl status,r3 +81141c74: 2197883a add r11,r4,r6 +81141c78: 2a7fffc4 addi r9,r5,-1 +81141c7c: 5805883a mov r2,r11 +81141c80: 2011883a mov r8,r4 +81141c84: 0007883a mov r3,zero +81141c88: 40800015 stw r2,0(r8) +81141c8c: 18c00044 addi r3,r3,1 +81141c90: 1011883a mov r8,r2 +81141c94: 1185883a add r2,r2,r6 +81141c98: 48fffb1e bne r9,r3,81141c88 <__reset+0xfb121c88> +81141c9c: 28ffff84 addi r3,r5,-2 +81141ca0: 1987383a mul r3,r3,r6 +81141ca4: 5005883a mov r2,r10 +81141ca8: 58c7883a add r3,r11,r3 +81141cac: 18000015 stw zero,0(r3) +81141cb0: 51000015 stw r4,0(r10) +81141cb4: 51000115 stw r4,4(r10) +81141cb8: 51400415 stw r5,16(r10) +81141cbc: 51400315 stw r5,12(r10) +81141cc0: 51800215 stw r6,8(r10) +81141cc4: 38000005 stb zero,0(r7) +81141cc8: f800283a ret +81141ccc: 00801704 movi r2,92 +81141cd0: 38800005 stb r2,0(r7) +81141cd4: 0005883a mov r2,zero +81141cd8: f800283a ret +81141cdc: 1801703a wrctl status,r3 +81141ce0: 00801684 movi r2,90 +81141ce4: 38800005 stb r2,0(r7) +81141ce8: 0005883a mov r2,zero +81141cec: f800283a ret +81141cf0: 00801884 movi r2,98 +81141cf4: 38800005 stb r2,0(r7) +81141cf8: 0005883a mov r2,zero +81141cfc: f800283a ret +81141d00: 008016c4 movi r2,91 +81141d04: 38800005 stb r2,0(r7) +81141d08: 0005883a mov r2,zero 81141d0c: f800283a ret -81141d10: 3001703a wrctl status,r6 -81141d14: 00801744 movi r2,93 -81141d18: 28800005 stb r2,0(r5) -81141d1c: 0005883a mov r2,zero -81141d20: f800283a ret -81141d24: 00801804 movi r2,96 -81141d28: 28800005 stb r2,0(r5) -81141d2c: 0005883a mov r2,zero -81141d30: f800283a ret -81141d34: 0005883a mov r2,zero -81141d38: f800283a ret - -81141d3c : -81141d3c: 30001c26 beq r6,zero,81141db0 -81141d40: 20001d26 beq r4,zero,81141db8 -81141d44: 28002026 beq r5,zero,81141dc8 -81141d48: d0a0bb03 ldbu r2,-32020(gp) -81141d4c: 10000426 beq r2,zero,81141d60 -81141d50: 00800444 movi r2,17 -81141d54: 30800005 stb r2,0(r6) -81141d58: 0005883a mov r2,zero -81141d5c: f800283a ret -81141d60: defffd04 addi sp,sp,-12 -81141d64: dc000015 stw r16,0(sp) -81141d68: 2805883a mov r2,r5 -81141d6c: 3021883a mov r16,r6 -81141d70: dfc00215 stw ra,8(sp) -81141d74: dc400115 stw r17,4(sp) -81141d78: 0023303a rdctl r17,status -81141d7c: 00ffff84 movi r3,-2 -81141d80: 88c6703a and r3,r17,r3 -81141d84: 1801703a wrctl status,r3 -81141d88: 21400504 addi r5,r4,20 -81141d8c: 1009883a mov r4,r2 -81141d90: 1140c7c0 call 81140c7c -81141d94: 8801703a wrctl status,r17 -81141d98: 80000005 stb zero,0(r16) -81141d9c: dfc00217 ldw ra,8(sp) -81141da0: dc400117 ldw r17,4(sp) -81141da4: dc000017 ldw r16,0(sp) -81141da8: dec00304 addi sp,sp,12 -81141dac: f800283a ret -81141db0: 0005883a mov r2,zero -81141db4: f800283a ret -81141db8: 00801804 movi r2,96 -81141dbc: 30800005 stb r2,0(r6) -81141dc0: 0005883a mov r2,zero -81141dc4: f800283a ret -81141dc8: 00800304 movi r2,12 -81141dcc: 30800005 stb r2,0(r6) -81141dd0: 0005883a mov r2,zero -81141dd4: f800283a ret - -81141dd8 : -81141dd8: 30002426 beq r6,zero,81141e6c -81141ddc: 20002826 beq r4,zero,81141e80 -81141de0: 28002a26 beq r5,zero,81141e8c -81141de4: d0a0bb03 ldbu r2,-32020(gp) -81141de8: 10000326 beq r2,zero,81141df8 -81141dec: 00800484 movi r2,18 -81141df0: 30800005 stb r2,0(r6) + +81141d10 : +81141d10: 28001826 beq r5,zero,81141d74 +81141d14: 20001326 beq r4,zero,81141d64 +81141d18: 000d303a rdctl r6,status +81141d1c: 00bfff84 movi r2,-2 +81141d20: 3084703a and r2,r6,r2 +81141d24: 1001703a wrctl status,r2 +81141d28: 20c00417 ldw r3,16(r4) +81141d2c: 18000826 beq r3,zero,81141d50 +81141d30: 20800117 ldw r2,4(r4) +81141d34: 18ffffc4 addi r3,r3,-1 +81141d38: 11c00017 ldw r7,0(r2) +81141d3c: 20c00415 stw r3,16(r4) +81141d40: 21c00115 stw r7,4(r4) +81141d44: 3001703a wrctl status,r6 +81141d48: 28000005 stb zero,0(r5) +81141d4c: f800283a ret +81141d50: 3001703a wrctl status,r6 +81141d54: 00801744 movi r2,93 +81141d58: 28800005 stb r2,0(r5) +81141d5c: 0005883a mov r2,zero +81141d60: f800283a ret +81141d64: 00801804 movi r2,96 +81141d68: 28800005 stb r2,0(r5) +81141d6c: 0005883a mov r2,zero +81141d70: f800283a ret +81141d74: 0005883a mov r2,zero +81141d78: f800283a ret + +81141d7c : +81141d7c: 30001c26 beq r6,zero,81141df0 +81141d80: 20001d26 beq r4,zero,81141df8 +81141d84: 28002026 beq r5,zero,81141e08 +81141d88: d0a0bb03 ldbu r2,-32020(gp) +81141d8c: 10000426 beq r2,zero,81141da0 +81141d90: 00800444 movi r2,17 +81141d94: 30800005 stb r2,0(r6) +81141d98: 0005883a mov r2,zero +81141d9c: f800283a ret +81141da0: defffd04 addi sp,sp,-12 +81141da4: dc000015 stw r16,0(sp) +81141da8: 2805883a mov r2,r5 +81141dac: 3021883a mov r16,r6 +81141db0: dfc00215 stw ra,8(sp) +81141db4: dc400115 stw r17,4(sp) +81141db8: 0023303a rdctl r17,status +81141dbc: 00ffff84 movi r3,-2 +81141dc0: 88c6703a and r3,r17,r3 +81141dc4: 1801703a wrctl status,r3 +81141dc8: 21400504 addi r5,r4,20 +81141dcc: 1009883a mov r4,r2 +81141dd0: 1140cbc0 call 81140cbc +81141dd4: 8801703a wrctl status,r17 +81141dd8: 80000005 stb zero,0(r16) +81141ddc: dfc00217 ldw ra,8(sp) +81141de0: dc400117 ldw r17,4(sp) +81141de4: dc000017 ldw r16,0(sp) +81141de8: dec00304 addi sp,sp,12 +81141dec: f800283a ret +81141df0: 0005883a mov r2,zero 81141df4: f800283a ret -81141df8: defffb04 addi sp,sp,-20 -81141dfc: dc800215 stw r18,8(sp) -81141e00: dc400115 stw r17,4(sp) -81141e04: dc000015 stw r16,0(sp) -81141e08: 2823883a mov r17,r5 -81141e0c: 2025883a mov r18,r4 -81141e10: 3021883a mov r16,r6 -81141e14: dfc00415 stw ra,16(sp) -81141e18: dcc00315 stw r19,12(sp) -81141e1c: 0027303a rdctl r19,status -81141e20: 00bfff84 movi r2,-2 -81141e24: 9884703a and r2,r19,r2 -81141e28: 1001703a wrctl status,r2 -81141e2c: 2809883a mov r4,r5 -81141e30: 1140cc00 call 81140cc0 -81141e34: 10803fcc andi r2,r2,255 -81141e38: 00c007c4 movi r3,31 -81141e3c: 18800c36 bltu r3,r2,81141e70 -81141e40: 880b883a mov r5,r17 -81141e44: 91000504 addi r4,r18,20 -81141e48: 1140c7c0 call 81140c7c -81141e4c: 9801703a wrctl status,r19 -81141e50: 80000005 stb zero,0(r16) -81141e54: dfc00417 ldw ra,16(sp) -81141e58: dcc00317 ldw r19,12(sp) -81141e5c: dc800217 ldw r18,8(sp) -81141e60: dc400117 ldw r17,4(sp) -81141e64: dc000017 ldw r16,0(sp) -81141e68: dec00504 addi sp,sp,20 -81141e6c: f800283a ret -81141e70: 9801703a wrctl status,r19 -81141e74: 008018c4 movi r2,99 -81141e78: 80800005 stb r2,0(r16) -81141e7c: 003ff506 br 81141e54 <__reset+0xfb121e54> -81141e80: 00801804 movi r2,96 -81141e84: 30800005 stb r2,0(r6) -81141e88: f800283a ret -81141e8c: 00800304 movi r2,12 -81141e90: 30800005 stb r2,0(r6) -81141e94: f800283a ret - -81141e98 : -81141e98: 20000d26 beq r4,zero,81141ed0 -81141e9c: 28000a26 beq r5,zero,81141ec8 -81141ea0: 0007303a rdctl r3,status -81141ea4: 00bfff84 movi r2,-2 -81141ea8: 1884703a and r2,r3,r2 -81141eac: 1001703a wrctl status,r2 -81141eb0: 20800417 ldw r2,16(r4) -81141eb4: 21800317 ldw r6,12(r4) -81141eb8: 11800736 bltu r2,r6,81141ed8 -81141ebc: 1801703a wrctl status,r3 -81141ec0: 00801784 movi r2,94 -81141ec4: f800283a ret -81141ec8: 008017c4 movi r2,95 -81141ecc: f800283a ret -81141ed0: 00801804 movi r2,96 +81141df8: 00801804 movi r2,96 +81141dfc: 30800005 stb r2,0(r6) +81141e00: 0005883a mov r2,zero +81141e04: f800283a ret +81141e08: 00800304 movi r2,12 +81141e0c: 30800005 stb r2,0(r6) +81141e10: 0005883a mov r2,zero +81141e14: f800283a ret + +81141e18 : +81141e18: 30002426 beq r6,zero,81141eac +81141e1c: 20002826 beq r4,zero,81141ec0 +81141e20: 28002a26 beq r5,zero,81141ecc +81141e24: d0a0bb03 ldbu r2,-32020(gp) +81141e28: 10000326 beq r2,zero,81141e38 +81141e2c: 00800484 movi r2,18 +81141e30: 30800005 stb r2,0(r6) +81141e34: f800283a ret +81141e38: defffb04 addi sp,sp,-20 +81141e3c: dc800215 stw r18,8(sp) +81141e40: dc400115 stw r17,4(sp) +81141e44: dc000015 stw r16,0(sp) +81141e48: 2823883a mov r17,r5 +81141e4c: 2025883a mov r18,r4 +81141e50: 3021883a mov r16,r6 +81141e54: dfc00415 stw ra,16(sp) +81141e58: dcc00315 stw r19,12(sp) +81141e5c: 0027303a rdctl r19,status +81141e60: 00bfff84 movi r2,-2 +81141e64: 9884703a and r2,r19,r2 +81141e68: 1001703a wrctl status,r2 +81141e6c: 2809883a mov r4,r5 +81141e70: 1140d000 call 81140d00 +81141e74: 10803fcc andi r2,r2,255 +81141e78: 00c007c4 movi r3,31 +81141e7c: 18800c36 bltu r3,r2,81141eb0 +81141e80: 880b883a mov r5,r17 +81141e84: 91000504 addi r4,r18,20 +81141e88: 1140cbc0 call 81140cbc +81141e8c: 9801703a wrctl status,r19 +81141e90: 80000005 stb zero,0(r16) +81141e94: dfc00417 ldw ra,16(sp) +81141e98: dcc00317 ldw r19,12(sp) +81141e9c: dc800217 ldw r18,8(sp) +81141ea0: dc400117 ldw r17,4(sp) +81141ea4: dc000017 ldw r16,0(sp) +81141ea8: dec00504 addi sp,sp,20 +81141eac: f800283a ret +81141eb0: 9801703a wrctl status,r19 +81141eb4: 008018c4 movi r2,99 +81141eb8: 80800005 stb r2,0(r16) +81141ebc: 003ff506 br 81141e94 <__reset+0xfb121e94> +81141ec0: 00801804 movi r2,96 +81141ec4: 30800005 stb r2,0(r6) +81141ec8: f800283a ret +81141ecc: 00800304 movi r2,12 +81141ed0: 30800005 stb r2,0(r6) 81141ed4: f800283a ret -81141ed8: 21800117 ldw r6,4(r4) -81141edc: 10800044 addi r2,r2,1 -81141ee0: 29800015 stw r6,0(r5) -81141ee4: 21400115 stw r5,4(r4) -81141ee8: 20800415 stw r2,16(r4) -81141eec: 1801703a wrctl status,r3 -81141ef0: 0005883a mov r2,zero -81141ef4: f800283a ret - -81141ef8 : -81141ef8: 20001626 beq r4,zero,81141f54 -81141efc: 28001326 beq r5,zero,81141f4c -81141f00: 000d303a rdctl r6,status -81141f04: 00bfff84 movi r2,-2 -81141f08: 3084703a and r2,r6,r2 -81141f0c: 1001703a wrctl status,r2 -81141f10: 22400017 ldw r9,0(r4) -81141f14: 22000117 ldw r8,4(r4) -81141f18: 21c00217 ldw r7,8(r4) -81141f1c: 20800317 ldw r2,12(r4) -81141f20: 20c00417 ldw r3,16(r4) -81141f24: 2a400015 stw r9,0(r5) -81141f28: 2a000115 stw r8,4(r5) -81141f2c: 29c00215 stw r7,8(r5) -81141f30: 28800315 stw r2,12(r5) -81141f34: 28c00415 stw r3,16(r5) -81141f38: 3001703a wrctl status,r6 -81141f3c: 10c5c83a sub r2,r2,r3 -81141f40: 28800515 stw r2,20(r5) -81141f44: 0005883a mov r2,zero -81141f48: f800283a ret -81141f4c: 00801844 movi r2,97 -81141f50: f800283a ret -81141f54: 00801804 movi r2,96 -81141f58: f800283a ret - -81141f5c : -81141f5c: 01204634 movhi r4,33048 -81141f60: deffff04 addi sp,sp,-4 -81141f64: 2115e404 addi r4,r4,22416 -81141f68: 01430c04 movi r5,3120 -81141f6c: dfc00015 stw ra,0(sp) -81141f70: 11407480 call 81140748 -81141f74: 00a04634 movhi r2,33048 -81141f78: 1095e504 addi r2,r2,22420 -81141f7c: 01c00fc4 movi r7,63 -81141f80: 11000404 addi r4,r2,16 -81141f84: 10c00444 addi r3,r2,17 -81141f88: 1182ff04 addi r6,r2,3068 -81141f8c: 11400c04 addi r5,r2,48 -81141f90: 11400015 stw r5,0(r2) -81141f94: 21c00005 stb r7,0(r4) -81141f98: 18000005 stb zero,0(r3) -81141f9c: 10800d04 addi r2,r2,52 -81141fa0: 21000d04 addi r4,r4,52 -81141fa4: 18c00d04 addi r3,r3,52 -81141fa8: 11bff81e bne r2,r6,81141f8c <__reset+0xfb121f8c> -81141fac: 00a04634 movhi r2,33048 -81141fb0: 1095e404 addi r2,r2,22416 -81141fb4: 00c00fc4 movi r3,63 -81141fb8: 10030015 stw zero,3072(r2) -81141fbc: 10c30405 stb r3,3088(r2) -81141fc0: 10030445 stb zero,3089(r2) -81141fc4: d0a0b515 stw r2,-32044(gp) -81141fc8: dfc00017 ldw ra,0(sp) -81141fcc: dec00104 addi sp,sp,4 -81141fd0: f800283a ret - -81141fd4 : -81141fd4: 21c00d03 ldbu r7,52(r4) -81141fd8: d1a0b844 addi r6,gp,-32031 -81141fdc: 20800d43 ldbu r2,53(r4) -81141fe0: 31cf883a add r7,r6,r7 -81141fe4: 38c00003 ldbu r3,0(r7) -81141fe8: 0084303a nor r2,zero,r2 -81141fec: 10c4703a and r2,r2,r3 -81141ff0: 38800005 stb r2,0(r7) -81141ff4: 10803fcc andi r2,r2,255 -81141ff8: 10001e1e bne r2,zero,81142074 -81141ffc: 21c00d83 ldbu r7,54(r4) -81142000: d0a0b803 ldbu r2,-32032(gp) -81142004: 01ce303a nor r7,zero,r7 -81142008: 3890703a and r8,r7,r2 -8114200c: 28803fcc andi r2,r5,255 -81142010: 1012d0fa srli r9,r2,3 -81142014: 2ac001cc andi r11,r5,7 -81142018: 00c00044 movi r3,1 -8114201c: 4a4001cc andi r9,r9,7 -81142020: 49c03fcc andi r7,r9,255 -81142024: 31cd883a add r6,r6,r7 -81142028: 32800003 ldbu r10,0(r6) -8114202c: 19ce983a sll r7,r3,r7 -81142030: 1ac6983a sll r3,r3,r11 -81142034: 21400c85 stb r5,50(r4) -81142038: 1085883a add r2,r2,r2 -8114203c: 01604674 movhi r5,33049 -81142040: 1085883a add r2,r2,r2 -81142044: 296f9404 addi r5,r5,-16816 -81142048: 21c00d85 stb r7,54(r4) -8114204c: 20c00d45 stb r3,53(r4) -81142050: 22400d05 stb r9,52(r4) -81142054: 22c00cc5 stb r11,51(r4) -81142058: 3a0eb03a or r7,r7,r8 -8114205c: 1a86b03a or r3,r3,r10 -81142060: 2885883a add r2,r5,r2 -81142064: d1e0b805 stb r7,-32032(gp) -81142068: 30c00005 stb r3,0(r6) -8114206c: 11000015 stw r4,0(r2) -81142070: f800283a ret -81142074: d220b803 ldbu r8,-32032(gp) -81142078: 003fe406 br 8114200c <__reset+0xfb12200c> - -8114207c : -8114207c: 28002826 beq r5,zero,81142120 -81142080: 20002926 beq r4,zero,81142128 -81142084: 20c00003 ldbu r3,0(r4) -81142088: 00800104 movi r2,4 -8114208c: 18800426 beq r3,r2,811420a0 -81142090: 00800044 movi r2,1 -81142094: 28800005 stb r2,0(r5) -81142098: 0005883a mov r2,zero -8114209c: f800283a ret -811420a0: d0a0bb03 ldbu r2,-32020(gp) -811420a4: 10c03fcc andi r3,r2,255 -811420a8: 18000426 beq r3,zero,811420bc -811420ac: 00800084 movi r2,2 -811420b0: 28800005 stb r2,0(r5) -811420b4: 0005883a mov r2,zero -811420b8: f800283a ret -811420bc: 000d303a rdctl r6,status -811420c0: 00ffff84 movi r3,-2 -811420c4: 30c6703a and r3,r6,r3 -811420c8: 1801703a wrctl status,r3 -811420cc: 20c0020b ldhu r3,8(r4) -811420d0: 01c03fc4 movi r7,255 -811420d4: 1a003fcc andi r8,r3,255 -811420d8: 41c0171e bne r8,r7,81142138 -811420dc: d1e0bc17 ldw r7,-32016(gp) -811420e0: 00bfc004 movi r2,-256 -811420e4: 1884703a and r2,r3,r2 -811420e8: 2080020d sth r2,8(r4) -811420ec: 3a000c83 ldbu r8,50(r7) -811420f0: 18ffffcc andi r3,r3,65535 -811420f4: 21c00115 stw r7,4(r4) -811420f8: 1204b03a or r2,r2,r8 -811420fc: 2080020d sth r2,8(r4) -81142100: 1806d23a srli r3,r3,8 -81142104: 38800c83 ldbu r2,50(r7) -81142108: 18800e36 bltu r3,r2,81142144 -8114210c: 3001703a wrctl status,r6 -81142110: 00801e04 movi r2,120 -81142114: 28800005 stb r2,0(r5) -81142118: 00800044 movi r2,1 -8114211c: f800283a ret -81142120: 0005883a mov r2,zero -81142124: f800283a ret -81142128: 00800104 movi r2,4 -8114212c: 28800005 stb r2,0(r5) -81142130: 0005883a mov r2,zero -81142134: f800283a ret -81142138: 3001703a wrctl status,r6 -8114213c: 28000005 stb zero,0(r5) -81142140: f800283a ret -81142144: 3001703a wrctl status,r6 -81142148: 28000005 stb zero,0(r5) -8114214c: 00800044 movi r2,1 -81142150: f800283a ret - -81142154 : -81142154: 28004226 beq r5,zero,81142260 -81142158: 20803fcc andi r2,r4,255 -8114215c: 00c00ac4 movi r3,43 -81142160: 18803b36 bltu r3,r2,81142250 -81142164: d0e0bb03 ldbu r3,-32020(gp) -81142168: 1800301e bne r3,zero,8114222c -8114216c: 000d303a rdctl r6,status -81142170: 00ffff84 movi r3,-2 -81142174: 30c6703a and r3,r6,r3 -81142178: 1801703a wrctl status,r3 -8114217c: 1085883a add r2,r2,r2 -81142180: 00e04674 movhi r3,33049 -81142184: 1085883a add r2,r2,r2 -81142188: 18ef9404 addi r3,r3,-16816 -8114218c: 1887883a add r3,r3,r2 -81142190: 18800017 ldw r2,0(r3) -81142194: 1000291e bne r2,zero,8114223c -81142198: defffd04 addi sp,sp,-12 -8114219c: dc000015 stw r16,0(sp) -811421a0: d420ba17 ldw r16,-32024(gp) -811421a4: dfc00215 stw ra,8(sp) -811421a8: dc400115 stw r17,4(sp) -811421ac: 00800044 movi r2,1 -811421b0: 18800015 stw r2,0(r3) -811421b4: 80001726 beq r16,zero,81142214 -811421b8: 80800117 ldw r2,4(r16) -811421bc: 2823883a mov r17,r5 -811421c0: d0a0ba15 stw r2,-32024(gp) -811421c4: 3001703a wrctl status,r6 -811421c8: 21003fcc andi r4,r4,255 -811421cc: 2008923a slli r4,r4,8 -811421d0: 00800104 movi r2,4 -811421d4: 80800005 stb r2,0(r16) -811421d8: 21003fd4 ori r4,r4,255 -811421dc: 00800fc4 movi r2,63 -811421e0: 8100020d sth r4,8(r16) -811421e4: 80800445 stb r2,17(r16) -811421e8: 80000115 stw zero,4(r16) -811421ec: 80000485 stb zero,18(r16) -811421f0: 8009883a mov r4,r16 -811421f4: 114072c0 call 8114072c -811421f8: 8005883a mov r2,r16 -811421fc: 88000005 stb zero,0(r17) -81142200: dfc00217 ldw ra,8(sp) -81142204: dc400117 ldw r17,4(sp) -81142208: dc000017 ldw r16,0(sp) -8114220c: dec00304 addi sp,sp,12 -81142210: f800283a ret -81142214: 18000015 stw zero,0(r3) -81142218: 3001703a wrctl status,r6 -8114221c: 00800104 movi r2,4 -81142220: 28800005 stb r2,0(r5) -81142224: 0005883a mov r2,zero -81142228: 003ff506 br 81142200 <__reset+0xfb122200> -8114222c: 00800404 movi r2,16 -81142230: 28800005 stb r2,0(r5) -81142234: 0005883a mov r2,zero -81142238: f800283a ret -8114223c: 3001703a wrctl status,r6 -81142240: 00800a04 movi r2,40 -81142244: 28800005 stb r2,0(r5) -81142248: 0005883a mov r2,zero -8114224c: f800283a ret -81142250: 00800a84 movi r2,42 -81142254: 28800005 stb r2,0(r5) -81142258: 0005883a mov r2,zero -8114225c: f800283a ret -81142260: 0005883a mov r2,zero -81142264: f800283a ret - -81142268 : -81142268: defffb04 addi sp,sp,-20 -8114226c: dc000015 stw r16,0(sp) -81142270: dfc00415 stw ra,16(sp) -81142274: dcc00315 stw r19,12(sp) -81142278: dc800215 stw r18,8(sp) -8114227c: dc400115 stw r17,4(sp) -81142280: 2021883a mov r16,r4 -81142284: 30000726 beq r6,zero,811422a4 -81142288: 3023883a mov r17,r6 -8114228c: 20001e26 beq r4,zero,81142308 -81142290: 20c00003 ldbu r3,0(r4) -81142294: 00800104 movi r2,4 -81142298: 18800a26 beq r3,r2,811422c4 -8114229c: 00800044 movi r2,1 -811422a0: 30800005 stb r2,0(r6) -811422a4: 8005883a mov r2,r16 -811422a8: dfc00417 ldw ra,16(sp) -811422ac: dcc00317 ldw r19,12(sp) -811422b0: dc800217 ldw r18,8(sp) -811422b4: dc400117 ldw r17,4(sp) -811422b8: dc000017 ldw r16,0(sp) -811422bc: dec00504 addi sp,sp,20 -811422c0: f800283a ret -811422c4: d0a0bb03 ldbu r2,-32020(gp) -811422c8: 10000326 beq r2,zero,811422d8 -811422cc: 008003c4 movi r2,15 -811422d0: 30800005 stb r2,0(r6) -811422d4: 003ff306 br 811422a4 <__reset+0xfb1222a4> -811422d8: 0027303a rdctl r19,status -811422dc: 00bfff84 movi r2,-2 -811422e0: 9884703a and r2,r19,r2 -811422e4: 1001703a wrctl status,r2 -811422e8: 20800283 ldbu r2,10(r4) -811422ec: 10000926 beq r2,zero,81142314 -811422f0: 28c03fcc andi r3,r5,255 -811422f4: 1800361e bne r3,zero,811423d0 -811422f8: 9801703a wrctl status,r19 -811422fc: 00801244 movi r2,73 -81142300: 88800005 stb r2,0(r17) -81142304: 003fe706 br 811422a4 <__reset+0xfb1222a4> -81142308: 00800104 movi r2,4 -8114230c: 30800005 stb r2,0(r6) -81142310: 003fe406 br 811422a4 <__reset+0xfb1222a4> -81142314: 29403fcc andi r5,r5,255 -81142318: 28003726 beq r5,zero,811423f8 -8114231c: 00c00044 movi r3,1 -81142320: 28c0271e bne r5,r3,811423c0 -81142324: 0025883a mov r18,zero -81142328: 8140020b ldhu r5,8(r16) -8114232c: 81000117 ldw r4,4(r16) -81142330: 28ffffcc andi r3,r5,65535 -81142334: 1806d23a srli r3,r3,8 -81142338: 20000226 beq r4,zero,81142344 -8114233c: 21800c83 ldbu r6,50(r4) -81142340: 19802726 beq r3,r6,811423e0 -81142344: 10000726 beq r2,zero,81142364 -81142348: 000f883a mov r7,zero -8114234c: 01800404 movi r6,16 -81142350: 000b883a mov r5,zero -81142354: 8009883a mov r4,r16 -81142358: 114044c0 call 8114044c -8114235c: 80800283 ldbu r2,10(r16) -81142360: 103ff91e bne r2,zero,81142348 <__reset+0xfb122348> -81142364: 8080020b ldhu r2,8(r16) -81142368: 00c00fc4 movi r3,63 -8114236c: 80c00445 stb r3,17(r16) -81142370: 1004d23a srli r2,r2,8 -81142374: 00e04674 movhi r3,33049 -81142378: 18ef9404 addi r3,r3,-16816 -8114237c: 1085883a add r2,r2,r2 -81142380: 1085883a add r2,r2,r2 -81142384: 1885883a add r2,r3,r2 -81142388: 80000485 stb zero,18(r16) -8114238c: 10000015 stw zero,0(r2) -81142390: 80000005 stb zero,0(r16) -81142394: d0a0ba17 ldw r2,-32024(gp) -81142398: 8000020d sth zero,8(r16) -8114239c: d420ba15 stw r16,-32024(gp) -811423a0: 80800115 stw r2,4(r16) -811423a4: 9801703a wrctl status,r19 -811423a8: 91403fcc andi r5,r18,255 -811423ac: 00800044 movi r2,1 -811423b0: 28800f26 beq r5,r2,811423f0 -811423b4: 88000005 stb zero,0(r17) -811423b8: 0021883a mov r16,zero -811423bc: 003fb906 br 811422a4 <__reset+0xfb1222a4> -811423c0: 9801703a wrctl status,r19 -811423c4: 008001c4 movi r2,7 -811423c8: 88800005 stb r2,0(r17) -811423cc: 003fb506 br 811422a4 <__reset+0xfb1222a4> -811423d0: 01000044 movi r4,1 -811423d4: 193ffa1e bne r3,r4,811423c0 <__reset+0xfb1223c0> -811423d8: 2825883a mov r18,r5 -811423dc: 003fd206 br 81142328 <__reset+0xfb122328> -811423e0: 29403fcc andi r5,r5,255 -811423e4: 1141fd40 call 81141fd4 -811423e8: 80800283 ldbu r2,10(r16) -811423ec: 003fd506 br 81142344 <__reset+0xfb122344> -811423f0: 11407a00 call 811407a0 -811423f4: 003fef06 br 811423b4 <__reset+0xfb1223b4> -811423f8: 8080020b ldhu r2,8(r16) -811423fc: 00c00fc4 movi r3,63 -81142400: 80c00445 stb r3,17(r16) -81142404: 1004d23a srli r2,r2,8 -81142408: 00e04674 movhi r3,33049 -8114240c: 18ef9404 addi r3,r3,-16816 -81142410: 1085883a add r2,r2,r2 -81142414: 1085883a add r2,r2,r2 -81142418: 1885883a add r2,r3,r2 -8114241c: 80000485 stb zero,18(r16) -81142420: 10000015 stw zero,0(r2) -81142424: 80000005 stb zero,0(r16) -81142428: d0a0ba17 ldw r2,-32024(gp) -8114242c: 8000020d sth zero,8(r16) -81142430: d420ba15 stw r16,-32024(gp) -81142434: 80800115 stw r2,4(r16) -81142438: 9801703a wrctl status,r19 -8114243c: 88000005 stb zero,0(r17) -81142440: 0021883a mov r16,zero -81142444: 003f9706 br 811422a4 <__reset+0xfb1222a4> - -81142448 : -81142448: 30007426 beq r6,zero,8114261c -8114244c: 20001026 beq r4,zero,81142490 -81142450: 20c00003 ldbu r3,0(r4) -81142454: 00800104 movi r2,4 -81142458: 18800326 beq r3,r2,81142468 -8114245c: 00800044 movi r2,1 -81142460: 30800005 stb r2,0(r6) -81142464: f800283a ret -81142468: d0a0bb03 ldbu r2,-32020(gp) -8114246c: 1000051e bne r2,zero,81142484 -81142470: d0a0a903 ldbu r2,-32092(gp) -81142474: 10000926 beq r2,zero,8114249c -81142478: 00800344 movi r2,13 -8114247c: 30800005 stb r2,0(r6) -81142480: f800283a ret -81142484: 00800084 movi r2,2 -81142488: 30800005 stb r2,0(r6) -8114248c: f800283a ret -81142490: 00800104 movi r2,4 -81142494: 30800005 stb r2,0(r6) -81142498: f800283a ret -8114249c: defffc04 addi sp,sp,-16 -811424a0: dfc00315 stw ra,12(sp) -811424a4: dc800215 stw r18,8(sp) -811424a8: dc400115 stw r17,4(sp) -811424ac: dc000015 stw r16,0(sp) -811424b0: 0023303a rdctl r17,status -811424b4: 00bfff84 movi r2,-2 -811424b8: 8884703a and r2,r17,r2 -811424bc: 1001703a wrctl status,r2 -811424c0: 20c0020b ldhu r3,8(r4) -811424c4: 01c03fc4 movi r7,255 -811424c8: 18bfffcc andi r2,r3,65535 -811424cc: 1a003fcc andi r8,r3,255 -811424d0: 1004d23a srli r2,r2,8 -811424d4: 41c05226 beq r8,r7,81142620 -811424d8: 20c00117 ldw r3,4(r4) -811424dc: 11c03fcc andi r7,r2,255 -811424e0: 1a400c83 ldbu r9,50(r3) -811424e4: 3a402c2e bgeu r7,r9,81142598 -811424e8: d260bc17 ldw r9,-32016(gp) -811424ec: 4a800c83 ldbu r10,50(r9) -811424f0: 52002a2e bgeu r10,r8,8114259c -811424f4: 1ac00d03 ldbu r11,52(r3) -811424f8: d360b844 addi r13,gp,-32031 -811424fc: 1a800d43 ldbu r10,53(r3) -81142500: 6add883a add r14,r13,r11 -81142504: 72000003 ldbu r8,0(r14) -81142508: 4298703a and r12,r8,r10 -8114250c: 63003fcc andi r12,r12,255 -81142510: 60005b26 beq r12,zero,81142680 -81142514: 0294303a nor r10,zero,r10 -81142518: 5210703a and r8,r10,r8 -8114251c: 72000005 stb r8,0(r14) -81142520: 42003fcc andi r8,r8,255 -81142524: 40007c1e bne r8,zero,81142718 -81142528: 1ac00d83 ldbu r11,54(r3) -8114252c: d220b803 ldbu r8,-32032(gp) -81142530: 02d6303a nor r11,zero,r11 -81142534: 5a16703a and r11,r11,r8 -81142538: 3818d0fa srli r12,r7,3 -8114253c: 13c001cc andi r15,r2,7 -81142540: 02000044 movi r8,1 -81142544: 62803fcc andi r10,r12,255 -81142548: 6a9b883a add r13,r13,r10 -8114254c: 6b800003 ldbu r14,0(r13) -81142550: 4294983a sll r10,r8,r10 -81142554: 43d0983a sll r8,r8,r15 -81142558: 18800c85 stb r2,50(r3) -8114255c: 52d6b03a or r11,r10,r11 -81142560: 4384b03a or r2,r8,r14 -81142564: 1b000d05 stb r12,52(r3) -81142568: 1bc00cc5 stb r15,51(r3) -8114256c: 1a800d85 stb r10,54(r3) -81142570: 1a000d45 stb r8,53(r3) -81142574: d2e0b805 stb r11,-32032(gp) -81142578: 68800005 stb r2,0(r13) -8114257c: 39cf883a add r7,r7,r7 -81142580: 00a04674 movhi r2,33049 -81142584: 39cf883a add r7,r7,r7 -81142588: 10af9404 addi r2,r2,-16816 -8114258c: 11c5883a add r2,r2,r7 -81142590: 10c00015 stw r3,0(r2) -81142594: 00000106 br 8114259c -81142598: d260bc17 ldw r9,-32016(gp) -8114259c: 48800c03 ldbu r2,48(r9) -811425a0: 48000c45 stb zero,49(r9) -811425a4: 49400b8d sth r5,46(r9) -811425a8: 10800414 ori r2,r2,16 -811425ac: 48800c05 stb r2,48(r9) -811425b0: 2025883a mov r18,r4 -811425b4: 3021883a mov r16,r6 -811425b8: 11405900 call 81140590 -811425bc: 8801703a wrctl status,r17 -811425c0: 11407a00 call 811407a0 -811425c4: 0023303a rdctl r17,status -811425c8: 00bfff84 movi r2,-2 -811425cc: 8884703a and r2,r17,r2 -811425d0: 1001703a wrctl status,r2 -811425d4: d120bc17 ldw r4,-32016(gp) -811425d8: 20800c43 ldbu r2,49(r4) -811425dc: 10002326 beq r2,zero,8114266c -811425e0: 00c00084 movi r3,2 -811425e4: 10c01c1e bne r2,r3,81142658 -811425e8: 00800384 movi r2,14 -811425ec: 80800005 stb r2,0(r16) -811425f0: d0a0bc17 ldw r2,-32016(gp) -811425f4: 10000c05 stb zero,48(r2) -811425f8: 10000c45 stb zero,49(r2) -811425fc: 10000715 stw zero,28(r2) -81142600: 10000815 stw zero,32(r2) -81142604: 8801703a wrctl status,r17 -81142608: dfc00317 ldw ra,12(sp) -8114260c: dc800217 ldw r18,8(sp) -81142610: dc400117 ldw r17,4(sp) -81142614: dc000017 ldw r16,0(sp) -81142618: dec00404 addi sp,sp,16 -8114261c: f800283a ret -81142620: d1e0bc17 ldw r7,-32016(gp) -81142624: 017fc004 movi r5,-256 -81142628: 1946703a and r3,r3,r5 -8114262c: 20c0020d sth r3,8(r4) -81142630: 39400c83 ldbu r5,50(r7) -81142634: 21c00115 stw r7,4(r4) -81142638: 1946b03a or r3,r3,r5 -8114263c: 20c0020d sth r3,8(r4) -81142640: 38c00c83 ldbu r3,50(r7) -81142644: 10c00b36 bltu r2,r3,81142674 -81142648: 8801703a wrctl status,r17 -8114264c: 00801e04 movi r2,120 -81142650: 30800005 stb r2,0(r6) -81142654: 003fec06 br 81142608 <__reset+0xfb122608> -81142658: 900b883a mov r5,r18 -8114265c: 11406940 call 81140694 -81142660: 00800284 movi r2,10 -81142664: 80800005 stb r2,0(r16) -81142668: 003fe106 br 811425f0 <__reset+0xfb1225f0> -8114266c: 80000005 stb zero,0(r16) -81142670: 003fdf06 br 811425f0 <__reset+0xfb1225f0> -81142674: 8801703a wrctl status,r17 -81142678: 30000005 stb zero,0(r6) -8114267c: 003fe206 br 81142608 <__reset+0xfb122608> -81142680: 1b000717 ldw r12,28(r3) -81142684: 60002626 beq r12,zero,81142720 -81142688: 62d1883a add r8,r12,r11 -8114268c: 420002c4 addi r8,r8,11 -81142690: 42c00003 ldbu r11,0(r8) -81142694: 0294303a nor r10,zero,r10 -81142698: 52d4703a and r10,r10,r11 -8114269c: 42800005 stb r10,0(r8) -811426a0: 52803fcc andi r10,r10,255 -811426a4: 5000051e bne r10,zero,811426bc -811426a8: 1a800d83 ldbu r10,54(r3) -811426ac: 62000283 ldbu r8,10(r12) -811426b0: 0294303a nor r10,zero,r10 -811426b4: 5210703a and r8,r10,r8 -811426b8: 62000285 stb r8,10(r12) -811426bc: 3814d0fa srli r10,r7,3 -811426c0: 134001cc andi r13,r2,7 -811426c4: 02000044 movi r8,1 -811426c8: 4298983a sll r12,r8,r10 -811426cc: 4350983a sll r8,r8,r13 -811426d0: 1ac00717 ldw r11,28(r3) -811426d4: 18800c85 stb r2,50(r3) -811426d8: 1a800d05 stb r10,52(r3) -811426dc: 1b400cc5 stb r13,51(r3) -811426e0: 1b000d85 stb r12,54(r3) -811426e4: 1a000d45 stb r8,53(r3) -811426e8: 583fa426 beq r11,zero,8114257c <__reset+0xfb12257c> -811426ec: 58800283 ldbu r2,10(r11) -811426f0: 6084b03a or r2,r12,r2 -811426f4: 58800285 stb r2,10(r11) -811426f8: 18800d03 ldbu r2,52(r3) -811426fc: 1a000d43 ldbu r8,53(r3) -81142700: 5885883a add r2,r11,r2 -81142704: 108002c4 addi r2,r2,11 -81142708: 12800003 ldbu r10,0(r2) -8114270c: 5210b03a or r8,r10,r8 -81142710: 12000005 stb r8,0(r2) -81142714: 003f9906 br 8114257c <__reset+0xfb12257c> -81142718: d2e0b803 ldbu r11,-32032(gp) -8114271c: 003f8606 br 81142538 <__reset+0xfb122538> -81142720: 3814d0fa srli r10,r7,3 -81142724: 12c001cc andi r11,r2,7 -81142728: 02000044 movi r8,1 -8114272c: 4298983a sll r12,r8,r10 -81142730: 42d0983a sll r8,r8,r11 -81142734: 18800c85 stb r2,50(r3) -81142738: 1a800d05 stb r10,52(r3) -8114273c: 1ac00cc5 stb r11,51(r3) -81142740: 1b000d85 stb r12,54(r3) -81142744: 1a000d45 stb r8,53(r3) -81142748: 003f8c06 br 8114257c <__reset+0xfb12257c> - -8114274c : -8114274c: defff904 addi sp,sp,-28 -81142750: dc000015 stw r16,0(sp) -81142754: d420bb03 ldbu r16,-32020(gp) -81142758: dfc00615 stw ra,24(sp) -8114275c: dd400515 stw r21,20(sp) -81142760: dd000415 stw r20,16(sp) -81142764: dcc00315 stw r19,12(sp) -81142768: dc800215 stw r18,8(sp) -8114276c: dc400115 stw r17,4(sp) -81142770: 80803fcc andi r2,r16,255 -81142774: 10000a26 beq r2,zero,811427a0 -81142778: 00800144 movi r2,5 -8114277c: dfc00617 ldw ra,24(sp) -81142780: dd400517 ldw r21,20(sp) -81142784: dd000417 ldw r20,16(sp) -81142788: dcc00317 ldw r19,12(sp) -8114278c: dc800217 ldw r18,8(sp) -81142790: dc400117 ldw r17,4(sp) -81142794: dc000017 ldw r16,0(sp) -81142798: dec00704 addi sp,sp,28 -8114279c: f800283a ret -811427a0: 20000526 beq r4,zero,811427b8 -811427a4: 20c00003 ldbu r3,0(r4) -811427a8: 00800104 movi r2,4 -811427ac: 18800426 beq r3,r2,811427c0 -811427b0: 00800044 movi r2,1 -811427b4: 003ff106 br 8114277c <__reset+0xfb12277c> -811427b8: 00800104 movi r2,4 -811427bc: 003fef06 br 8114277c <__reset+0xfb12277c> -811427c0: 0025303a rdctl r18,status -811427c4: 00bfff84 movi r2,-2 -811427c8: 9084703a and r2,r18,r2 -811427cc: 1001703a wrctl status,r2 -811427d0: 20800117 ldw r2,4(r4) -811427d4: d0e0bc17 ldw r3,-32016(gp) -811427d8: 10c00326 beq r2,r3,811427e8 -811427dc: 9001703a wrctl status,r18 -811427e0: 00801904 movi r2,100 -811427e4: 003fe506 br 8114277c <__reset+0xfb12277c> -811427e8: 2140020b ldhu r5,8(r4) -811427ec: 10c00c83 ldbu r3,50(r2) -811427f0: 2023883a mov r17,r4 -811427f4: 2cffffcc andi r19,r5,65535 -811427f8: 9826d23a srli r19,r19,8 -811427fc: 9d003fcc andi r20,r19,255 -81142800: a0c02a26 beq r20,r3,811428ac -81142804: 05604674 movhi r21,33049 -81142808: 9ce7883a add r19,r19,r19 -8114280c: ad6f9404 addi r21,r21,-16816 -81142810: 9ce7883a add r19,r19,r19 -81142814: acc5883a add r2,r21,r19 -81142818: 00c00044 movi r3,1 -8114281c: 10c00015 stw r3,0(r2) -81142820: 88800283 ldbu r2,10(r17) -81142824: 10c03fcc andi r3,r2,255 -81142828: 18001626 beq r3,zero,81142884 -8114282c: 01800404 movi r6,16 -81142830: 000b883a mov r5,zero -81142834: 8809883a mov r4,r17 -81142838: 000f883a mov r7,zero -8114283c: 114044c0 call 8114044c -81142840: 11003fcc andi r4,r2,255 -81142844: 2107883a add r3,r4,r4 -81142848: 8980020b ldhu r6,8(r17) -8114284c: 18c7883a add r3,r3,r3 -81142850: a8c7883a add r3,r21,r3 -81142854: 19400017 ldw r5,0(r3) -81142858: 00ffc004 movi r3,-256 -8114285c: 30c6703a and r3,r6,r3 -81142860: 10803fcc andi r2,r2,255 -81142864: 1884b03a or r2,r3,r2 -81142868: 8880020d sth r2,8(r17) -8114286c: 89400115 stw r5,4(r17) -81142870: a1000a36 bltu r20,r4,8114289c -81142874: 9001703a wrctl status,r18 -81142878: 11407a00 call 811407a0 -8114287c: 00801e04 movi r2,120 -81142880: 003fbe06 br 8114277c <__reset+0xfb12277c> -81142884: 88c0020b ldhu r3,8(r17) -81142888: 88000115 stw zero,4(r17) -8114288c: 18c03fd4 ori r3,r3,255 -81142890: 88c0020d sth r3,8(r17) -81142894: 9001703a wrctl status,r18 -81142898: 003fb806 br 8114277c <__reset+0xfb12277c> -8114289c: 9001703a wrctl status,r18 -811428a0: 11407a00 call 811407a0 -811428a4: 8005883a mov r2,r16 -811428a8: 003fb406 br 8114277c <__reset+0xfb12277c> -811428ac: 29403fcc andi r5,r5,255 -811428b0: 1009883a mov r4,r2 -811428b4: 1141fd40 call 81141fd4 -811428b8: 003fd206 br 81142804 <__reset+0xfb122804> - -811428bc : -811428bc: d0a0bb03 ldbu r2,-32020(gp) -811428c0: 10c03fcc andi r3,r2,255 -811428c4: 18000226 beq r3,zero,811428d0 -811428c8: 00800184 movi r2,6 -811428cc: f800283a ret -811428d0: 20000826 beq r4,zero,811428f4 -811428d4: 28000526 beq r5,zero,811428ec -811428d8: 21800003 ldbu r6,0(r4) -811428dc: 00c00104 movi r3,4 -811428e0: 30c00626 beq r6,r3,811428fc -811428e4: 00800044 movi r2,1 -811428e8: f800283a ret -811428ec: 00800244 movi r2,9 -811428f0: f800283a ret -811428f4: 00800104 movi r2,4 -811428f8: f800283a ret -811428fc: 000f303a rdctl r7,status -81142900: 00ffff84 movi r3,-2 -81142904: 38c6703a and r3,r7,r3 -81142908: 1801703a wrctl status,r3 -8114290c: 20c0020b ldhu r3,8(r4) -81142910: 01803fc4 movi r6,255 -81142914: 1806d23a srli r3,r3,8 -81142918: 28c00245 stb r3,9(r5) -8114291c: 20c0020b ldhu r3,8(r4) -81142920: 28c00205 stb r3,8(r5) -81142924: 18c03fcc andi r3,r3,255 -81142928: 19800d26 beq r3,r6,81142960 -8114292c: 280001c5 stb zero,7(r5) -81142930: 22000283 ldbu r8,10(r4) -81142934: 20c002c4 addi r3,r4,11 -81142938: 280d883a mov r6,r5 -8114293c: 2a000185 stb r8,6(r5) -81142940: 21000444 addi r4,r4,17 -81142944: 19400003 ldbu r5,0(r3) -81142948: 31800044 addi r6,r6,1 -8114294c: 18c00044 addi r3,r3,1 -81142950: 317fffc5 stb r5,-1(r6) -81142954: 193ffb1e bne r3,r4,81142944 <__reset+0xfb122944> -81142958: 3801703a wrctl status,r7 -8114295c: f800283a ret -81142960: 00c00044 movi r3,1 -81142964: 28c001c5 stb r3,7(r5) -81142968: 003ff106 br 81142930 <__reset+0xfb122930> - -8114296c : -8114296c: 28002326 beq r5,zero,811429fc -81142970: 20002426 beq r4,zero,81142a04 -81142974: 20c00003 ldbu r3,0(r4) -81142978: 00800084 movi r2,2 -8114297c: 1880181e bne r3,r2,811429e0 -81142980: 000d303a rdctl r6,status -81142984: 00bfff84 movi r2,-2 -81142988: 3084703a and r2,r6,r2 -8114298c: 1001703a wrctl status,r2 -81142990: 20c00117 ldw r3,4(r4) -81142994: 1880058b ldhu r2,22(r3) -81142998: 113fffcc andi r4,r2,65535 -8114299c: 20000b26 beq r4,zero,811429cc -811429a0: 1a000417 ldw r8,16(r3) -811429a4: 1a400217 ldw r9,8(r3) -811429a8: 113fffc4 addi r4,r2,-1 -811429ac: 41c00104 addi r7,r8,4 -811429b0: 19c00415 stw r7,16(r3) -811429b4: 40800017 ldw r2,0(r8) -811429b8: 1900058d sth r4,22(r3) -811429bc: 3a400c26 beq r7,r9,811429f0 -811429c0: 28000005 stb zero,0(r5) -811429c4: 3001703a wrctl status,r6 -811429c8: f800283a ret -811429cc: 008007c4 movi r2,31 -811429d0: 28800005 stb r2,0(r5) -811429d4: 0005883a mov r2,zero -811429d8: 3001703a wrctl status,r6 -811429dc: f800283a ret -811429e0: 00800044 movi r2,1 -811429e4: 28800005 stb r2,0(r5) -811429e8: 0005883a mov r2,zero -811429ec: f800283a ret -811429f0: 19000117 ldw r4,4(r3) -811429f4: 19000415 stw r4,16(r3) -811429f8: 003ff106 br 811429c0 <__reset+0xfb1229c0> -811429fc: 0005883a mov r2,zero -81142a00: f800283a ret -81142a04: 00800104 movi r2,4 -81142a08: 28800005 stb r2,0(r5) -81142a0c: 0005883a mov r2,zero -81142a10: f800283a ret - -81142a14 : -81142a14: d0a0bb03 ldbu r2,-32020(gp) -81142a18: 10002c1e bne r2,zero,81142acc -81142a1c: defffe04 addi sp,sp,-8 -81142a20: dfc00115 stw ra,4(sp) -81142a24: dc000015 stw r16,0(sp) -81142a28: 0007303a rdctl r3,status -81142a2c: 00bfff84 movi r2,-2 -81142a30: 188c703a and r6,r3,r2 -81142a34: 3001703a wrctl status,r6 -81142a38: d420ba17 ldw r16,-32024(gp) -81142a3c: 80002526 beq r16,zero,81142ad4 -81142a40: 81800117 ldw r6,4(r16) -81142a44: d1a0ba15 stw r6,-32024(gp) -81142a48: 1801703a wrctl status,r3 -81142a4c: 0007303a rdctl r3,status -81142a50: 1884703a and r2,r3,r2 -81142a54: 1001703a wrctl status,r2 -81142a58: d0a0b717 ldw r2,-32036(gp) -81142a5c: 10002326 beq r2,zero,81142aec -81142a60: 11800017 ldw r6,0(r2) -81142a64: d1a0b715 stw r6,-32036(gp) -81142a68: 1801703a wrctl status,r3 -81142a6c: 28ffffcc andi r3,r5,65535 -81142a70: 18c7883a add r3,r3,r3 -81142a74: 18c7883a add r3,r3,r3 -81142a78: 20c7883a add r3,r4,r3 -81142a7c: 11000115 stw r4,4(r2) -81142a80: 10c00215 stw r3,8(r2) -81142a84: 11000315 stw r4,12(r2) -81142a88: 11000415 stw r4,16(r2) -81142a8c: 1140050d sth r5,20(r2) -81142a90: 1000058d sth zero,22(r2) -81142a94: 00c00084 movi r3,2 -81142a98: 80800115 stw r2,4(r16) -81142a9c: 00800fc4 movi r2,63 -81142aa0: 80800445 stb r2,17(r16) -81142aa4: 80c00005 stb r3,0(r16) -81142aa8: 8000020d sth zero,8(r16) -81142aac: 80000485 stb zero,18(r16) -81142ab0: 8009883a mov r4,r16 -81142ab4: 114072c0 call 8114072c -81142ab8: 8005883a mov r2,r16 -81142abc: dfc00117 ldw ra,4(sp) -81142ac0: dc000017 ldw r16,0(sp) -81142ac4: dec00204 addi sp,sp,8 -81142ac8: f800283a ret -81142acc: 0005883a mov r2,zero -81142ad0: f800283a ret -81142ad4: 1801703a wrctl status,r3 -81142ad8: 0005883a mov r2,zero -81142adc: dfc00117 ldw ra,4(sp) -81142ae0: dc000017 ldw r16,0(sp) -81142ae4: dec00204 addi sp,sp,8 -81142ae8: f800283a ret -81142aec: 81800115 stw r6,4(r16) -81142af0: d420ba15 stw r16,-32024(gp) -81142af4: 1801703a wrctl status,r3 -81142af8: 0005883a mov r2,zero -81142afc: 003fef06 br 81142abc <__reset+0xfb122abc> - -81142b00 : -81142b00: defffc04 addi sp,sp,-16 -81142b04: dc000015 stw r16,0(sp) -81142b08: dfc00315 stw ra,12(sp) -81142b0c: dc800215 stw r18,8(sp) -81142b10: dc400115 stw r17,4(sp) -81142b14: 2021883a mov r16,r4 -81142b18: 30000726 beq r6,zero,81142b38 -81142b1c: 3023883a mov r17,r6 -81142b20: 20001d26 beq r4,zero,81142b98 -81142b24: 20c00003 ldbu r3,0(r4) -81142b28: 00800084 movi r2,2 -81142b2c: 18800926 beq r3,r2,81142b54 -81142b30: 00800044 movi r2,1 -81142b34: 30800005 stb r2,0(r6) -81142b38: 8005883a mov r2,r16 -81142b3c: dfc00317 ldw ra,12(sp) -81142b40: dc800217 ldw r18,8(sp) -81142b44: dc400117 ldw r17,4(sp) -81142b48: dc000017 ldw r16,0(sp) -81142b4c: dec00404 addi sp,sp,16 -81142b50: f800283a ret -81142b54: d0a0bb03 ldbu r2,-32020(gp) -81142b58: 10000326 beq r2,zero,81142b68 -81142b5c: 008003c4 movi r2,15 -81142b60: 30800005 stb r2,0(r6) -81142b64: 003ff406 br 81142b38 <__reset+0xfb122b38> -81142b68: 0025303a rdctl r18,status -81142b6c: 00bfff84 movi r2,-2 -81142b70: 9084703a and r2,r18,r2 -81142b74: 1001703a wrctl status,r2 -81142b78: 20800283 ldbu r2,10(r4) -81142b7c: 10000926 beq r2,zero,81142ba4 -81142b80: 29403fcc andi r5,r5,255 -81142b84: 2800221e bne r5,zero,81142c10 -81142b88: 9001703a wrctl status,r18 -81142b8c: 00801244 movi r2,73 -81142b90: 88800005 stb r2,0(r17) -81142b94: 003fe806 br 81142b38 <__reset+0xfb122b38> -81142b98: 00800104 movi r2,4 -81142b9c: 30800005 stb r2,0(r6) -81142ba0: 003fe506 br 81142b38 <__reset+0xfb122b38> -81142ba4: 29403fcc andi r5,r5,255 -81142ba8: 28002626 beq r5,zero,81142c44 -81142bac: 00800044 movi r2,1 -81142bb0: 2880131e bne r5,r2,81142c00 -81142bb4: 0005883a mov r2,zero -81142bb8: 01000fc4 movi r4,63 -81142bbc: 80c00117 ldw r3,4(r16) -81142bc0: 81000445 stb r4,17(r16) -81142bc4: d120b717 ldw r4,-32036(gp) -81142bc8: 80000485 stb zero,18(r16) -81142bcc: 19000015 stw r4,0(r3) -81142bd0: d0e0b715 stw r3,-32036(gp) -81142bd4: 80000005 stb zero,0(r16) -81142bd8: d0e0ba17 ldw r3,-32024(gp) -81142bdc: 8000020d sth zero,8(r16) -81142be0: d420ba15 stw r16,-32024(gp) -81142be4: 80c00115 stw r3,4(r16) -81142be8: 9001703a wrctl status,r18 -81142bec: 10803fcc andi r2,r2,255 -81142bf0: 1000121e bne r2,zero,81142c3c -81142bf4: 88000005 stb zero,0(r17) -81142bf8: 0021883a mov r16,zero -81142bfc: 003fce06 br 81142b38 <__reset+0xfb122b38> -81142c00: 9001703a wrctl status,r18 -81142c04: 008001c4 movi r2,7 -81142c08: 88800005 stb r2,0(r17) -81142c0c: 003fca06 br 81142b38 <__reset+0xfb122b38> -81142c10: 00800044 movi r2,1 -81142c14: 28bffa1e bne r5,r2,81142c00 <__reset+0xfb122c00> -81142c18: 000f883a mov r7,zero -81142c1c: 01800104 movi r6,4 -81142c20: 000b883a mov r5,zero -81142c24: 8009883a mov r4,r16 -81142c28: 114044c0 call 8114044c -81142c2c: 80800283 ldbu r2,10(r16) -81142c30: 103ff91e bne r2,zero,81142c18 <__reset+0xfb122c18> -81142c34: 00800044 movi r2,1 -81142c38: 003fdf06 br 81142bb8 <__reset+0xfb122bb8> -81142c3c: 11407a00 call 811407a0 -81142c40: 003fec06 br 81142bf4 <__reset+0xfb122bf4> -81142c44: 00c00fc4 movi r3,63 -81142c48: 80800117 ldw r2,4(r16) -81142c4c: 80c00445 stb r3,17(r16) -81142c50: d0e0b717 ldw r3,-32036(gp) -81142c54: 80000485 stb zero,18(r16) -81142c58: 10c00015 stw r3,0(r2) -81142c5c: d0a0b715 stw r2,-32036(gp) -81142c60: 80000005 stb zero,0(r16) -81142c64: d0a0ba17 ldw r2,-32024(gp) -81142c68: 8000020d sth zero,8(r16) -81142c6c: d420ba15 stw r16,-32024(gp) -81142c70: 80800115 stw r2,4(r16) -81142c74: 9001703a wrctl status,r18 -81142c78: 88000005 stb zero,0(r17) -81142c7c: 0021883a mov r16,zero -81142c80: 003fad06 br 81142b38 <__reset+0xfb122b38> - -81142c84 : -81142c84: 20000526 beq r4,zero,81142c9c -81142c88: 20c00003 ldbu r3,0(r4) -81142c8c: 00800084 movi r2,2 -81142c90: 18800426 beq r3,r2,81142ca4 -81142c94: 00800044 movi r2,1 -81142c98: f800283a ret -81142c9c: 00800104 movi r2,4 -81142ca0: f800283a ret -81142ca4: 0007303a rdctl r3,status -81142ca8: 00bfff84 movi r2,-2 -81142cac: 1884703a and r2,r3,r2 -81142cb0: 1001703a wrctl status,r2 -81142cb4: 20800117 ldw r2,4(r4) -81142cb8: 11000117 ldw r4,4(r2) -81142cbc: 1000058d sth zero,22(r2) -81142cc0: 11000315 stw r4,12(r2) -81142cc4: 11000415 stw r4,16(r2) -81142cc8: 1801703a wrctl status,r3 -81142ccc: 0005883a mov r2,zero -81142cd0: f800283a ret - -81142cd4 : -81142cd4: 30005d26 beq r6,zero,81142e4c -81142cd8: 20005e26 beq r4,zero,81142e54 -81142cdc: defffa04 addi sp,sp,-24 -81142ce0: dfc00515 stw ra,20(sp) -81142ce4: dd000415 stw r20,16(sp) -81142ce8: dcc00315 stw r19,12(sp) -81142cec: dc800215 stw r18,8(sp) -81142cf0: dc400115 stw r17,4(sp) -81142cf4: dc000015 stw r16,0(sp) -81142cf8: 24800003 ldbu r18,0(r4) -81142cfc: 00c00084 movi r3,2 -81142d00: 90c01e1e bne r18,r3,81142d7c -81142d04: d0e0bb03 ldbu r3,-32020(gp) -81142d08: 1800441e bne r3,zero,81142e1c -81142d0c: d0e0a903 ldbu r3,-32092(gp) -81142d10: 18003b1e bne r3,zero,81142e00 -81142d14: 0027303a rdctl r19,status -81142d18: 053fff84 movi r20,-2 -81142d1c: 9d06703a and r3,r19,r20 -81142d20: 1801703a wrctl status,r3 -81142d24: 20c00117 ldw r3,4(r4) -81142d28: 19c0058b ldhu r7,22(r3) -81142d2c: 3a3fffcc andi r8,r7,65535 -81142d30: 40001626 beq r8,zero,81142d8c -81142d34: 18800417 ldw r2,16(r3) -81142d38: 19400217 ldw r5,8(r3) -81142d3c: 39ffffc4 addi r7,r7,-1 -81142d40: 11000104 addi r4,r2,4 -81142d44: 19000415 stw r4,16(r3) -81142d48: 10800017 ldw r2,0(r2) -81142d4c: 19c0058d sth r7,22(r3) -81142d50: 21402f26 beq r4,r5,81142e10 -81142d54: 9801703a wrctl status,r19 -81142d58: 30000005 stb zero,0(r6) -81142d5c: dfc00517 ldw ra,20(sp) -81142d60: dd000417 ldw r20,16(sp) -81142d64: dcc00317 ldw r19,12(sp) -81142d68: dc800217 ldw r18,8(sp) -81142d6c: dc400117 ldw r17,4(sp) -81142d70: dc000017 ldw r16,0(sp) -81142d74: dec00604 addi sp,sp,24 -81142d78: f800283a ret -81142d7c: 00800044 movi r2,1 -81142d80: 30800005 stb r2,0(r6) -81142d84: 0005883a mov r2,zero -81142d88: 003ff406 br 81142d5c <__reset+0xfb122d5c> -81142d8c: d0a0bc17 ldw r2,-32016(gp) -81142d90: 2021883a mov r16,r4 -81142d94: 3023883a mov r17,r6 -81142d98: 10c00c03 ldbu r3,48(r2) -81142d9c: 10000c45 stb zero,49(r2) -81142da0: 11400b8d sth r5,46(r2) -81142da4: 18c00114 ori r3,r3,4 -81142da8: 10c00c05 stb r3,48(r2) -81142dac: 11405900 call 81140590 -81142db0: 9801703a wrctl status,r19 -81142db4: 11407a00 call 811407a0 -81142db8: 0027303a rdctl r19,status -81142dbc: 9d28703a and r20,r19,r20 -81142dc0: a001703a wrctl status,r20 -81142dc4: d120bc17 ldw r4,-32016(gp) -81142dc8: 20800c43 ldbu r2,49(r4) -81142dcc: 10001c26 beq r2,zero,81142e40 -81142dd0: 1480151e bne r2,r18,81142e28 -81142dd4: 00800384 movi r2,14 -81142dd8: 88800005 stb r2,0(r17) -81142ddc: 0005883a mov r2,zero -81142de0: d0e0bc17 ldw r3,-32016(gp) -81142de4: 18000c05 stb zero,48(r3) -81142de8: 18000c45 stb zero,49(r3) -81142dec: 18000715 stw zero,28(r3) -81142df0: 18000815 stw zero,32(r3) -81142df4: 18000915 stw zero,36(r3) -81142df8: 9801703a wrctl status,r19 -81142dfc: 003fd706 br 81142d5c <__reset+0xfb122d5c> -81142e00: 00800344 movi r2,13 -81142e04: 30800005 stb r2,0(r6) -81142e08: 0005883a mov r2,zero -81142e0c: 003fd306 br 81142d5c <__reset+0xfb122d5c> -81142e10: 19000117 ldw r4,4(r3) -81142e14: 19000415 stw r4,16(r3) -81142e18: 003fce06 br 81142d54 <__reset+0xfb122d54> -81142e1c: 34800005 stb r18,0(r6) -81142e20: 0005883a mov r2,zero -81142e24: 003fcd06 br 81142d5c <__reset+0xfb122d5c> -81142e28: 800b883a mov r5,r16 -81142e2c: 11406940 call 81140694 -81142e30: 00800284 movi r2,10 -81142e34: 88800005 stb r2,0(r17) -81142e38: 0005883a mov r2,zero -81142e3c: 003fe806 br 81142de0 <__reset+0xfb122de0> -81142e40: 20800917 ldw r2,36(r4) -81142e44: 88000005 stb zero,0(r17) -81142e48: 003fe506 br 81142de0 <__reset+0xfb122de0> -81142e4c: 0005883a mov r2,zero -81142e50: f800283a ret -81142e54: 00800104 movi r2,4 -81142e58: 30800005 stb r2,0(r6) -81142e5c: 0005883a mov r2,zero -81142e60: f800283a ret - -81142e64 : -81142e64: 30002f26 beq r6,zero,81142f24 -81142e68: 20003026 beq r4,zero,81142f2c -81142e6c: 21c00003 ldbu r7,0(r4) -81142e70: 00800084 movi r2,2 -81142e74: 38800426 beq r7,r2,81142e88 -81142e78: 00800044 movi r2,1 -81142e7c: 30800005 stb r2,0(r6) -81142e80: 0005883a mov r2,zero -81142e84: f800283a ret -81142e88: defffb04 addi sp,sp,-20 -81142e8c: dfc00415 stw ra,16(sp) -81142e90: dcc00315 stw r19,12(sp) -81142e94: dc800215 stw r18,8(sp) -81142e98: dc400115 stw r17,4(sp) -81142e9c: dc000015 stw r16,0(sp) -81142ea0: 0027303a rdctl r19,status -81142ea4: 00bfff84 movi r2,-2 -81142ea8: 9884703a and r2,r19,r2 -81142eac: 1001703a wrctl status,r2 -81142eb0: 20800283 ldbu r2,10(r4) -81142eb4: 12003fcc andi r8,r2,255 -81142eb8: 40002026 beq r8,zero,81142f3c -81142ebc: 29403fcc andi r5,r5,255 -81142ec0: 04400044 movi r17,1 -81142ec4: 2021883a mov r16,r4 -81142ec8: 3025883a mov r18,r6 -81142ecc: 2c401e1e bne r5,r17,81142f48 -81142ed0: 0023883a mov r17,zero -81142ed4: 01c00084 movi r7,2 -81142ed8: 01800104 movi r6,4 -81142edc: 000b883a mov r5,zero -81142ee0: 8009883a mov r4,r16 -81142ee4: 114044c0 call 8114044c -81142ee8: 80800283 ldbu r2,10(r16) -81142eec: 8c400044 addi r17,r17,1 -81142ef0: 103ff81e bne r2,zero,81142ed4 <__reset+0xfb122ed4> -81142ef4: 9801703a wrctl status,r19 -81142ef8: 11407a00 call 811407a0 -81142efc: 00800384 movi r2,14 -81142f00: 90800005 stb r2,0(r18) -81142f04: 8805883a mov r2,r17 -81142f08: dfc00417 ldw ra,16(sp) -81142f0c: dcc00317 ldw r19,12(sp) -81142f10: dc800217 ldw r18,8(sp) -81142f14: dc400117 ldw r17,4(sp) -81142f18: dc000017 ldw r16,0(sp) -81142f1c: dec00504 addi sp,sp,20 -81142f20: f800283a ret -81142f24: 0005883a mov r2,zero -81142f28: f800283a ret -81142f2c: 00800104 movi r2,4 -81142f30: 30800005 stb r2,0(r6) -81142f34: 0005883a mov r2,zero -81142f38: f800283a ret -81142f3c: 9801703a wrctl status,r19 -81142f40: 30000005 stb zero,0(r6) -81142f44: 003ff006 br 81142f08 <__reset+0xfb122f08> -81142f48: 01800104 movi r6,4 -81142f4c: 000b883a mov r5,zero -81142f50: 114044c0 call 8114044c -81142f54: 003fe706 br 81142ef4 <__reset+0xfb122ef4> - -81142f58 : -81142f58: 20000526 beq r4,zero,81142f70 -81142f5c: 21800003 ldbu r6,0(r4) -81142f60: 00800084 movi r2,2 -81142f64: 30800426 beq r6,r2,81142f78 -81142f68: 00800044 movi r2,1 -81142f6c: f800283a ret -81142f70: 00800104 movi r2,4 -81142f74: f800283a ret -81142f78: defffe04 addi sp,sp,-8 -81142f7c: dfc00115 stw ra,4(sp) -81142f80: dc000015 stw r16,0(sp) -81142f84: 0021303a rdctl r16,status -81142f88: 00bfff84 movi r2,-2 -81142f8c: 8084703a and r2,r16,r2 -81142f90: 1001703a wrctl status,r2 -81142f94: 20800283 ldbu r2,10(r4) -81142f98: 11803fcc andi r6,r2,255 -81142f9c: 3000171e bne r6,zero,81142ffc -81142fa0: 20c00117 ldw r3,4(r4) -81142fa4: 280f883a mov r7,r5 -81142fa8: 1900058b ldhu r4,22(r3) -81142fac: 1940050b ldhu r5,20(r3) -81142fb0: 21bfffcc andi r6,r4,65535 -81142fb4: 31400e2e bgeu r6,r5,81142ff0 -81142fb8: 19400317 ldw r5,12(r3) -81142fbc: 21000044 addi r4,r4,1 -81142fc0: 29800104 addi r6,r5,4 -81142fc4: 19800315 stw r6,12(r3) -81142fc8: 29c00015 stw r7,0(r5) -81142fcc: 19800317 ldw r6,12(r3) -81142fd0: 19400217 ldw r5,8(r3) -81142fd4: 1900058d sth r4,22(r3) -81142fd8: 31400f26 beq r6,r5,81143018 -81142fdc: 8001703a wrctl status,r16 -81142fe0: dfc00117 ldw ra,4(sp) -81142fe4: dc000017 ldw r16,0(sp) -81142fe8: dec00204 addi sp,sp,8 -81142fec: f800283a ret -81142ff0: 8001703a wrctl status,r16 -81142ff4: 00800784 movi r2,30 -81142ff8: 003ff906 br 81142fe0 <__reset+0xfb122fe0> -81142ffc: 000f883a mov r7,zero -81143000: 01800104 movi r6,4 -81143004: 114044c0 call 8114044c -81143008: 8001703a wrctl status,r16 -8114300c: 11407a00 call 811407a0 -81143010: 0005883a mov r2,zero -81143014: 003ff206 br 81142fe0 <__reset+0xfb122fe0> -81143018: 19000117 ldw r4,4(r3) -8114301c: 19000315 stw r4,12(r3) -81143020: 003fee06 br 81142fdc <__reset+0xfb122fdc> - -81143024 : -81143024: 20000526 beq r4,zero,8114303c -81143028: 21800003 ldbu r6,0(r4) -8114302c: 00800084 movi r2,2 -81143030: 30800426 beq r6,r2,81143044 -81143034: 00800044 movi r2,1 -81143038: f800283a ret -8114303c: 00800104 movi r2,4 -81143040: f800283a ret -81143044: defffe04 addi sp,sp,-8 -81143048: dfc00115 stw ra,4(sp) -8114304c: dc000015 stw r16,0(sp) -81143050: 0021303a rdctl r16,status -81143054: 00bfff84 movi r2,-2 -81143058: 8084703a and r2,r16,r2 -8114305c: 1001703a wrctl status,r2 -81143060: 20800283 ldbu r2,10(r4) -81143064: 11803fcc andi r6,r2,255 -81143068: 3000161e bne r6,zero,811430c4 -8114306c: 20c00117 ldw r3,4(r4) -81143070: 280f883a mov r7,r5 -81143074: 1900058b ldhu r4,22(r3) -81143078: 1940050b ldhu r5,20(r3) -8114307c: 21bfffcc andi r6,r4,65535 -81143080: 31400d2e bgeu r6,r5,811430b8 -81143084: 19400417 ldw r5,16(r3) -81143088: 19800117 ldw r6,4(r3) -8114308c: 29801426 beq r5,r6,811430e0 -81143090: 29bfff04 addi r6,r5,-4 -81143094: 19800415 stw r6,16(r3) -81143098: 29ffff15 stw r7,-4(r5) -8114309c: 21000044 addi r4,r4,1 -811430a0: 1900058d sth r4,22(r3) -811430a4: 8001703a wrctl status,r16 -811430a8: dfc00117 ldw ra,4(sp) -811430ac: dc000017 ldw r16,0(sp) -811430b0: dec00204 addi sp,sp,8 -811430b4: f800283a ret -811430b8: 8001703a wrctl status,r16 -811430bc: 00800784 movi r2,30 -811430c0: 003ff906 br 811430a8 <__reset+0xfb1230a8> -811430c4: 000f883a mov r7,zero -811430c8: 01800104 movi r6,4 -811430cc: 114044c0 call 8114044c -811430d0: 8001703a wrctl status,r16 -811430d4: 11407a00 call 811407a0 -811430d8: 0005883a mov r2,zero -811430dc: 003ff206 br 811430a8 <__reset+0xfb1230a8> -811430e0: 19400217 ldw r5,8(r3) -811430e4: 003fea06 br 81143090 <__reset+0xfb123090> - -811430e8 : -811430e8: 20000526 beq r4,zero,81143100 -811430ec: 22000003 ldbu r8,0(r4) -811430f0: 00800084 movi r2,2 -811430f4: 40800426 beq r8,r2,81143108 -811430f8: 00800044 movi r2,1 -811430fc: f800283a ret -81143100: 00800104 movi r2,4 -81143104: f800283a ret -81143108: defffa04 addi sp,sp,-24 -8114310c: 280f883a mov r7,r5 -81143110: dfc00515 stw ra,20(sp) -81143114: dcc00415 stw r19,16(sp) -81143118: dc800315 stw r18,12(sp) -8114311c: dc400215 stw r17,8(sp) -81143120: dc000115 stw r16,4(sp) -81143124: 0027303a rdctl r19,status -81143128: 00bfff84 movi r2,-2 -8114312c: 9884703a and r2,r19,r2 -81143130: 1001703a wrctl status,r2 -81143134: 20800283 ldbu r2,10(r4) -81143138: 12003fcc andi r8,r2,255 -8114313c: 40001826 beq r8,zero,811431a0 -81143140: 3080004c andi r2,r6,1 -81143144: 3025883a mov r18,r6 -81143148: 2823883a mov r17,r5 -8114314c: 2021883a mov r16,r4 -81143150: 10002b26 beq r2,zero,81143200 -81143154: 000f883a mov r7,zero -81143158: 01800104 movi r6,4 -8114315c: 880b883a mov r5,r17 -81143160: 8009883a mov r4,r16 -81143164: 114044c0 call 8114044c -81143168: 80800283 ldbu r2,10(r16) -8114316c: 103ff91e bne r2,zero,81143154 <__reset+0xfb123154> -81143170: 9801703a wrctl status,r19 -81143174: 9180010c andi r6,r18,4 -81143178: 3005883a mov r2,r6 -8114317c: 30001c26 beq r6,zero,811431f0 -81143180: 0005883a mov r2,zero -81143184: dfc00517 ldw ra,20(sp) -81143188: dcc00417 ldw r19,16(sp) -8114318c: dc800317 ldw r18,12(sp) -81143190: dc400217 ldw r17,8(sp) -81143194: dc000117 ldw r16,4(sp) -81143198: dec00604 addi sp,sp,24 -8114319c: f800283a ret -811431a0: 20c00117 ldw r3,4(r4) -811431a4: 1900058b ldhu r4,22(r3) -811431a8: 1940050b ldhu r5,20(r3) -811431ac: 223fffcc andi r8,r4,65535 -811431b0: 41400c2e bgeu r8,r5,811431e4 -811431b4: 3180008c andi r6,r6,2 -811431b8: 30001526 beq r6,zero,81143210 -811431bc: 19400417 ldw r5,16(r3) -811431c0: 19800117 ldw r6,4(r3) -811431c4: 29801c26 beq r5,r6,81143238 -811431c8: 29bfff04 addi r6,r5,-4 -811431cc: 19800415 stw r6,16(r3) -811431d0: 29ffff15 stw r7,-4(r5) -811431d4: 21000044 addi r4,r4,1 -811431d8: 1900058d sth r4,22(r3) -811431dc: 9801703a wrctl status,r19 -811431e0: 003fe806 br 81143184 <__reset+0xfb123184> -811431e4: 9801703a wrctl status,r19 -811431e8: 00800784 movi r2,30 -811431ec: 003fe506 br 81143184 <__reset+0xfb123184> -811431f0: d8800015 stw r2,0(sp) -811431f4: 11407a00 call 811407a0 -811431f8: d8800017 ldw r2,0(sp) -811431fc: 003fe106 br 81143184 <__reset+0xfb123184> -81143200: 000f883a mov r7,zero -81143204: 01800104 movi r6,4 -81143208: 114044c0 call 8114044c -8114320c: 003fd806 br 81143170 <__reset+0xfb123170> -81143210: 19400317 ldw r5,12(r3) -81143214: 29800104 addi r6,r5,4 -81143218: 19800315 stw r6,12(r3) -8114321c: 29c00015 stw r7,0(r5) -81143220: 19800317 ldw r6,12(r3) -81143224: 19400217 ldw r5,8(r3) -81143228: 317fea1e bne r6,r5,811431d4 <__reset+0xfb1231d4> -8114322c: 19400117 ldw r5,4(r3) -81143230: 19400315 stw r5,12(r3) -81143234: 003fe706 br 811431d4 <__reset+0xfb1231d4> -81143238: 19400217 ldw r5,8(r3) -8114323c: 003fe206 br 811431c8 <__reset+0xfb1231c8> - -81143240 : -81143240: 20000626 beq r4,zero,8114325c -81143244: 28002226 beq r5,zero,811432d0 -81143248: 20c00003 ldbu r3,0(r4) -8114324c: 00800084 movi r2,2 -81143250: 18800426 beq r3,r2,81143264 -81143254: 00800044 movi r2,1 -81143258: f800283a ret -8114325c: 00800104 movi r2,4 -81143260: f800283a ret -81143264: 0011303a rdctl r8,status -81143268: 00bfff84 movi r2,-2 -8114326c: 4084703a and r2,r8,r2 -81143270: 1001703a wrctl status,r2 -81143274: 21800283 ldbu r6,10(r4) -81143278: 208002c4 addi r2,r4,11 -8114327c: 28c00204 addi r3,r5,8 -81143280: 29800385 stb r6,14(r5) -81143284: 21c00444 addi r7,r4,17 -81143288: 11800003 ldbu r6,0(r2) -8114328c: 18c00044 addi r3,r3,1 -81143290: 10800044 addi r2,r2,1 -81143294: 19bfffc5 stb r6,-1(r3) -81143298: 11fffb1e bne r2,r7,81143288 <__reset+0xfb123288> -8114329c: 20800117 ldw r2,4(r4) -811432a0: 10c0058b ldhu r3,22(r2) -811432a4: 193fffcc andi r4,r3,65535 -811432a8: 20000b26 beq r4,zero,811432d8 -811432ac: 11000417 ldw r4,16(r2) -811432b0: 21000017 ldw r4,0(r4) -811432b4: 29000015 stw r4,0(r5) -811432b8: 1080050b ldhu r2,20(r2) -811432bc: 28c0010d sth r3,4(r5) -811432c0: 2880018d sth r2,6(r5) -811432c4: 4001703a wrctl status,r8 -811432c8: 0005883a mov r2,zero -811432cc: f800283a ret -811432d0: 00800244 movi r2,9 -811432d4: f800283a ret -811432d8: 28000015 stw zero,0(r5) -811432dc: 003ff606 br 811432b8 <__reset+0xfb1232b8> - -811432e0 : -811432e0: 01204634 movhi r4,33048 -811432e4: deffff04 addi sp,sp,-4 -811432e8: 211cf004 addi r4,r4,29632 -811432ec: 01418004 movi r5,1536 -811432f0: dfc00015 stw ra,0(sp) -811432f4: 11407480 call 81140748 -811432f8: 01604634 movhi r5,33048 -811432fc: 295cf004 addi r5,r5,29632 -81143300: 2805883a mov r2,r5 -81143304: 29017a04 addi r4,r5,1512 -81143308: 10c00604 addi r3,r2,24 -8114330c: 10c00015 stw r3,0(r2) -81143310: 1805883a mov r2,r3 -81143314: 193ffc1e bne r3,r4,81143308 <__reset+0xfb123308> -81143318: 18000015 stw zero,0(r3) -8114331c: d160b715 stw r5,-32036(gp) -81143320: dfc00017 ldw ra,0(sp) -81143324: dec00104 addi sp,sp,4 -81143328: f800283a ret - -8114332c : -8114332c: 20000326 beq r4,zero,8114333c -81143330: 20c00003 ldbu r3,0(r4) -81143334: 008000c4 movi r2,3 -81143338: 18800226 beq r3,r2,81143344 -8114333c: 0005883a mov r2,zero -81143340: f800283a ret -81143344: 0007303a rdctl r3,status -81143348: 00bfff84 movi r2,-2 -8114334c: 1884703a and r2,r3,r2 -81143350: 1001703a wrctl status,r2 -81143354: 2080020b ldhu r2,8(r4) -81143358: 117fffcc andi r5,r2,65535 -8114335c: 28000226 beq r5,zero,81143368 -81143360: 117fffc4 addi r5,r2,-1 -81143364: 2140020d sth r5,8(r4) -81143368: 1801703a wrctl status,r3 -8114336c: f800283a ret - -81143370 : -81143370: d0a0bb03 ldbu r2,-32020(gp) -81143374: 10001a1e bne r2,zero,811433e0 -81143378: defffe04 addi sp,sp,-8 -8114337c: dfc00115 stw ra,4(sp) -81143380: dc000015 stw r16,0(sp) + +81141ed8 : +81141ed8: 20000d26 beq r4,zero,81141f10 +81141edc: 28000a26 beq r5,zero,81141f08 +81141ee0: 0007303a rdctl r3,status +81141ee4: 00bfff84 movi r2,-2 +81141ee8: 1884703a and r2,r3,r2 +81141eec: 1001703a wrctl status,r2 +81141ef0: 20800417 ldw r2,16(r4) +81141ef4: 21800317 ldw r6,12(r4) +81141ef8: 11800736 bltu r2,r6,81141f18 +81141efc: 1801703a wrctl status,r3 +81141f00: 00801784 movi r2,94 +81141f04: f800283a ret +81141f08: 008017c4 movi r2,95 +81141f0c: f800283a ret +81141f10: 00801804 movi r2,96 +81141f14: f800283a ret +81141f18: 21800117 ldw r6,4(r4) +81141f1c: 10800044 addi r2,r2,1 +81141f20: 29800015 stw r6,0(r5) +81141f24: 21400115 stw r5,4(r4) +81141f28: 20800415 stw r2,16(r4) +81141f2c: 1801703a wrctl status,r3 +81141f30: 0005883a mov r2,zero +81141f34: f800283a ret + +81141f38 : +81141f38: 20001626 beq r4,zero,81141f94 +81141f3c: 28001326 beq r5,zero,81141f8c +81141f40: 000d303a rdctl r6,status +81141f44: 00bfff84 movi r2,-2 +81141f48: 3084703a and r2,r6,r2 +81141f4c: 1001703a wrctl status,r2 +81141f50: 22400017 ldw r9,0(r4) +81141f54: 22000117 ldw r8,4(r4) +81141f58: 21c00217 ldw r7,8(r4) +81141f5c: 20800317 ldw r2,12(r4) +81141f60: 20c00417 ldw r3,16(r4) +81141f64: 2a400015 stw r9,0(r5) +81141f68: 2a000115 stw r8,4(r5) +81141f6c: 29c00215 stw r7,8(r5) +81141f70: 28800315 stw r2,12(r5) +81141f74: 28c00415 stw r3,16(r5) +81141f78: 3001703a wrctl status,r6 +81141f7c: 10c5c83a sub r2,r2,r3 +81141f80: 28800515 stw r2,20(r5) +81141f84: 0005883a mov r2,zero +81141f88: f800283a ret +81141f8c: 00801844 movi r2,97 +81141f90: f800283a ret +81141f94: 00801804 movi r2,96 +81141f98: f800283a ret + +81141f9c : +81141f9c: 01204634 movhi r4,33048 +81141fa0: deffff04 addi sp,sp,-4 +81141fa4: 2115f504 addi r4,r4,22484 +81141fa8: 01430c04 movi r5,3120 +81141fac: dfc00015 stw ra,0(sp) +81141fb0: 11407880 call 81140788 +81141fb4: 00a04634 movhi r2,33048 +81141fb8: 1095f604 addi r2,r2,22488 +81141fbc: 01c00fc4 movi r7,63 +81141fc0: 11000404 addi r4,r2,16 +81141fc4: 10c00444 addi r3,r2,17 +81141fc8: 1182ff04 addi r6,r2,3068 +81141fcc: 11400c04 addi r5,r2,48 +81141fd0: 11400015 stw r5,0(r2) +81141fd4: 21c00005 stb r7,0(r4) +81141fd8: 18000005 stb zero,0(r3) +81141fdc: 10800d04 addi r2,r2,52 +81141fe0: 21000d04 addi r4,r4,52 +81141fe4: 18c00d04 addi r3,r3,52 +81141fe8: 11bff81e bne r2,r6,81141fcc <__reset+0xfb121fcc> +81141fec: 00a04634 movhi r2,33048 +81141ff0: 1095f504 addi r2,r2,22484 +81141ff4: 00c00fc4 movi r3,63 +81141ff8: 10030015 stw zero,3072(r2) +81141ffc: 10c30405 stb r3,3088(r2) +81142000: 10030445 stb zero,3089(r2) +81142004: d0a0b515 stw r2,-32044(gp) +81142008: dfc00017 ldw ra,0(sp) +8114200c: dec00104 addi sp,sp,4 +81142010: f800283a ret + +81142014 : +81142014: 21c00d03 ldbu r7,52(r4) +81142018: d1a0b844 addi r6,gp,-32031 +8114201c: 20800d43 ldbu r2,53(r4) +81142020: 31cf883a add r7,r6,r7 +81142024: 38c00003 ldbu r3,0(r7) +81142028: 0084303a nor r2,zero,r2 +8114202c: 10c4703a and r2,r2,r3 +81142030: 38800005 stb r2,0(r7) +81142034: 10803fcc andi r2,r2,255 +81142038: 10001e1e bne r2,zero,811420b4 +8114203c: 21c00d83 ldbu r7,54(r4) +81142040: d0a0b803 ldbu r2,-32032(gp) +81142044: 01ce303a nor r7,zero,r7 +81142048: 3890703a and r8,r7,r2 +8114204c: 28803fcc andi r2,r5,255 +81142050: 1012d0fa srli r9,r2,3 +81142054: 2ac001cc andi r11,r5,7 +81142058: 00c00044 movi r3,1 +8114205c: 4a4001cc andi r9,r9,7 +81142060: 49c03fcc andi r7,r9,255 +81142064: 31cd883a add r6,r6,r7 +81142068: 32800003 ldbu r10,0(r6) +8114206c: 19ce983a sll r7,r3,r7 +81142070: 1ac6983a sll r3,r3,r11 +81142074: 21400c85 stb r5,50(r4) +81142078: 1085883a add r2,r2,r2 +8114207c: 01604674 movhi r5,33049 +81142080: 1085883a add r2,r2,r2 +81142084: 296fa504 addi r5,r5,-16748 +81142088: 21c00d85 stb r7,54(r4) +8114208c: 20c00d45 stb r3,53(r4) +81142090: 22400d05 stb r9,52(r4) +81142094: 22c00cc5 stb r11,51(r4) +81142098: 3a0eb03a or r7,r7,r8 +8114209c: 1a86b03a or r3,r3,r10 +811420a0: 2885883a add r2,r5,r2 +811420a4: d1e0b805 stb r7,-32032(gp) +811420a8: 30c00005 stb r3,0(r6) +811420ac: 11000015 stw r4,0(r2) +811420b0: f800283a ret +811420b4: d220b803 ldbu r8,-32032(gp) +811420b8: 003fe406 br 8114204c <__reset+0xfb12204c> + +811420bc : +811420bc: 28002826 beq r5,zero,81142160 +811420c0: 20002926 beq r4,zero,81142168 +811420c4: 20c00003 ldbu r3,0(r4) +811420c8: 00800104 movi r2,4 +811420cc: 18800426 beq r3,r2,811420e0 +811420d0: 00800044 movi r2,1 +811420d4: 28800005 stb r2,0(r5) +811420d8: 0005883a mov r2,zero +811420dc: f800283a ret +811420e0: d0a0bb03 ldbu r2,-32020(gp) +811420e4: 10c03fcc andi r3,r2,255 +811420e8: 18000426 beq r3,zero,811420fc +811420ec: 00800084 movi r2,2 +811420f0: 28800005 stb r2,0(r5) +811420f4: 0005883a mov r2,zero +811420f8: f800283a ret +811420fc: 000d303a rdctl r6,status +81142100: 00ffff84 movi r3,-2 +81142104: 30c6703a and r3,r6,r3 +81142108: 1801703a wrctl status,r3 +8114210c: 20c0020b ldhu r3,8(r4) +81142110: 01c03fc4 movi r7,255 +81142114: 1a003fcc andi r8,r3,255 +81142118: 41c0171e bne r8,r7,81142178 +8114211c: d1e0bc17 ldw r7,-32016(gp) +81142120: 00bfc004 movi r2,-256 +81142124: 1884703a and r2,r3,r2 +81142128: 2080020d sth r2,8(r4) +8114212c: 3a000c83 ldbu r8,50(r7) +81142130: 18ffffcc andi r3,r3,65535 +81142134: 21c00115 stw r7,4(r4) +81142138: 1204b03a or r2,r2,r8 +8114213c: 2080020d sth r2,8(r4) +81142140: 1806d23a srli r3,r3,8 +81142144: 38800c83 ldbu r2,50(r7) +81142148: 18800e36 bltu r3,r2,81142184 +8114214c: 3001703a wrctl status,r6 +81142150: 00801e04 movi r2,120 +81142154: 28800005 stb r2,0(r5) +81142158: 00800044 movi r2,1 +8114215c: f800283a ret +81142160: 0005883a mov r2,zero +81142164: f800283a ret +81142168: 00800104 movi r2,4 +8114216c: 28800005 stb r2,0(r5) +81142170: 0005883a mov r2,zero +81142174: f800283a ret +81142178: 3001703a wrctl status,r6 +8114217c: 28000005 stb zero,0(r5) +81142180: f800283a ret +81142184: 3001703a wrctl status,r6 +81142188: 28000005 stb zero,0(r5) +8114218c: 00800044 movi r2,1 +81142190: f800283a ret + +81142194 : +81142194: 28004226 beq r5,zero,811422a0 +81142198: 20803fcc andi r2,r4,255 +8114219c: 00c00ac4 movi r3,43 +811421a0: 18803b36 bltu r3,r2,81142290 +811421a4: d0e0bb03 ldbu r3,-32020(gp) +811421a8: 1800301e bne r3,zero,8114226c +811421ac: 000d303a rdctl r6,status +811421b0: 00ffff84 movi r3,-2 +811421b4: 30c6703a and r3,r6,r3 +811421b8: 1801703a wrctl status,r3 +811421bc: 1085883a add r2,r2,r2 +811421c0: 00e04674 movhi r3,33049 +811421c4: 1085883a add r2,r2,r2 +811421c8: 18efa504 addi r3,r3,-16748 +811421cc: 1887883a add r3,r3,r2 +811421d0: 18800017 ldw r2,0(r3) +811421d4: 1000291e bne r2,zero,8114227c +811421d8: defffd04 addi sp,sp,-12 +811421dc: dc000015 stw r16,0(sp) +811421e0: d420ba17 ldw r16,-32024(gp) +811421e4: dfc00215 stw ra,8(sp) +811421e8: dc400115 stw r17,4(sp) +811421ec: 00800044 movi r2,1 +811421f0: 18800015 stw r2,0(r3) +811421f4: 80001726 beq r16,zero,81142254 +811421f8: 80800117 ldw r2,4(r16) +811421fc: 2823883a mov r17,r5 +81142200: d0a0ba15 stw r2,-32024(gp) +81142204: 3001703a wrctl status,r6 +81142208: 21003fcc andi r4,r4,255 +8114220c: 2008923a slli r4,r4,8 +81142210: 00800104 movi r2,4 +81142214: 80800005 stb r2,0(r16) +81142218: 21003fd4 ori r4,r4,255 +8114221c: 00800fc4 movi r2,63 +81142220: 8100020d sth r4,8(r16) +81142224: 80800445 stb r2,17(r16) +81142228: 80000115 stw zero,4(r16) +8114222c: 80000485 stb zero,18(r16) +81142230: 8009883a mov r4,r16 +81142234: 114076c0 call 8114076c +81142238: 8005883a mov r2,r16 +8114223c: 88000005 stb zero,0(r17) +81142240: dfc00217 ldw ra,8(sp) +81142244: dc400117 ldw r17,4(sp) +81142248: dc000017 ldw r16,0(sp) +8114224c: dec00304 addi sp,sp,12 +81142250: f800283a ret +81142254: 18000015 stw zero,0(r3) +81142258: 3001703a wrctl status,r6 +8114225c: 00800104 movi r2,4 +81142260: 28800005 stb r2,0(r5) +81142264: 0005883a mov r2,zero +81142268: 003ff506 br 81142240 <__reset+0xfb122240> +8114226c: 00800404 movi r2,16 +81142270: 28800005 stb r2,0(r5) +81142274: 0005883a mov r2,zero +81142278: f800283a ret +8114227c: 3001703a wrctl status,r6 +81142280: 00800a04 movi r2,40 +81142284: 28800005 stb r2,0(r5) +81142288: 0005883a mov r2,zero +8114228c: f800283a ret +81142290: 00800a84 movi r2,42 +81142294: 28800005 stb r2,0(r5) +81142298: 0005883a mov r2,zero +8114229c: f800283a ret +811422a0: 0005883a mov r2,zero +811422a4: f800283a ret + +811422a8 : +811422a8: defffb04 addi sp,sp,-20 +811422ac: dc000015 stw r16,0(sp) +811422b0: dfc00415 stw ra,16(sp) +811422b4: dcc00315 stw r19,12(sp) +811422b8: dc800215 stw r18,8(sp) +811422bc: dc400115 stw r17,4(sp) +811422c0: 2021883a mov r16,r4 +811422c4: 30000726 beq r6,zero,811422e4 +811422c8: 3023883a mov r17,r6 +811422cc: 20001e26 beq r4,zero,81142348 +811422d0: 20c00003 ldbu r3,0(r4) +811422d4: 00800104 movi r2,4 +811422d8: 18800a26 beq r3,r2,81142304 +811422dc: 00800044 movi r2,1 +811422e0: 30800005 stb r2,0(r6) +811422e4: 8005883a mov r2,r16 +811422e8: dfc00417 ldw ra,16(sp) +811422ec: dcc00317 ldw r19,12(sp) +811422f0: dc800217 ldw r18,8(sp) +811422f4: dc400117 ldw r17,4(sp) +811422f8: dc000017 ldw r16,0(sp) +811422fc: dec00504 addi sp,sp,20 +81142300: f800283a ret +81142304: d0a0bb03 ldbu r2,-32020(gp) +81142308: 10000326 beq r2,zero,81142318 +8114230c: 008003c4 movi r2,15 +81142310: 30800005 stb r2,0(r6) +81142314: 003ff306 br 811422e4 <__reset+0xfb1222e4> +81142318: 0027303a rdctl r19,status +8114231c: 00bfff84 movi r2,-2 +81142320: 9884703a and r2,r19,r2 +81142324: 1001703a wrctl status,r2 +81142328: 20800283 ldbu r2,10(r4) +8114232c: 10000926 beq r2,zero,81142354 +81142330: 28c03fcc andi r3,r5,255 +81142334: 1800361e bne r3,zero,81142410 +81142338: 9801703a wrctl status,r19 +8114233c: 00801244 movi r2,73 +81142340: 88800005 stb r2,0(r17) +81142344: 003fe706 br 811422e4 <__reset+0xfb1222e4> +81142348: 00800104 movi r2,4 +8114234c: 30800005 stb r2,0(r6) +81142350: 003fe406 br 811422e4 <__reset+0xfb1222e4> +81142354: 29403fcc andi r5,r5,255 +81142358: 28003726 beq r5,zero,81142438 +8114235c: 00c00044 movi r3,1 +81142360: 28c0271e bne r5,r3,81142400 +81142364: 0025883a mov r18,zero +81142368: 8140020b ldhu r5,8(r16) +8114236c: 81000117 ldw r4,4(r16) +81142370: 28ffffcc andi r3,r5,65535 +81142374: 1806d23a srli r3,r3,8 +81142378: 20000226 beq r4,zero,81142384 +8114237c: 21800c83 ldbu r6,50(r4) +81142380: 19802726 beq r3,r6,81142420 +81142384: 10000726 beq r2,zero,811423a4 +81142388: 000f883a mov r7,zero +8114238c: 01800404 movi r6,16 +81142390: 000b883a mov r5,zero +81142394: 8009883a mov r4,r16 +81142398: 114048c0 call 8114048c +8114239c: 80800283 ldbu r2,10(r16) +811423a0: 103ff91e bne r2,zero,81142388 <__reset+0xfb122388> +811423a4: 8080020b ldhu r2,8(r16) +811423a8: 00c00fc4 movi r3,63 +811423ac: 80c00445 stb r3,17(r16) +811423b0: 1004d23a srli r2,r2,8 +811423b4: 00e04674 movhi r3,33049 +811423b8: 18efa504 addi r3,r3,-16748 +811423bc: 1085883a add r2,r2,r2 +811423c0: 1085883a add r2,r2,r2 +811423c4: 1885883a add r2,r3,r2 +811423c8: 80000485 stb zero,18(r16) +811423cc: 10000015 stw zero,0(r2) +811423d0: 80000005 stb zero,0(r16) +811423d4: d0a0ba17 ldw r2,-32024(gp) +811423d8: 8000020d sth zero,8(r16) +811423dc: d420ba15 stw r16,-32024(gp) +811423e0: 80800115 stw r2,4(r16) +811423e4: 9801703a wrctl status,r19 +811423e8: 91403fcc andi r5,r18,255 +811423ec: 00800044 movi r2,1 +811423f0: 28800f26 beq r5,r2,81142430 +811423f4: 88000005 stb zero,0(r17) +811423f8: 0021883a mov r16,zero +811423fc: 003fb906 br 811422e4 <__reset+0xfb1222e4> +81142400: 9801703a wrctl status,r19 +81142404: 008001c4 movi r2,7 +81142408: 88800005 stb r2,0(r17) +8114240c: 003fb506 br 811422e4 <__reset+0xfb1222e4> +81142410: 01000044 movi r4,1 +81142414: 193ffa1e bne r3,r4,81142400 <__reset+0xfb122400> +81142418: 2825883a mov r18,r5 +8114241c: 003fd206 br 81142368 <__reset+0xfb122368> +81142420: 29403fcc andi r5,r5,255 +81142424: 11420140 call 81142014 +81142428: 80800283 ldbu r2,10(r16) +8114242c: 003fd506 br 81142384 <__reset+0xfb122384> +81142430: 11407e00 call 811407e0 +81142434: 003fef06 br 811423f4 <__reset+0xfb1223f4> +81142438: 8080020b ldhu r2,8(r16) +8114243c: 00c00fc4 movi r3,63 +81142440: 80c00445 stb r3,17(r16) +81142444: 1004d23a srli r2,r2,8 +81142448: 00e04674 movhi r3,33049 +8114244c: 18efa504 addi r3,r3,-16748 +81142450: 1085883a add r2,r2,r2 +81142454: 1085883a add r2,r2,r2 +81142458: 1885883a add r2,r3,r2 +8114245c: 80000485 stb zero,18(r16) +81142460: 10000015 stw zero,0(r2) +81142464: 80000005 stb zero,0(r16) +81142468: d0a0ba17 ldw r2,-32024(gp) +8114246c: 8000020d sth zero,8(r16) +81142470: d420ba15 stw r16,-32024(gp) +81142474: 80800115 stw r2,4(r16) +81142478: 9801703a wrctl status,r19 +8114247c: 88000005 stb zero,0(r17) +81142480: 0021883a mov r16,zero +81142484: 003f9706 br 811422e4 <__reset+0xfb1222e4> + +81142488 : +81142488: 30007426 beq r6,zero,8114265c +8114248c: 20001026 beq r4,zero,811424d0 +81142490: 20c00003 ldbu r3,0(r4) +81142494: 00800104 movi r2,4 +81142498: 18800326 beq r3,r2,811424a8 +8114249c: 00800044 movi r2,1 +811424a0: 30800005 stb r2,0(r6) +811424a4: f800283a ret +811424a8: d0a0bb03 ldbu r2,-32020(gp) +811424ac: 1000051e bne r2,zero,811424c4 +811424b0: d0a0a903 ldbu r2,-32092(gp) +811424b4: 10000926 beq r2,zero,811424dc +811424b8: 00800344 movi r2,13 +811424bc: 30800005 stb r2,0(r6) +811424c0: f800283a ret +811424c4: 00800084 movi r2,2 +811424c8: 30800005 stb r2,0(r6) +811424cc: f800283a ret +811424d0: 00800104 movi r2,4 +811424d4: 30800005 stb r2,0(r6) +811424d8: f800283a ret +811424dc: defffc04 addi sp,sp,-16 +811424e0: dfc00315 stw ra,12(sp) +811424e4: dc800215 stw r18,8(sp) +811424e8: dc400115 stw r17,4(sp) +811424ec: dc000015 stw r16,0(sp) +811424f0: 0023303a rdctl r17,status +811424f4: 00bfff84 movi r2,-2 +811424f8: 8884703a and r2,r17,r2 +811424fc: 1001703a wrctl status,r2 +81142500: 20c0020b ldhu r3,8(r4) +81142504: 01c03fc4 movi r7,255 +81142508: 18bfffcc andi r2,r3,65535 +8114250c: 1a003fcc andi r8,r3,255 +81142510: 1004d23a srli r2,r2,8 +81142514: 41c05226 beq r8,r7,81142660 +81142518: 20c00117 ldw r3,4(r4) +8114251c: 11c03fcc andi r7,r2,255 +81142520: 1a400c83 ldbu r9,50(r3) +81142524: 3a402c2e bgeu r7,r9,811425d8 +81142528: d260bc17 ldw r9,-32016(gp) +8114252c: 4a800c83 ldbu r10,50(r9) +81142530: 52002a2e bgeu r10,r8,811425dc +81142534: 1ac00d03 ldbu r11,52(r3) +81142538: d360b844 addi r13,gp,-32031 +8114253c: 1a800d43 ldbu r10,53(r3) +81142540: 6add883a add r14,r13,r11 +81142544: 72000003 ldbu r8,0(r14) +81142548: 4298703a and r12,r8,r10 +8114254c: 63003fcc andi r12,r12,255 +81142550: 60005b26 beq r12,zero,811426c0 +81142554: 0294303a nor r10,zero,r10 +81142558: 5210703a and r8,r10,r8 +8114255c: 72000005 stb r8,0(r14) +81142560: 42003fcc andi r8,r8,255 +81142564: 40007c1e bne r8,zero,81142758 +81142568: 1ac00d83 ldbu r11,54(r3) +8114256c: d220b803 ldbu r8,-32032(gp) +81142570: 02d6303a nor r11,zero,r11 +81142574: 5a16703a and r11,r11,r8 +81142578: 3818d0fa srli r12,r7,3 +8114257c: 13c001cc andi r15,r2,7 +81142580: 02000044 movi r8,1 +81142584: 62803fcc andi r10,r12,255 +81142588: 6a9b883a add r13,r13,r10 +8114258c: 6b800003 ldbu r14,0(r13) +81142590: 4294983a sll r10,r8,r10 +81142594: 43d0983a sll r8,r8,r15 +81142598: 18800c85 stb r2,50(r3) +8114259c: 52d6b03a or r11,r10,r11 +811425a0: 4384b03a or r2,r8,r14 +811425a4: 1b000d05 stb r12,52(r3) +811425a8: 1bc00cc5 stb r15,51(r3) +811425ac: 1a800d85 stb r10,54(r3) +811425b0: 1a000d45 stb r8,53(r3) +811425b4: d2e0b805 stb r11,-32032(gp) +811425b8: 68800005 stb r2,0(r13) +811425bc: 39cf883a add r7,r7,r7 +811425c0: 00a04674 movhi r2,33049 +811425c4: 39cf883a add r7,r7,r7 +811425c8: 10afa504 addi r2,r2,-16748 +811425cc: 11c5883a add r2,r2,r7 +811425d0: 10c00015 stw r3,0(r2) +811425d4: 00000106 br 811425dc +811425d8: d260bc17 ldw r9,-32016(gp) +811425dc: 48800c03 ldbu r2,48(r9) +811425e0: 48000c45 stb zero,49(r9) +811425e4: 49400b8d sth r5,46(r9) +811425e8: 10800414 ori r2,r2,16 +811425ec: 48800c05 stb r2,48(r9) +811425f0: 2025883a mov r18,r4 +811425f4: 3021883a mov r16,r6 +811425f8: 11405d00 call 811405d0 +811425fc: 8801703a wrctl status,r17 +81142600: 11407e00 call 811407e0 +81142604: 0023303a rdctl r17,status +81142608: 00bfff84 movi r2,-2 +8114260c: 8884703a and r2,r17,r2 +81142610: 1001703a wrctl status,r2 +81142614: d120bc17 ldw r4,-32016(gp) +81142618: 20800c43 ldbu r2,49(r4) +8114261c: 10002326 beq r2,zero,811426ac +81142620: 00c00084 movi r3,2 +81142624: 10c01c1e bne r2,r3,81142698 +81142628: 00800384 movi r2,14 +8114262c: 80800005 stb r2,0(r16) +81142630: d0a0bc17 ldw r2,-32016(gp) +81142634: 10000c05 stb zero,48(r2) +81142638: 10000c45 stb zero,49(r2) +8114263c: 10000715 stw zero,28(r2) +81142640: 10000815 stw zero,32(r2) +81142644: 8801703a wrctl status,r17 +81142648: dfc00317 ldw ra,12(sp) +8114264c: dc800217 ldw r18,8(sp) +81142650: dc400117 ldw r17,4(sp) +81142654: dc000017 ldw r16,0(sp) +81142658: dec00404 addi sp,sp,16 +8114265c: f800283a ret +81142660: d1e0bc17 ldw r7,-32016(gp) +81142664: 017fc004 movi r5,-256 +81142668: 1946703a and r3,r3,r5 +8114266c: 20c0020d sth r3,8(r4) +81142670: 39400c83 ldbu r5,50(r7) +81142674: 21c00115 stw r7,4(r4) +81142678: 1946b03a or r3,r3,r5 +8114267c: 20c0020d sth r3,8(r4) +81142680: 38c00c83 ldbu r3,50(r7) +81142684: 10c00b36 bltu r2,r3,811426b4 +81142688: 8801703a wrctl status,r17 +8114268c: 00801e04 movi r2,120 +81142690: 30800005 stb r2,0(r6) +81142694: 003fec06 br 81142648 <__reset+0xfb122648> +81142698: 900b883a mov r5,r18 +8114269c: 11406d40 call 811406d4 +811426a0: 00800284 movi r2,10 +811426a4: 80800005 stb r2,0(r16) +811426a8: 003fe106 br 81142630 <__reset+0xfb122630> +811426ac: 80000005 stb zero,0(r16) +811426b0: 003fdf06 br 81142630 <__reset+0xfb122630> +811426b4: 8801703a wrctl status,r17 +811426b8: 30000005 stb zero,0(r6) +811426bc: 003fe206 br 81142648 <__reset+0xfb122648> +811426c0: 1b000717 ldw r12,28(r3) +811426c4: 60002626 beq r12,zero,81142760 +811426c8: 62d1883a add r8,r12,r11 +811426cc: 420002c4 addi r8,r8,11 +811426d0: 42c00003 ldbu r11,0(r8) +811426d4: 0294303a nor r10,zero,r10 +811426d8: 52d4703a and r10,r10,r11 +811426dc: 42800005 stb r10,0(r8) +811426e0: 52803fcc andi r10,r10,255 +811426e4: 5000051e bne r10,zero,811426fc +811426e8: 1a800d83 ldbu r10,54(r3) +811426ec: 62000283 ldbu r8,10(r12) +811426f0: 0294303a nor r10,zero,r10 +811426f4: 5210703a and r8,r10,r8 +811426f8: 62000285 stb r8,10(r12) +811426fc: 3814d0fa srli r10,r7,3 +81142700: 134001cc andi r13,r2,7 +81142704: 02000044 movi r8,1 +81142708: 4298983a sll r12,r8,r10 +8114270c: 4350983a sll r8,r8,r13 +81142710: 1ac00717 ldw r11,28(r3) +81142714: 18800c85 stb r2,50(r3) +81142718: 1a800d05 stb r10,52(r3) +8114271c: 1b400cc5 stb r13,51(r3) +81142720: 1b000d85 stb r12,54(r3) +81142724: 1a000d45 stb r8,53(r3) +81142728: 583fa426 beq r11,zero,811425bc <__reset+0xfb1225bc> +8114272c: 58800283 ldbu r2,10(r11) +81142730: 6084b03a or r2,r12,r2 +81142734: 58800285 stb r2,10(r11) +81142738: 18800d03 ldbu r2,52(r3) +8114273c: 1a000d43 ldbu r8,53(r3) +81142740: 5885883a add r2,r11,r2 +81142744: 108002c4 addi r2,r2,11 +81142748: 12800003 ldbu r10,0(r2) +8114274c: 5210b03a or r8,r10,r8 +81142750: 12000005 stb r8,0(r2) +81142754: 003f9906 br 811425bc <__reset+0xfb1225bc> +81142758: d2e0b803 ldbu r11,-32032(gp) +8114275c: 003f8606 br 81142578 <__reset+0xfb122578> +81142760: 3814d0fa srli r10,r7,3 +81142764: 12c001cc andi r11,r2,7 +81142768: 02000044 movi r8,1 +8114276c: 4298983a sll r12,r8,r10 +81142770: 42d0983a sll r8,r8,r11 +81142774: 18800c85 stb r2,50(r3) +81142778: 1a800d05 stb r10,52(r3) +8114277c: 1ac00cc5 stb r11,51(r3) +81142780: 1b000d85 stb r12,54(r3) +81142784: 1a000d45 stb r8,53(r3) +81142788: 003f8c06 br 811425bc <__reset+0xfb1225bc> + +8114278c : +8114278c: defff904 addi sp,sp,-28 +81142790: dc000015 stw r16,0(sp) +81142794: d420bb03 ldbu r16,-32020(gp) +81142798: dfc00615 stw ra,24(sp) +8114279c: dd400515 stw r21,20(sp) +811427a0: dd000415 stw r20,16(sp) +811427a4: dcc00315 stw r19,12(sp) +811427a8: dc800215 stw r18,8(sp) +811427ac: dc400115 stw r17,4(sp) +811427b0: 80803fcc andi r2,r16,255 +811427b4: 10000a26 beq r2,zero,811427e0 +811427b8: 00800144 movi r2,5 +811427bc: dfc00617 ldw ra,24(sp) +811427c0: dd400517 ldw r21,20(sp) +811427c4: dd000417 ldw r20,16(sp) +811427c8: dcc00317 ldw r19,12(sp) +811427cc: dc800217 ldw r18,8(sp) +811427d0: dc400117 ldw r17,4(sp) +811427d4: dc000017 ldw r16,0(sp) +811427d8: dec00704 addi sp,sp,28 +811427dc: f800283a ret +811427e0: 20000526 beq r4,zero,811427f8 +811427e4: 20c00003 ldbu r3,0(r4) +811427e8: 00800104 movi r2,4 +811427ec: 18800426 beq r3,r2,81142800 +811427f0: 00800044 movi r2,1 +811427f4: 003ff106 br 811427bc <__reset+0xfb1227bc> +811427f8: 00800104 movi r2,4 +811427fc: 003fef06 br 811427bc <__reset+0xfb1227bc> +81142800: 0025303a rdctl r18,status +81142804: 00bfff84 movi r2,-2 +81142808: 9084703a and r2,r18,r2 +8114280c: 1001703a wrctl status,r2 +81142810: 20800117 ldw r2,4(r4) +81142814: d0e0bc17 ldw r3,-32016(gp) +81142818: 10c00326 beq r2,r3,81142828 +8114281c: 9001703a wrctl status,r18 +81142820: 00801904 movi r2,100 +81142824: 003fe506 br 811427bc <__reset+0xfb1227bc> +81142828: 2140020b ldhu r5,8(r4) +8114282c: 10c00c83 ldbu r3,50(r2) +81142830: 2023883a mov r17,r4 +81142834: 2cffffcc andi r19,r5,65535 +81142838: 9826d23a srli r19,r19,8 +8114283c: 9d003fcc andi r20,r19,255 +81142840: a0c02a26 beq r20,r3,811428ec +81142844: 05604674 movhi r21,33049 +81142848: 9ce7883a add r19,r19,r19 +8114284c: ad6fa504 addi r21,r21,-16748 +81142850: 9ce7883a add r19,r19,r19 +81142854: acc5883a add r2,r21,r19 +81142858: 00c00044 movi r3,1 +8114285c: 10c00015 stw r3,0(r2) +81142860: 88800283 ldbu r2,10(r17) +81142864: 10c03fcc andi r3,r2,255 +81142868: 18001626 beq r3,zero,811428c4 +8114286c: 01800404 movi r6,16 +81142870: 000b883a mov r5,zero +81142874: 8809883a mov r4,r17 +81142878: 000f883a mov r7,zero +8114287c: 114048c0 call 8114048c +81142880: 11003fcc andi r4,r2,255 +81142884: 2107883a add r3,r4,r4 +81142888: 8980020b ldhu r6,8(r17) +8114288c: 18c7883a add r3,r3,r3 +81142890: a8c7883a add r3,r21,r3 +81142894: 19400017 ldw r5,0(r3) +81142898: 00ffc004 movi r3,-256 +8114289c: 30c6703a and r3,r6,r3 +811428a0: 10803fcc andi r2,r2,255 +811428a4: 1884b03a or r2,r3,r2 +811428a8: 8880020d sth r2,8(r17) +811428ac: 89400115 stw r5,4(r17) +811428b0: a1000a36 bltu r20,r4,811428dc +811428b4: 9001703a wrctl status,r18 +811428b8: 11407e00 call 811407e0 +811428bc: 00801e04 movi r2,120 +811428c0: 003fbe06 br 811427bc <__reset+0xfb1227bc> +811428c4: 88c0020b ldhu r3,8(r17) +811428c8: 88000115 stw zero,4(r17) +811428cc: 18c03fd4 ori r3,r3,255 +811428d0: 88c0020d sth r3,8(r17) +811428d4: 9001703a wrctl status,r18 +811428d8: 003fb806 br 811427bc <__reset+0xfb1227bc> +811428dc: 9001703a wrctl status,r18 +811428e0: 11407e00 call 811407e0 +811428e4: 8005883a mov r2,r16 +811428e8: 003fb406 br 811427bc <__reset+0xfb1227bc> +811428ec: 29403fcc andi r5,r5,255 +811428f0: 1009883a mov r4,r2 +811428f4: 11420140 call 81142014 +811428f8: 003fd206 br 81142844 <__reset+0xfb122844> + +811428fc : +811428fc: d0a0bb03 ldbu r2,-32020(gp) +81142900: 10c03fcc andi r3,r2,255 +81142904: 18000226 beq r3,zero,81142910 +81142908: 00800184 movi r2,6 +8114290c: f800283a ret +81142910: 20000826 beq r4,zero,81142934 +81142914: 28000526 beq r5,zero,8114292c +81142918: 21800003 ldbu r6,0(r4) +8114291c: 00c00104 movi r3,4 +81142920: 30c00626 beq r6,r3,8114293c +81142924: 00800044 movi r2,1 +81142928: f800283a ret +8114292c: 00800244 movi r2,9 +81142930: f800283a ret +81142934: 00800104 movi r2,4 +81142938: f800283a ret +8114293c: 000f303a rdctl r7,status +81142940: 00ffff84 movi r3,-2 +81142944: 38c6703a and r3,r7,r3 +81142948: 1801703a wrctl status,r3 +8114294c: 20c0020b ldhu r3,8(r4) +81142950: 01803fc4 movi r6,255 +81142954: 1806d23a srli r3,r3,8 +81142958: 28c00245 stb r3,9(r5) +8114295c: 20c0020b ldhu r3,8(r4) +81142960: 28c00205 stb r3,8(r5) +81142964: 18c03fcc andi r3,r3,255 +81142968: 19800d26 beq r3,r6,811429a0 +8114296c: 280001c5 stb zero,7(r5) +81142970: 22000283 ldbu r8,10(r4) +81142974: 20c002c4 addi r3,r4,11 +81142978: 280d883a mov r6,r5 +8114297c: 2a000185 stb r8,6(r5) +81142980: 21000444 addi r4,r4,17 +81142984: 19400003 ldbu r5,0(r3) +81142988: 31800044 addi r6,r6,1 +8114298c: 18c00044 addi r3,r3,1 +81142990: 317fffc5 stb r5,-1(r6) +81142994: 193ffb1e bne r3,r4,81142984 <__reset+0xfb122984> +81142998: 3801703a wrctl status,r7 +8114299c: f800283a ret +811429a0: 00c00044 movi r3,1 +811429a4: 28c001c5 stb r3,7(r5) +811429a8: 003ff106 br 81142970 <__reset+0xfb122970> + +811429ac : +811429ac: 28002326 beq r5,zero,81142a3c +811429b0: 20002426 beq r4,zero,81142a44 +811429b4: 20c00003 ldbu r3,0(r4) +811429b8: 00800084 movi r2,2 +811429bc: 1880181e bne r3,r2,81142a20 +811429c0: 000d303a rdctl r6,status +811429c4: 00bfff84 movi r2,-2 +811429c8: 3084703a and r2,r6,r2 +811429cc: 1001703a wrctl status,r2 +811429d0: 20c00117 ldw r3,4(r4) +811429d4: 1880058b ldhu r2,22(r3) +811429d8: 113fffcc andi r4,r2,65535 +811429dc: 20000b26 beq r4,zero,81142a0c +811429e0: 1a000417 ldw r8,16(r3) +811429e4: 1a400217 ldw r9,8(r3) +811429e8: 113fffc4 addi r4,r2,-1 +811429ec: 41c00104 addi r7,r8,4 +811429f0: 19c00415 stw r7,16(r3) +811429f4: 40800017 ldw r2,0(r8) +811429f8: 1900058d sth r4,22(r3) +811429fc: 3a400c26 beq r7,r9,81142a30 +81142a00: 28000005 stb zero,0(r5) +81142a04: 3001703a wrctl status,r6 +81142a08: f800283a ret +81142a0c: 008007c4 movi r2,31 +81142a10: 28800005 stb r2,0(r5) +81142a14: 0005883a mov r2,zero +81142a18: 3001703a wrctl status,r6 +81142a1c: f800283a ret +81142a20: 00800044 movi r2,1 +81142a24: 28800005 stb r2,0(r5) +81142a28: 0005883a mov r2,zero +81142a2c: f800283a ret +81142a30: 19000117 ldw r4,4(r3) +81142a34: 19000415 stw r4,16(r3) +81142a38: 003ff106 br 81142a00 <__reset+0xfb122a00> +81142a3c: 0005883a mov r2,zero +81142a40: f800283a ret +81142a44: 00800104 movi r2,4 +81142a48: 28800005 stb r2,0(r5) +81142a4c: 0005883a mov r2,zero +81142a50: f800283a ret + +81142a54 : +81142a54: d0a0bb03 ldbu r2,-32020(gp) +81142a58: 10002c1e bne r2,zero,81142b0c +81142a5c: defffe04 addi sp,sp,-8 +81142a60: dfc00115 stw ra,4(sp) +81142a64: dc000015 stw r16,0(sp) +81142a68: 0007303a rdctl r3,status +81142a6c: 00bfff84 movi r2,-2 +81142a70: 188c703a and r6,r3,r2 +81142a74: 3001703a wrctl status,r6 +81142a78: d420ba17 ldw r16,-32024(gp) +81142a7c: 80002526 beq r16,zero,81142b14 +81142a80: 81800117 ldw r6,4(r16) +81142a84: d1a0ba15 stw r6,-32024(gp) +81142a88: 1801703a wrctl status,r3 +81142a8c: 0007303a rdctl r3,status +81142a90: 1884703a and r2,r3,r2 +81142a94: 1001703a wrctl status,r2 +81142a98: d0a0b717 ldw r2,-32036(gp) +81142a9c: 10002326 beq r2,zero,81142b2c +81142aa0: 11800017 ldw r6,0(r2) +81142aa4: d1a0b715 stw r6,-32036(gp) +81142aa8: 1801703a wrctl status,r3 +81142aac: 28ffffcc andi r3,r5,65535 +81142ab0: 18c7883a add r3,r3,r3 +81142ab4: 18c7883a add r3,r3,r3 +81142ab8: 20c7883a add r3,r4,r3 +81142abc: 11000115 stw r4,4(r2) +81142ac0: 10c00215 stw r3,8(r2) +81142ac4: 11000315 stw r4,12(r2) +81142ac8: 11000415 stw r4,16(r2) +81142acc: 1140050d sth r5,20(r2) +81142ad0: 1000058d sth zero,22(r2) +81142ad4: 00c00084 movi r3,2 +81142ad8: 80800115 stw r2,4(r16) +81142adc: 00800fc4 movi r2,63 +81142ae0: 80800445 stb r2,17(r16) +81142ae4: 80c00005 stb r3,0(r16) +81142ae8: 8000020d sth zero,8(r16) +81142aec: 80000485 stb zero,18(r16) +81142af0: 8009883a mov r4,r16 +81142af4: 114076c0 call 8114076c +81142af8: 8005883a mov r2,r16 +81142afc: dfc00117 ldw ra,4(sp) +81142b00: dc000017 ldw r16,0(sp) +81142b04: dec00204 addi sp,sp,8 +81142b08: f800283a ret +81142b0c: 0005883a mov r2,zero +81142b10: f800283a ret +81142b14: 1801703a wrctl status,r3 +81142b18: 0005883a mov r2,zero +81142b1c: dfc00117 ldw ra,4(sp) +81142b20: dc000017 ldw r16,0(sp) +81142b24: dec00204 addi sp,sp,8 +81142b28: f800283a ret +81142b2c: 81800115 stw r6,4(r16) +81142b30: d420ba15 stw r16,-32024(gp) +81142b34: 1801703a wrctl status,r3 +81142b38: 0005883a mov r2,zero +81142b3c: 003fef06 br 81142afc <__reset+0xfb122afc> + +81142b40 : +81142b40: defffc04 addi sp,sp,-16 +81142b44: dc000015 stw r16,0(sp) +81142b48: dfc00315 stw ra,12(sp) +81142b4c: dc800215 stw r18,8(sp) +81142b50: dc400115 stw r17,4(sp) +81142b54: 2021883a mov r16,r4 +81142b58: 30000726 beq r6,zero,81142b78 +81142b5c: 3023883a mov r17,r6 +81142b60: 20001d26 beq r4,zero,81142bd8 +81142b64: 20c00003 ldbu r3,0(r4) +81142b68: 00800084 movi r2,2 +81142b6c: 18800926 beq r3,r2,81142b94 +81142b70: 00800044 movi r2,1 +81142b74: 30800005 stb r2,0(r6) +81142b78: 8005883a mov r2,r16 +81142b7c: dfc00317 ldw ra,12(sp) +81142b80: dc800217 ldw r18,8(sp) +81142b84: dc400117 ldw r17,4(sp) +81142b88: dc000017 ldw r16,0(sp) +81142b8c: dec00404 addi sp,sp,16 +81142b90: f800283a ret +81142b94: d0a0bb03 ldbu r2,-32020(gp) +81142b98: 10000326 beq r2,zero,81142ba8 +81142b9c: 008003c4 movi r2,15 +81142ba0: 30800005 stb r2,0(r6) +81142ba4: 003ff406 br 81142b78 <__reset+0xfb122b78> +81142ba8: 0025303a rdctl r18,status +81142bac: 00bfff84 movi r2,-2 +81142bb0: 9084703a and r2,r18,r2 +81142bb4: 1001703a wrctl status,r2 +81142bb8: 20800283 ldbu r2,10(r4) +81142bbc: 10000926 beq r2,zero,81142be4 +81142bc0: 29403fcc andi r5,r5,255 +81142bc4: 2800221e bne r5,zero,81142c50 +81142bc8: 9001703a wrctl status,r18 +81142bcc: 00801244 movi r2,73 +81142bd0: 88800005 stb r2,0(r17) +81142bd4: 003fe806 br 81142b78 <__reset+0xfb122b78> +81142bd8: 00800104 movi r2,4 +81142bdc: 30800005 stb r2,0(r6) +81142be0: 003fe506 br 81142b78 <__reset+0xfb122b78> +81142be4: 29403fcc andi r5,r5,255 +81142be8: 28002626 beq r5,zero,81142c84 +81142bec: 00800044 movi r2,1 +81142bf0: 2880131e bne r5,r2,81142c40 +81142bf4: 0005883a mov r2,zero +81142bf8: 01000fc4 movi r4,63 +81142bfc: 80c00117 ldw r3,4(r16) +81142c00: 81000445 stb r4,17(r16) +81142c04: d120b717 ldw r4,-32036(gp) +81142c08: 80000485 stb zero,18(r16) +81142c0c: 19000015 stw r4,0(r3) +81142c10: d0e0b715 stw r3,-32036(gp) +81142c14: 80000005 stb zero,0(r16) +81142c18: d0e0ba17 ldw r3,-32024(gp) +81142c1c: 8000020d sth zero,8(r16) +81142c20: d420ba15 stw r16,-32024(gp) +81142c24: 80c00115 stw r3,4(r16) +81142c28: 9001703a wrctl status,r18 +81142c2c: 10803fcc andi r2,r2,255 +81142c30: 1000121e bne r2,zero,81142c7c +81142c34: 88000005 stb zero,0(r17) +81142c38: 0021883a mov r16,zero +81142c3c: 003fce06 br 81142b78 <__reset+0xfb122b78> +81142c40: 9001703a wrctl status,r18 +81142c44: 008001c4 movi r2,7 +81142c48: 88800005 stb r2,0(r17) +81142c4c: 003fca06 br 81142b78 <__reset+0xfb122b78> +81142c50: 00800044 movi r2,1 +81142c54: 28bffa1e bne r5,r2,81142c40 <__reset+0xfb122c40> +81142c58: 000f883a mov r7,zero +81142c5c: 01800104 movi r6,4 +81142c60: 000b883a mov r5,zero +81142c64: 8009883a mov r4,r16 +81142c68: 114048c0 call 8114048c +81142c6c: 80800283 ldbu r2,10(r16) +81142c70: 103ff91e bne r2,zero,81142c58 <__reset+0xfb122c58> +81142c74: 00800044 movi r2,1 +81142c78: 003fdf06 br 81142bf8 <__reset+0xfb122bf8> +81142c7c: 11407e00 call 811407e0 +81142c80: 003fec06 br 81142c34 <__reset+0xfb122c34> +81142c84: 00c00fc4 movi r3,63 +81142c88: 80800117 ldw r2,4(r16) +81142c8c: 80c00445 stb r3,17(r16) +81142c90: d0e0b717 ldw r3,-32036(gp) +81142c94: 80000485 stb zero,18(r16) +81142c98: 10c00015 stw r3,0(r2) +81142c9c: d0a0b715 stw r2,-32036(gp) +81142ca0: 80000005 stb zero,0(r16) +81142ca4: d0a0ba17 ldw r2,-32024(gp) +81142ca8: 8000020d sth zero,8(r16) +81142cac: d420ba15 stw r16,-32024(gp) +81142cb0: 80800115 stw r2,4(r16) +81142cb4: 9001703a wrctl status,r18 +81142cb8: 88000005 stb zero,0(r17) +81142cbc: 0021883a mov r16,zero +81142cc0: 003fad06 br 81142b78 <__reset+0xfb122b78> + +81142cc4 : +81142cc4: 20000526 beq r4,zero,81142cdc +81142cc8: 20c00003 ldbu r3,0(r4) +81142ccc: 00800084 movi r2,2 +81142cd0: 18800426 beq r3,r2,81142ce4 +81142cd4: 00800044 movi r2,1 +81142cd8: f800283a ret +81142cdc: 00800104 movi r2,4 +81142ce0: f800283a ret +81142ce4: 0007303a rdctl r3,status +81142ce8: 00bfff84 movi r2,-2 +81142cec: 1884703a and r2,r3,r2 +81142cf0: 1001703a wrctl status,r2 +81142cf4: 20800117 ldw r2,4(r4) +81142cf8: 11000117 ldw r4,4(r2) +81142cfc: 1000058d sth zero,22(r2) +81142d00: 11000315 stw r4,12(r2) +81142d04: 11000415 stw r4,16(r2) +81142d08: 1801703a wrctl status,r3 +81142d0c: 0005883a mov r2,zero +81142d10: f800283a ret + +81142d14 : +81142d14: 30005d26 beq r6,zero,81142e8c +81142d18: 20005e26 beq r4,zero,81142e94 +81142d1c: defffa04 addi sp,sp,-24 +81142d20: dfc00515 stw ra,20(sp) +81142d24: dd000415 stw r20,16(sp) +81142d28: dcc00315 stw r19,12(sp) +81142d2c: dc800215 stw r18,8(sp) +81142d30: dc400115 stw r17,4(sp) +81142d34: dc000015 stw r16,0(sp) +81142d38: 24800003 ldbu r18,0(r4) +81142d3c: 00c00084 movi r3,2 +81142d40: 90c01e1e bne r18,r3,81142dbc +81142d44: d0e0bb03 ldbu r3,-32020(gp) +81142d48: 1800441e bne r3,zero,81142e5c +81142d4c: d0e0a903 ldbu r3,-32092(gp) +81142d50: 18003b1e bne r3,zero,81142e40 +81142d54: 0027303a rdctl r19,status +81142d58: 053fff84 movi r20,-2 +81142d5c: 9d06703a and r3,r19,r20 +81142d60: 1801703a wrctl status,r3 +81142d64: 20c00117 ldw r3,4(r4) +81142d68: 19c0058b ldhu r7,22(r3) +81142d6c: 3a3fffcc andi r8,r7,65535 +81142d70: 40001626 beq r8,zero,81142dcc +81142d74: 18800417 ldw r2,16(r3) +81142d78: 19400217 ldw r5,8(r3) +81142d7c: 39ffffc4 addi r7,r7,-1 +81142d80: 11000104 addi r4,r2,4 +81142d84: 19000415 stw r4,16(r3) +81142d88: 10800017 ldw r2,0(r2) +81142d8c: 19c0058d sth r7,22(r3) +81142d90: 21402f26 beq r4,r5,81142e50 +81142d94: 9801703a wrctl status,r19 +81142d98: 30000005 stb zero,0(r6) +81142d9c: dfc00517 ldw ra,20(sp) +81142da0: dd000417 ldw r20,16(sp) +81142da4: dcc00317 ldw r19,12(sp) +81142da8: dc800217 ldw r18,8(sp) +81142dac: dc400117 ldw r17,4(sp) +81142db0: dc000017 ldw r16,0(sp) +81142db4: dec00604 addi sp,sp,24 +81142db8: f800283a ret +81142dbc: 00800044 movi r2,1 +81142dc0: 30800005 stb r2,0(r6) +81142dc4: 0005883a mov r2,zero +81142dc8: 003ff406 br 81142d9c <__reset+0xfb122d9c> +81142dcc: d0a0bc17 ldw r2,-32016(gp) +81142dd0: 2021883a mov r16,r4 +81142dd4: 3023883a mov r17,r6 +81142dd8: 10c00c03 ldbu r3,48(r2) +81142ddc: 10000c45 stb zero,49(r2) +81142de0: 11400b8d sth r5,46(r2) +81142de4: 18c00114 ori r3,r3,4 +81142de8: 10c00c05 stb r3,48(r2) +81142dec: 11405d00 call 811405d0 +81142df0: 9801703a wrctl status,r19 +81142df4: 11407e00 call 811407e0 +81142df8: 0027303a rdctl r19,status +81142dfc: 9d28703a and r20,r19,r20 +81142e00: a001703a wrctl status,r20 +81142e04: d120bc17 ldw r4,-32016(gp) +81142e08: 20800c43 ldbu r2,49(r4) +81142e0c: 10001c26 beq r2,zero,81142e80 +81142e10: 1480151e bne r2,r18,81142e68 +81142e14: 00800384 movi r2,14 +81142e18: 88800005 stb r2,0(r17) +81142e1c: 0005883a mov r2,zero +81142e20: d0e0bc17 ldw r3,-32016(gp) +81142e24: 18000c05 stb zero,48(r3) +81142e28: 18000c45 stb zero,49(r3) +81142e2c: 18000715 stw zero,28(r3) +81142e30: 18000815 stw zero,32(r3) +81142e34: 18000915 stw zero,36(r3) +81142e38: 9801703a wrctl status,r19 +81142e3c: 003fd706 br 81142d9c <__reset+0xfb122d9c> +81142e40: 00800344 movi r2,13 +81142e44: 30800005 stb r2,0(r6) +81142e48: 0005883a mov r2,zero +81142e4c: 003fd306 br 81142d9c <__reset+0xfb122d9c> +81142e50: 19000117 ldw r4,4(r3) +81142e54: 19000415 stw r4,16(r3) +81142e58: 003fce06 br 81142d94 <__reset+0xfb122d94> +81142e5c: 34800005 stb r18,0(r6) +81142e60: 0005883a mov r2,zero +81142e64: 003fcd06 br 81142d9c <__reset+0xfb122d9c> +81142e68: 800b883a mov r5,r16 +81142e6c: 11406d40 call 811406d4 +81142e70: 00800284 movi r2,10 +81142e74: 88800005 stb r2,0(r17) +81142e78: 0005883a mov r2,zero +81142e7c: 003fe806 br 81142e20 <__reset+0xfb122e20> +81142e80: 20800917 ldw r2,36(r4) +81142e84: 88000005 stb zero,0(r17) +81142e88: 003fe506 br 81142e20 <__reset+0xfb122e20> +81142e8c: 0005883a mov r2,zero +81142e90: f800283a ret +81142e94: 00800104 movi r2,4 +81142e98: 30800005 stb r2,0(r6) +81142e9c: 0005883a mov r2,zero +81142ea0: f800283a ret + +81142ea4 : +81142ea4: 30002f26 beq r6,zero,81142f64 +81142ea8: 20003026 beq r4,zero,81142f6c +81142eac: 21c00003 ldbu r7,0(r4) +81142eb0: 00800084 movi r2,2 +81142eb4: 38800426 beq r7,r2,81142ec8 +81142eb8: 00800044 movi r2,1 +81142ebc: 30800005 stb r2,0(r6) +81142ec0: 0005883a mov r2,zero +81142ec4: f800283a ret +81142ec8: defffb04 addi sp,sp,-20 +81142ecc: dfc00415 stw ra,16(sp) +81142ed0: dcc00315 stw r19,12(sp) +81142ed4: dc800215 stw r18,8(sp) +81142ed8: dc400115 stw r17,4(sp) +81142edc: dc000015 stw r16,0(sp) +81142ee0: 0027303a rdctl r19,status +81142ee4: 00bfff84 movi r2,-2 +81142ee8: 9884703a and r2,r19,r2 +81142eec: 1001703a wrctl status,r2 +81142ef0: 20800283 ldbu r2,10(r4) +81142ef4: 12003fcc andi r8,r2,255 +81142ef8: 40002026 beq r8,zero,81142f7c +81142efc: 29403fcc andi r5,r5,255 +81142f00: 04400044 movi r17,1 +81142f04: 2021883a mov r16,r4 +81142f08: 3025883a mov r18,r6 +81142f0c: 2c401e1e bne r5,r17,81142f88 +81142f10: 0023883a mov r17,zero +81142f14: 01c00084 movi r7,2 +81142f18: 01800104 movi r6,4 +81142f1c: 000b883a mov r5,zero +81142f20: 8009883a mov r4,r16 +81142f24: 114048c0 call 8114048c +81142f28: 80800283 ldbu r2,10(r16) +81142f2c: 8c400044 addi r17,r17,1 +81142f30: 103ff81e bne r2,zero,81142f14 <__reset+0xfb122f14> +81142f34: 9801703a wrctl status,r19 +81142f38: 11407e00 call 811407e0 +81142f3c: 00800384 movi r2,14 +81142f40: 90800005 stb r2,0(r18) +81142f44: 8805883a mov r2,r17 +81142f48: dfc00417 ldw ra,16(sp) +81142f4c: dcc00317 ldw r19,12(sp) +81142f50: dc800217 ldw r18,8(sp) +81142f54: dc400117 ldw r17,4(sp) +81142f58: dc000017 ldw r16,0(sp) +81142f5c: dec00504 addi sp,sp,20 +81142f60: f800283a ret +81142f64: 0005883a mov r2,zero +81142f68: f800283a ret +81142f6c: 00800104 movi r2,4 +81142f70: 30800005 stb r2,0(r6) +81142f74: 0005883a mov r2,zero +81142f78: f800283a ret +81142f7c: 9801703a wrctl status,r19 +81142f80: 30000005 stb zero,0(r6) +81142f84: 003ff006 br 81142f48 <__reset+0xfb122f48> +81142f88: 01800104 movi r6,4 +81142f8c: 000b883a mov r5,zero +81142f90: 114048c0 call 8114048c +81142f94: 003fe706 br 81142f34 <__reset+0xfb122f34> + +81142f98 : +81142f98: 20000526 beq r4,zero,81142fb0 +81142f9c: 21800003 ldbu r6,0(r4) +81142fa0: 00800084 movi r2,2 +81142fa4: 30800426 beq r6,r2,81142fb8 +81142fa8: 00800044 movi r2,1 +81142fac: f800283a ret +81142fb0: 00800104 movi r2,4 +81142fb4: f800283a ret +81142fb8: defffe04 addi sp,sp,-8 +81142fbc: dfc00115 stw ra,4(sp) +81142fc0: dc000015 stw r16,0(sp) +81142fc4: 0021303a rdctl r16,status +81142fc8: 00bfff84 movi r2,-2 +81142fcc: 8084703a and r2,r16,r2 +81142fd0: 1001703a wrctl status,r2 +81142fd4: 20800283 ldbu r2,10(r4) +81142fd8: 11803fcc andi r6,r2,255 +81142fdc: 3000171e bne r6,zero,8114303c +81142fe0: 20c00117 ldw r3,4(r4) +81142fe4: 280f883a mov r7,r5 +81142fe8: 1900058b ldhu r4,22(r3) +81142fec: 1940050b ldhu r5,20(r3) +81142ff0: 21bfffcc andi r6,r4,65535 +81142ff4: 31400e2e bgeu r6,r5,81143030 +81142ff8: 19400317 ldw r5,12(r3) +81142ffc: 21000044 addi r4,r4,1 +81143000: 29800104 addi r6,r5,4 +81143004: 19800315 stw r6,12(r3) +81143008: 29c00015 stw r7,0(r5) +8114300c: 19800317 ldw r6,12(r3) +81143010: 19400217 ldw r5,8(r3) +81143014: 1900058d sth r4,22(r3) +81143018: 31400f26 beq r6,r5,81143058 +8114301c: 8001703a wrctl status,r16 +81143020: dfc00117 ldw ra,4(sp) +81143024: dc000017 ldw r16,0(sp) +81143028: dec00204 addi sp,sp,8 +8114302c: f800283a ret +81143030: 8001703a wrctl status,r16 +81143034: 00800784 movi r2,30 +81143038: 003ff906 br 81143020 <__reset+0xfb123020> +8114303c: 000f883a mov r7,zero +81143040: 01800104 movi r6,4 +81143044: 114048c0 call 8114048c +81143048: 8001703a wrctl status,r16 +8114304c: 11407e00 call 811407e0 +81143050: 0005883a mov r2,zero +81143054: 003ff206 br 81143020 <__reset+0xfb123020> +81143058: 19000117 ldw r4,4(r3) +8114305c: 19000315 stw r4,12(r3) +81143060: 003fee06 br 8114301c <__reset+0xfb12301c> + +81143064 : +81143064: 20000526 beq r4,zero,8114307c +81143068: 21800003 ldbu r6,0(r4) +8114306c: 00800084 movi r2,2 +81143070: 30800426 beq r6,r2,81143084 +81143074: 00800044 movi r2,1 +81143078: f800283a ret +8114307c: 00800104 movi r2,4 +81143080: f800283a ret +81143084: defffe04 addi sp,sp,-8 +81143088: dfc00115 stw ra,4(sp) +8114308c: dc000015 stw r16,0(sp) +81143090: 0021303a rdctl r16,status +81143094: 00bfff84 movi r2,-2 +81143098: 8084703a and r2,r16,r2 +8114309c: 1001703a wrctl status,r2 +811430a0: 20800283 ldbu r2,10(r4) +811430a4: 11803fcc andi r6,r2,255 +811430a8: 3000161e bne r6,zero,81143104 +811430ac: 20c00117 ldw r3,4(r4) +811430b0: 280f883a mov r7,r5 +811430b4: 1900058b ldhu r4,22(r3) +811430b8: 1940050b ldhu r5,20(r3) +811430bc: 21bfffcc andi r6,r4,65535 +811430c0: 31400d2e bgeu r6,r5,811430f8 +811430c4: 19400417 ldw r5,16(r3) +811430c8: 19800117 ldw r6,4(r3) +811430cc: 29801426 beq r5,r6,81143120 +811430d0: 29bfff04 addi r6,r5,-4 +811430d4: 19800415 stw r6,16(r3) +811430d8: 29ffff15 stw r7,-4(r5) +811430dc: 21000044 addi r4,r4,1 +811430e0: 1900058d sth r4,22(r3) +811430e4: 8001703a wrctl status,r16 +811430e8: dfc00117 ldw ra,4(sp) +811430ec: dc000017 ldw r16,0(sp) +811430f0: dec00204 addi sp,sp,8 +811430f4: f800283a ret +811430f8: 8001703a wrctl status,r16 +811430fc: 00800784 movi r2,30 +81143100: 003ff906 br 811430e8 <__reset+0xfb1230e8> +81143104: 000f883a mov r7,zero +81143108: 01800104 movi r6,4 +8114310c: 114048c0 call 8114048c +81143110: 8001703a wrctl status,r16 +81143114: 11407e00 call 811407e0 +81143118: 0005883a mov r2,zero +8114311c: 003ff206 br 811430e8 <__reset+0xfb1230e8> +81143120: 19400217 ldw r5,8(r3) +81143124: 003fea06 br 811430d0 <__reset+0xfb1230d0> + +81143128 : +81143128: 20000526 beq r4,zero,81143140 +8114312c: 22000003 ldbu r8,0(r4) +81143130: 00800084 movi r2,2 +81143134: 40800426 beq r8,r2,81143148 +81143138: 00800044 movi r2,1 +8114313c: f800283a ret +81143140: 00800104 movi r2,4 +81143144: f800283a ret +81143148: defffa04 addi sp,sp,-24 +8114314c: 280f883a mov r7,r5 +81143150: dfc00515 stw ra,20(sp) +81143154: dcc00415 stw r19,16(sp) +81143158: dc800315 stw r18,12(sp) +8114315c: dc400215 stw r17,8(sp) +81143160: dc000115 stw r16,4(sp) +81143164: 0027303a rdctl r19,status +81143168: 00bfff84 movi r2,-2 +8114316c: 9884703a and r2,r19,r2 +81143170: 1001703a wrctl status,r2 +81143174: 20800283 ldbu r2,10(r4) +81143178: 12003fcc andi r8,r2,255 +8114317c: 40001826 beq r8,zero,811431e0 +81143180: 3080004c andi r2,r6,1 +81143184: 3025883a mov r18,r6 +81143188: 2823883a mov r17,r5 +8114318c: 2021883a mov r16,r4 +81143190: 10002b26 beq r2,zero,81143240 +81143194: 000f883a mov r7,zero +81143198: 01800104 movi r6,4 +8114319c: 880b883a mov r5,r17 +811431a0: 8009883a mov r4,r16 +811431a4: 114048c0 call 8114048c +811431a8: 80800283 ldbu r2,10(r16) +811431ac: 103ff91e bne r2,zero,81143194 <__reset+0xfb123194> +811431b0: 9801703a wrctl status,r19 +811431b4: 9180010c andi r6,r18,4 +811431b8: 3005883a mov r2,r6 +811431bc: 30001c26 beq r6,zero,81143230 +811431c0: 0005883a mov r2,zero +811431c4: dfc00517 ldw ra,20(sp) +811431c8: dcc00417 ldw r19,16(sp) +811431cc: dc800317 ldw r18,12(sp) +811431d0: dc400217 ldw r17,8(sp) +811431d4: dc000117 ldw r16,4(sp) +811431d8: dec00604 addi sp,sp,24 +811431dc: f800283a ret +811431e0: 20c00117 ldw r3,4(r4) +811431e4: 1900058b ldhu r4,22(r3) +811431e8: 1940050b ldhu r5,20(r3) +811431ec: 223fffcc andi r8,r4,65535 +811431f0: 41400c2e bgeu r8,r5,81143224 +811431f4: 3180008c andi r6,r6,2 +811431f8: 30001526 beq r6,zero,81143250 +811431fc: 19400417 ldw r5,16(r3) +81143200: 19800117 ldw r6,4(r3) +81143204: 29801c26 beq r5,r6,81143278 +81143208: 29bfff04 addi r6,r5,-4 +8114320c: 19800415 stw r6,16(r3) +81143210: 29ffff15 stw r7,-4(r5) +81143214: 21000044 addi r4,r4,1 +81143218: 1900058d sth r4,22(r3) +8114321c: 9801703a wrctl status,r19 +81143220: 003fe806 br 811431c4 <__reset+0xfb1231c4> +81143224: 9801703a wrctl status,r19 +81143228: 00800784 movi r2,30 +8114322c: 003fe506 br 811431c4 <__reset+0xfb1231c4> +81143230: d8800015 stw r2,0(sp) +81143234: 11407e00 call 811407e0 +81143238: d8800017 ldw r2,0(sp) +8114323c: 003fe106 br 811431c4 <__reset+0xfb1231c4> +81143240: 000f883a mov r7,zero +81143244: 01800104 movi r6,4 +81143248: 114048c0 call 8114048c +8114324c: 003fd806 br 811431b0 <__reset+0xfb1231b0> +81143250: 19400317 ldw r5,12(r3) +81143254: 29800104 addi r6,r5,4 +81143258: 19800315 stw r6,12(r3) +8114325c: 29c00015 stw r7,0(r5) +81143260: 19800317 ldw r6,12(r3) +81143264: 19400217 ldw r5,8(r3) +81143268: 317fea1e bne r6,r5,81143214 <__reset+0xfb123214> +8114326c: 19400117 ldw r5,4(r3) +81143270: 19400315 stw r5,12(r3) +81143274: 003fe706 br 81143214 <__reset+0xfb123214> +81143278: 19400217 ldw r5,8(r3) +8114327c: 003fe206 br 81143208 <__reset+0xfb123208> + +81143280 : +81143280: 20000626 beq r4,zero,8114329c +81143284: 28002226 beq r5,zero,81143310 +81143288: 20c00003 ldbu r3,0(r4) +8114328c: 00800084 movi r2,2 +81143290: 18800426 beq r3,r2,811432a4 +81143294: 00800044 movi r2,1 +81143298: f800283a ret +8114329c: 00800104 movi r2,4 +811432a0: f800283a ret +811432a4: 0011303a rdctl r8,status +811432a8: 00bfff84 movi r2,-2 +811432ac: 4084703a and r2,r8,r2 +811432b0: 1001703a wrctl status,r2 +811432b4: 21800283 ldbu r6,10(r4) +811432b8: 208002c4 addi r2,r4,11 +811432bc: 28c00204 addi r3,r5,8 +811432c0: 29800385 stb r6,14(r5) +811432c4: 21c00444 addi r7,r4,17 +811432c8: 11800003 ldbu r6,0(r2) +811432cc: 18c00044 addi r3,r3,1 +811432d0: 10800044 addi r2,r2,1 +811432d4: 19bfffc5 stb r6,-1(r3) +811432d8: 11fffb1e bne r2,r7,811432c8 <__reset+0xfb1232c8> +811432dc: 20800117 ldw r2,4(r4) +811432e0: 10c0058b ldhu r3,22(r2) +811432e4: 193fffcc andi r4,r3,65535 +811432e8: 20000b26 beq r4,zero,81143318 +811432ec: 11000417 ldw r4,16(r2) +811432f0: 21000017 ldw r4,0(r4) +811432f4: 29000015 stw r4,0(r5) +811432f8: 1080050b ldhu r2,20(r2) +811432fc: 28c0010d sth r3,4(r5) +81143300: 2880018d sth r2,6(r5) +81143304: 4001703a wrctl status,r8 +81143308: 0005883a mov r2,zero +8114330c: f800283a ret +81143310: 00800244 movi r2,9 +81143314: f800283a ret +81143318: 28000015 stw zero,0(r5) +8114331c: 003ff606 br 811432f8 <__reset+0xfb1232f8> + +81143320 : +81143320: 01204634 movhi r4,33048 +81143324: deffff04 addi sp,sp,-4 +81143328: 211d0104 addi r4,r4,29700 +8114332c: 01418004 movi r5,1536 +81143330: dfc00015 stw ra,0(sp) +81143334: 11407880 call 81140788 +81143338: 01604634 movhi r5,33048 +8114333c: 295d0104 addi r5,r5,29700 +81143340: 2805883a mov r2,r5 +81143344: 29017a04 addi r4,r5,1512 +81143348: 10c00604 addi r3,r2,24 +8114334c: 10c00015 stw r3,0(r2) +81143350: 1805883a mov r2,r3 +81143354: 193ffc1e bne r3,r4,81143348 <__reset+0xfb123348> +81143358: 18000015 stw zero,0(r3) +8114335c: d160b715 stw r5,-32036(gp) +81143360: dfc00017 ldw ra,0(sp) +81143364: dec00104 addi sp,sp,4 +81143368: f800283a ret + +8114336c : +8114336c: 20000326 beq r4,zero,8114337c +81143370: 20c00003 ldbu r3,0(r4) +81143374: 008000c4 movi r2,3 +81143378: 18800226 beq r3,r2,81143384 +8114337c: 0005883a mov r2,zero +81143380: f800283a ret 81143384: 0007303a rdctl r3,status 81143388: 00bfff84 movi r2,-2 8114338c: 1884703a and r2,r3,r2 81143390: 1001703a wrctl status,r2 -81143394: d420ba17 ldw r16,-32024(gp) -81143398: 80001326 beq r16,zero,811433e8 -8114339c: 80800117 ldw r2,4(r16) -811433a0: d0a0ba15 stw r2,-32024(gp) -811433a4: 1801703a wrctl status,r3 -811433a8: 008000c4 movi r2,3 -811433ac: 80800005 stb r2,0(r16) -811433b0: 00800fc4 movi r2,63 -811433b4: 8100020d sth r4,8(r16) -811433b8: 80800445 stb r2,17(r16) -811433bc: 80000115 stw zero,4(r16) -811433c0: 80000485 stb zero,18(r16) -811433c4: 8009883a mov r4,r16 -811433c8: 114072c0 call 8114072c -811433cc: 8005883a mov r2,r16 -811433d0: dfc00117 ldw ra,4(sp) -811433d4: dc000017 ldw r16,0(sp) -811433d8: dec00204 addi sp,sp,8 -811433dc: f800283a ret -811433e0: 0005883a mov r2,zero -811433e4: f800283a ret -811433e8: 1801703a wrctl status,r3 -811433ec: 0005883a mov r2,zero -811433f0: dfc00117 ldw ra,4(sp) -811433f4: dc000017 ldw r16,0(sp) -811433f8: dec00204 addi sp,sp,8 -811433fc: f800283a ret - -81143400 : -81143400: defffc04 addi sp,sp,-16 -81143404: dc000015 stw r16,0(sp) -81143408: dfc00315 stw ra,12(sp) -8114340c: dc800215 stw r18,8(sp) -81143410: dc400115 stw r17,4(sp) -81143414: 2021883a mov r16,r4 -81143418: 30000726 beq r6,zero,81143438 -8114341c: 3023883a mov r17,r6 -81143420: 20001d26 beq r4,zero,81143498 -81143424: 20c00003 ldbu r3,0(r4) -81143428: 008000c4 movi r2,3 -8114342c: 18800926 beq r3,r2,81143454 -81143430: 00800044 movi r2,1 -81143434: 30800005 stb r2,0(r6) -81143438: 8005883a mov r2,r16 -8114343c: dfc00317 ldw ra,12(sp) -81143440: dc800217 ldw r18,8(sp) -81143444: dc400117 ldw r17,4(sp) -81143448: dc000017 ldw r16,0(sp) -8114344c: dec00404 addi sp,sp,16 -81143450: f800283a ret -81143454: d0a0bb03 ldbu r2,-32020(gp) -81143458: 10000326 beq r2,zero,81143468 -8114345c: 008003c4 movi r2,15 -81143460: 30800005 stb r2,0(r6) -81143464: 003ff406 br 81143438 <__reset+0xfb123438> -81143468: 0025303a rdctl r18,status -8114346c: 00bfff84 movi r2,-2 -81143470: 9084703a and r2,r18,r2 -81143474: 1001703a wrctl status,r2 -81143478: 20800283 ldbu r2,10(r4) -8114347c: 10000926 beq r2,zero,811434a4 -81143480: 29403fcc andi r5,r5,255 -81143484: 28001e1e bne r5,zero,81143500 -81143488: 9001703a wrctl status,r18 -8114348c: 00801244 movi r2,73 -81143490: 88800005 stb r2,0(r17) -81143494: 003fe806 br 81143438 <__reset+0xfb123438> -81143498: 00800104 movi r2,4 -8114349c: 30800005 stb r2,0(r6) -811434a0: 003fe506 br 81143438 <__reset+0xfb123438> -811434a4: 29403fcc andi r5,r5,255 -811434a8: 28002226 beq r5,zero,81143534 -811434ac: 00800044 movi r2,1 -811434b0: 28800f1e bne r5,r2,811434f0 -811434b4: 0005883a mov r2,zero -811434b8: 80000005 stb zero,0(r16) -811434bc: 00c00fc4 movi r3,63 -811434c0: 80c00445 stb r3,17(r16) -811434c4: d0e0ba17 ldw r3,-32024(gp) -811434c8: 80000485 stb zero,18(r16) -811434cc: 8000020d sth zero,8(r16) -811434d0: 80c00115 stw r3,4(r16) -811434d4: d420ba15 stw r16,-32024(gp) -811434d8: 9001703a wrctl status,r18 -811434dc: 10803fcc andi r2,r2,255 -811434e0: 1000121e bne r2,zero,8114352c -811434e4: 88000005 stb zero,0(r17) -811434e8: 0021883a mov r16,zero -811434ec: 003fd206 br 81143438 <__reset+0xfb123438> -811434f0: 9001703a wrctl status,r18 -811434f4: 008001c4 movi r2,7 -811434f8: 88800005 stb r2,0(r17) -811434fc: 003fce06 br 81143438 <__reset+0xfb123438> -81143500: 00800044 movi r2,1 -81143504: 28bffa1e bne r5,r2,811434f0 <__reset+0xfb1234f0> -81143508: 000f883a mov r7,zero -8114350c: 01800044 movi r6,1 -81143510: 000b883a mov r5,zero -81143514: 8009883a mov r4,r16 -81143518: 114044c0 call 8114044c -8114351c: 80800283 ldbu r2,10(r16) -81143520: 103ff91e bne r2,zero,81143508 <__reset+0xfb123508> -81143524: 00800044 movi r2,1 -81143528: 003fe306 br 811434b8 <__reset+0xfb1234b8> -8114352c: 11407a00 call 811407a0 -81143530: 003fec06 br 811434e4 <__reset+0xfb1234e4> -81143534: 80000005 stb zero,0(r16) -81143538: 00800fc4 movi r2,63 -8114353c: 80800445 stb r2,17(r16) -81143540: d0a0ba17 ldw r2,-32024(gp) -81143544: 80000485 stb zero,18(r16) -81143548: 8000020d sth zero,8(r16) -8114354c: 80800115 stw r2,4(r16) -81143550: d420ba15 stw r16,-32024(gp) -81143554: 9001703a wrctl status,r18 -81143558: 88000005 stb zero,0(r17) -8114355c: 0021883a mov r16,zero -81143560: 003fb506 br 81143438 <__reset+0xfb123438> - -81143564 : -81143564: 30004226 beq r6,zero,81143670 -81143568: 20001026 beq r4,zero,811435ac -8114356c: 21c00003 ldbu r7,0(r4) -81143570: 00c000c4 movi r3,3 -81143574: 38c00326 beq r7,r3,81143584 -81143578: 00800044 movi r2,1 -8114357c: 30800005 stb r2,0(r6) -81143580: f800283a ret -81143584: d0e0bb03 ldbu r3,-32020(gp) -81143588: 1800051e bne r3,zero,811435a0 -8114358c: d0e0a903 ldbu r3,-32092(gp) -81143590: 18000926 beq r3,zero,811435b8 -81143594: 00800344 movi r2,13 -81143598: 30800005 stb r2,0(r6) -8114359c: f800283a ret -811435a0: 00800084 movi r2,2 -811435a4: 30800005 stb r2,0(r6) -811435a8: f800283a ret -811435ac: 00800104 movi r2,4 -811435b0: 30800005 stb r2,0(r6) -811435b4: f800283a ret -811435b8: defffb04 addi sp,sp,-20 -811435bc: dfc00415 stw ra,16(sp) -811435c0: dcc00315 stw r19,12(sp) -811435c4: dc800215 stw r18,8(sp) -811435c8: dc400115 stw r17,4(sp) -811435cc: dc000015 stw r16,0(sp) -811435d0: 0027303a rdctl r19,status -811435d4: 04bfff84 movi r18,-2 -811435d8: 9c86703a and r3,r19,r18 -811435dc: 1801703a wrctl status,r3 -811435e0: 20c0020b ldhu r3,8(r4) -811435e4: 19ffffcc andi r7,r3,65535 -811435e8: 3800221e bne r7,zero,81143674 -811435ec: d0a0bc17 ldw r2,-32016(gp) -811435f0: 2023883a mov r17,r4 -811435f4: 3021883a mov r16,r6 -811435f8: 10c00c03 ldbu r3,48(r2) -811435fc: 10000c45 stb zero,49(r2) -81143600: 11400b8d sth r5,46(r2) -81143604: 18c00054 ori r3,r3,1 -81143608: 10c00c05 stb r3,48(r2) -8114360c: 11405900 call 81140590 -81143610: 9801703a wrctl status,r19 -81143614: 11407a00 call 811407a0 -81143618: 0027303a rdctl r19,status -8114361c: 9ca4703a and r18,r19,r18 -81143620: 9001703a wrctl status,r18 -81143624: d120bc17 ldw r4,-32016(gp) -81143628: 20800c43 ldbu r2,49(r4) -8114362c: 10001b26 beq r2,zero,8114369c -81143630: 00c00084 movi r3,2 -81143634: 10c0141e bne r2,r3,81143688 -81143638: 00800384 movi r2,14 -8114363c: 80800005 stb r2,0(r16) -81143640: d0a0bc17 ldw r2,-32016(gp) -81143644: 10000c05 stb zero,48(r2) -81143648: 10000c45 stb zero,49(r2) -8114364c: 10000715 stw zero,28(r2) -81143650: 10000815 stw zero,32(r2) -81143654: 9801703a wrctl status,r19 -81143658: dfc00417 ldw ra,16(sp) -8114365c: dcc00317 ldw r19,12(sp) -81143660: dc800217 ldw r18,8(sp) -81143664: dc400117 ldw r17,4(sp) -81143668: dc000017 ldw r16,0(sp) -8114366c: dec00504 addi sp,sp,20 -81143670: f800283a ret -81143674: 18ffffc4 addi r3,r3,-1 -81143678: 20c0020d sth r3,8(r4) -8114367c: 9801703a wrctl status,r19 -81143680: 30000005 stb zero,0(r6) -81143684: 003ff406 br 81143658 <__reset+0xfb123658> -81143688: 880b883a mov r5,r17 -8114368c: 11406940 call 81140694 -81143690: 00800284 movi r2,10 -81143694: 80800005 stb r2,0(r16) -81143698: 003fe906 br 81143640 <__reset+0xfb123640> -8114369c: 80000005 stb zero,0(r16) -811436a0: 003fe706 br 81143640 <__reset+0xfb123640> - -811436a4 : -811436a4: 30002f26 beq r6,zero,81143764 -811436a8: 20003026 beq r4,zero,8114376c -811436ac: 21c00003 ldbu r7,0(r4) -811436b0: 008000c4 movi r2,3 -811436b4: 38800426 beq r7,r2,811436c8 -811436b8: 00800044 movi r2,1 -811436bc: 30800005 stb r2,0(r6) -811436c0: 0005883a mov r2,zero -811436c4: f800283a ret -811436c8: defffb04 addi sp,sp,-20 -811436cc: dfc00415 stw ra,16(sp) -811436d0: dcc00315 stw r19,12(sp) -811436d4: dc800215 stw r18,8(sp) -811436d8: dc400115 stw r17,4(sp) -811436dc: dc000015 stw r16,0(sp) -811436e0: 0027303a rdctl r19,status -811436e4: 00bfff84 movi r2,-2 -811436e8: 9884703a and r2,r19,r2 -811436ec: 1001703a wrctl status,r2 -811436f0: 20800283 ldbu r2,10(r4) -811436f4: 11c03fcc andi r7,r2,255 -811436f8: 38002026 beq r7,zero,8114377c -811436fc: 29403fcc andi r5,r5,255 -81143700: 04400044 movi r17,1 -81143704: 2021883a mov r16,r4 -81143708: 3025883a mov r18,r6 -8114370c: 2c401e1e bne r5,r17,81143788 -81143710: 0023883a mov r17,zero -81143714: 01c00084 movi r7,2 -81143718: 01800044 movi r6,1 -8114371c: 000b883a mov r5,zero -81143720: 8009883a mov r4,r16 -81143724: 114044c0 call 8114044c -81143728: 80800283 ldbu r2,10(r16) -8114372c: 8c400044 addi r17,r17,1 -81143730: 103ff81e bne r2,zero,81143714 <__reset+0xfb123714> -81143734: 9801703a wrctl status,r19 -81143738: 11407a00 call 811407a0 -8114373c: 00800384 movi r2,14 -81143740: 90800005 stb r2,0(r18) -81143744: 8805883a mov r2,r17 -81143748: dfc00417 ldw ra,16(sp) -8114374c: dcc00317 ldw r19,12(sp) -81143750: dc800217 ldw r18,8(sp) -81143754: dc400117 ldw r17,4(sp) -81143758: dc000017 ldw r16,0(sp) -8114375c: dec00504 addi sp,sp,20 -81143760: f800283a ret -81143764: 0005883a mov r2,zero -81143768: f800283a ret -8114376c: 00800104 movi r2,4 -81143770: 30800005 stb r2,0(r6) -81143774: 0005883a mov r2,zero -81143778: f800283a ret -8114377c: 9801703a wrctl status,r19 -81143780: 30000005 stb zero,0(r6) -81143784: 003ff006 br 81143748 <__reset+0xfb123748> -81143788: 01c00084 movi r7,2 -8114378c: 880d883a mov r6,r17 -81143790: 000b883a mov r5,zero -81143794: 114044c0 call 8114044c -81143798: 003fe606 br 81143734 <__reset+0xfb123734> - -8114379c : -8114379c: 20000626 beq r4,zero,811437b8 -811437a0: 21400003 ldbu r5,0(r4) -811437a4: 008000c4 movi r2,3 -811437a8: 2007883a mov r3,r4 -811437ac: 28800426 beq r5,r2,811437c0 -811437b0: 00800044 movi r2,1 -811437b4: f800283a ret -811437b8: 00800104 movi r2,4 -811437bc: f800283a ret -811437c0: defffe04 addi sp,sp,-8 -811437c4: dfc00115 stw ra,4(sp) -811437c8: dc000015 stw r16,0(sp) -811437cc: 0021303a rdctl r16,status -811437d0: 00bfff84 movi r2,-2 -811437d4: 8084703a and r2,r16,r2 -811437d8: 1001703a wrctl status,r2 -811437dc: 20800283 ldbu r2,10(r4) -811437e0: 11403fcc andi r5,r2,255 -811437e4: 28000e1e bne r5,zero,81143820 -811437e8: 2100020b ldhu r4,8(r4) -811437ec: 017fffd4 movui r5,65535 -811437f0: 21bfffcc andi r6,r4,65535 -811437f4: 31400726 beq r6,r5,81143814 -811437f8: 21000044 addi r4,r4,1 -811437fc: 1900020d sth r4,8(r3) -81143800: 8001703a wrctl status,r16 -81143804: dfc00117 ldw ra,4(sp) -81143808: dc000017 ldw r16,0(sp) -8114380c: dec00204 addi sp,sp,8 -81143810: f800283a ret -81143814: 8001703a wrctl status,r16 -81143818: 00800c84 movi r2,50 -8114381c: 003ff906 br 81143804 <__reset+0xfb123804> -81143820: 000f883a mov r7,zero -81143824: 01800044 movi r6,1 -81143828: 000b883a mov r5,zero -8114382c: 114044c0 call 8114044c -81143830: 8001703a wrctl status,r16 -81143834: 11407a00 call 811407a0 -81143838: 0005883a mov r2,zero -8114383c: 003ff106 br 81143804 <__reset+0xfb123804> - -81143840 : -81143840: 20000626 beq r4,zero,8114385c -81143844: 28001a26 beq r5,zero,811438b0 -81143848: 20c00003 ldbu r3,0(r4) -8114384c: 008000c4 movi r2,3 -81143850: 18800426 beq r3,r2,81143864 -81143854: 00800044 movi r2,1 -81143858: f800283a ret -8114385c: 00800104 movi r2,4 -81143860: f800283a ret -81143864: 0011303a rdctl r8,status -81143868: 00bfff84 movi r2,-2 -8114386c: 4084703a and r2,r8,r2 -81143870: 1001703a wrctl status,r2 -81143874: 21800283 ldbu r6,10(r4) -81143878: 208002c4 addi r2,r4,11 -8114387c: 28c00084 addi r3,r5,2 -81143880: 29800205 stb r6,8(r5) -81143884: 21c00444 addi r7,r4,17 -81143888: 11800003 ldbu r6,0(r2) -8114388c: 18c00044 addi r3,r3,1 -81143890: 10800044 addi r2,r2,1 -81143894: 19bfffc5 stb r6,-1(r3) -81143898: 11fffb1e bne r2,r7,81143888 <__reset+0xfb123888> -8114389c: 2080020b ldhu r2,8(r4) -811438a0: 2880000d sth r2,0(r5) -811438a4: 4001703a wrctl status,r8 -811438a8: 0005883a mov r2,zero -811438ac: f800283a ret -811438b0: 00800244 movi r2,9 -811438b4: f800283a ret - -811438b8 : -811438b8: 30001226 beq r6,zero,81143904 -811438bc: 20001226 beq r4,zero,81143908 -811438c0: 20c00003 ldbu r3,0(r4) -811438c4: 008000c4 movi r2,3 -811438c8: 18800326 beq r3,r2,811438d8 -811438cc: 00800044 movi r2,1 -811438d0: 30800005 stb r2,0(r6) -811438d4: f800283a ret -811438d8: 0007303a rdctl r3,status -811438dc: 00bfff84 movi r2,-2 -811438e0: 1884703a and r2,r3,r2 -811438e4: 1001703a wrctl status,r2 -811438e8: 30000005 stb zero,0(r6) -811438ec: 2080020b ldhu r2,8(r4) -811438f0: 1000021e bne r2,zero,811438fc -811438f4: 20800283 ldbu r2,10(r4) -811438f8: 1000061e bne r2,zero,81143914 -811438fc: 2140020d sth r5,8(r4) -81143900: 1801703a wrctl status,r3 -81143904: f800283a ret -81143908: 00800104 movi r2,4 -8114390c: 30800005 stb r2,0(r6) -81143910: f800283a ret -81143914: 00801244 movi r2,73 -81143918: 30800005 stb r2,0(r6) -8114391c: 003ff806 br 81143900 <__reset+0xfb123900> - -81143920 : -81143920: 20bff504 addi r2,r4,-44 -81143924: 10803fcc andi r2,r2,255 -81143928: 00c03484 movi r3,210 -8114392c: 1880032e bgeu r3,r2,8114393c -81143930: 28803fcc andi r2,r5,255 -81143934: 00c00ac4 movi r3,43 -81143938: 1880022e bgeu r3,r2,81143944 -8114393c: 00800a84 movi r2,42 -81143940: f800283a ret -81143944: 0011303a rdctl r8,status -81143948: 00ffff84 movi r3,-2 -8114394c: 40c6703a and r3,r8,r3 -81143950: 1801703a wrctl status,r3 -81143954: 02604674 movhi r9,33049 -81143958: 108f883a add r7,r2,r2 -8114395c: 4a6f9404 addi r9,r9,-16816 -81143960: 39c7883a add r3,r7,r7 -81143964: 48c7883a add r3,r9,r3 -81143968: 18c00017 ldw r3,0(r3) -8114396c: 18000326 beq r3,zero,8114397c -81143970: 4001703a wrctl status,r8 -81143974: 00800a04 movi r2,40 -81143978: f800283a ret -8114397c: 200d883a mov r6,r4 -81143980: 00c03fc4 movi r3,255 -81143984: 21003fcc andi r4,r4,255 -81143988: 20c06c26 beq r4,r3,81143b3c -8114398c: 31803fcc andi r6,r6,255 -81143990: 318d883a add r6,r6,r6 -81143994: 318d883a add r6,r6,r6 -81143998: 498d883a add r6,r9,r6 -8114399c: 32400017 ldw r9,0(r6) -811439a0: 48006f26 beq r9,zero,81143b60 -811439a4: 03c00044 movi r15,1 -811439a8: 4bc07026 beq r9,r15,81143b6c -811439ac: defffd04 addi sp,sp,-12 -811439b0: 00e04674 movhi r3,33049 -811439b4: dc400115 stw r17,4(sp) -811439b8: dc000015 stw r16,0(sp) -811439bc: dfc00215 stw ra,8(sp) -811439c0: 39cf883a add r7,r7,r7 -811439c4: 18ef9404 addi r3,r3,-16816 -811439c8: 30000015 stw zero,0(r6) -811439cc: 19c7883a add r3,r3,r7 -811439d0: 1a400015 stw r9,0(r3) -811439d4: 4b000d03 ldbu r12,52(r9) -811439d8: 1004d0fa srli r2,r2,3 -811439dc: d1a0b844 addi r6,gp,-32031 -811439e0: 330f883a add r7,r6,r12 -811439e4: 4a800d43 ldbu r10,53(r9) -811439e8: 38c00003 ldbu r3,0(r7) -811439ec: 2c4001cc andi r17,r5,7 -811439f0: 12c03fcc andi r11,r2,255 -811439f4: 7ae0983a sll r16,r15,r11 -811439f8: 7c5e983a sll r15,r15,r17 -811439fc: 50c8703a and r4,r10,r3 -81143a00: 21003fcc andi r4,r4,255 -81143a04: 801b883a mov r13,r16 -81143a08: 781d883a mov r14,r15 -81143a0c: 4fc00d83 ldbu ra,54(r9) -81143a10: 20000c26 beq r4,zero,81143a44 -81143a14: 0288303a nor r4,zero,r10 -81143a18: 20c6703a and r3,r4,r3 -81143a1c: 38c00005 stb r3,0(r7) -81143a20: 18c03fcc andi r3,r3,255 -81143a24: 18004826 beq r3,zero,81143b48 -81143a28: d0e0b803 ldbu r3,-32032(gp) -81143a2c: 32cd883a add r6,r6,r11 -81143a30: 31000003 ldbu r4,0(r6) -81143a34: 80c6b03a or r3,r16,r3 -81143a38: d0e0b805 stb r3,-32032(gp) -81143a3c: 7906b03a or r3,r15,r4 -81143a40: 30c00005 stb r3,0(r6) -81143a44: 49000717 ldw r4,28(r9) -81143a48: 20001026 beq r4,zero,81143a8c -81143a4c: 230d883a add r6,r4,r12 -81143a50: 318002c4 addi r6,r6,11 -81143a54: 30c00003 ldbu r3,0(r6) -81143a58: 028e303a nor r7,zero,r10 -81143a5c: 38c6703a and r3,r7,r3 -81143a60: 30c00005 stb r3,0(r6) -81143a64: 18c03fcc andi r3,r3,255 -81143a68: 18003026 beq r3,zero,81143b2c -81143a6c: 21800283 ldbu r6,10(r4) -81143a70: 22c7883a add r3,r4,r11 -81143a74: 818cb03a or r6,r16,r6 -81143a78: 21800285 stb r6,10(r4) -81143a7c: 18c002c4 addi r3,r3,11 -81143a80: 19000003 ldbu r4,0(r3) -81143a84: 7908b03a or r4,r15,r4 -81143a88: 19000005 stb r4,0(r3) -81143a8c: 49c00817 ldw r7,32(r9) -81143a90: 38001726 beq r7,zero,81143af0 -81143a94: 39000017 ldw r4,0(r7) -81143a98: 20001526 beq r4,zero,81143af0 -81143a9c: 0294303a nor r10,zero,r10 -81143aa0: 07fe303a nor ra,zero,ra -81143aa4: 230d883a add r6,r4,r12 -81143aa8: 318002c4 addi r6,r6,11 -81143aac: 30c00003 ldbu r3,0(r6) -81143ab0: 1a86703a and r3,r3,r10 -81143ab4: 30c00005 stb r3,0(r6) -81143ab8: 18c03fcc andi r3,r3,255 -81143abc: 21800283 ldbu r6,10(r4) -81143ac0: 1800011e bne r3,zero,81143ac8 -81143ac4: f98c703a and r6,ra,r6 -81143ac8: 22c7883a add r3,r4,r11 -81143acc: 698cb03a or r6,r13,r6 -81143ad0: 21800285 stb r6,10(r4) -81143ad4: 18c002c4 addi r3,r3,11 -81143ad8: 19000003 ldbu r4,0(r3) -81143adc: 39c00104 addi r7,r7,4 -81143ae0: 2388b03a or r4,r4,r14 -81143ae4: 19000005 stb r4,0(r3) -81143ae8: 39000017 ldw r4,0(r7) -81143aec: 203fed1e bne r4,zero,81143aa4 <__reset+0xfb123aa4> -81143af0: 49400c85 stb r5,50(r9) -81143af4: 48800d05 stb r2,52(r9) -81143af8: 4c400cc5 stb r17,51(r9) -81143afc: 4c000d85 stb r16,54(r9) -81143b00: 4bc00d45 stb r15,53(r9) -81143b04: 4001703a wrctl status,r8 -81143b08: d0e0ab03 ldbu r3,-32084(gp) -81143b0c: 00800044 movi r2,1 -81143b10: 18801126 beq r3,r2,81143b58 -81143b14: 0005883a mov r2,zero -81143b18: dfc00217 ldw ra,8(sp) -81143b1c: dc400117 ldw r17,4(sp) -81143b20: dc000017 ldw r16,0(sp) -81143b24: dec00304 addi sp,sp,12 -81143b28: f800283a ret -81143b2c: 20c00283 ldbu r3,10(r4) -81143b30: 07cc303a nor r6,zero,ra -81143b34: 30cc703a and r6,r6,r3 -81143b38: 003fcd06 br 81143a70 <__reset+0xfb123a70> -81143b3c: d0e0bc17 ldw r3,-32016(gp) -81143b40: 19800c83 ldbu r6,50(r3) -81143b44: 003f9106 br 8114398c <__reset+0xfb12398c> -81143b48: d0e0b803 ldbu r3,-32032(gp) -81143b4c: 07c8303a nor r4,zero,ra -81143b50: 20c6703a and r3,r4,r3 -81143b54: 003fb506 br 81143a2c <__reset+0xfb123a2c> -81143b58: 11407a00 call 811407a0 -81143b5c: 003fed06 br 81143b14 <__reset+0xfb123b14> -81143b60: 4001703a wrctl status,r8 -81143b64: 00800a44 movi r2,41 +81143394: 2080020b ldhu r2,8(r4) +81143398: 117fffcc andi r5,r2,65535 +8114339c: 28000226 beq r5,zero,811433a8 +811433a0: 117fffc4 addi r5,r2,-1 +811433a4: 2140020d sth r5,8(r4) +811433a8: 1801703a wrctl status,r3 +811433ac: f800283a ret + +811433b0 : +811433b0: d0a0bb03 ldbu r2,-32020(gp) +811433b4: 10001a1e bne r2,zero,81143420 +811433b8: defffe04 addi sp,sp,-8 +811433bc: dfc00115 stw ra,4(sp) +811433c0: dc000015 stw r16,0(sp) +811433c4: 0007303a rdctl r3,status +811433c8: 00bfff84 movi r2,-2 +811433cc: 1884703a and r2,r3,r2 +811433d0: 1001703a wrctl status,r2 +811433d4: d420ba17 ldw r16,-32024(gp) +811433d8: 80001326 beq r16,zero,81143428 +811433dc: 80800117 ldw r2,4(r16) +811433e0: d0a0ba15 stw r2,-32024(gp) +811433e4: 1801703a wrctl status,r3 +811433e8: 008000c4 movi r2,3 +811433ec: 80800005 stb r2,0(r16) +811433f0: 00800fc4 movi r2,63 +811433f4: 8100020d sth r4,8(r16) +811433f8: 80800445 stb r2,17(r16) +811433fc: 80000115 stw zero,4(r16) +81143400: 80000485 stb zero,18(r16) +81143404: 8009883a mov r4,r16 +81143408: 114076c0 call 8114076c +8114340c: 8005883a mov r2,r16 +81143410: dfc00117 ldw ra,4(sp) +81143414: dc000017 ldw r16,0(sp) +81143418: dec00204 addi sp,sp,8 +8114341c: f800283a ret +81143420: 0005883a mov r2,zero +81143424: f800283a ret +81143428: 1801703a wrctl status,r3 +8114342c: 0005883a mov r2,zero +81143430: dfc00117 ldw ra,4(sp) +81143434: dc000017 ldw r16,0(sp) +81143438: dec00204 addi sp,sp,8 +8114343c: f800283a ret + +81143440 : +81143440: defffc04 addi sp,sp,-16 +81143444: dc000015 stw r16,0(sp) +81143448: dfc00315 stw ra,12(sp) +8114344c: dc800215 stw r18,8(sp) +81143450: dc400115 stw r17,4(sp) +81143454: 2021883a mov r16,r4 +81143458: 30000726 beq r6,zero,81143478 +8114345c: 3023883a mov r17,r6 +81143460: 20001d26 beq r4,zero,811434d8 +81143464: 20c00003 ldbu r3,0(r4) +81143468: 008000c4 movi r2,3 +8114346c: 18800926 beq r3,r2,81143494 +81143470: 00800044 movi r2,1 +81143474: 30800005 stb r2,0(r6) +81143478: 8005883a mov r2,r16 +8114347c: dfc00317 ldw ra,12(sp) +81143480: dc800217 ldw r18,8(sp) +81143484: dc400117 ldw r17,4(sp) +81143488: dc000017 ldw r16,0(sp) +8114348c: dec00404 addi sp,sp,16 +81143490: f800283a ret +81143494: d0a0bb03 ldbu r2,-32020(gp) +81143498: 10000326 beq r2,zero,811434a8 +8114349c: 008003c4 movi r2,15 +811434a0: 30800005 stb r2,0(r6) +811434a4: 003ff406 br 81143478 <__reset+0xfb123478> +811434a8: 0025303a rdctl r18,status +811434ac: 00bfff84 movi r2,-2 +811434b0: 9084703a and r2,r18,r2 +811434b4: 1001703a wrctl status,r2 +811434b8: 20800283 ldbu r2,10(r4) +811434bc: 10000926 beq r2,zero,811434e4 +811434c0: 29403fcc andi r5,r5,255 +811434c4: 28001e1e bne r5,zero,81143540 +811434c8: 9001703a wrctl status,r18 +811434cc: 00801244 movi r2,73 +811434d0: 88800005 stb r2,0(r17) +811434d4: 003fe806 br 81143478 <__reset+0xfb123478> +811434d8: 00800104 movi r2,4 +811434dc: 30800005 stb r2,0(r6) +811434e0: 003fe506 br 81143478 <__reset+0xfb123478> +811434e4: 29403fcc andi r5,r5,255 +811434e8: 28002226 beq r5,zero,81143574 +811434ec: 00800044 movi r2,1 +811434f0: 28800f1e bne r5,r2,81143530 +811434f4: 0005883a mov r2,zero +811434f8: 80000005 stb zero,0(r16) +811434fc: 00c00fc4 movi r3,63 +81143500: 80c00445 stb r3,17(r16) +81143504: d0e0ba17 ldw r3,-32024(gp) +81143508: 80000485 stb zero,18(r16) +8114350c: 8000020d sth zero,8(r16) +81143510: 80c00115 stw r3,4(r16) +81143514: d420ba15 stw r16,-32024(gp) +81143518: 9001703a wrctl status,r18 +8114351c: 10803fcc andi r2,r2,255 +81143520: 1000121e bne r2,zero,8114356c +81143524: 88000005 stb zero,0(r17) +81143528: 0021883a mov r16,zero +8114352c: 003fd206 br 81143478 <__reset+0xfb123478> +81143530: 9001703a wrctl status,r18 +81143534: 008001c4 movi r2,7 +81143538: 88800005 stb r2,0(r17) +8114353c: 003fce06 br 81143478 <__reset+0xfb123478> +81143540: 00800044 movi r2,1 +81143544: 28bffa1e bne r5,r2,81143530 <__reset+0xfb123530> +81143548: 000f883a mov r7,zero +8114354c: 01800044 movi r6,1 +81143550: 000b883a mov r5,zero +81143554: 8009883a mov r4,r16 +81143558: 114048c0 call 8114048c +8114355c: 80800283 ldbu r2,10(r16) +81143560: 103ff91e bne r2,zero,81143548 <__reset+0xfb123548> +81143564: 00800044 movi r2,1 +81143568: 003fe306 br 811434f8 <__reset+0xfb1234f8> +8114356c: 11407e00 call 811407e0 +81143570: 003fec06 br 81143524 <__reset+0xfb123524> +81143574: 80000005 stb zero,0(r16) +81143578: 00800fc4 movi r2,63 +8114357c: 80800445 stb r2,17(r16) +81143580: d0a0ba17 ldw r2,-32024(gp) +81143584: 80000485 stb zero,18(r16) +81143588: 8000020d sth zero,8(r16) +8114358c: 80800115 stw r2,4(r16) +81143590: d420ba15 stw r16,-32024(gp) +81143594: 9001703a wrctl status,r18 +81143598: 88000005 stb zero,0(r17) +8114359c: 0021883a mov r16,zero +811435a0: 003fb506 br 81143478 <__reset+0xfb123478> + +811435a4 : +811435a4: 30004226 beq r6,zero,811436b0 +811435a8: 20001026 beq r4,zero,811435ec +811435ac: 21c00003 ldbu r7,0(r4) +811435b0: 00c000c4 movi r3,3 +811435b4: 38c00326 beq r7,r3,811435c4 +811435b8: 00800044 movi r2,1 +811435bc: 30800005 stb r2,0(r6) +811435c0: f800283a ret +811435c4: d0e0bb03 ldbu r3,-32020(gp) +811435c8: 1800051e bne r3,zero,811435e0 +811435cc: d0e0a903 ldbu r3,-32092(gp) +811435d0: 18000926 beq r3,zero,811435f8 +811435d4: 00800344 movi r2,13 +811435d8: 30800005 stb r2,0(r6) +811435dc: f800283a ret +811435e0: 00800084 movi r2,2 +811435e4: 30800005 stb r2,0(r6) +811435e8: f800283a ret +811435ec: 00800104 movi r2,4 +811435f0: 30800005 stb r2,0(r6) +811435f4: f800283a ret +811435f8: defffb04 addi sp,sp,-20 +811435fc: dfc00415 stw ra,16(sp) +81143600: dcc00315 stw r19,12(sp) +81143604: dc800215 stw r18,8(sp) +81143608: dc400115 stw r17,4(sp) +8114360c: dc000015 stw r16,0(sp) +81143610: 0027303a rdctl r19,status +81143614: 04bfff84 movi r18,-2 +81143618: 9c86703a and r3,r19,r18 +8114361c: 1801703a wrctl status,r3 +81143620: 20c0020b ldhu r3,8(r4) +81143624: 19ffffcc andi r7,r3,65535 +81143628: 3800221e bne r7,zero,811436b4 +8114362c: d0a0bc17 ldw r2,-32016(gp) +81143630: 2023883a mov r17,r4 +81143634: 3021883a mov r16,r6 +81143638: 10c00c03 ldbu r3,48(r2) +8114363c: 10000c45 stb zero,49(r2) +81143640: 11400b8d sth r5,46(r2) +81143644: 18c00054 ori r3,r3,1 +81143648: 10c00c05 stb r3,48(r2) +8114364c: 11405d00 call 811405d0 +81143650: 9801703a wrctl status,r19 +81143654: 11407e00 call 811407e0 +81143658: 0027303a rdctl r19,status +8114365c: 9ca4703a and r18,r19,r18 +81143660: 9001703a wrctl status,r18 +81143664: d120bc17 ldw r4,-32016(gp) +81143668: 20800c43 ldbu r2,49(r4) +8114366c: 10001b26 beq r2,zero,811436dc +81143670: 00c00084 movi r3,2 +81143674: 10c0141e bne r2,r3,811436c8 +81143678: 00800384 movi r2,14 +8114367c: 80800005 stb r2,0(r16) +81143680: d0a0bc17 ldw r2,-32016(gp) +81143684: 10000c05 stb zero,48(r2) +81143688: 10000c45 stb zero,49(r2) +8114368c: 10000715 stw zero,28(r2) +81143690: 10000815 stw zero,32(r2) +81143694: 9801703a wrctl status,r19 +81143698: dfc00417 ldw ra,16(sp) +8114369c: dcc00317 ldw r19,12(sp) +811436a0: dc800217 ldw r18,8(sp) +811436a4: dc400117 ldw r17,4(sp) +811436a8: dc000017 ldw r16,0(sp) +811436ac: dec00504 addi sp,sp,20 +811436b0: f800283a ret +811436b4: 18ffffc4 addi r3,r3,-1 +811436b8: 20c0020d sth r3,8(r4) +811436bc: 9801703a wrctl status,r19 +811436c0: 30000005 stb zero,0(r6) +811436c4: 003ff406 br 81143698 <__reset+0xfb123698> +811436c8: 880b883a mov r5,r17 +811436cc: 11406d40 call 811406d4 +811436d0: 00800284 movi r2,10 +811436d4: 80800005 stb r2,0(r16) +811436d8: 003fe906 br 81143680 <__reset+0xfb123680> +811436dc: 80000005 stb zero,0(r16) +811436e0: 003fe706 br 81143680 <__reset+0xfb123680> + +811436e4 : +811436e4: 30002f26 beq r6,zero,811437a4 +811436e8: 20003026 beq r4,zero,811437ac +811436ec: 21c00003 ldbu r7,0(r4) +811436f0: 008000c4 movi r2,3 +811436f4: 38800426 beq r7,r2,81143708 +811436f8: 00800044 movi r2,1 +811436fc: 30800005 stb r2,0(r6) +81143700: 0005883a mov r2,zero +81143704: f800283a ret +81143708: defffb04 addi sp,sp,-20 +8114370c: dfc00415 stw ra,16(sp) +81143710: dcc00315 stw r19,12(sp) +81143714: dc800215 stw r18,8(sp) +81143718: dc400115 stw r17,4(sp) +8114371c: dc000015 stw r16,0(sp) +81143720: 0027303a rdctl r19,status +81143724: 00bfff84 movi r2,-2 +81143728: 9884703a and r2,r19,r2 +8114372c: 1001703a wrctl status,r2 +81143730: 20800283 ldbu r2,10(r4) +81143734: 11c03fcc andi r7,r2,255 +81143738: 38002026 beq r7,zero,811437bc +8114373c: 29403fcc andi r5,r5,255 +81143740: 04400044 movi r17,1 +81143744: 2021883a mov r16,r4 +81143748: 3025883a mov r18,r6 +8114374c: 2c401e1e bne r5,r17,811437c8 +81143750: 0023883a mov r17,zero +81143754: 01c00084 movi r7,2 +81143758: 01800044 movi r6,1 +8114375c: 000b883a mov r5,zero +81143760: 8009883a mov r4,r16 +81143764: 114048c0 call 8114048c +81143768: 80800283 ldbu r2,10(r16) +8114376c: 8c400044 addi r17,r17,1 +81143770: 103ff81e bne r2,zero,81143754 <__reset+0xfb123754> +81143774: 9801703a wrctl status,r19 +81143778: 11407e00 call 811407e0 +8114377c: 00800384 movi r2,14 +81143780: 90800005 stb r2,0(r18) +81143784: 8805883a mov r2,r17 +81143788: dfc00417 ldw ra,16(sp) +8114378c: dcc00317 ldw r19,12(sp) +81143790: dc800217 ldw r18,8(sp) +81143794: dc400117 ldw r17,4(sp) +81143798: dc000017 ldw r16,0(sp) +8114379c: dec00504 addi sp,sp,20 +811437a0: f800283a ret +811437a4: 0005883a mov r2,zero +811437a8: f800283a ret +811437ac: 00800104 movi r2,4 +811437b0: 30800005 stb r2,0(r6) +811437b4: 0005883a mov r2,zero +811437b8: f800283a ret +811437bc: 9801703a wrctl status,r19 +811437c0: 30000005 stb zero,0(r6) +811437c4: 003ff006 br 81143788 <__reset+0xfb123788> +811437c8: 01c00084 movi r7,2 +811437cc: 880d883a mov r6,r17 +811437d0: 000b883a mov r5,zero +811437d4: 114048c0 call 8114048c +811437d8: 003fe606 br 81143774 <__reset+0xfb123774> + +811437dc : +811437dc: 20000626 beq r4,zero,811437f8 +811437e0: 21400003 ldbu r5,0(r4) +811437e4: 008000c4 movi r2,3 +811437e8: 2007883a mov r3,r4 +811437ec: 28800426 beq r5,r2,81143800 +811437f0: 00800044 movi r2,1 +811437f4: f800283a ret +811437f8: 00800104 movi r2,4 +811437fc: f800283a ret +81143800: defffe04 addi sp,sp,-8 +81143804: dfc00115 stw ra,4(sp) +81143808: dc000015 stw r16,0(sp) +8114380c: 0021303a rdctl r16,status +81143810: 00bfff84 movi r2,-2 +81143814: 8084703a and r2,r16,r2 +81143818: 1001703a wrctl status,r2 +8114381c: 20800283 ldbu r2,10(r4) +81143820: 11403fcc andi r5,r2,255 +81143824: 28000e1e bne r5,zero,81143860 +81143828: 2100020b ldhu r4,8(r4) +8114382c: 017fffd4 movui r5,65535 +81143830: 21bfffcc andi r6,r4,65535 +81143834: 31400726 beq r6,r5,81143854 +81143838: 21000044 addi r4,r4,1 +8114383c: 1900020d sth r4,8(r3) +81143840: 8001703a wrctl status,r16 +81143844: dfc00117 ldw ra,4(sp) +81143848: dc000017 ldw r16,0(sp) +8114384c: dec00204 addi sp,sp,8 +81143850: f800283a ret +81143854: 8001703a wrctl status,r16 +81143858: 00800c84 movi r2,50 +8114385c: 003ff906 br 81143844 <__reset+0xfb123844> +81143860: 000f883a mov r7,zero +81143864: 01800044 movi r6,1 +81143868: 000b883a mov r5,zero +8114386c: 114048c0 call 8114048c +81143870: 8001703a wrctl status,r16 +81143874: 11407e00 call 811407e0 +81143878: 0005883a mov r2,zero +8114387c: 003ff106 br 81143844 <__reset+0xfb123844> + +81143880 : +81143880: 20000626 beq r4,zero,8114389c +81143884: 28001a26 beq r5,zero,811438f0 +81143888: 20c00003 ldbu r3,0(r4) +8114388c: 008000c4 movi r2,3 +81143890: 18800426 beq r3,r2,811438a4 +81143894: 00800044 movi r2,1 +81143898: f800283a ret +8114389c: 00800104 movi r2,4 +811438a0: f800283a ret +811438a4: 0011303a rdctl r8,status +811438a8: 00bfff84 movi r2,-2 +811438ac: 4084703a and r2,r8,r2 +811438b0: 1001703a wrctl status,r2 +811438b4: 21800283 ldbu r6,10(r4) +811438b8: 208002c4 addi r2,r4,11 +811438bc: 28c00084 addi r3,r5,2 +811438c0: 29800205 stb r6,8(r5) +811438c4: 21c00444 addi r7,r4,17 +811438c8: 11800003 ldbu r6,0(r2) +811438cc: 18c00044 addi r3,r3,1 +811438d0: 10800044 addi r2,r2,1 +811438d4: 19bfffc5 stb r6,-1(r3) +811438d8: 11fffb1e bne r2,r7,811438c8 <__reset+0xfb1238c8> +811438dc: 2080020b ldhu r2,8(r4) +811438e0: 2880000d sth r2,0(r5) +811438e4: 4001703a wrctl status,r8 +811438e8: 0005883a mov r2,zero +811438ec: f800283a ret +811438f0: 00800244 movi r2,9 +811438f4: f800283a ret + +811438f8 : +811438f8: 30001226 beq r6,zero,81143944 +811438fc: 20001226 beq r4,zero,81143948 +81143900: 20c00003 ldbu r3,0(r4) +81143904: 008000c4 movi r2,3 +81143908: 18800326 beq r3,r2,81143918 +8114390c: 00800044 movi r2,1 +81143910: 30800005 stb r2,0(r6) +81143914: f800283a ret +81143918: 0007303a rdctl r3,status +8114391c: 00bfff84 movi r2,-2 +81143920: 1884703a and r2,r3,r2 +81143924: 1001703a wrctl status,r2 +81143928: 30000005 stb zero,0(r6) +8114392c: 2080020b ldhu r2,8(r4) +81143930: 1000021e bne r2,zero,8114393c +81143934: 20800283 ldbu r2,10(r4) +81143938: 1000061e bne r2,zero,81143954 +8114393c: 2140020d sth r5,8(r4) +81143940: 1801703a wrctl status,r3 +81143944: f800283a ret +81143948: 00800104 movi r2,4 +8114394c: 30800005 stb r2,0(r6) +81143950: f800283a ret +81143954: 00801244 movi r2,73 +81143958: 30800005 stb r2,0(r6) +8114395c: 003ff806 br 81143940 <__reset+0xfb123940> + +81143960 : +81143960: 20bff504 addi r2,r4,-44 +81143964: 10803fcc andi r2,r2,255 +81143968: 00c03484 movi r3,210 +8114396c: 1880032e bgeu r3,r2,8114397c +81143970: 28803fcc andi r2,r5,255 +81143974: 00c00ac4 movi r3,43 +81143978: 1880022e bgeu r3,r2,81143984 +8114397c: 00800a84 movi r2,42 +81143980: f800283a ret +81143984: 0011303a rdctl r8,status +81143988: 00ffff84 movi r3,-2 +8114398c: 40c6703a and r3,r8,r3 +81143990: 1801703a wrctl status,r3 +81143994: 02604674 movhi r9,33049 +81143998: 108f883a add r7,r2,r2 +8114399c: 4a6fa504 addi r9,r9,-16748 +811439a0: 39c7883a add r3,r7,r7 +811439a4: 48c7883a add r3,r9,r3 +811439a8: 18c00017 ldw r3,0(r3) +811439ac: 18000326 beq r3,zero,811439bc +811439b0: 4001703a wrctl status,r8 +811439b4: 00800a04 movi r2,40 +811439b8: f800283a ret +811439bc: 200d883a mov r6,r4 +811439c0: 00c03fc4 movi r3,255 +811439c4: 21003fcc andi r4,r4,255 +811439c8: 20c06c26 beq r4,r3,81143b7c +811439cc: 31803fcc andi r6,r6,255 +811439d0: 318d883a add r6,r6,r6 +811439d4: 318d883a add r6,r6,r6 +811439d8: 498d883a add r6,r9,r6 +811439dc: 32400017 ldw r9,0(r6) +811439e0: 48006f26 beq r9,zero,81143ba0 +811439e4: 03c00044 movi r15,1 +811439e8: 4bc07026 beq r9,r15,81143bac +811439ec: defffd04 addi sp,sp,-12 +811439f0: 00e04674 movhi r3,33049 +811439f4: dc400115 stw r17,4(sp) +811439f8: dc000015 stw r16,0(sp) +811439fc: dfc00215 stw ra,8(sp) +81143a00: 39cf883a add r7,r7,r7 +81143a04: 18efa504 addi r3,r3,-16748 +81143a08: 30000015 stw zero,0(r6) +81143a0c: 19c7883a add r3,r3,r7 +81143a10: 1a400015 stw r9,0(r3) +81143a14: 4b000d03 ldbu r12,52(r9) +81143a18: 1004d0fa srli r2,r2,3 +81143a1c: d1a0b844 addi r6,gp,-32031 +81143a20: 330f883a add r7,r6,r12 +81143a24: 4a800d43 ldbu r10,53(r9) +81143a28: 38c00003 ldbu r3,0(r7) +81143a2c: 2c4001cc andi r17,r5,7 +81143a30: 12c03fcc andi r11,r2,255 +81143a34: 7ae0983a sll r16,r15,r11 +81143a38: 7c5e983a sll r15,r15,r17 +81143a3c: 50c8703a and r4,r10,r3 +81143a40: 21003fcc andi r4,r4,255 +81143a44: 801b883a mov r13,r16 +81143a48: 781d883a mov r14,r15 +81143a4c: 4fc00d83 ldbu ra,54(r9) +81143a50: 20000c26 beq r4,zero,81143a84 +81143a54: 0288303a nor r4,zero,r10 +81143a58: 20c6703a and r3,r4,r3 +81143a5c: 38c00005 stb r3,0(r7) +81143a60: 18c03fcc andi r3,r3,255 +81143a64: 18004826 beq r3,zero,81143b88 +81143a68: d0e0b803 ldbu r3,-32032(gp) +81143a6c: 32cd883a add r6,r6,r11 +81143a70: 31000003 ldbu r4,0(r6) +81143a74: 80c6b03a or r3,r16,r3 +81143a78: d0e0b805 stb r3,-32032(gp) +81143a7c: 7906b03a or r3,r15,r4 +81143a80: 30c00005 stb r3,0(r6) +81143a84: 49000717 ldw r4,28(r9) +81143a88: 20001026 beq r4,zero,81143acc +81143a8c: 230d883a add r6,r4,r12 +81143a90: 318002c4 addi r6,r6,11 +81143a94: 30c00003 ldbu r3,0(r6) +81143a98: 028e303a nor r7,zero,r10 +81143a9c: 38c6703a and r3,r7,r3 +81143aa0: 30c00005 stb r3,0(r6) +81143aa4: 18c03fcc andi r3,r3,255 +81143aa8: 18003026 beq r3,zero,81143b6c +81143aac: 21800283 ldbu r6,10(r4) +81143ab0: 22c7883a add r3,r4,r11 +81143ab4: 818cb03a or r6,r16,r6 +81143ab8: 21800285 stb r6,10(r4) +81143abc: 18c002c4 addi r3,r3,11 +81143ac0: 19000003 ldbu r4,0(r3) +81143ac4: 7908b03a or r4,r15,r4 +81143ac8: 19000005 stb r4,0(r3) +81143acc: 49c00817 ldw r7,32(r9) +81143ad0: 38001726 beq r7,zero,81143b30 +81143ad4: 39000017 ldw r4,0(r7) +81143ad8: 20001526 beq r4,zero,81143b30 +81143adc: 0294303a nor r10,zero,r10 +81143ae0: 07fe303a nor ra,zero,ra +81143ae4: 230d883a add r6,r4,r12 +81143ae8: 318002c4 addi r6,r6,11 +81143aec: 30c00003 ldbu r3,0(r6) +81143af0: 1a86703a and r3,r3,r10 +81143af4: 30c00005 stb r3,0(r6) +81143af8: 18c03fcc andi r3,r3,255 +81143afc: 21800283 ldbu r6,10(r4) +81143b00: 1800011e bne r3,zero,81143b08 +81143b04: f98c703a and r6,ra,r6 +81143b08: 22c7883a add r3,r4,r11 +81143b0c: 698cb03a or r6,r13,r6 +81143b10: 21800285 stb r6,10(r4) +81143b14: 18c002c4 addi r3,r3,11 +81143b18: 19000003 ldbu r4,0(r3) +81143b1c: 39c00104 addi r7,r7,4 +81143b20: 2388b03a or r4,r4,r14 +81143b24: 19000005 stb r4,0(r3) +81143b28: 39000017 ldw r4,0(r7) +81143b2c: 203fed1e bne r4,zero,81143ae4 <__reset+0xfb123ae4> +81143b30: 49400c85 stb r5,50(r9) +81143b34: 48800d05 stb r2,52(r9) +81143b38: 4c400cc5 stb r17,51(r9) +81143b3c: 4c000d85 stb r16,54(r9) +81143b40: 4bc00d45 stb r15,53(r9) +81143b44: 4001703a wrctl status,r8 +81143b48: d0e0ab03 ldbu r3,-32084(gp) +81143b4c: 00800044 movi r2,1 +81143b50: 18801126 beq r3,r2,81143b98 +81143b54: 0005883a mov r2,zero +81143b58: dfc00217 ldw ra,8(sp) +81143b5c: dc400117 ldw r17,4(sp) +81143b60: dc000017 ldw r16,0(sp) +81143b64: dec00304 addi sp,sp,12 81143b68: f800283a ret -81143b6c: 4001703a wrctl status,r8 -81143b70: 008010c4 movi r2,67 -81143b74: f800283a ret - -81143b78 : -81143b78: defff704 addi sp,sp,-36 -81143b7c: dc400415 stw r17,16(sp) -81143b80: dfc00815 stw ra,32(sp) -81143b84: dd000715 stw r20,28(sp) -81143b88: dcc00615 stw r19,24(sp) -81143b8c: dc800515 stw r18,20(sp) -81143b90: dc000315 stw r16,12(sp) -81143b94: 3c403fcc andi r17,r7,255 -81143b98: 00800b04 movi r2,44 -81143b9c: 14400a2e bgeu r2,r17,81143bc8 -81143ba0: 04000a84 movi r16,42 -81143ba4: 8005883a mov r2,r16 -81143ba8: dfc00817 ldw ra,32(sp) -81143bac: dd000717 ldw r20,28(sp) -81143bb0: dcc00617 ldw r19,24(sp) -81143bb4: dc800517 ldw r18,20(sp) -81143bb8: dc400417 ldw r17,16(sp) -81143bbc: dc000317 ldw r16,12(sp) -81143bc0: dec00904 addi sp,sp,36 -81143bc4: f800283a ret -81143bc8: 0007303a rdctl r3,status -81143bcc: 04ffff84 movi r19,-2 -81143bd0: 1cc4703a and r2,r3,r19 -81143bd4: 1001703a wrctl status,r2 -81143bd8: d420bb03 ldbu r16,-32020(gp) -81143bdc: 80803fcc andi r2,r16,255 -81143be0: 10000a1e bne r2,zero,81143c0c -81143be4: 8c45883a add r2,r17,r17 -81143be8: 04a04674 movhi r18,33049 -81143bec: 1085883a add r2,r2,r2 -81143bf0: 94af9404 addi r18,r18,-16816 -81143bf4: 90a5883a add r18,r18,r2 -81143bf8: 90800017 ldw r2,0(r18) -81143bfc: 10000626 beq r2,zero,81143c18 -81143c00: 1801703a wrctl status,r3 -81143c04: 04000a04 movi r16,40 -81143c08: 003fe606 br 81143ba4 <__reset+0xfb123ba4> -81143c0c: 1801703a wrctl status,r3 -81143c10: 04000f04 movi r16,60 -81143c14: 003fe306 br 81143ba4 <__reset+0xfb123ba4> -81143c18: 05000044 movi r20,1 -81143c1c: 95000015 stw r20,0(r18) -81143c20: 1801703a wrctl status,r3 -81143c24: 000f883a mov r7,zero -81143c28: 11469540 call 81146954 -81143c2c: 000f883a mov r7,zero -81143c30: d8000215 stw zero,8(sp) -81143c34: d8000115 stw zero,4(sp) -81143c38: d8000015 stw zero,0(sp) -81143c3c: 000d883a mov r6,zero -81143c40: 100b883a mov r5,r2 -81143c44: 8809883a mov r4,r17 -81143c48: 1140e1c0 call 81140e1c -81143c4c: 10c03fcc andi r3,r2,255 -81143c50: 1800041e bne r3,zero,81143c64 -81143c54: d0a0ab03 ldbu r2,-32084(gp) -81143c58: 153fd21e bne r2,r20,81143ba4 <__reset+0xfb123ba4> -81143c5c: 11407a00 call 811407a0 -81143c60: 003fd006 br 81143ba4 <__reset+0xfb123ba4> -81143c64: 0007303a rdctl r3,status -81143c68: 1ce6703a and r19,r3,r19 -81143c6c: 9801703a wrctl status,r19 -81143c70: 90000015 stw zero,0(r18) -81143c74: 1801703a wrctl status,r3 -81143c78: 1021883a mov r16,r2 -81143c7c: 003fc906 br 81143ba4 <__reset+0xfb123ba4> - -81143c80 : -81143c80: defff604 addi sp,sp,-40 -81143c84: dc800515 stw r18,20(sp) -81143c88: dc400415 stw r17,16(sp) -81143c8c: dfc00915 stw ra,36(sp) -81143c90: dd400815 stw r21,32(sp) -81143c94: dd000715 stw r20,28(sp) -81143c98: dcc00615 stw r19,24(sp) -81143c9c: dc000315 stw r16,12(sp) -81143ca0: 3c403fcc andi r17,r7,255 -81143ca4: 00800b04 movi r2,44 -81143ca8: dc800a17 ldw r18,40(sp) -81143cac: d8c00e17 ldw r3,56(sp) -81143cb0: 14400b2e bgeu r2,r17,81143ce0 -81143cb4: 04000a84 movi r16,42 -81143cb8: 8005883a mov r2,r16 -81143cbc: dfc00917 ldw ra,36(sp) -81143cc0: dd400817 ldw r21,32(sp) -81143cc4: dd000717 ldw r20,28(sp) -81143cc8: dcc00617 ldw r19,24(sp) -81143ccc: dc800517 ldw r18,20(sp) -81143cd0: dc400417 ldw r17,16(sp) -81143cd4: dc000317 ldw r16,12(sp) -81143cd8: dec00a04 addi sp,sp,40 -81143cdc: f800283a ret -81143ce0: 000f303a rdctl r7,status -81143ce4: 00bfff84 movi r2,-2 -81143ce8: 3884703a and r2,r7,r2 -81143cec: 1001703a wrctl status,r2 -81143cf0: d420bb03 ldbu r16,-32020(gp) -81143cf4: 80803fcc andi r2,r16,255 -81143cf8: 10000a1e bne r2,zero,81143d24 -81143cfc: 05204674 movhi r20,33049 -81143d00: 8c6b883a add r21,r17,r17 -81143d04: a52f9404 addi r20,r20,-16816 -81143d08: ad45883a add r2,r21,r21 -81143d0c: a085883a add r2,r20,r2 -81143d10: 12000017 ldw r8,0(r2) -81143d14: 40000626 beq r8,zero,81143d30 -81143d18: 3801703a wrctl status,r7 -81143d1c: 04000a04 movi r16,40 -81143d20: 003fe506 br 81143cb8 <__reset+0xfb123cb8> -81143d24: 3801703a wrctl status,r7 -81143d28: 04000f04 movi r16,60 -81143d2c: 003fe206 br 81143cb8 <__reset+0xfb123cb8> -81143d30: 02000044 movi r8,1 -81143d34: 12000015 stw r8,0(r2) -81143d38: 3801703a wrctl status,r7 -81143d3c: 188000cc andi r2,r3,3 -81143d40: 01c000c4 movi r7,3 -81143d44: 11c01426 beq r2,r7,81143d98 -81143d48: 1cffffcc andi r19,r3,65535 -81143d4c: 980f883a mov r7,r19 -81143d50: 11469540 call 81146954 -81143d54: d8c00d17 ldw r3,52(sp) -81143d58: d9800b17 ldw r6,44(sp) -81143d5c: 91ffffcc andi r7,r18,65535 -81143d60: d8c00115 stw r3,4(sp) -81143d64: d8c00c17 ldw r3,48(sp) -81143d68: dcc00215 stw r19,8(sp) -81143d6c: 100b883a mov r5,r2 -81143d70: d8c00015 stw r3,0(sp) -81143d74: 8809883a mov r4,r17 -81143d78: 1140e1c0 call 81140e1c -81143d7c: 10c03fcc andi r3,r2,255 -81143d80: 18000d1e bne r3,zero,81143db8 -81143d84: d0e0ab03 ldbu r3,-32084(gp) -81143d88: 00800044 movi r2,1 -81143d8c: 18bfca1e bne r3,r2,81143cb8 <__reset+0xfb123cb8> -81143d90: 11407a00 call 811407a0 -81143d94: 003fc806 br 81143cb8 <__reset+0xfb123cb8> -81143d98: d8800c17 ldw r2,48(sp) -81143d9c: 103fea26 beq r2,zero,81143d48 <__reset+0xfb123d48> -81143da0: d9c00b17 ldw r7,44(sp) -81143da4: 38000015 stw zero,0(r7) -81143da8: 10bfffc4 addi r2,r2,-1 -81143dac: 39c00104 addi r7,r7,4 -81143db0: 103ffc1e bne r2,zero,81143da4 <__reset+0xfb123da4> -81143db4: 003fe406 br 81143d48 <__reset+0xfb123d48> -81143db8: 0009303a rdctl r4,status -81143dbc: 00ffff84 movi r3,-2 -81143dc0: 20c6703a and r3,r4,r3 -81143dc4: 1801703a wrctl status,r3 -81143dc8: ad47883a add r3,r21,r21 -81143dcc: a0c7883a add r3,r20,r3 -81143dd0: 18000015 stw zero,0(r3) -81143dd4: 2001703a wrctl status,r4 -81143dd8: 1021883a mov r16,r2 -81143ddc: 003fb606 br 81143cb8 <__reset+0xfb123cb8> - -81143de0 : -81143de0: d0a0bb03 ldbu r2,-32020(gp) -81143de4: defffa04 addi sp,sp,-24 -81143de8: dfc00515 stw ra,20(sp) -81143dec: dcc00415 stw r19,16(sp) -81143df0: dc800315 stw r18,12(sp) -81143df4: dc400215 stw r17,8(sp) -81143df8: dc000115 stw r16,4(sp) -81143dfc: 10c03fcc andi r3,r2,255 -81143e00: 18000826 beq r3,zero,81143e24 -81143e04: 00801004 movi r2,64 -81143e08: dfc00517 ldw ra,20(sp) -81143e0c: dcc00417 ldw r19,16(sp) -81143e10: dc800317 ldw r18,12(sp) -81143e14: dc400217 ldw r17,8(sp) -81143e18: dc000117 ldw r16,4(sp) -81143e1c: dec00604 addi sp,sp,24 -81143e20: f800283a ret -81143e24: 200b883a mov r5,r4 -81143e28: 00c00b04 movi r3,44 -81143e2c: 21003fcc andi r4,r4,255 -81143e30: 20c00626 beq r4,r3,81143e4c -81143e34: 00c00ac4 movi r3,43 -81143e38: 1900062e bgeu r3,r4,81143e54 -81143e3c: 00c03fc4 movi r3,255 -81143e40: 20c06926 beq r4,r3,81143fe8 -81143e44: 00800a84 movi r2,42 -81143e48: 003fef06 br 81143e08 <__reset+0xfb123e08> -81143e4c: 00800f84 movi r2,62 -81143e50: 003fed06 br 81143e08 <__reset+0xfb123e08> -81143e54: 0025303a rdctl r18,status -81143e58: 00ffff84 movi r3,-2 -81143e5c: 90c6703a and r3,r18,r3 -81143e60: 1801703a wrctl status,r3 -81143e64: 2c003fcc andi r16,r5,255 -81143e68: 04e04674 movhi r19,33049 -81143e6c: 8421883a add r16,r16,r16 -81143e70: 9cef9404 addi r19,r19,-16816 -81143e74: 8407883a add r3,r16,r16 -81143e78: 98c7883a add r3,r19,r3 -81143e7c: 1c400017 ldw r17,0(r3) -81143e80: 88006026 beq r17,zero,81144004 -81143e84: 00c00044 movi r3,1 -81143e88: 88c06126 beq r17,r3,81144010 -81143e8c: 89000d03 ldbu r4,52(r17) -81143e90: d160b844 addi r5,gp,-32031 -81143e94: 88c00d43 ldbu r3,53(r17) -81143e98: 290b883a add r5,r5,r4 -81143e9c: 29000003 ldbu r4,0(r5) -81143ea0: 00c6303a nor r3,zero,r3 -81143ea4: 1906703a and r3,r3,r4 -81143ea8: 28c00005 stb r3,0(r5) -81143eac: 18c03fcc andi r3,r3,255 -81143eb0: 1800051e bne r3,zero,81143ec8 -81143eb4: 88c00d83 ldbu r3,54(r17) -81143eb8: d120b803 ldbu r4,-32032(gp) -81143ebc: 00c6303a nor r3,zero,r3 -81143ec0: 1906703a and r3,r3,r4 -81143ec4: d0e0b805 stb r3,-32032(gp) -81143ec8: 89400717 ldw r5,28(r17) -81143ecc: 28000426 beq r5,zero,81143ee0 -81143ed0: 8809883a mov r4,r17 -81143ed4: d8800015 stw r2,0(sp) -81143ed8: 11406940 call 81140694 -81143edc: d8800017 ldw r2,0(sp) -81143ee0: 89400817 ldw r5,32(r17) -81143ee4: 28000426 beq r5,zero,81143ef8 -81143ee8: 8809883a mov r4,r17 -81143eec: d8800015 stw r2,0(sp) -81143ef0: 11406d40 call 811406d4 -81143ef4: d8800017 ldw r2,0(sp) -81143ef8: 89000a17 ldw r4,40(r17) -81143efc: 20000326 beq r4,zero,81143f0c -81143f00: d8800015 stw r2,0(sp) -81143f04: 1141bb00 call 81141bb0 -81143f08: d8800017 ldw r2,0(sp) -81143f0c: d0e0a903 ldbu r3,-32092(gp) -81143f10: 88000b8d sth zero,46(r17) -81143f14: 88000c05 stb zero,48(r17) -81143f18: 88000c45 stb zero,49(r17) -81143f1c: 19403fcc andi r5,r3,255 -81143f20: 01003fc4 movi r4,255 -81143f24: 29000226 beq r5,r4,81143f30 -81143f28: 18c00044 addi r3,r3,1 -81143f2c: d0e0a905 stb r3,-32092(gp) -81143f30: 9001703a wrctl status,r18 -81143f34: d8800015 stw r2,0(sp) -81143f38: 11404480 call 81140448 -81143f3c: 0025303a rdctl r18,status -81143f40: 00ffff84 movi r3,-2 -81143f44: 90c6703a and r3,r18,r3 -81143f48: 1801703a wrctl status,r3 +81143b6c: 20c00283 ldbu r3,10(r4) +81143b70: 07cc303a nor r6,zero,ra +81143b74: 30cc703a and r6,r6,r3 +81143b78: 003fcd06 br 81143ab0 <__reset+0xfb123ab0> +81143b7c: d0e0bc17 ldw r3,-32016(gp) +81143b80: 19800c83 ldbu r6,50(r3) +81143b84: 003f9106 br 811439cc <__reset+0xfb1239cc> +81143b88: d0e0b803 ldbu r3,-32032(gp) +81143b8c: 07c8303a nor r4,zero,ra +81143b90: 20c6703a and r3,r4,r3 +81143b94: 003fb506 br 81143a6c <__reset+0xfb123a6c> +81143b98: 11407e00 call 811407e0 +81143b9c: 003fed06 br 81143b54 <__reset+0xfb123b54> +81143ba0: 4001703a wrctl status,r8 +81143ba4: 00800a44 movi r2,41 +81143ba8: f800283a ret +81143bac: 4001703a wrctl status,r8 +81143bb0: 008010c4 movi r2,67 +81143bb4: f800283a ret + +81143bb8 : +81143bb8: defff704 addi sp,sp,-36 +81143bbc: dc400415 stw r17,16(sp) +81143bc0: dfc00815 stw ra,32(sp) +81143bc4: dd000715 stw r20,28(sp) +81143bc8: dcc00615 stw r19,24(sp) +81143bcc: dc800515 stw r18,20(sp) +81143bd0: dc000315 stw r16,12(sp) +81143bd4: 3c403fcc andi r17,r7,255 +81143bd8: 00800b04 movi r2,44 +81143bdc: 14400a2e bgeu r2,r17,81143c08 +81143be0: 04000a84 movi r16,42 +81143be4: 8005883a mov r2,r16 +81143be8: dfc00817 ldw ra,32(sp) +81143bec: dd000717 ldw r20,28(sp) +81143bf0: dcc00617 ldw r19,24(sp) +81143bf4: dc800517 ldw r18,20(sp) +81143bf8: dc400417 ldw r17,16(sp) +81143bfc: dc000317 ldw r16,12(sp) +81143c00: dec00904 addi sp,sp,36 +81143c04: f800283a ret +81143c08: 0007303a rdctl r3,status +81143c0c: 04ffff84 movi r19,-2 +81143c10: 1cc4703a and r2,r3,r19 +81143c14: 1001703a wrctl status,r2 +81143c18: d420bb03 ldbu r16,-32020(gp) +81143c1c: 80803fcc andi r2,r16,255 +81143c20: 10000a1e bne r2,zero,81143c4c +81143c24: 8c45883a add r2,r17,r17 +81143c28: 04a04674 movhi r18,33049 +81143c2c: 1085883a add r2,r2,r2 +81143c30: 94afa504 addi r18,r18,-16748 +81143c34: 90a5883a add r18,r18,r2 +81143c38: 90800017 ldw r2,0(r18) +81143c3c: 10000626 beq r2,zero,81143c58 +81143c40: 1801703a wrctl status,r3 +81143c44: 04000a04 movi r16,40 +81143c48: 003fe606 br 81143be4 <__reset+0xfb123be4> +81143c4c: 1801703a wrctl status,r3 +81143c50: 04000f04 movi r16,60 +81143c54: 003fe306 br 81143be4 <__reset+0xfb123be4> +81143c58: 05000044 movi r20,1 +81143c5c: 95000015 stw r20,0(r18) +81143c60: 1801703a wrctl status,r3 +81143c64: 000f883a mov r7,zero +81143c68: 11469940 call 81146994 +81143c6c: 000f883a mov r7,zero +81143c70: d8000215 stw zero,8(sp) +81143c74: d8000115 stw zero,4(sp) +81143c78: d8000015 stw zero,0(sp) +81143c7c: 000d883a mov r6,zero +81143c80: 100b883a mov r5,r2 +81143c84: 8809883a mov r4,r17 +81143c88: 1140e5c0 call 81140e5c +81143c8c: 10c03fcc andi r3,r2,255 +81143c90: 1800041e bne r3,zero,81143ca4 +81143c94: d0a0ab03 ldbu r2,-32084(gp) +81143c98: 153fd21e bne r2,r20,81143be4 <__reset+0xfb123be4> +81143c9c: 11407e00 call 811407e0 +81143ca0: 003fd006 br 81143be4 <__reset+0xfb123be4> +81143ca4: 0007303a rdctl r3,status +81143ca8: 1ce6703a and r19,r3,r19 +81143cac: 9801703a wrctl status,r19 +81143cb0: 90000015 stw zero,0(r18) +81143cb4: 1801703a wrctl status,r3 +81143cb8: 1021883a mov r16,r2 +81143cbc: 003fc906 br 81143be4 <__reset+0xfb123be4> + +81143cc0 : +81143cc0: defff604 addi sp,sp,-40 +81143cc4: dc800515 stw r18,20(sp) +81143cc8: dc400415 stw r17,16(sp) +81143ccc: dfc00915 stw ra,36(sp) +81143cd0: dd400815 stw r21,32(sp) +81143cd4: dd000715 stw r20,28(sp) +81143cd8: dcc00615 stw r19,24(sp) +81143cdc: dc000315 stw r16,12(sp) +81143ce0: 3c403fcc andi r17,r7,255 +81143ce4: 00800b04 movi r2,44 +81143ce8: dc800a17 ldw r18,40(sp) +81143cec: d8c00e17 ldw r3,56(sp) +81143cf0: 14400b2e bgeu r2,r17,81143d20 +81143cf4: 04000a84 movi r16,42 +81143cf8: 8005883a mov r2,r16 +81143cfc: dfc00917 ldw ra,36(sp) +81143d00: dd400817 ldw r21,32(sp) +81143d04: dd000717 ldw r20,28(sp) +81143d08: dcc00617 ldw r19,24(sp) +81143d0c: dc800517 ldw r18,20(sp) +81143d10: dc400417 ldw r17,16(sp) +81143d14: dc000317 ldw r16,12(sp) +81143d18: dec00a04 addi sp,sp,40 +81143d1c: f800283a ret +81143d20: 000f303a rdctl r7,status +81143d24: 00bfff84 movi r2,-2 +81143d28: 3884703a and r2,r7,r2 +81143d2c: 1001703a wrctl status,r2 +81143d30: d420bb03 ldbu r16,-32020(gp) +81143d34: 80803fcc andi r2,r16,255 +81143d38: 10000a1e bne r2,zero,81143d64 +81143d3c: 05204674 movhi r20,33049 +81143d40: 8c6b883a add r21,r17,r17 +81143d44: a52fa504 addi r20,r20,-16748 +81143d48: ad45883a add r2,r21,r21 +81143d4c: a085883a add r2,r20,r2 +81143d50: 12000017 ldw r8,0(r2) +81143d54: 40000626 beq r8,zero,81143d70 +81143d58: 3801703a wrctl status,r7 +81143d5c: 04000a04 movi r16,40 +81143d60: 003fe506 br 81143cf8 <__reset+0xfb123cf8> +81143d64: 3801703a wrctl status,r7 +81143d68: 04000f04 movi r16,60 +81143d6c: 003fe206 br 81143cf8 <__reset+0xfb123cf8> +81143d70: 02000044 movi r8,1 +81143d74: 12000015 stw r8,0(r2) +81143d78: 3801703a wrctl status,r7 +81143d7c: 188000cc andi r2,r3,3 +81143d80: 01c000c4 movi r7,3 +81143d84: 11c01426 beq r2,r7,81143dd8 +81143d88: 1cffffcc andi r19,r3,65535 +81143d8c: 980f883a mov r7,r19 +81143d90: 11469940 call 81146994 +81143d94: d8c00d17 ldw r3,52(sp) +81143d98: d9800b17 ldw r6,44(sp) +81143d9c: 91ffffcc andi r7,r18,65535 +81143da0: d8c00115 stw r3,4(sp) +81143da4: d8c00c17 ldw r3,48(sp) +81143da8: dcc00215 stw r19,8(sp) +81143dac: 100b883a mov r5,r2 +81143db0: d8c00015 stw r3,0(sp) +81143db4: 8809883a mov r4,r17 +81143db8: 1140e5c0 call 81140e5c +81143dbc: 10c03fcc andi r3,r2,255 +81143dc0: 18000d1e bne r3,zero,81143df8 +81143dc4: d0e0ab03 ldbu r3,-32084(gp) +81143dc8: 00800044 movi r2,1 +81143dcc: 18bfca1e bne r3,r2,81143cf8 <__reset+0xfb123cf8> +81143dd0: 11407e00 call 811407e0 +81143dd4: 003fc806 br 81143cf8 <__reset+0xfb123cf8> +81143dd8: d8800c17 ldw r2,48(sp) +81143ddc: 103fea26 beq r2,zero,81143d88 <__reset+0xfb123d88> +81143de0: d9c00b17 ldw r7,44(sp) +81143de4: 38000015 stw zero,0(r7) +81143de8: 10bfffc4 addi r2,r2,-1 +81143dec: 39c00104 addi r7,r7,4 +81143df0: 103ffc1e bne r2,zero,81143de4 <__reset+0xfb123de4> +81143df4: 003fe406 br 81143d88 <__reset+0xfb123d88> +81143df8: 0009303a rdctl r4,status +81143dfc: 00ffff84 movi r3,-2 +81143e00: 20c6703a and r3,r4,r3 +81143e04: 1801703a wrctl status,r3 +81143e08: ad47883a add r3,r21,r21 +81143e0c: a0c7883a add r3,r20,r3 +81143e10: 18000015 stw zero,0(r3) +81143e14: 2001703a wrctl status,r4 +81143e18: 1021883a mov r16,r2 +81143e1c: 003fb606 br 81143cf8 <__reset+0xfb123cf8> + +81143e20 : +81143e20: d0a0bb03 ldbu r2,-32020(gp) +81143e24: defffa04 addi sp,sp,-24 +81143e28: dfc00515 stw ra,20(sp) +81143e2c: dcc00415 stw r19,16(sp) +81143e30: dc800315 stw r18,12(sp) +81143e34: dc400215 stw r17,8(sp) +81143e38: dc000115 stw r16,4(sp) +81143e3c: 10c03fcc andi r3,r2,255 +81143e40: 18000826 beq r3,zero,81143e64 +81143e44: 00801004 movi r2,64 +81143e48: dfc00517 ldw ra,20(sp) +81143e4c: dcc00417 ldw r19,16(sp) +81143e50: dc800317 ldw r18,12(sp) +81143e54: dc400217 ldw r17,8(sp) +81143e58: dc000117 ldw r16,4(sp) +81143e5c: dec00604 addi sp,sp,24 +81143e60: f800283a ret +81143e64: 200b883a mov r5,r4 +81143e68: 00c00b04 movi r3,44 +81143e6c: 21003fcc andi r4,r4,255 +81143e70: 20c00626 beq r4,r3,81143e8c +81143e74: 00c00ac4 movi r3,43 +81143e78: 1900062e bgeu r3,r4,81143e94 +81143e7c: 00c03fc4 movi r3,255 +81143e80: 20c06926 beq r4,r3,81144028 +81143e84: 00800a84 movi r2,42 +81143e88: 003fef06 br 81143e48 <__reset+0xfb123e48> +81143e8c: 00800f84 movi r2,62 +81143e90: 003fed06 br 81143e48 <__reset+0xfb123e48> +81143e94: 0025303a rdctl r18,status +81143e98: 00ffff84 movi r3,-2 +81143e9c: 90c6703a and r3,r18,r3 +81143ea0: 1801703a wrctl status,r3 +81143ea4: 2c003fcc andi r16,r5,255 +81143ea8: 04e04674 movhi r19,33049 +81143eac: 8421883a add r16,r16,r16 +81143eb0: 9cefa504 addi r19,r19,-16748 +81143eb4: 8407883a add r3,r16,r16 +81143eb8: 98c7883a add r3,r19,r3 +81143ebc: 1c400017 ldw r17,0(r3) +81143ec0: 88006026 beq r17,zero,81144044 +81143ec4: 00c00044 movi r3,1 +81143ec8: 88c06126 beq r17,r3,81144050 +81143ecc: 89000d03 ldbu r4,52(r17) +81143ed0: d160b844 addi r5,gp,-32031 +81143ed4: 88c00d43 ldbu r3,53(r17) +81143ed8: 290b883a add r5,r5,r4 +81143edc: 29000003 ldbu r4,0(r5) +81143ee0: 00c6303a nor r3,zero,r3 +81143ee4: 1906703a and r3,r3,r4 +81143ee8: 28c00005 stb r3,0(r5) +81143eec: 18c03fcc andi r3,r3,255 +81143ef0: 1800051e bne r3,zero,81143f08 +81143ef4: 88c00d83 ldbu r3,54(r17) +81143ef8: d120b803 ldbu r4,-32032(gp) +81143efc: 00c6303a nor r3,zero,r3 +81143f00: 1906703a and r3,r3,r4 +81143f04: d0e0b805 stb r3,-32032(gp) +81143f08: 89400717 ldw r5,28(r17) +81143f0c: 28000426 beq r5,zero,81143f20 +81143f10: 8809883a mov r4,r17 +81143f14: d8800015 stw r2,0(sp) +81143f18: 11406d40 call 811406d4 +81143f1c: d8800017 ldw r2,0(sp) +81143f20: 89400817 ldw r5,32(r17) +81143f24: 28000426 beq r5,zero,81143f38 +81143f28: 8809883a mov r4,r17 +81143f2c: d8800015 stw r2,0(sp) +81143f30: 11407140 call 81140714 +81143f34: d8800017 ldw r2,0(sp) +81143f38: 89000a17 ldw r4,40(r17) +81143f3c: 20000326 beq r4,zero,81143f4c +81143f40: d8800015 stw r2,0(sp) +81143f44: 1141bf00 call 81141bf0 +81143f48: d8800017 ldw r2,0(sp) 81143f4c: d0e0a903 ldbu r3,-32092(gp) -81143f50: d8800017 ldw r2,0(sp) -81143f54: 19003fcc andi r4,r3,255 -81143f58: 20000226 beq r4,zero,81143f64 -81143f5c: 18ffffc4 addi r3,r3,-1 -81143f60: d0e0a905 stb r3,-32092(gp) -81143f64: 8809883a mov r4,r17 -81143f68: d8800015 stw r2,0(sp) -81143f6c: 8421883a add r16,r16,r16 -81143f70: 1146a300 call 81146a30 -81143f74: d0e0b443 ldbu r3,-32047(gp) -81143f78: 9c21883a add r16,r19,r16 -81143f7c: 80000015 stw zero,0(r16) -81143f80: 89000617 ldw r4,24(r17) -81143f84: 18ffffc4 addi r3,r3,-1 -81143f88: d0e0b445 stb r3,-32047(gp) -81143f8c: d8800017 ldw r2,0(sp) -81143f90: 88c00517 ldw r3,20(r17) -81143f94: 20001126 beq r4,zero,81143fdc -81143f98: 20c00515 stw r3,20(r4) -81143f9c: 88c00517 ldw r3,20(r17) -81143fa0: 19000615 stw r4,24(r3) -81143fa4: d0e0b317 ldw r3,-32052(gp) -81143fa8: 88001345 stb zero,77(r17) -81143fac: d460b315 stw r17,-32052(gp) -81143fb0: 88c00515 stw r3,20(r17) -81143fb4: 00c00fc4 movi r3,63 -81143fb8: 88c01305 stb r3,76(r17) -81143fbc: 9001703a wrctl status,r18 -81143fc0: d120ab03 ldbu r4,-32084(gp) -81143fc4: 00c00044 movi r3,1 -81143fc8: 20ff8f1e bne r4,r3,81143e08 <__reset+0xfb123e08> -81143fcc: d8800015 stw r2,0(sp) -81143fd0: 11407a00 call 811407a0 -81143fd4: d8800017 ldw r2,0(sp) -81143fd8: 003f8b06 br 81143e08 <__reset+0xfb123e08> -81143fdc: 18000615 stw zero,24(r3) -81143fe0: d0e0ae15 stw r3,-32072(gp) -81143fe4: 003fef06 br 81143fa4 <__reset+0xfb123fa4> -81143fe8: 0025303a rdctl r18,status -81143fec: 00ffff84 movi r3,-2 -81143ff0: 90c6703a and r3,r18,r3 -81143ff4: 1801703a wrctl status,r3 -81143ff8: d0e0bc17 ldw r3,-32016(gp) -81143ffc: 19400c83 ldbu r5,50(r3) -81144000: 003f9806 br 81143e64 <__reset+0xfb123e64> -81144004: 9001703a wrctl status,r18 -81144008: 008010c4 movi r2,67 -8114400c: 003f7e06 br 81143e08 <__reset+0xfb123e08> -81144010: 9001703a wrctl status,r18 -81144014: 00800f44 movi r2,61 -81144018: 003f7b06 br 81143e08 <__reset+0xfb123e08> - -8114401c : -8114401c: 21003fcc andi r4,r4,255 -81144020: 00800b04 movi r2,44 -81144024: 20801826 beq r4,r2,81144088 -81144028: 00800ac4 movi r2,43 -8114402c: 1100042e bgeu r2,r4,81144040 -81144030: 00803fc4 movi r2,255 -81144034: 20801926 beq r4,r2,8114409c -81144038: 00800a84 movi r2,42 -8114403c: f800283a ret -81144040: 0007303a rdctl r3,status -81144044: 00bfff84 movi r2,-2 -81144048: 1884703a and r2,r3,r2 -8114404c: 1001703a wrctl status,r2 -81144050: 2109883a add r4,r4,r4 -81144054: 00a04674 movhi r2,33049 -81144058: 10af9404 addi r2,r2,-16816 -8114405c: 2109883a add r4,r4,r4 -81144060: 1109883a add r4,r2,r4 -81144064: 20800017 ldw r2,0(r4) -81144068: 10001426 beq r2,zero,811440bc -8114406c: 01000044 movi r4,1 -81144070: 11000726 beq r2,r4,81144090 -81144074: 01000fc4 movi r4,63 -81144078: 11000dc5 stb r4,55(r2) -8114407c: 1801703a wrctl status,r3 -81144080: 0005883a mov r2,zero -81144084: f800283a ret -81144088: 00800f84 movi r2,62 -8114408c: f800283a ret -81144090: 1801703a wrctl status,r3 -81144094: 00800f44 movi r2,61 -81144098: f800283a ret -8114409c: 0007303a rdctl r3,status -811440a0: 00bfff84 movi r2,-2 -811440a4: 1884703a and r2,r3,r2 -811440a8: 1001703a wrctl status,r2 -811440ac: d0a0bc17 ldw r2,-32016(gp) -811440b0: 10800dc3 ldbu r2,55(r2) -811440b4: 1801703a wrctl status,r3 -811440b8: f800283a ret +81143f50: 88000b8d sth zero,46(r17) +81143f54: 88000c05 stb zero,48(r17) +81143f58: 88000c45 stb zero,49(r17) +81143f5c: 19403fcc andi r5,r3,255 +81143f60: 01003fc4 movi r4,255 +81143f64: 29000226 beq r5,r4,81143f70 +81143f68: 18c00044 addi r3,r3,1 +81143f6c: d0e0a905 stb r3,-32092(gp) +81143f70: 9001703a wrctl status,r18 +81143f74: d8800015 stw r2,0(sp) +81143f78: 11404880 call 81140488 +81143f7c: 0025303a rdctl r18,status +81143f80: 00ffff84 movi r3,-2 +81143f84: 90c6703a and r3,r18,r3 +81143f88: 1801703a wrctl status,r3 +81143f8c: d0e0a903 ldbu r3,-32092(gp) +81143f90: d8800017 ldw r2,0(sp) +81143f94: 19003fcc andi r4,r3,255 +81143f98: 20000226 beq r4,zero,81143fa4 +81143f9c: 18ffffc4 addi r3,r3,-1 +81143fa0: d0e0a905 stb r3,-32092(gp) +81143fa4: 8809883a mov r4,r17 +81143fa8: d8800015 stw r2,0(sp) +81143fac: 8421883a add r16,r16,r16 +81143fb0: 1146a700 call 81146a70 +81143fb4: d0e0b443 ldbu r3,-32047(gp) +81143fb8: 9c21883a add r16,r19,r16 +81143fbc: 80000015 stw zero,0(r16) +81143fc0: 89000617 ldw r4,24(r17) +81143fc4: 18ffffc4 addi r3,r3,-1 +81143fc8: d0e0b445 stb r3,-32047(gp) +81143fcc: d8800017 ldw r2,0(sp) +81143fd0: 88c00517 ldw r3,20(r17) +81143fd4: 20001126 beq r4,zero,8114401c +81143fd8: 20c00515 stw r3,20(r4) +81143fdc: 88c00517 ldw r3,20(r17) +81143fe0: 19000615 stw r4,24(r3) +81143fe4: d0e0b317 ldw r3,-32052(gp) +81143fe8: 88001345 stb zero,77(r17) +81143fec: d460b315 stw r17,-32052(gp) +81143ff0: 88c00515 stw r3,20(r17) +81143ff4: 00c00fc4 movi r3,63 +81143ff8: 88c01305 stb r3,76(r17) +81143ffc: 9001703a wrctl status,r18 +81144000: d120ab03 ldbu r4,-32084(gp) +81144004: 00c00044 movi r3,1 +81144008: 20ff8f1e bne r4,r3,81143e48 <__reset+0xfb123e48> +8114400c: d8800015 stw r2,0(sp) +81144010: 11407e00 call 811407e0 +81144014: d8800017 ldw r2,0(sp) +81144018: 003f8b06 br 81143e48 <__reset+0xfb123e48> +8114401c: 18000615 stw zero,24(r3) +81144020: d0e0ae15 stw r3,-32072(gp) +81144024: 003fef06 br 81143fe4 <__reset+0xfb123fe4> +81144028: 0025303a rdctl r18,status +8114402c: 00ffff84 movi r3,-2 +81144030: 90c6703a and r3,r18,r3 +81144034: 1801703a wrctl status,r3 +81144038: d0e0bc17 ldw r3,-32016(gp) +8114403c: 19400c83 ldbu r5,50(r3) +81144040: 003f9806 br 81143ea4 <__reset+0xfb123ea4> +81144044: 9001703a wrctl status,r18 +81144048: 008010c4 movi r2,67 +8114404c: 003f7e06 br 81143e48 <__reset+0xfb123e48> +81144050: 9001703a wrctl status,r18 +81144054: 00800f44 movi r2,61 +81144058: 003f7b06 br 81143e48 <__reset+0xfb123e48> + +8114405c : +8114405c: 21003fcc andi r4,r4,255 +81144060: 00800b04 movi r2,44 +81144064: 20801826 beq r4,r2,811440c8 +81144068: 00800ac4 movi r2,43 +8114406c: 1100042e bgeu r2,r4,81144080 +81144070: 00803fc4 movi r2,255 +81144074: 20801926 beq r4,r2,811440dc +81144078: 00800a84 movi r2,42 +8114407c: f800283a ret +81144080: 0007303a rdctl r3,status +81144084: 00bfff84 movi r2,-2 +81144088: 1884703a and r2,r3,r2 +8114408c: 1001703a wrctl status,r2 +81144090: 2109883a add r4,r4,r4 +81144094: 00a04674 movhi r2,33049 +81144098: 10afa504 addi r2,r2,-16748 +8114409c: 2109883a add r4,r4,r4 +811440a0: 1109883a add r4,r2,r4 +811440a4: 20800017 ldw r2,0(r4) +811440a8: 10001426 beq r2,zero,811440fc +811440ac: 01000044 movi r4,1 +811440b0: 11000726 beq r2,r4,811440d0 +811440b4: 01000fc4 movi r4,63 +811440b8: 11000dc5 stb r4,55(r2) 811440bc: 1801703a wrctl status,r3 -811440c0: 008010c4 movi r2,67 +811440c0: 0005883a mov r2,zero 811440c4: f800283a ret - -811440c8 : -811440c8: 30003426 beq r6,zero,8114419c -811440cc: 20bff4c4 addi r2,r4,-45 -811440d0: 10803fcc andi r2,r2,255 -811440d4: 00c03444 movi r3,209 -811440d8: 1880082e bgeu r3,r2,811440fc -811440dc: 28003126 beq r5,zero,811441a4 -811440e0: d0a0bb03 ldbu r2,-32020(gp) -811440e4: 10c03fcc andi r3,r2,255 -811440e8: 18000826 beq r3,zero,8114410c -811440ec: 00800444 movi r2,17 -811440f0: 30800005 stb r2,0(r6) -811440f4: 0005883a mov r2,zero +811440c8: 00800f84 movi r2,62 +811440cc: f800283a ret +811440d0: 1801703a wrctl status,r3 +811440d4: 00800f44 movi r2,61 +811440d8: f800283a ret +811440dc: 0007303a rdctl r3,status +811440e0: 00bfff84 movi r2,-2 +811440e4: 1884703a and r2,r3,r2 +811440e8: 1001703a wrctl status,r2 +811440ec: d0a0bc17 ldw r2,-32016(gp) +811440f0: 10800dc3 ldbu r2,55(r2) +811440f4: 1801703a wrctl status,r3 811440f8: f800283a ret -811440fc: 00800a84 movi r2,42 -81144100: 30800005 stb r2,0(r6) -81144104: 0005883a mov r2,zero -81144108: f800283a ret -8114410c: defffd04 addi sp,sp,-12 -81144110: 200f883a mov r7,r4 -81144114: dfc00215 stw ra,8(sp) -81144118: dc400115 stw r17,4(sp) -8114411c: dc000015 stw r16,0(sp) -81144120: 0023303a rdctl r17,status -81144124: 00ffff84 movi r3,-2 -81144128: 88c6703a and r3,r17,r3 -8114412c: 1801703a wrctl status,r3 -81144130: 20c03fcc andi r3,r4,255 -81144134: 01003fc4 movi r4,255 -81144138: 19001526 beq r3,r4,81144190 -8114413c: 39c03fcc andi r7,r7,255 -81144140: 39cf883a add r7,r7,r7 -81144144: 00e04674 movhi r3,33049 -81144148: 18ef9404 addi r3,r3,-16816 -8114414c: 39cf883a add r7,r7,r7 -81144150: 19cf883a add r7,r3,r7 -81144154: 38c00017 ldw r3,0(r7) -81144158: 18001626 beq r3,zero,811441b4 -8114415c: 01000044 movi r4,1 -81144160: 19001426 beq r3,r4,811441b4 -81144164: 2809883a mov r4,r5 -81144168: 19401304 addi r5,r3,76 -8114416c: 3021883a mov r16,r6 -81144170: 1140c7c0 call 81140c7c -81144174: 8801703a wrctl status,r17 -81144178: 80000005 stb zero,0(r16) -8114417c: dfc00217 ldw ra,8(sp) -81144180: dc400117 ldw r17,4(sp) -81144184: dc000017 ldw r16,0(sp) -81144188: dec00304 addi sp,sp,12 -8114418c: f800283a ret -81144190: d0e0bc17 ldw r3,-32016(gp) -81144194: 19c00c83 ldbu r7,50(r3) -81144198: 003fe806 br 8114413c <__reset+0xfb12413c> -8114419c: 0005883a mov r2,zero -811441a0: f800283a ret -811441a4: 00800304 movi r2,12 -811441a8: 30800005 stb r2,0(r6) -811441ac: 0005883a mov r2,zero -811441b0: f800283a ret +811440fc: 1801703a wrctl status,r3 +81144100: 008010c4 movi r2,67 +81144104: f800283a ret + +81144108 : +81144108: 30003426 beq r6,zero,811441dc +8114410c: 20bff4c4 addi r2,r4,-45 +81144110: 10803fcc andi r2,r2,255 +81144114: 00c03444 movi r3,209 +81144118: 1880082e bgeu r3,r2,8114413c +8114411c: 28003126 beq r5,zero,811441e4 +81144120: d0a0bb03 ldbu r2,-32020(gp) +81144124: 10c03fcc andi r3,r2,255 +81144128: 18000826 beq r3,zero,8114414c +8114412c: 00800444 movi r2,17 +81144130: 30800005 stb r2,0(r6) +81144134: 0005883a mov r2,zero +81144138: f800283a ret +8114413c: 00800a84 movi r2,42 +81144140: 30800005 stb r2,0(r6) +81144144: 0005883a mov r2,zero +81144148: f800283a ret +8114414c: defffd04 addi sp,sp,-12 +81144150: 200f883a mov r7,r4 +81144154: dfc00215 stw ra,8(sp) +81144158: dc400115 stw r17,4(sp) +8114415c: dc000015 stw r16,0(sp) +81144160: 0023303a rdctl r17,status +81144164: 00ffff84 movi r3,-2 +81144168: 88c6703a and r3,r17,r3 +8114416c: 1801703a wrctl status,r3 +81144170: 20c03fcc andi r3,r4,255 +81144174: 01003fc4 movi r4,255 +81144178: 19001526 beq r3,r4,811441d0 +8114417c: 39c03fcc andi r7,r7,255 +81144180: 39cf883a add r7,r7,r7 +81144184: 00e04674 movhi r3,33049 +81144188: 18efa504 addi r3,r3,-16748 +8114418c: 39cf883a add r7,r7,r7 +81144190: 19cf883a add r7,r3,r7 +81144194: 38c00017 ldw r3,0(r7) +81144198: 18001626 beq r3,zero,811441f4 +8114419c: 01000044 movi r4,1 +811441a0: 19001426 beq r3,r4,811441f4 +811441a4: 2809883a mov r4,r5 +811441a8: 19401304 addi r5,r3,76 +811441ac: 3021883a mov r16,r6 +811441b0: 1140cbc0 call 81140cbc 811441b4: 8801703a wrctl status,r17 -811441b8: 00c010c4 movi r3,67 -811441bc: 30c00005 stb r3,0(r6) -811441c0: 003fee06 br 8114417c <__reset+0xfb12417c> - -811441c4 : -811441c4: 30003726 beq r6,zero,811442a4 -811441c8: 20bff4c4 addi r2,r4,-45 -811441cc: 10803fcc andi r2,r2,255 -811441d0: 01c03444 movi r7,209 -811441d4: 3880062e bgeu r7,r2,811441f0 -811441d8: 28003a26 beq r5,zero,811442c4 -811441dc: d0a0bb03 ldbu r2,-32020(gp) -811441e0: 10000626 beq r2,zero,811441fc -811441e4: 00800484 movi r2,18 +811441b8: 80000005 stb zero,0(r16) +811441bc: dfc00217 ldw ra,8(sp) +811441c0: dc400117 ldw r17,4(sp) +811441c4: dc000017 ldw r16,0(sp) +811441c8: dec00304 addi sp,sp,12 +811441cc: f800283a ret +811441d0: d0e0bc17 ldw r3,-32016(gp) +811441d4: 19c00c83 ldbu r7,50(r3) +811441d8: 003fe806 br 8114417c <__reset+0xfb12417c> +811441dc: 0005883a mov r2,zero +811441e0: f800283a ret +811441e4: 00800304 movi r2,12 811441e8: 30800005 stb r2,0(r6) -811441ec: f800283a ret -811441f0: 00800a84 movi r2,42 -811441f4: 30800005 stb r2,0(r6) -811441f8: f800283a ret -811441fc: defffb04 addi sp,sp,-20 -81144200: 2007883a mov r3,r4 -81144204: dfc00415 stw ra,16(sp) -81144208: dcc00315 stw r19,12(sp) -8114420c: dc800215 stw r18,8(sp) -81144210: dc400115 stw r17,4(sp) -81144214: dc000015 stw r16,0(sp) -81144218: 0027303a rdctl r19,status -8114421c: 00bfff84 movi r2,-2 -81144220: 9884703a and r2,r19,r2 -81144224: 1001703a wrctl status,r2 -81144228: 21003fcc andi r4,r4,255 -8114422c: 00803fc4 movi r2,255 -81144230: 20801d26 beq r4,r2,811442a8 -81144234: 18c03fcc andi r3,r3,255 -81144238: 18c7883a add r3,r3,r3 -8114423c: 00a04674 movhi r2,33049 -81144240: 18c7883a add r3,r3,r3 -81144244: 10af9404 addi r2,r2,-16816 -81144248: 10c7883a add r3,r2,r3 -8114424c: 1c800017 ldw r18,0(r3) -81144250: 90001f26 beq r18,zero,811442d0 -81144254: 00800044 movi r2,1 -81144258: 90801d26 beq r18,r2,811442d0 -8114425c: 2809883a mov r4,r5 -81144260: 2823883a mov r17,r5 -81144264: 3021883a mov r16,r6 -81144268: 1140cc00 call 81140cc0 -8114426c: 10803fcc andi r2,r2,255 -81144270: 00c007c4 movi r3,31 -81144274: 18800f36 bltu r3,r2,811442b4 -81144278: 880b883a mov r5,r17 -8114427c: 91001304 addi r4,r18,76 -81144280: 1140c7c0 call 81140c7c -81144284: 9801703a wrctl status,r19 -81144288: 80000005 stb zero,0(r16) -8114428c: dfc00417 ldw ra,16(sp) -81144290: dcc00317 ldw r19,12(sp) -81144294: dc800217 ldw r18,8(sp) -81144298: dc400117 ldw r17,4(sp) -8114429c: dc000017 ldw r16,0(sp) -811442a0: dec00504 addi sp,sp,20 -811442a4: f800283a ret -811442a8: d0a0bc17 ldw r2,-32016(gp) -811442ac: 10c00c83 ldbu r3,50(r2) -811442b0: 003fe006 br 81144234 <__reset+0xfb124234> -811442b4: 9801703a wrctl status,r19 -811442b8: 00801044 movi r2,65 -811442bc: 80800005 stb r2,0(r16) -811442c0: 003ff206 br 8114428c <__reset+0xfb12428c> -811442c4: 00800304 movi r2,12 -811442c8: 30800005 stb r2,0(r6) -811442cc: f800283a ret -811442d0: 9801703a wrctl status,r19 -811442d4: 008010c4 movi r2,67 -811442d8: 30800005 stb r2,0(r6) -811442dc: 003feb06 br 8114428c <__reset+0xfb12428c> - -811442e0 : -811442e0: 21003fcc andi r4,r4,255 -811442e4: 00800ac4 movi r2,43 -811442e8: 1100022e bgeu r2,r4,811442f4 -811442ec: 00800a84 movi r2,42 -811442f0: f800283a ret -811442f4: 000b303a rdctl r5,status -811442f8: 00bfff84 movi r2,-2 -811442fc: 2884703a and r2,r5,r2 -81144300: 1001703a wrctl status,r2 -81144304: 2109883a add r4,r4,r4 -81144308: 00a04674 movhi r2,33049 -8114430c: 2109883a add r4,r4,r4 -81144310: 10af9404 addi r2,r2,-16816 -81144314: 1109883a add r4,r2,r4 -81144318: 20c00017 ldw r3,0(r4) -8114431c: 18002026 beq r3,zero,811443a0 -81144320: 01000044 movi r4,1 -81144324: 19002126 beq r3,r4,811443ac -81144328: 19800c03 ldbu r6,48(r3) -8114432c: 3080020c andi r2,r6,8 -81144330: 10001626 beq r2,zero,8114438c -81144334: 00bffdc4 movi r2,-9 -81144338: 3084703a and r2,r6,r2 -8114433c: 18800c05 stb r2,48(r3) -81144340: 11803fcc andi r6,r2,255 -81144344: 30001c1e bne r6,zero,811443b8 -81144348: 19800b8b ldhu r6,46(r3) -8114434c: 3000121e bne r6,zero,81144398 -81144350: 19800d03 ldbu r6,52(r3) -81144354: d220b844 addi r8,gp,-32031 -81144358: d1e0b803 ldbu r7,-32032(gp) -8114435c: 418d883a add r6,r8,r6 -81144360: 32000003 ldbu r8,0(r6) -81144364: 1a400d83 ldbu r9,54(r3) -81144368: 18c00d43 ldbu r3,53(r3) -8114436c: 49ceb03a or r7,r9,r7 -81144370: 40c6b03a or r3,r8,r3 -81144374: d1e0b805 stb r7,-32032(gp) -81144378: 30c00005 stb r3,0(r6) -8114437c: 2801703a wrctl status,r5 -81144380: d0e0ab03 ldbu r3,-32084(gp) -81144384: 19000f26 beq r3,r4,811443c4 -81144388: f800283a ret -8114438c: 2801703a wrctl status,r5 -81144390: 00801104 movi r2,68 -81144394: f800283a ret -81144398: 2801703a wrctl status,r5 -8114439c: f800283a ret -811443a0: 2801703a wrctl status,r5 -811443a4: 00801184 movi r2,70 -811443a8: f800283a ret -811443ac: 2801703a wrctl status,r5 -811443b0: 008010c4 movi r2,67 -811443b4: f800283a ret -811443b8: 2801703a wrctl status,r5 -811443bc: 0005883a mov r2,zero -811443c0: f800283a ret -811443c4: defffe04 addi sp,sp,-8 -811443c8: d8800015 stw r2,0(sp) -811443cc: dfc00115 stw ra,4(sp) -811443d0: 11407a00 call 811407a0 -811443d4: d8800017 ldw r2,0(sp) -811443d8: dfc00117 ldw ra,4(sp) -811443dc: dec00204 addi sp,sp,8 -811443e0: 003fe906 br 81144388 <__reset+0xfb124388> - -811443e4 : -811443e4: 20803fcc andi r2,r4,255 -811443e8: 00c00b04 movi r3,44 -811443ec: 1880042e bgeu r3,r2,81144400 -811443f0: 00c03fc4 movi r3,255 -811443f4: 10c01926 beq r2,r3,8114445c -811443f8: 00800a84 movi r2,42 -811443fc: f800283a ret -81144400: 28001726 beq r5,zero,81144460 -81144404: 28000015 stw zero,0(r5) -81144408: 28000115 stw zero,4(r5) -8114440c: 000d303a rdctl r6,status -81144410: 00bfff84 movi r2,-2 -81144414: 3084703a and r2,r6,r2 -81144418: 1001703a wrctl status,r2 -8114441c: 21003fcc andi r4,r4,255 -81144420: 2109883a add r4,r4,r4 -81144424: 00a04674 movhi r2,33049 -81144428: 10af9404 addi r2,r2,-16816 -8114442c: 2109883a add r4,r4,r4 -81144430: 1109883a add r4,r2,r4 -81144434: 20800017 ldw r2,0(r4) -81144438: 10002726 beq r2,zero,811444d8 -8114443c: 00c00044 movi r3,1 -81144440: 10c02526 beq r2,r3,811444d8 -81144444: 10c0040b ldhu r3,16(r2) -81144448: 18c0004c andi r3,r3,1 -8114444c: 1800061e bne r3,zero,81144468 -81144450: 3001703a wrctl status,r6 -81144454: 00801144 movi r2,69 -81144458: f800283a ret -8114445c: 2800151e bne r5,zero,811444b4 -81144460: 00800244 movi r2,9 -81144464: f800283a ret -81144468: 11c00317 ldw r7,12(r2) -8114446c: 10800217 ldw r2,8(r2) -81144470: 3001703a wrctl status,r6 -81144474: 10c00017 ldw r3,0(r2) -81144478: 10800104 addi r2,r2,4 -8114447c: 1800191e bne r3,zero,811444e4 -81144480: 10800104 addi r2,r2,4 -81144484: 113fff17 ldw r4,-4(r2) -81144488: 18c00044 addi r3,r3,1 -8114448c: 203ffc26 beq r4,zero,81144480 <__reset+0xfb124480> -81144490: 18c5883a add r2,r3,r3 -81144494: 1085883a add r2,r2,r2 -81144498: 38c7c83a sub r3,r7,r3 -8114449c: 18c7883a add r3,r3,r3 -811444a0: 18c7883a add r3,r3,r3 -811444a4: 28800015 stw r2,0(r5) -811444a8: 28c00115 stw r3,4(r5) -811444ac: 0005883a mov r2,zero -811444b0: f800283a ret -811444b4: 28000015 stw zero,0(r5) -811444b8: 28000115 stw zero,4(r5) -811444bc: 000d303a rdctl r6,status -811444c0: 00bfff84 movi r2,-2 -811444c4: 3084703a and r2,r6,r2 -811444c8: 1001703a wrctl status,r2 -811444cc: d0a0bc17 ldw r2,-32016(gp) -811444d0: 11000c83 ldbu r4,50(r2) -811444d4: 003fd106 br 8114441c <__reset+0xfb12441c> -811444d8: 3001703a wrctl status,r6 -811444dc: 008010c4 movi r2,67 -811444e0: f800283a ret -811444e4: 0005883a mov r2,zero -811444e8: 0007883a mov r3,zero -811444ec: 003fea06 br 81144498 <__reset+0xfb124498> - -811444f0 : -811444f0: 20803fcc andi r2,r4,255 -811444f4: 00c00b04 movi r3,44 -811444f8: 10c00626 beq r2,r3,81144514 -811444fc: 00c00ac4 movi r3,43 -81144500: 1880062e bgeu r3,r2,8114451c -81144504: 00c03fc4 movi r3,255 -81144508: 10c02c26 beq r2,r3,811445bc -8114450c: 00800a84 movi r2,42 -81144510: f800283a ret -81144514: 008011c4 movi r2,71 -81144518: f800283a ret -8114451c: 000f303a rdctl r7,status -81144520: 00ffff84 movi r3,-2 -81144524: 38c6703a and r3,r7,r3 -81144528: 1801703a wrctl status,r3 -8114452c: d0e0bc17 ldw r3,-32016(gp) -81144530: 18c00c83 ldbu r3,50(r3) -81144534: 1885003a cmpeq r2,r3,r2 -81144538: 21003fcc andi r4,r4,255 -8114453c: 2109883a add r4,r4,r4 -81144540: 00e04674 movhi r3,33049 -81144544: 18ef9404 addi r3,r3,-16816 -81144548: 2109883a add r4,r4,r4 -8114454c: 1909883a add r4,r3,r4 -81144550: 20c00017 ldw r3,0(r4) -81144554: 18002826 beq r3,zero,811445f8 -81144558: 01000044 movi r4,1 -8114455c: 19002926 beq r3,r4,81144604 -81144560: 19400d03 ldbu r5,52(r3) -81144564: d1a0b844 addi r6,gp,-32031 -81144568: 19000d43 ldbu r4,53(r3) -8114456c: 314d883a add r6,r6,r5 -81144570: 31400003 ldbu r5,0(r6) -81144574: 0108303a nor r4,zero,r4 -81144578: 2148703a and r4,r4,r5 -8114457c: 31000005 stb r4,0(r6) -81144580: 21003fcc andi r4,r4,255 -81144584: 2000051e bne r4,zero,8114459c -81144588: 19000d83 ldbu r4,54(r3) -8114458c: d160b803 ldbu r5,-32032(gp) -81144590: 0108303a nor r4,zero,r4 -81144594: 2148703a and r4,r4,r5 -81144598: d120b805 stb r4,-32032(gp) -8114459c: 19000c03 ldbu r4,48(r3) -811445a0: 21000214 ori r4,r4,8 -811445a4: 19000c05 stb r4,48(r3) -811445a8: 3801703a wrctl status,r7 -811445ac: 10803fcc andi r2,r2,255 -811445b0: 10000a1e bne r2,zero,811445dc -811445b4: 0005883a mov r2,zero -811445b8: f800283a ret -811445bc: 000f303a rdctl r7,status -811445c0: 00bfff84 movi r2,-2 -811445c4: 3884703a and r2,r7,r2 -811445c8: 1001703a wrctl status,r2 -811445cc: d0e0bc17 ldw r3,-32016(gp) -811445d0: 00800044 movi r2,1 -811445d4: 19000c83 ldbu r4,50(r3) -811445d8: 003fd706 br 81144538 <__reset+0xfb124538> -811445dc: deffff04 addi sp,sp,-4 -811445e0: dfc00015 stw ra,0(sp) -811445e4: 11407a00 call 811407a0 -811445e8: 0005883a mov r2,zero -811445ec: dfc00017 ldw ra,0(sp) -811445f0: dec00104 addi sp,sp,4 -811445f4: f800283a ret -811445f8: 3801703a wrctl status,r7 -811445fc: 00801204 movi r2,72 -81144600: f800283a ret -81144604: 3801703a wrctl status,r7 -81144608: 008010c4 movi r2,67 -8114460c: f800283a ret - -81144610 : -81144610: defffe04 addi sp,sp,-8 -81144614: dfc00115 stw ra,4(sp) -81144618: dc000015 stw r16,0(sp) -8114461c: 20803fcc andi r2,r4,255 -81144620: 00c00b04 movi r3,44 -81144624: 1880072e bgeu r3,r2,81144644 -81144628: 00c03fc4 movi r3,255 -8114462c: 10c01e26 beq r2,r3,811446a8 -81144630: 00800a84 movi r2,42 -81144634: dfc00117 ldw ra,4(sp) -81144638: dc000017 ldw r16,0(sp) -8114463c: dec00204 addi sp,sp,8 +811441ec: 0005883a mov r2,zero +811441f0: f800283a ret +811441f4: 8801703a wrctl status,r17 +811441f8: 00c010c4 movi r3,67 +811441fc: 30c00005 stb r3,0(r6) +81144200: 003fee06 br 811441bc <__reset+0xfb1241bc> + +81144204 : +81144204: 30003726 beq r6,zero,811442e4 +81144208: 20bff4c4 addi r2,r4,-45 +8114420c: 10803fcc andi r2,r2,255 +81144210: 01c03444 movi r7,209 +81144214: 3880062e bgeu r7,r2,81144230 +81144218: 28003a26 beq r5,zero,81144304 +8114421c: d0a0bb03 ldbu r2,-32020(gp) +81144220: 10000626 beq r2,zero,8114423c +81144224: 00800484 movi r2,18 +81144228: 30800005 stb r2,0(r6) +8114422c: f800283a ret +81144230: 00800a84 movi r2,42 +81144234: 30800005 stb r2,0(r6) +81144238: f800283a ret +8114423c: defffb04 addi sp,sp,-20 +81144240: 2007883a mov r3,r4 +81144244: dfc00415 stw ra,16(sp) +81144248: dcc00315 stw r19,12(sp) +8114424c: dc800215 stw r18,8(sp) +81144250: dc400115 stw r17,4(sp) +81144254: dc000015 stw r16,0(sp) +81144258: 0027303a rdctl r19,status +8114425c: 00bfff84 movi r2,-2 +81144260: 9884703a and r2,r19,r2 +81144264: 1001703a wrctl status,r2 +81144268: 21003fcc andi r4,r4,255 +8114426c: 00803fc4 movi r2,255 +81144270: 20801d26 beq r4,r2,811442e8 +81144274: 18c03fcc andi r3,r3,255 +81144278: 18c7883a add r3,r3,r3 +8114427c: 00a04674 movhi r2,33049 +81144280: 18c7883a add r3,r3,r3 +81144284: 10afa504 addi r2,r2,-16748 +81144288: 10c7883a add r3,r2,r3 +8114428c: 1c800017 ldw r18,0(r3) +81144290: 90001f26 beq r18,zero,81144310 +81144294: 00800044 movi r2,1 +81144298: 90801d26 beq r18,r2,81144310 +8114429c: 2809883a mov r4,r5 +811442a0: 2823883a mov r17,r5 +811442a4: 3021883a mov r16,r6 +811442a8: 1140d000 call 81140d00 +811442ac: 10803fcc andi r2,r2,255 +811442b0: 00c007c4 movi r3,31 +811442b4: 18800f36 bltu r3,r2,811442f4 +811442b8: 880b883a mov r5,r17 +811442bc: 91001304 addi r4,r18,76 +811442c0: 1140cbc0 call 81140cbc +811442c4: 9801703a wrctl status,r19 +811442c8: 80000005 stb zero,0(r16) +811442cc: dfc00417 ldw ra,16(sp) +811442d0: dcc00317 ldw r19,12(sp) +811442d4: dc800217 ldw r18,8(sp) +811442d8: dc400117 ldw r17,4(sp) +811442dc: dc000017 ldw r16,0(sp) +811442e0: dec00504 addi sp,sp,20 +811442e4: f800283a ret +811442e8: d0a0bc17 ldw r2,-32016(gp) +811442ec: 10c00c83 ldbu r3,50(r2) +811442f0: 003fe006 br 81144274 <__reset+0xfb124274> +811442f4: 9801703a wrctl status,r19 +811442f8: 00801044 movi r2,65 +811442fc: 80800005 stb r2,0(r16) +81144300: 003ff206 br 811442cc <__reset+0xfb1242cc> +81144304: 00800304 movi r2,12 +81144308: 30800005 stb r2,0(r6) +8114430c: f800283a ret +81144310: 9801703a wrctl status,r19 +81144314: 008010c4 movi r2,67 +81144318: 30800005 stb r2,0(r6) +8114431c: 003feb06 br 811442cc <__reset+0xfb1242cc> + +81144320 : +81144320: 21003fcc andi r4,r4,255 +81144324: 00800ac4 movi r2,43 +81144328: 1100022e bgeu r2,r4,81144334 +8114432c: 00800a84 movi r2,42 +81144330: f800283a ret +81144334: 000b303a rdctl r5,status +81144338: 00bfff84 movi r2,-2 +8114433c: 2884703a and r2,r5,r2 +81144340: 1001703a wrctl status,r2 +81144344: 2109883a add r4,r4,r4 +81144348: 00a04674 movhi r2,33049 +8114434c: 2109883a add r4,r4,r4 +81144350: 10afa504 addi r2,r2,-16748 +81144354: 1109883a add r4,r2,r4 +81144358: 20c00017 ldw r3,0(r4) +8114435c: 18002026 beq r3,zero,811443e0 +81144360: 01000044 movi r4,1 +81144364: 19002126 beq r3,r4,811443ec +81144368: 19800c03 ldbu r6,48(r3) +8114436c: 3080020c andi r2,r6,8 +81144370: 10001626 beq r2,zero,811443cc +81144374: 00bffdc4 movi r2,-9 +81144378: 3084703a and r2,r6,r2 +8114437c: 18800c05 stb r2,48(r3) +81144380: 11803fcc andi r6,r2,255 +81144384: 30001c1e bne r6,zero,811443f8 +81144388: 19800b8b ldhu r6,46(r3) +8114438c: 3000121e bne r6,zero,811443d8 +81144390: 19800d03 ldbu r6,52(r3) +81144394: d220b844 addi r8,gp,-32031 +81144398: d1e0b803 ldbu r7,-32032(gp) +8114439c: 418d883a add r6,r8,r6 +811443a0: 32000003 ldbu r8,0(r6) +811443a4: 1a400d83 ldbu r9,54(r3) +811443a8: 18c00d43 ldbu r3,53(r3) +811443ac: 49ceb03a or r7,r9,r7 +811443b0: 40c6b03a or r3,r8,r3 +811443b4: d1e0b805 stb r7,-32032(gp) +811443b8: 30c00005 stb r3,0(r6) +811443bc: 2801703a wrctl status,r5 +811443c0: d0e0ab03 ldbu r3,-32084(gp) +811443c4: 19000f26 beq r3,r4,81144404 +811443c8: f800283a ret +811443cc: 2801703a wrctl status,r5 +811443d0: 00801104 movi r2,68 +811443d4: f800283a ret +811443d8: 2801703a wrctl status,r5 +811443dc: f800283a ret +811443e0: 2801703a wrctl status,r5 +811443e4: 00801184 movi r2,70 +811443e8: f800283a ret +811443ec: 2801703a wrctl status,r5 +811443f0: 008010c4 movi r2,67 +811443f4: f800283a ret +811443f8: 2801703a wrctl status,r5 +811443fc: 0005883a mov r2,zero +81144400: f800283a ret +81144404: defffe04 addi sp,sp,-8 +81144408: d8800015 stw r2,0(sp) +8114440c: dfc00115 stw ra,4(sp) +81144410: 11407e00 call 811407e0 +81144414: d8800017 ldw r2,0(sp) +81144418: dfc00117 ldw ra,4(sp) +8114441c: dec00204 addi sp,sp,8 +81144420: 003fe906 br 811443c8 <__reset+0xfb1243c8> + +81144424 : +81144424: 20803fcc andi r2,r4,255 +81144428: 00c00b04 movi r3,44 +8114442c: 1880042e bgeu r3,r2,81144440 +81144430: 00c03fc4 movi r3,255 +81144434: 10c01926 beq r2,r3,8114449c +81144438: 00800a84 movi r2,42 +8114443c: f800283a ret +81144440: 28001726 beq r5,zero,811444a0 +81144444: 28000015 stw zero,0(r5) +81144448: 28000115 stw zero,4(r5) +8114444c: 000d303a rdctl r6,status +81144450: 00bfff84 movi r2,-2 +81144454: 3084703a and r2,r6,r2 +81144458: 1001703a wrctl status,r2 +8114445c: 21003fcc andi r4,r4,255 +81144460: 2109883a add r4,r4,r4 +81144464: 00a04674 movhi r2,33049 +81144468: 10afa504 addi r2,r2,-16748 +8114446c: 2109883a add r4,r4,r4 +81144470: 1109883a add r4,r2,r4 +81144474: 20800017 ldw r2,0(r4) +81144478: 10002726 beq r2,zero,81144518 +8114447c: 00c00044 movi r3,1 +81144480: 10c02526 beq r2,r3,81144518 +81144484: 10c0040b ldhu r3,16(r2) +81144488: 18c0004c andi r3,r3,1 +8114448c: 1800061e bne r3,zero,811444a8 +81144490: 3001703a wrctl status,r6 +81144494: 00801144 movi r2,69 +81144498: f800283a ret +8114449c: 2800151e bne r5,zero,811444f4 +811444a0: 00800244 movi r2,9 +811444a4: f800283a ret +811444a8: 11c00317 ldw r7,12(r2) +811444ac: 10800217 ldw r2,8(r2) +811444b0: 3001703a wrctl status,r6 +811444b4: 10c00017 ldw r3,0(r2) +811444b8: 10800104 addi r2,r2,4 +811444bc: 1800191e bne r3,zero,81144524 +811444c0: 10800104 addi r2,r2,4 +811444c4: 113fff17 ldw r4,-4(r2) +811444c8: 18c00044 addi r3,r3,1 +811444cc: 203ffc26 beq r4,zero,811444c0 <__reset+0xfb1244c0> +811444d0: 18c5883a add r2,r3,r3 +811444d4: 1085883a add r2,r2,r2 +811444d8: 38c7c83a sub r3,r7,r3 +811444dc: 18c7883a add r3,r3,r3 +811444e0: 18c7883a add r3,r3,r3 +811444e4: 28800015 stw r2,0(r5) +811444e8: 28c00115 stw r3,4(r5) +811444ec: 0005883a mov r2,zero +811444f0: f800283a ret +811444f4: 28000015 stw zero,0(r5) +811444f8: 28000115 stw zero,4(r5) +811444fc: 000d303a rdctl r6,status +81144500: 00bfff84 movi r2,-2 +81144504: 3084703a and r2,r6,r2 +81144508: 1001703a wrctl status,r2 +8114450c: d0a0bc17 ldw r2,-32016(gp) +81144510: 11000c83 ldbu r4,50(r2) +81144514: 003fd106 br 8114445c <__reset+0xfb12445c> +81144518: 3001703a wrctl status,r6 +8114451c: 008010c4 movi r2,67 +81144520: f800283a ret +81144524: 0005883a mov r2,zero +81144528: 0007883a mov r3,zero +8114452c: 003fea06 br 811444d8 <__reset+0xfb1244d8> + +81144530 : +81144530: 20803fcc andi r2,r4,255 +81144534: 00c00b04 movi r3,44 +81144538: 10c00626 beq r2,r3,81144554 +8114453c: 00c00ac4 movi r3,43 +81144540: 1880062e bgeu r3,r2,8114455c +81144544: 00c03fc4 movi r3,255 +81144548: 10c02c26 beq r2,r3,811445fc +8114454c: 00800a84 movi r2,42 +81144550: f800283a ret +81144554: 008011c4 movi r2,71 +81144558: f800283a ret +8114455c: 000f303a rdctl r7,status +81144560: 00ffff84 movi r3,-2 +81144564: 38c6703a and r3,r7,r3 +81144568: 1801703a wrctl status,r3 +8114456c: d0e0bc17 ldw r3,-32016(gp) +81144570: 18c00c83 ldbu r3,50(r3) +81144574: 1885003a cmpeq r2,r3,r2 +81144578: 21003fcc andi r4,r4,255 +8114457c: 2109883a add r4,r4,r4 +81144580: 00e04674 movhi r3,33049 +81144584: 18efa504 addi r3,r3,-16748 +81144588: 2109883a add r4,r4,r4 +8114458c: 1909883a add r4,r3,r4 +81144590: 20c00017 ldw r3,0(r4) +81144594: 18002826 beq r3,zero,81144638 +81144598: 01000044 movi r4,1 +8114459c: 19002926 beq r3,r4,81144644 +811445a0: 19400d03 ldbu r5,52(r3) +811445a4: d1a0b844 addi r6,gp,-32031 +811445a8: 19000d43 ldbu r4,53(r3) +811445ac: 314d883a add r6,r6,r5 +811445b0: 31400003 ldbu r5,0(r6) +811445b4: 0108303a nor r4,zero,r4 +811445b8: 2148703a and r4,r4,r5 +811445bc: 31000005 stb r4,0(r6) +811445c0: 21003fcc andi r4,r4,255 +811445c4: 2000051e bne r4,zero,811445dc +811445c8: 19000d83 ldbu r4,54(r3) +811445cc: d160b803 ldbu r5,-32032(gp) +811445d0: 0108303a nor r4,zero,r4 +811445d4: 2148703a and r4,r4,r5 +811445d8: d120b805 stb r4,-32032(gp) +811445dc: 19000c03 ldbu r4,48(r3) +811445e0: 21000214 ori r4,r4,8 +811445e4: 19000c05 stb r4,48(r3) +811445e8: 3801703a wrctl status,r7 +811445ec: 10803fcc andi r2,r2,255 +811445f0: 10000a1e bne r2,zero,8114461c +811445f4: 0005883a mov r2,zero +811445f8: f800283a ret +811445fc: 000f303a rdctl r7,status +81144600: 00bfff84 movi r2,-2 +81144604: 3884703a and r2,r7,r2 +81144608: 1001703a wrctl status,r2 +8114460c: d0e0bc17 ldw r3,-32016(gp) +81144610: 00800044 movi r2,1 +81144614: 19000c83 ldbu r4,50(r3) +81144618: 003fd706 br 81144578 <__reset+0xfb124578> +8114461c: deffff04 addi sp,sp,-4 +81144620: dfc00015 stw ra,0(sp) +81144624: 11407e00 call 811407e0 +81144628: 0005883a mov r2,zero +8114462c: dfc00017 ldw ra,0(sp) +81144630: dec00104 addi sp,sp,4 +81144634: f800283a ret +81144638: 3801703a wrctl status,r7 +8114463c: 00801204 movi r2,72 81144640: f800283a ret -81144644: 28001926 beq r5,zero,811446ac -81144648: 0021303a rdctl r16,status -8114464c: 00bfff84 movi r2,-2 -81144650: 8084703a and r2,r16,r2 -81144654: 1001703a wrctl status,r2 -81144658: 20803fcc andi r2,r4,255 -8114465c: 1085883a add r2,r2,r2 -81144660: 01204674 movhi r4,33049 -81144664: 1085883a add r2,r2,r2 -81144668: 212f9404 addi r4,r4,-16816 -8114466c: 2085883a add r2,r4,r2 -81144670: 10800017 ldw r2,0(r2) -81144674: 10001c26 beq r2,zero,811446e8 -81144678: 00c00044 movi r3,1 -8114467c: 10c01026 beq r2,r3,811446c0 -81144680: 2809883a mov r4,r5 -81144684: 01801b04 movi r6,108 -81144688: 100b883a mov r5,r2 -8114468c: 11407700 call 81140770 -81144690: 8001703a wrctl status,r16 -81144694: 0005883a mov r2,zero -81144698: dfc00117 ldw ra,4(sp) -8114469c: dc000017 ldw r16,0(sp) -811446a0: dec00204 addi sp,sp,8 -811446a4: f800283a ret -811446a8: 2800081e bne r5,zero,811446cc -811446ac: 00800244 movi r2,9 -811446b0: dfc00117 ldw ra,4(sp) -811446b4: dc000017 ldw r16,0(sp) -811446b8: dec00204 addi sp,sp,8 -811446bc: f800283a ret -811446c0: 8001703a wrctl status,r16 -811446c4: 008010c4 movi r2,67 -811446c8: 003fda06 br 81144634 <__reset+0xfb124634> -811446cc: 0021303a rdctl r16,status -811446d0: 00bfff84 movi r2,-2 -811446d4: 8084703a and r2,r16,r2 -811446d8: 1001703a wrctl status,r2 -811446dc: d0a0bc17 ldw r2,-32016(gp) -811446e0: 11000c83 ldbu r4,50(r2) -811446e4: 003fdc06 br 81144658 <__reset+0xfb124658> -811446e8: 8001703a wrctl status,r16 -811446ec: 00800a44 movi r2,41 -811446f0: 003fd006 br 81144634 <__reset+0xfb124634> - -811446f4 : -811446f4: 318000cc andi r6,r6,3 -811446f8: 008000c4 movi r2,3 -811446fc: 3080051e bne r6,r2,81144714 -81144700: 28000426 beq r5,zero,81144714 -81144704: 20000015 stw zero,0(r4) -81144708: 297fffc4 addi r5,r5,-1 -8114470c: 21000104 addi r4,r4,4 -81144710: 283ffc1e bne r5,zero,81144704 <__reset+0xfb124704> -81144714: f800283a ret - -81144718 : -81144718: 000f303a rdctl r7,status -8114471c: 00bfff84 movi r2,-2 -81144720: 3884703a and r2,r7,r2 -81144724: 1001703a wrctl status,r2 -81144728: d1a0bc17 ldw r6,-32016(gp) -8114472c: d160b844 addi r5,gp,-32031 -81144730: 30c00d03 ldbu r3,52(r6) -81144734: 30800d43 ldbu r2,53(r6) -81144738: 28cb883a add r5,r5,r3 -8114473c: 28c00003 ldbu r3,0(r5) -81144740: 0084303a nor r2,zero,r2 -81144744: 10c4703a and r2,r2,r3 -81144748: 28800005 stb r2,0(r5) -8114474c: 10803fcc andi r2,r2,255 -81144750: 1000051e bne r2,zero,81144768 -81144754: 30800d83 ldbu r2,54(r6) -81144758: d0e0b803 ldbu r3,-32032(gp) -8114475c: 0084303a nor r2,zero,r2 -81144760: 10c4703a and r2,r2,r3 -81144764: d0a0b805 stb r2,-32032(gp) -81144768: 31000b8d sth r4,46(r6) -8114476c: 3801703a wrctl status,r7 -81144770: 11407a01 jmpi 811407a0 - -81144774 : -81144774: d0a0bb03 ldbu r2,-32020(gp) -81144778: 1000021e bne r2,zero,81144784 -8114477c: 213fffcc andi r4,r4,65535 -81144780: 2000011e bne r4,zero,81144788 -81144784: f800283a ret -81144788: 11447181 jmpi 81144718 - -8114478c : -8114478c: d0a0bb03 ldbu r2,-32020(gp) -81144790: 10c03fcc andi r3,r2,255 -81144794: 18000226 beq r3,zero,811447a0 -81144798: 00801544 movi r2,85 -8114479c: f800283a ret -811447a0: 21003fcc andi r4,r4,255 -811447a4: 29403fcc andi r5,r5,255 -811447a8: 2000071e bne r4,zero,811447c8 -811447ac: 2800061e bne r5,zero,811447c8 -811447b0: 31803fcc andi r6,r6,255 -811447b4: 3000091e bne r6,zero,811447dc -811447b8: 39ffffcc andi r7,r7,65535 -811447bc: 38000c1e bne r7,zero,811447f0 -811447c0: 00801504 movi r2,84 +81144644: 3801703a wrctl status,r7 +81144648: 008010c4 movi r2,67 +8114464c: f800283a ret + +81144650 : +81144650: defffe04 addi sp,sp,-8 +81144654: dfc00115 stw ra,4(sp) +81144658: dc000015 stw r16,0(sp) +8114465c: 20803fcc andi r2,r4,255 +81144660: 00c00b04 movi r3,44 +81144664: 1880072e bgeu r3,r2,81144684 +81144668: 00c03fc4 movi r3,255 +8114466c: 10c01e26 beq r2,r3,811446e8 +81144670: 00800a84 movi r2,42 +81144674: dfc00117 ldw ra,4(sp) +81144678: dc000017 ldw r16,0(sp) +8114467c: dec00204 addi sp,sp,8 +81144680: f800283a ret +81144684: 28001926 beq r5,zero,811446ec +81144688: 0021303a rdctl r16,status +8114468c: 00bfff84 movi r2,-2 +81144690: 8084703a and r2,r16,r2 +81144694: 1001703a wrctl status,r2 +81144698: 20803fcc andi r2,r4,255 +8114469c: 1085883a add r2,r2,r2 +811446a0: 01204674 movhi r4,33049 +811446a4: 1085883a add r2,r2,r2 +811446a8: 212fa504 addi r4,r4,-16748 +811446ac: 2085883a add r2,r4,r2 +811446b0: 10800017 ldw r2,0(r2) +811446b4: 10001c26 beq r2,zero,81144728 +811446b8: 00c00044 movi r3,1 +811446bc: 10c01026 beq r2,r3,81144700 +811446c0: 2809883a mov r4,r5 +811446c4: 01801b04 movi r6,108 +811446c8: 100b883a mov r5,r2 +811446cc: 11407b00 call 811407b0 +811446d0: 8001703a wrctl status,r16 +811446d4: 0005883a mov r2,zero +811446d8: dfc00117 ldw ra,4(sp) +811446dc: dc000017 ldw r16,0(sp) +811446e0: dec00204 addi sp,sp,8 +811446e4: f800283a ret +811446e8: 2800081e bne r5,zero,8114470c +811446ec: 00800244 movi r2,9 +811446f0: dfc00117 ldw ra,4(sp) +811446f4: dc000017 ldw r16,0(sp) +811446f8: dec00204 addi sp,sp,8 +811446fc: f800283a ret +81144700: 8001703a wrctl status,r16 +81144704: 008010c4 movi r2,67 +81144708: 003fda06 br 81144674 <__reset+0xfb124674> +8114470c: 0021303a rdctl r16,status +81144710: 00bfff84 movi r2,-2 +81144714: 8084703a and r2,r16,r2 +81144718: 1001703a wrctl status,r2 +8114471c: d0a0bc17 ldw r2,-32016(gp) +81144720: 11000c83 ldbu r4,50(r2) +81144724: 003fdc06 br 81144698 <__reset+0xfb124698> +81144728: 8001703a wrctl status,r16 +8114472c: 00800a44 movi r2,41 +81144730: 003fd006 br 81144674 <__reset+0xfb124674> + +81144734 : +81144734: 318000cc andi r6,r6,3 +81144738: 008000c4 movi r2,3 +8114473c: 3080051e bne r6,r2,81144754 +81144740: 28000426 beq r5,zero,81144754 +81144744: 20000015 stw zero,0(r4) +81144748: 297fffc4 addi r5,r5,-1 +8114474c: 21000104 addi r4,r4,4 +81144750: 283ffc1e bne r5,zero,81144744 <__reset+0xfb124744> +81144754: f800283a ret + +81144758 : +81144758: 000f303a rdctl r7,status +8114475c: 00bfff84 movi r2,-2 +81144760: 3884703a and r2,r7,r2 +81144764: 1001703a wrctl status,r2 +81144768: d1a0bc17 ldw r6,-32016(gp) +8114476c: d160b844 addi r5,gp,-32031 +81144770: 30c00d03 ldbu r3,52(r6) +81144774: 30800d43 ldbu r2,53(r6) +81144778: 28cb883a add r5,r5,r3 +8114477c: 28c00003 ldbu r3,0(r5) +81144780: 0084303a nor r2,zero,r2 +81144784: 10c4703a and r2,r2,r3 +81144788: 28800005 stb r2,0(r5) +8114478c: 10803fcc andi r2,r2,255 +81144790: 1000051e bne r2,zero,811447a8 +81144794: 30800d83 ldbu r2,54(r6) +81144798: d0e0b803 ldbu r3,-32032(gp) +8114479c: 0084303a nor r2,zero,r2 +811447a0: 10c4703a and r2,r2,r3 +811447a4: d0a0b805 stb r2,-32032(gp) +811447a8: 31000b8d sth r4,46(r6) +811447ac: 3801703a wrctl status,r7 +811447b0: 11407e01 jmpi 811407e0 + +811447b4 : +811447b4: d0a0bb03 ldbu r2,-32020(gp) +811447b8: 1000021e bne r2,zero,811447c4 +811447bc: 213fffcc andi r4,r4,65535 +811447c0: 2000011e bne r4,zero,811447c8 811447c4: f800283a ret -811447c8: 00c00ec4 movi r3,59 -811447cc: 1940022e bgeu r3,r5,811447d8 -811447d0: 00801444 movi r2,81 -811447d4: f800283a ret -811447d8: 31803fcc andi r6,r6,255 -811447dc: 00c00ec4 movi r3,59 -811447e0: 1980022e bgeu r3,r6,811447ec -811447e4: 00801484 movi r2,82 -811447e8: f800283a ret -811447ec: 39ffffcc andi r7,r7,65535 -811447f0: 00c0f9c4 movi r3,999 -811447f4: 19c0022e bgeu r3,r7,81144800 -811447f8: 008014c4 movi r2,83 -811447fc: f800283a ret -81144800: 39c0fa24 muli r7,r7,1000 -81144804: 29400f24 muli r5,r5,60 -81144808: 00c418b4 movhi r3,4194 -8114480c: 21038424 muli r4,r4,3600 -81144810: 18d374c4 addi r3,r3,19923 -81144814: 38ce383a mulxuu r7,r7,r3 -81144818: 2987883a add r3,r5,r6 -8114481c: 1907883a add r3,r3,r4 -81144820: 18c0fa24 muli r3,r3,1000 -81144824: 3808d1ba srli r4,r7,6 -81144828: defffc04 addi sp,sp,-16 -8114482c: dc400215 stw r17,8(sp) -81144830: 1907883a add r3,r3,r4 -81144834: 1822d43a srli r17,r3,16 -81144838: dc000115 stw r16,4(sp) -8114483c: dfc00315 stw ra,12(sp) -81144840: 193fffcc andi r4,r3,65535 -81144844: 8821883a mov r16,r17 -81144848: 2000121e bne r4,zero,81144894 -8114484c: 8800051e bne r17,zero,81144864 -81144850: 00001406 br 811448a4 -81144854: 80ffffc4 addi r3,r16,-1 -81144858: 193fffcc andi r4,r3,65535 -8114485c: 1821883a mov r16,r3 -81144860: 20001026 beq r4,zero,811448a4 -81144864: d0e0bb03 ldbu r3,-32020(gp) -81144868: 183ffa1e bne r3,zero,81144854 <__reset+0xfb124854> -8114486c: 01200014 movui r4,32768 -81144870: d8800015 stw r2,0(sp) -81144874: 11447180 call 81144718 -81144878: d0e0bb03 ldbu r3,-32020(gp) -8114487c: 01200014 movui r4,32768 -81144880: d8800017 ldw r2,0(sp) -81144884: 183ff31e bne r3,zero,81144854 <__reset+0xfb124854> -81144888: 11447180 call 81144718 -8114488c: d8800017 ldw r2,0(sp) -81144890: 003ff006 br 81144854 <__reset+0xfb124854> -81144894: d8800015 stw r2,0(sp) -81144898: 11447180 call 81144718 -8114489c: d8800017 ldw r2,0(sp) -811448a0: 003fea06 br 8114484c <__reset+0xfb12484c> -811448a4: dfc00317 ldw ra,12(sp) -811448a8: dc400217 ldw r17,8(sp) -811448ac: dc000117 ldw r16,4(sp) -811448b0: dec00404 addi sp,sp,16 -811448b4: f800283a ret - -811448b8 : -811448b8: 21003fcc andi r4,r4,255 -811448bc: 00800ac4 movi r2,43 -811448c0: 1100022e bgeu r2,r4,811448cc -811448c4: 00800a84 movi r2,42 -811448c8: f800283a ret -811448cc: 000b303a rdctl r5,status -811448d0: 00bfff84 movi r2,-2 -811448d4: 2884703a and r2,r5,r2 -811448d8: 1001703a wrctl status,r2 -811448dc: 2109883a add r4,r4,r4 -811448e0: 00a04674 movhi r2,33049 -811448e4: 2109883a add r4,r4,r4 -811448e8: 10af9404 addi r2,r2,-16816 -811448ec: 1109883a add r4,r2,r4 -811448f0: 20c00017 ldw r3,0(r4) -811448f4: 18001826 beq r3,zero,81144958 -811448f8: 01000044 movi r4,1 -811448fc: 19001626 beq r3,r4,81144958 -81144900: 18800b8b ldhu r2,46(r3) -81144904: 10000b26 beq r2,zero,81144934 -81144908: 18800c03 ldbu r2,48(r3) -8114490c: 18000b8d sth zero,46(r3) -81144910: 11800dcc andi r6,r2,55 -81144914: 30000a1e bne r6,zero,81144940 -81144918: 18000c45 stb zero,49(r3) -8114491c: 1100020c andi r4,r2,8 -81144920: 2005883a mov r2,r4 -81144924: 20000f26 beq r4,zero,81144964 -81144928: 2801703a wrctl status,r5 -8114492c: 0005883a mov r2,zero -81144930: f800283a ret -81144934: 2801703a wrctl status,r5 -81144938: 00801404 movi r2,80 -8114493c: f800283a ret -81144940: 01bff204 movi r6,-56 -81144944: 118c703a and r6,r2,r6 -81144948: 3005883a mov r2,r6 -8114494c: 19800c05 stb r6,48(r3) -81144950: 19000c45 stb r4,49(r3) -81144954: 003ff106 br 8114491c <__reset+0xfb12491c> -81144958: 2801703a wrctl status,r5 -8114495c: 008010c4 movi r2,67 -81144960: f800283a ret -81144964: defffe04 addi sp,sp,-8 -81144968: dfc00115 stw ra,4(sp) -8114496c: 19000d03 ldbu r4,52(r3) -81144970: d1e0b844 addi r7,gp,-32031 -81144974: d1a0b803 ldbu r6,-32032(gp) -81144978: 3909883a add r4,r7,r4 -8114497c: 21c00003 ldbu r7,0(r4) -81144980: 1a000d83 ldbu r8,54(r3) -81144984: 18c00d43 ldbu r3,53(r3) -81144988: 418cb03a or r6,r8,r6 -8114498c: 38c6b03a or r3,r7,r3 -81144990: d1a0b805 stb r6,-32032(gp) -81144994: 20c00005 stb r3,0(r4) +811447c8: 11447581 jmpi 81144758 + +811447cc : +811447cc: d0a0bb03 ldbu r2,-32020(gp) +811447d0: 10c03fcc andi r3,r2,255 +811447d4: 18000226 beq r3,zero,811447e0 +811447d8: 00801544 movi r2,85 +811447dc: f800283a ret +811447e0: 21003fcc andi r4,r4,255 +811447e4: 29403fcc andi r5,r5,255 +811447e8: 2000071e bne r4,zero,81144808 +811447ec: 2800061e bne r5,zero,81144808 +811447f0: 31803fcc andi r6,r6,255 +811447f4: 3000091e bne r6,zero,8114481c +811447f8: 39ffffcc andi r7,r7,65535 +811447fc: 38000c1e bne r7,zero,81144830 +81144800: 00801504 movi r2,84 +81144804: f800283a ret +81144808: 00c00ec4 movi r3,59 +8114480c: 1940022e bgeu r3,r5,81144818 +81144810: 00801444 movi r2,81 +81144814: f800283a ret +81144818: 31803fcc andi r6,r6,255 +8114481c: 00c00ec4 movi r3,59 +81144820: 1980022e bgeu r3,r6,8114482c +81144824: 00801484 movi r2,82 +81144828: f800283a ret +8114482c: 39ffffcc andi r7,r7,65535 +81144830: 00c0f9c4 movi r3,999 +81144834: 19c0022e bgeu r3,r7,81144840 +81144838: 008014c4 movi r2,83 +8114483c: f800283a ret +81144840: 39c0fa24 muli r7,r7,1000 +81144844: 29400f24 muli r5,r5,60 +81144848: 00c418b4 movhi r3,4194 +8114484c: 21038424 muli r4,r4,3600 +81144850: 18d374c4 addi r3,r3,19923 +81144854: 38ce383a mulxuu r7,r7,r3 +81144858: 2987883a add r3,r5,r6 +8114485c: 1907883a add r3,r3,r4 +81144860: 18c0fa24 muli r3,r3,1000 +81144864: 3808d1ba srli r4,r7,6 +81144868: defffc04 addi sp,sp,-16 +8114486c: dc400215 stw r17,8(sp) +81144870: 1907883a add r3,r3,r4 +81144874: 1822d43a srli r17,r3,16 +81144878: dc000115 stw r16,4(sp) +8114487c: dfc00315 stw ra,12(sp) +81144880: 193fffcc andi r4,r3,65535 +81144884: 8821883a mov r16,r17 +81144888: 2000121e bne r4,zero,811448d4 +8114488c: 8800051e bne r17,zero,811448a4 +81144890: 00001406 br 811448e4 +81144894: 80ffffc4 addi r3,r16,-1 +81144898: 193fffcc andi r4,r3,65535 +8114489c: 1821883a mov r16,r3 +811448a0: 20001026 beq r4,zero,811448e4 +811448a4: d0e0bb03 ldbu r3,-32020(gp) +811448a8: 183ffa1e bne r3,zero,81144894 <__reset+0xfb124894> +811448ac: 01200014 movui r4,32768 +811448b0: d8800015 stw r2,0(sp) +811448b4: 11447580 call 81144758 +811448b8: d0e0bb03 ldbu r3,-32020(gp) +811448bc: 01200014 movui r4,32768 +811448c0: d8800017 ldw r2,0(sp) +811448c4: 183ff31e bne r3,zero,81144894 <__reset+0xfb124894> +811448c8: 11447580 call 81144758 +811448cc: d8800017 ldw r2,0(sp) +811448d0: 003ff006 br 81144894 <__reset+0xfb124894> +811448d4: d8800015 stw r2,0(sp) +811448d8: 11447580 call 81144758 +811448dc: d8800017 ldw r2,0(sp) +811448e0: 003fea06 br 8114488c <__reset+0xfb12488c> +811448e4: dfc00317 ldw ra,12(sp) +811448e8: dc400217 ldw r17,8(sp) +811448ec: dc000117 ldw r16,4(sp) +811448f0: dec00404 addi sp,sp,16 +811448f4: f800283a ret + +811448f8 : +811448f8: 21003fcc andi r4,r4,255 +811448fc: 00800ac4 movi r2,43 +81144900: 1100022e bgeu r2,r4,8114490c +81144904: 00800a84 movi r2,42 +81144908: f800283a ret +8114490c: 000b303a rdctl r5,status +81144910: 00bfff84 movi r2,-2 +81144914: 2884703a and r2,r5,r2 +81144918: 1001703a wrctl status,r2 +8114491c: 2109883a add r4,r4,r4 +81144920: 00a04674 movhi r2,33049 +81144924: 2109883a add r4,r4,r4 +81144928: 10afa504 addi r2,r2,-16748 +8114492c: 1109883a add r4,r2,r4 +81144930: 20c00017 ldw r3,0(r4) +81144934: 18001826 beq r3,zero,81144998 +81144938: 01000044 movi r4,1 +8114493c: 19001626 beq r3,r4,81144998 +81144940: 18800b8b ldhu r2,46(r3) +81144944: 10000b26 beq r2,zero,81144974 +81144948: 18800c03 ldbu r2,48(r3) +8114494c: 18000b8d sth zero,46(r3) +81144950: 11800dcc andi r6,r2,55 +81144954: 30000a1e bne r6,zero,81144980 +81144958: 18000c45 stb zero,49(r3) +8114495c: 1100020c andi r4,r2,8 +81144960: 2005883a mov r2,r4 +81144964: 20000f26 beq r4,zero,811449a4 +81144968: 2801703a wrctl status,r5 +8114496c: 0005883a mov r2,zero +81144970: f800283a ret +81144974: 2801703a wrctl status,r5 +81144978: 00801404 movi r2,80 +8114497c: f800283a ret +81144980: 01bff204 movi r6,-56 +81144984: 118c703a and r6,r2,r6 +81144988: 3005883a mov r2,r6 +8114498c: 19800c05 stb r6,48(r3) +81144990: 19000c45 stb r4,49(r3) +81144994: 003ff106 br 8114495c <__reset+0xfb12495c> 81144998: 2801703a wrctl status,r5 -8114499c: d8800015 stw r2,0(sp) -811449a0: 11407a00 call 811407a0 -811449a4: d8800017 ldw r2,0(sp) -811449a8: dfc00117 ldw ra,4(sp) -811449ac: dec00204 addi sp,sp,8 -811449b0: f800283a ret - -811449b4 : -811449b4: 0007303a rdctl r3,status -811449b8: 00bfff84 movi r2,-2 -811449bc: 1884703a and r2,r3,r2 -811449c0: 1001703a wrctl status,r2 -811449c4: d0a0be17 ldw r2,-32008(gp) -811449c8: 1801703a wrctl status,r3 -811449cc: f800283a ret - -811449d0 : -811449d0: 0007303a rdctl r3,status -811449d4: 00bfff84 movi r2,-2 -811449d8: 1884703a and r2,r3,r2 -811449dc: 1001703a wrctl status,r2 -811449e0: d120be15 stw r4,-32008(gp) -811449e4: 1801703a wrctl status,r3 -811449e8: f800283a ret - -811449ec : -811449ec: 008000c4 movi r2,3 -811449f0: 20800c45 stb r2,49(r4) -811449f4: 29403fcc andi r5,r5,255 -811449f8: 00800044 movi r2,1 -811449fc: 28800226 beq r5,r2,81144a08 -81144a00: 20c00617 ldw r3,24(r4) -81144a04: 1800141e bne r3,zero,81144a58 -81144a08: 20c00717 ldw r3,28(r4) -81144a0c: d0a0bd17 ldw r2,-32012(gp) -81144a10: 1885883a add r2,r3,r2 -81144a14: 20800515 stw r2,20(r4) -81144a18: 1080004c andi r2,r2,1 -81144a1c: 100490fa slli r2,r2,3 -81144a20: 00e04634 movhi r3,33048 -81144a24: 18d36804 addi r3,r3,19872 -81144a28: 1885883a add r2,r3,r2 -81144a2c: 10c00017 ldw r3,0(r2) -81144a30: 18000d26 beq r3,zero,81144a68 -81144a34: 11800104 addi r6,r2,4 -81144a38: 3140000b ldhu r5,0(r6) -81144a3c: 11000015 stw r4,0(r2) -81144a40: 20c00315 stw r3,12(r4) -81144a44: 28800044 addi r2,r5,1 -81144a48: 19000415 stw r4,16(r3) -81144a4c: 3080000d sth r2,0(r6) -81144a50: 20000415 stw zero,16(r4) -81144a54: f800283a ret -81144a58: d0a0bd17 ldw r2,-32012(gp) -81144a5c: 1885883a add r2,r3,r2 -81144a60: 20800515 stw r2,20(r4) -81144a64: 003fec06 br 81144a18 <__reset+0xfb124a18> -81144a68: 11000015 stw r4,0(r2) -81144a6c: 20000315 stw zero,12(r4) -81144a70: 00c00044 movi r3,1 -81144a74: 10c0010d sth r3,4(r2) -81144a78: 20000415 stw zero,16(r4) -81144a7c: f800283a ret - -81144a80 : -81144a80: 20c00517 ldw r3,20(r4) -81144a84: 01604634 movhi r5,33048 -81144a88: 29536804 addi r5,r5,19872 -81144a8c: 18c0004c andi r3,r3,1 -81144a90: 180490fa slli r2,r3,3 -81144a94: 2887883a add r3,r5,r2 -81144a98: 19800017 ldw r6,0(r3) -81144a9c: 21800f26 beq r4,r6,81144adc -81144aa0: 21800417 ldw r6,16(r4) -81144aa4: 20c00317 ldw r3,12(r4) -81144aa8: 30c00315 stw r3,12(r6) -81144aac: 18000126 beq r3,zero,81144ab4 -81144ab0: 19800415 stw r6,16(r3) -81144ab4: 2885883a add r2,r5,r2 -81144ab8: 10800104 addi r2,r2,4 -81144abc: 10c0000b ldhu r3,0(r2) -81144ac0: 01400044 movi r5,1 -81144ac4: 20000315 stw zero,12(r4) -81144ac8: 18ffffc4 addi r3,r3,-1 -81144acc: 21400c45 stb r5,49(r4) -81144ad0: 20000415 stw zero,16(r4) -81144ad4: 10c0000d sth r3,0(r2) -81144ad8: f800283a ret -81144adc: 21800317 ldw r6,12(r4) -81144ae0: 19800015 stw r6,0(r3) -81144ae4: 303ff326 beq r6,zero,81144ab4 <__reset+0xfb124ab4> -81144ae8: 30000415 stw zero,16(r6) -81144aec: 003ff106 br 81144ab4 <__reset+0xfb124ab4> - -81144af0 : -81144af0: defff904 addi sp,sp,-28 -81144af4: dd000515 stw r20,20(sp) -81144af8: 05204634 movhi r20,33048 -81144afc: dcc00415 stw r19,16(sp) -81144b00: dc800315 stw r18,12(sp) -81144b04: dfc00615 stw ra,24(sp) -81144b08: dc400215 stw r17,8(sp) -81144b0c: dc000115 stw r16,4(sp) -81144b10: a5136804 addi r20,r20,19872 -81144b14: 04c00084 movi r19,2 -81144b18: 04800084 movi r18,2 -81144b1c: d120aa17 ldw r4,-32088(gp) -81144b20: 000b883a mov r5,zero -81144b24: d9800044 addi r6,sp,1 -81144b28: 11435640 call 81143564 -81144b2c: d120bf17 ldw r4,-32004(gp) -81144b30: d80d883a mov r6,sp -81144b34: 000b883a mov r5,zero -81144b38: 11435640 call 81143564 -81144b3c: d0a0bd17 ldw r2,-32012(gp) -81144b40: 10800044 addi r2,r2,1 -81144b44: 10c0004c andi r3,r2,1 -81144b48: 180690fa slli r3,r3,3 -81144b4c: d0a0bd15 stw r2,-32012(gp) -81144b50: a0c7883a add r3,r20,r3 -81144b54: 1c000017 ldw r16,0(r3) -81144b58: 80001426 beq r16,zero,81144bac -81144b5c: 80c00517 ldw r3,20(r16) -81144b60: 84400317 ldw r17,12(r16) -81144b64: 10c00626 beq r2,r3,81144b80 -81144b68: 88001026 beq r17,zero,81144bac -81144b6c: 8821883a mov r16,r17 -81144b70: d0a0bd17 ldw r2,-32012(gp) -81144b74: 80c00517 ldw r3,20(r16) -81144b78: 84400317 ldw r17,12(r16) -81144b7c: 10fffa1e bne r2,r3,81144b68 <__reset+0xfb124b68> -81144b80: 80800117 ldw r2,4(r16) -81144b84: 8009883a mov r4,r16 -81144b88: 10000226 beq r2,zero,81144b94 -81144b8c: 81400217 ldw r5,8(r16) -81144b90: 103ee83a callr r2 -81144b94: 8009883a mov r4,r16 -81144b98: 1144a800 call 81144a80 -81144b9c: 80800c03 ldbu r2,48(r16) -81144ba0: 14800526 beq r2,r18,81144bb8 -81144ba4: 84c00c45 stb r19,49(r16) -81144ba8: 883ff01e bne r17,zero,81144b6c <__reset+0xfb124b6c> -81144bac: d120bf17 ldw r4,-32004(gp) -81144bb0: 114379c0 call 8114379c -81144bb4: 003fd906 br 81144b1c <__reset+0xfb124b1c> -81144bb8: 01400044 movi r5,1 -81144bbc: 8009883a mov r4,r16 -81144bc0: 11449ec0 call 811449ec -81144bc4: 003fe806 br 81144b68 <__reset+0xfb124b68> - -81144bc8 : -81144bc8: defff804 addi sp,sp,-32 -81144bcc: dd000515 stw r20,20(sp) -81144bd0: dd000a17 ldw r20,40(sp) -81144bd4: dfc00715 stw ra,28(sp) -81144bd8: dd400615 stw r21,24(sp) -81144bdc: dcc00415 stw r19,16(sp) -81144be0: dc800315 stw r18,12(sp) -81144be4: dc400215 stw r17,8(sp) -81144be8: dc000115 stw r16,4(sp) -81144bec: a0005926 beq r20,zero,81144d54 -81144bf0: 30803fcc andi r2,r6,255 -81144bf4: 00c00044 movi r3,1 -81144bf8: 10c03c26 beq r2,r3,81144cec -81144bfc: 00c00084 movi r3,2 -81144c00: 10c02d1e bne r2,r3,81144cb8 -81144c04: 28004f26 beq r5,zero,81144d44 -81144c08: d0a0bb03 ldbu r2,-32020(gp) -81144c0c: 1000441e bne r2,zero,81144d20 -81144c10: 2025883a mov r18,r4 -81144c14: d120bf17 ldw r4,-32004(gp) -81144c18: 3027883a mov r19,r6 -81144c1c: 2823883a mov r17,r5 -81144c20: d80d883a mov r6,sp -81144c24: 000b883a mov r5,zero -81144c28: 382b883a mov r21,r7 -81144c2c: 11435640 call 81143564 -81144c30: d420a817 ldw r16,-32096(gp) -81144c34: 80003e26 beq r16,zero,81144d30 -81144c38: d0a0b10b ldhu r2,-32060(gp) -81144c3c: d0e0ad0b ldhu r3,-32076(gp) -81144c40: 81000317 ldw r4,12(r16) -81144c44: 10bfffc4 addi r2,r2,-1 -81144c48: d0a0b10d sth r2,-32060(gp) -81144c4c: 00800044 movi r2,1 -81144c50: 80800c45 stb r2,49(r16) -81144c54: d8800817 ldw r2,32(sp) -81144c58: 18c00044 addi r3,r3,1 -81144c5c: d120a815 stw r4,-32096(gp) -81144c60: 80800215 stw r2,8(r16) -81144c64: d8800917 ldw r2,36(sp) -81144c68: 80000315 stw zero,12(r16) -81144c6c: 80000415 stw zero,16(r16) -81144c70: d0e0ad0d sth r3,-32076(gp) -81144c74: 84800615 stw r18,24(r16) -81144c78: 84400715 stw r17,28(r16) -81144c7c: 84c00c05 stb r19,48(r16) -81144c80: 85400115 stw r21,4(r16) -81144c84: 10000826 beq r2,zero,81144ca8 -81144c88: 1009883a mov r4,r2 -81144c8c: 1140cc00 call 81140cc0 -81144c90: 10803fcc andi r2,r2,255 -81144c94: 00c003c4 movi r3,15 -81144c98: 18801936 bltu r3,r2,81144d00 -81144c9c: d9400917 ldw r5,36(sp) -81144ca0: 81000804 addi r4,r16,32 -81144ca4: 1140c7c0 call 81140c7c -81144ca8: d120bf17 ldw r4,-32004(gp) -81144cac: 114379c0 call 8114379c -81144cb0: a0000005 stb zero,0(r20) -81144cb4: 00000306 br 81144cc4 -81144cb8: 00bfe104 movi r2,-124 -81144cbc: a0800005 stb r2,0(r20) -81144cc0: 0021883a mov r16,zero -81144cc4: 8005883a mov r2,r16 -81144cc8: dfc00717 ldw ra,28(sp) -81144ccc: dd400617 ldw r21,24(sp) -81144cd0: dd000517 ldw r20,20(sp) -81144cd4: dcc00417 ldw r19,16(sp) -81144cd8: dc800317 ldw r18,12(sp) -81144cdc: dc400217 ldw r17,8(sp) -81144ce0: dc000117 ldw r16,4(sp) -81144ce4: dec00804 addi sp,sp,32 -81144ce8: f800283a ret -81144cec: 203fc61e bne r4,zero,81144c08 <__reset+0xfb124c08> -81144cf0: 00bfe084 movi r2,-126 -81144cf4: a0800005 stb r2,0(r20) -81144cf8: 0021883a mov r16,zero -81144cfc: 003ff106 br 81144cc4 <__reset+0xfb124cc4> -81144d00: 008008c4 movi r2,35 -81144d04: 80800805 stb r2,32(r16) -81144d08: 80000845 stb zero,33(r16) -81144d0c: 00bfe304 movi r2,-116 -81144d10: a0800005 stb r2,0(r20) -81144d14: d120bf17 ldw r4,-32004(gp) -81144d18: 114379c0 call 8114379c -81144d1c: 003fe906 br 81144cc4 <__reset+0xfb124cc4> -81144d20: 00bfe2c4 movi r2,-117 -81144d24: a0800005 stb r2,0(r20) -81144d28: 0021883a mov r16,zero -81144d2c: 003fe506 br 81144cc4 <__reset+0xfb124cc4> -81144d30: d120bf17 ldw r4,-32004(gp) -81144d34: 114379c0 call 8114379c -81144d38: 00bfe184 movi r2,-122 -81144d3c: a0800005 stb r2,0(r20) -81144d40: 003fe006 br 81144cc4 <__reset+0xfb124cc4> -81144d44: 00bfe0c4 movi r2,-125 -81144d48: a0800005 stb r2,0(r20) -81144d4c: 0021883a mov r16,zero -81144d50: 003fdc06 br 81144cc4 <__reset+0xfb124cc4> -81144d54: 0021883a mov r16,zero -81144d58: 003fda06 br 81144cc4 <__reset+0xfb124cc4> - -81144d5c : -81144d5c: defffa04 addi sp,sp,-24 -81144d60: dfc00515 stw ra,20(sp) -81144d64: dcc00415 stw r19,16(sp) -81144d68: dc800315 stw r18,12(sp) -81144d6c: dc400215 stw r17,8(sp) -81144d70: dc000115 stw r16,4(sp) -81144d74: 28002626 beq r5,zero,81144e10 -81144d78: 20002726 beq r4,zero,81144e18 -81144d7c: 20c00003 ldbu r3,0(r4) -81144d80: 00801904 movi r2,100 -81144d84: 18800b26 beq r3,r2,81144db4 -81144d88: 00bfe244 movi r2,-119 -81144d8c: 28800005 stb r2,0(r5) -81144d90: 0025883a mov r18,zero -81144d94: 9005883a mov r2,r18 -81144d98: dfc00517 ldw ra,20(sp) -81144d9c: dcc00417 ldw r19,16(sp) -81144da0: dc800317 ldw r18,12(sp) -81144da4: dc400217 ldw r17,8(sp) -81144da8: dc000117 ldw r16,4(sp) -81144dac: dec00604 addi sp,sp,24 -81144db0: f800283a ret -81144db4: d4e0bb03 ldbu r19,-32020(gp) -81144db8: 98803fcc andi r2,r19,255 -81144dbc: 10000426 beq r2,zero,81144dd0 -81144dc0: 00bfe2c4 movi r2,-117 -81144dc4: 28800005 stb r2,0(r5) -81144dc8: 0025883a mov r18,zero -81144dcc: 003ff106 br 81144d94 <__reset+0xfb124d94> -81144dd0: 2021883a mov r16,r4 -81144dd4: d120bf17 ldw r4,-32004(gp) -81144dd8: 2823883a mov r17,r5 -81144ddc: d80d883a mov r6,sp -81144de0: 000b883a mov r5,zero -81144de4: 11435640 call 81143564 -81144de8: 84800c43 ldbu r18,49(r16) -81144dec: 00c00084 movi r3,2 -81144df0: 90803fcc andi r2,r18,255 -81144df4: 18800c36 bltu r3,r2,81144e28 -81144df8: 10000f1e bne r2,zero,81144e38 -81144dfc: d120bf17 ldw r4,-32004(gp) -81144e00: 114379c0 call 8114379c -81144e04: 00bfe1c4 movi r2,-121 -81144e08: 88800005 stb r2,0(r17) -81144e0c: 003fe106 br 81144d94 <__reset+0xfb124d94> -81144e10: 0025883a mov r18,zero -81144e14: 003fdf06 br 81144d94 <__reset+0xfb124d94> -81144e18: 00bfe284 movi r2,-118 -81144e1c: 28800005 stb r2,0(r5) -81144e20: 0025883a mov r18,zero -81144e24: 003fdb06 br 81144d94 <__reset+0xfb124d94> -81144e28: 00c000c4 movi r3,3 -81144e2c: 10c01a1e bne r2,r3,81144e98 -81144e30: 8009883a mov r4,r16 -81144e34: 1144a800 call 81144a80 -81144e38: 01400fc4 movi r5,63 -81144e3c: d0e0ad0b ldhu r3,-32076(gp) -81144e40: d0a0b10b ldhu r2,-32060(gp) -81144e44: 81400805 stb r5,32(r16) -81144e48: d160a817 ldw r5,-32096(gp) -81144e4c: d120bf17 ldw r4,-32004(gp) -81144e50: 18ffffc4 addi r3,r3,-1 -81144e54: 10800044 addi r2,r2,1 -81144e58: 80000c45 stb zero,49(r16) -81144e5c: 80000c05 stb zero,48(r16) -81144e60: 80000715 stw zero,28(r16) -81144e64: 80000515 stw zero,20(r16) -81144e68: 80000115 stw zero,4(r16) -81144e6c: 80000215 stw zero,8(r16) -81144e70: 80000845 stb zero,33(r16) -81144e74: 80000415 stw zero,16(r16) -81144e78: 81400315 stw r5,12(r16) -81144e7c: d420a815 stw r16,-32096(gp) -81144e80: d0e0ad0d sth r3,-32076(gp) -81144e84: d0a0b10d sth r2,-32060(gp) -81144e88: 04800044 movi r18,1 -81144e8c: 114379c0 call 8114379c -81144e90: 88000005 stb zero,0(r17) -81144e94: 003fbf06 br 81144d94 <__reset+0xfb124d94> -81144e98: d120bf17 ldw r4,-32004(gp) -81144e9c: 9825883a mov r18,r19 -81144ea0: 114379c0 call 8114379c -81144ea4: 00bfe344 movi r2,-115 -81144ea8: 88800005 stb r2,0(r17) -81144eac: 003fb906 br 81144d94 <__reset+0xfb124d94> - -81144eb0 : -81144eb0: defff904 addi sp,sp,-28 -81144eb4: dfc00615 stw ra,24(sp) -81144eb8: dd000515 stw r20,20(sp) -81144ebc: dcc00415 stw r19,16(sp) -81144ec0: dc800315 stw r18,12(sp) -81144ec4: dc400215 stw r17,8(sp) -81144ec8: dc000115 stw r16,4(sp) -81144ecc: 30002a26 beq r6,zero,81144f78 -81144ed0: 28002b26 beq r5,zero,81144f80 -81144ed4: 20002e26 beq r4,zero,81144f90 -81144ed8: 20c00003 ldbu r3,0(r4) -81144edc: 00801904 movi r2,100 -81144ee0: 18800c26 beq r3,r2,81144f14 -81144ee4: 00bfe244 movi r2,-119 -81144ee8: 30800005 stb r2,0(r6) -81144eec: 0025883a mov r18,zero -81144ef0: 9005883a mov r2,r18 -81144ef4: dfc00617 ldw ra,24(sp) -81144ef8: dd000517 ldw r20,20(sp) -81144efc: dcc00417 ldw r19,16(sp) -81144f00: dc800317 ldw r18,12(sp) -81144f04: dc400217 ldw r17,8(sp) -81144f08: dc000117 ldw r16,4(sp) -81144f0c: dec00704 addi sp,sp,28 -81144f10: f800283a ret -81144f14: d520bb03 ldbu r20,-32020(gp) -81144f18: a0803fcc andi r2,r20,255 -81144f1c: 10000426 beq r2,zero,81144f30 -81144f20: 00800444 movi r2,17 -81144f24: 30800005 stb r2,0(r6) -81144f28: 0025883a mov r18,zero -81144f2c: 003ff006 br 81144ef0 <__reset+0xfb124ef0> -81144f30: 2023883a mov r17,r4 -81144f34: d120bf17 ldw r4,-32004(gp) -81144f38: 2827883a mov r19,r5 -81144f3c: 3021883a mov r16,r6 -81144f40: 000b883a mov r5,zero -81144f44: d80d883a mov r6,sp -81144f48: 11435640 call 81143564 -81144f4c: 8c800c43 ldbu r18,49(r17) -81144f50: 90803fcc andi r2,r18,255 -81144f54: 10001a26 beq r2,zero,81144fc0 -81144f58: 00c000c4 movi r3,3 -81144f5c: 1880102e bgeu r3,r2,81144fa0 -81144f60: d120bf17 ldw r4,-32004(gp) -81144f64: a025883a mov r18,r20 -81144f68: 114379c0 call 8114379c -81144f6c: 00bfe344 movi r2,-115 -81144f70: 80800005 stb r2,0(r16) -81144f74: 003fde06 br 81144ef0 <__reset+0xfb124ef0> -81144f78: 0025883a mov r18,zero -81144f7c: 003fdc06 br 81144ef0 <__reset+0xfb124ef0> -81144f80: 00bfe204 movi r2,-120 -81144f84: 30800005 stb r2,0(r6) -81144f88: 0025883a mov r18,zero -81144f8c: 003fd806 br 81144ef0 <__reset+0xfb124ef0> -81144f90: 00bfe284 movi r2,-118 -81144f94: 30800005 stb r2,0(r6) -81144f98: 0025883a mov r18,zero -81144f9c: 003fd406 br 81144ef0 <__reset+0xfb124ef0> -81144fa0: 89400804 addi r5,r17,32 -81144fa4: 9809883a mov r4,r19 -81144fa8: 1140c7c0 call 81140c7c -81144fac: d120bf17 ldw r4,-32004(gp) -81144fb0: 1025883a mov r18,r2 -81144fb4: 114379c0 call 8114379c -81144fb8: 80000005 stb zero,0(r16) -81144fbc: 003fcc06 br 81144ef0 <__reset+0xfb124ef0> -81144fc0: d120bf17 ldw r4,-32004(gp) -81144fc4: 114379c0 call 8114379c -81144fc8: 00bfe1c4 movi r2,-121 -81144fcc: 80800005 stb r2,0(r16) -81144fd0: 003fc706 br 81144ef0 <__reset+0xfb124ef0> - -81144fd4 : -81144fd4: 28002e26 beq r5,zero,81145090 -81144fd8: 20002f26 beq r4,zero,81145098 -81144fdc: 20c00003 ldbu r3,0(r4) -81144fe0: 00801904 movi r2,100 -81144fe4: 18800426 beq r3,r2,81144ff8 -81144fe8: 00bfe244 movi r2,-119 -81144fec: 28800005 stb r2,0(r5) -81144ff0: 0005883a mov r2,zero -81144ff4: f800283a ret -81144ff8: d0a0bb03 ldbu r2,-32020(gp) -81144ffc: 10000426 beq r2,zero,81145010 -81145000: 00bfe2c4 movi r2,-117 -81145004: 28800005 stb r2,0(r5) -81145008: 0005883a mov r2,zero -8114500c: f800283a ret -81145010: defffb04 addi sp,sp,-20 -81145014: dc000115 stw r16,4(sp) -81145018: 2021883a mov r16,r4 -8114501c: d120bf17 ldw r4,-32004(gp) -81145020: dc400215 stw r17,8(sp) -81145024: d80d883a mov r6,sp -81145028: 2823883a mov r17,r5 -8114502c: 000b883a mov r5,zero -81145030: dfc00415 stw ra,16(sp) -81145034: dc800315 stw r18,12(sp) -81145038: 11435640 call 81143564 -8114503c: 80800c43 ldbu r2,49(r16) -81145040: 00c00044 movi r3,1 -81145044: 10c02926 beq r2,r3,811450ec -81145048: 10002226 beq r2,zero,811450d4 -8114504c: 00c00084 movi r3,2 -81145050: 10c01526 beq r2,r3,811450a8 -81145054: 00c000c4 movi r3,3 -81145058: 10c0181e bne r2,r3,811450bc -8114505c: 80800517 ldw r2,20(r16) -81145060: d120bf17 ldw r4,-32004(gp) -81145064: d420bd17 ldw r16,-32012(gp) -81145068: 1421c83a sub r16,r2,r16 -8114506c: 114379c0 call 8114379c -81145070: 8005883a mov r2,r16 -81145074: 88000005 stb zero,0(r17) -81145078: dfc00417 ldw ra,16(sp) -8114507c: dc800317 ldw r18,12(sp) -81145080: dc400217 ldw r17,8(sp) -81145084: dc000117 ldw r16,4(sp) -81145088: dec00504 addi sp,sp,20 -8114508c: f800283a ret -81145090: 0005883a mov r2,zero -81145094: f800283a ret -81145098: 00bfe284 movi r2,-118 -8114509c: 28800005 stb r2,0(r5) -811450a0: 0005883a mov r2,zero -811450a4: f800283a ret -811450a8: d120bf17 ldw r4,-32004(gp) -811450ac: 114379c0 call 8114379c -811450b0: 0005883a mov r2,zero +8114499c: 008010c4 movi r2,67 +811449a0: f800283a ret +811449a4: defffe04 addi sp,sp,-8 +811449a8: dfc00115 stw ra,4(sp) +811449ac: 19000d03 ldbu r4,52(r3) +811449b0: d1e0b844 addi r7,gp,-32031 +811449b4: d1a0b803 ldbu r6,-32032(gp) +811449b8: 3909883a add r4,r7,r4 +811449bc: 21c00003 ldbu r7,0(r4) +811449c0: 1a000d83 ldbu r8,54(r3) +811449c4: 18c00d43 ldbu r3,53(r3) +811449c8: 418cb03a or r6,r8,r6 +811449cc: 38c6b03a or r3,r7,r3 +811449d0: d1a0b805 stb r6,-32032(gp) +811449d4: 20c00005 stb r3,0(r4) +811449d8: 2801703a wrctl status,r5 +811449dc: d8800015 stw r2,0(sp) +811449e0: 11407e00 call 811407e0 +811449e4: d8800017 ldw r2,0(sp) +811449e8: dfc00117 ldw ra,4(sp) +811449ec: dec00204 addi sp,sp,8 +811449f0: f800283a ret + +811449f4 : +811449f4: 0007303a rdctl r3,status +811449f8: 00bfff84 movi r2,-2 +811449fc: 1884703a and r2,r3,r2 +81144a00: 1001703a wrctl status,r2 +81144a04: d0a0be17 ldw r2,-32008(gp) +81144a08: 1801703a wrctl status,r3 +81144a0c: f800283a ret + +81144a10 : +81144a10: 0007303a rdctl r3,status +81144a14: 00bfff84 movi r2,-2 +81144a18: 1884703a and r2,r3,r2 +81144a1c: 1001703a wrctl status,r2 +81144a20: d120be15 stw r4,-32008(gp) +81144a24: 1801703a wrctl status,r3 +81144a28: f800283a ret + +81144a2c : +81144a2c: 008000c4 movi r2,3 +81144a30: 20800c45 stb r2,49(r4) +81144a34: 29403fcc andi r5,r5,255 +81144a38: 00800044 movi r2,1 +81144a3c: 28800226 beq r5,r2,81144a48 +81144a40: 20c00617 ldw r3,24(r4) +81144a44: 1800141e bne r3,zero,81144a98 +81144a48: 20c00717 ldw r3,28(r4) +81144a4c: d0a0bd17 ldw r2,-32012(gp) +81144a50: 1885883a add r2,r3,r2 +81144a54: 20800515 stw r2,20(r4) +81144a58: 1080004c andi r2,r2,1 +81144a5c: 100490fa slli r2,r2,3 +81144a60: 00e04634 movhi r3,33048 +81144a64: 18d37904 addi r3,r3,19940 +81144a68: 1885883a add r2,r3,r2 +81144a6c: 10c00017 ldw r3,0(r2) +81144a70: 18000d26 beq r3,zero,81144aa8 +81144a74: 11800104 addi r6,r2,4 +81144a78: 3140000b ldhu r5,0(r6) +81144a7c: 11000015 stw r4,0(r2) +81144a80: 20c00315 stw r3,12(r4) +81144a84: 28800044 addi r2,r5,1 +81144a88: 19000415 stw r4,16(r3) +81144a8c: 3080000d sth r2,0(r6) +81144a90: 20000415 stw zero,16(r4) +81144a94: f800283a ret +81144a98: d0a0bd17 ldw r2,-32012(gp) +81144a9c: 1885883a add r2,r3,r2 +81144aa0: 20800515 stw r2,20(r4) +81144aa4: 003fec06 br 81144a58 <__reset+0xfb124a58> +81144aa8: 11000015 stw r4,0(r2) +81144aac: 20000315 stw zero,12(r4) +81144ab0: 00c00044 movi r3,1 +81144ab4: 10c0010d sth r3,4(r2) +81144ab8: 20000415 stw zero,16(r4) +81144abc: f800283a ret + +81144ac0 : +81144ac0: 20c00517 ldw r3,20(r4) +81144ac4: 01604634 movhi r5,33048 +81144ac8: 29537904 addi r5,r5,19940 +81144acc: 18c0004c andi r3,r3,1 +81144ad0: 180490fa slli r2,r3,3 +81144ad4: 2887883a add r3,r5,r2 +81144ad8: 19800017 ldw r6,0(r3) +81144adc: 21800f26 beq r4,r6,81144b1c +81144ae0: 21800417 ldw r6,16(r4) +81144ae4: 20c00317 ldw r3,12(r4) +81144ae8: 30c00315 stw r3,12(r6) +81144aec: 18000126 beq r3,zero,81144af4 +81144af0: 19800415 stw r6,16(r3) +81144af4: 2885883a add r2,r5,r2 +81144af8: 10800104 addi r2,r2,4 +81144afc: 10c0000b ldhu r3,0(r2) +81144b00: 01400044 movi r5,1 +81144b04: 20000315 stw zero,12(r4) +81144b08: 18ffffc4 addi r3,r3,-1 +81144b0c: 21400c45 stb r5,49(r4) +81144b10: 20000415 stw zero,16(r4) +81144b14: 10c0000d sth r3,0(r2) +81144b18: f800283a ret +81144b1c: 21800317 ldw r6,12(r4) +81144b20: 19800015 stw r6,0(r3) +81144b24: 303ff326 beq r6,zero,81144af4 <__reset+0xfb124af4> +81144b28: 30000415 stw zero,16(r6) +81144b2c: 003ff106 br 81144af4 <__reset+0xfb124af4> + +81144b30 : +81144b30: defff904 addi sp,sp,-28 +81144b34: dd000515 stw r20,20(sp) +81144b38: 05204634 movhi r20,33048 +81144b3c: dcc00415 stw r19,16(sp) +81144b40: dc800315 stw r18,12(sp) +81144b44: dfc00615 stw ra,24(sp) +81144b48: dc400215 stw r17,8(sp) +81144b4c: dc000115 stw r16,4(sp) +81144b50: a5137904 addi r20,r20,19940 +81144b54: 04c00084 movi r19,2 +81144b58: 04800084 movi r18,2 +81144b5c: d120aa17 ldw r4,-32088(gp) +81144b60: 000b883a mov r5,zero +81144b64: d9800044 addi r6,sp,1 +81144b68: 11435a40 call 811435a4 +81144b6c: d120bf17 ldw r4,-32004(gp) +81144b70: d80d883a mov r6,sp +81144b74: 000b883a mov r5,zero +81144b78: 11435a40 call 811435a4 +81144b7c: d0a0bd17 ldw r2,-32012(gp) +81144b80: 10800044 addi r2,r2,1 +81144b84: 10c0004c andi r3,r2,1 +81144b88: 180690fa slli r3,r3,3 +81144b8c: d0a0bd15 stw r2,-32012(gp) +81144b90: a0c7883a add r3,r20,r3 +81144b94: 1c000017 ldw r16,0(r3) +81144b98: 80001426 beq r16,zero,81144bec +81144b9c: 80c00517 ldw r3,20(r16) +81144ba0: 84400317 ldw r17,12(r16) +81144ba4: 10c00626 beq r2,r3,81144bc0 +81144ba8: 88001026 beq r17,zero,81144bec +81144bac: 8821883a mov r16,r17 +81144bb0: d0a0bd17 ldw r2,-32012(gp) +81144bb4: 80c00517 ldw r3,20(r16) +81144bb8: 84400317 ldw r17,12(r16) +81144bbc: 10fffa1e bne r2,r3,81144ba8 <__reset+0xfb124ba8> +81144bc0: 80800117 ldw r2,4(r16) +81144bc4: 8009883a mov r4,r16 +81144bc8: 10000226 beq r2,zero,81144bd4 +81144bcc: 81400217 ldw r5,8(r16) +81144bd0: 103ee83a callr r2 +81144bd4: 8009883a mov r4,r16 +81144bd8: 1144ac00 call 81144ac0 +81144bdc: 80800c03 ldbu r2,48(r16) +81144be0: 14800526 beq r2,r18,81144bf8 +81144be4: 84c00c45 stb r19,49(r16) +81144be8: 883ff01e bne r17,zero,81144bac <__reset+0xfb124bac> +81144bec: d120bf17 ldw r4,-32004(gp) +81144bf0: 11437dc0 call 811437dc +81144bf4: 003fd906 br 81144b5c <__reset+0xfb124b5c> +81144bf8: 01400044 movi r5,1 +81144bfc: 8009883a mov r4,r16 +81144c00: 1144a2c0 call 81144a2c +81144c04: 003fe806 br 81144ba8 <__reset+0xfb124ba8> + +81144c08 : +81144c08: defff804 addi sp,sp,-32 +81144c0c: dd000515 stw r20,20(sp) +81144c10: dd000a17 ldw r20,40(sp) +81144c14: dfc00715 stw ra,28(sp) +81144c18: dd400615 stw r21,24(sp) +81144c1c: dcc00415 stw r19,16(sp) +81144c20: dc800315 stw r18,12(sp) +81144c24: dc400215 stw r17,8(sp) +81144c28: dc000115 stw r16,4(sp) +81144c2c: a0005926 beq r20,zero,81144d94 +81144c30: 30803fcc andi r2,r6,255 +81144c34: 00c00044 movi r3,1 +81144c38: 10c03c26 beq r2,r3,81144d2c +81144c3c: 00c00084 movi r3,2 +81144c40: 10c02d1e bne r2,r3,81144cf8 +81144c44: 28004f26 beq r5,zero,81144d84 +81144c48: d0a0bb03 ldbu r2,-32020(gp) +81144c4c: 1000441e bne r2,zero,81144d60 +81144c50: 2025883a mov r18,r4 +81144c54: d120bf17 ldw r4,-32004(gp) +81144c58: 3027883a mov r19,r6 +81144c5c: 2823883a mov r17,r5 +81144c60: d80d883a mov r6,sp +81144c64: 000b883a mov r5,zero +81144c68: 382b883a mov r21,r7 +81144c6c: 11435a40 call 811435a4 +81144c70: d420a817 ldw r16,-32096(gp) +81144c74: 80003e26 beq r16,zero,81144d70 +81144c78: d0a0b10b ldhu r2,-32060(gp) +81144c7c: d0e0ad0b ldhu r3,-32076(gp) +81144c80: 81000317 ldw r4,12(r16) +81144c84: 10bfffc4 addi r2,r2,-1 +81144c88: d0a0b10d sth r2,-32060(gp) +81144c8c: 00800044 movi r2,1 +81144c90: 80800c45 stb r2,49(r16) +81144c94: d8800817 ldw r2,32(sp) +81144c98: 18c00044 addi r3,r3,1 +81144c9c: d120a815 stw r4,-32096(gp) +81144ca0: 80800215 stw r2,8(r16) +81144ca4: d8800917 ldw r2,36(sp) +81144ca8: 80000315 stw zero,12(r16) +81144cac: 80000415 stw zero,16(r16) +81144cb0: d0e0ad0d sth r3,-32076(gp) +81144cb4: 84800615 stw r18,24(r16) +81144cb8: 84400715 stw r17,28(r16) +81144cbc: 84c00c05 stb r19,48(r16) +81144cc0: 85400115 stw r21,4(r16) +81144cc4: 10000826 beq r2,zero,81144ce8 +81144cc8: 1009883a mov r4,r2 +81144ccc: 1140d000 call 81140d00 +81144cd0: 10803fcc andi r2,r2,255 +81144cd4: 00c003c4 movi r3,15 +81144cd8: 18801936 bltu r3,r2,81144d40 +81144cdc: d9400917 ldw r5,36(sp) +81144ce0: 81000804 addi r4,r16,32 +81144ce4: 1140cbc0 call 81140cbc +81144ce8: d120bf17 ldw r4,-32004(gp) +81144cec: 11437dc0 call 811437dc +81144cf0: a0000005 stb zero,0(r20) +81144cf4: 00000306 br 81144d04 +81144cf8: 00bfe104 movi r2,-124 +81144cfc: a0800005 stb r2,0(r20) +81144d00: 0021883a mov r16,zero +81144d04: 8005883a mov r2,r16 +81144d08: dfc00717 ldw ra,28(sp) +81144d0c: dd400617 ldw r21,24(sp) +81144d10: dd000517 ldw r20,20(sp) +81144d14: dcc00417 ldw r19,16(sp) +81144d18: dc800317 ldw r18,12(sp) +81144d1c: dc400217 ldw r17,8(sp) +81144d20: dc000117 ldw r16,4(sp) +81144d24: dec00804 addi sp,sp,32 +81144d28: f800283a ret +81144d2c: 203fc61e bne r4,zero,81144c48 <__reset+0xfb124c48> +81144d30: 00bfe084 movi r2,-126 +81144d34: a0800005 stb r2,0(r20) +81144d38: 0021883a mov r16,zero +81144d3c: 003ff106 br 81144d04 <__reset+0xfb124d04> +81144d40: 008008c4 movi r2,35 +81144d44: 80800805 stb r2,32(r16) +81144d48: 80000845 stb zero,33(r16) +81144d4c: 00bfe304 movi r2,-116 +81144d50: a0800005 stb r2,0(r20) +81144d54: d120bf17 ldw r4,-32004(gp) +81144d58: 11437dc0 call 811437dc +81144d5c: 003fe906 br 81144d04 <__reset+0xfb124d04> +81144d60: 00bfe2c4 movi r2,-117 +81144d64: a0800005 stb r2,0(r20) +81144d68: 0021883a mov r16,zero +81144d6c: 003fe506 br 81144d04 <__reset+0xfb124d04> +81144d70: d120bf17 ldw r4,-32004(gp) +81144d74: 11437dc0 call 811437dc +81144d78: 00bfe184 movi r2,-122 +81144d7c: a0800005 stb r2,0(r20) +81144d80: 003fe006 br 81144d04 <__reset+0xfb124d04> +81144d84: 00bfe0c4 movi r2,-125 +81144d88: a0800005 stb r2,0(r20) +81144d8c: 0021883a mov r16,zero +81144d90: 003fdc06 br 81144d04 <__reset+0xfb124d04> +81144d94: 0021883a mov r16,zero +81144d98: 003fda06 br 81144d04 <__reset+0xfb124d04> + +81144d9c : +81144d9c: defffa04 addi sp,sp,-24 +81144da0: dfc00515 stw ra,20(sp) +81144da4: dcc00415 stw r19,16(sp) +81144da8: dc800315 stw r18,12(sp) +81144dac: dc400215 stw r17,8(sp) +81144db0: dc000115 stw r16,4(sp) +81144db4: 28002626 beq r5,zero,81144e50 +81144db8: 20002726 beq r4,zero,81144e58 +81144dbc: 20c00003 ldbu r3,0(r4) +81144dc0: 00801904 movi r2,100 +81144dc4: 18800b26 beq r3,r2,81144df4 +81144dc8: 00bfe244 movi r2,-119 +81144dcc: 28800005 stb r2,0(r5) +81144dd0: 0025883a mov r18,zero +81144dd4: 9005883a mov r2,r18 +81144dd8: dfc00517 ldw ra,20(sp) +81144ddc: dcc00417 ldw r19,16(sp) +81144de0: dc800317 ldw r18,12(sp) +81144de4: dc400217 ldw r17,8(sp) +81144de8: dc000117 ldw r16,4(sp) +81144dec: dec00604 addi sp,sp,24 +81144df0: f800283a ret +81144df4: d4e0bb03 ldbu r19,-32020(gp) +81144df8: 98803fcc andi r2,r19,255 +81144dfc: 10000426 beq r2,zero,81144e10 +81144e00: 00bfe2c4 movi r2,-117 +81144e04: 28800005 stb r2,0(r5) +81144e08: 0025883a mov r18,zero +81144e0c: 003ff106 br 81144dd4 <__reset+0xfb124dd4> +81144e10: 2021883a mov r16,r4 +81144e14: d120bf17 ldw r4,-32004(gp) +81144e18: 2823883a mov r17,r5 +81144e1c: d80d883a mov r6,sp +81144e20: 000b883a mov r5,zero +81144e24: 11435a40 call 811435a4 +81144e28: 84800c43 ldbu r18,49(r16) +81144e2c: 00c00084 movi r3,2 +81144e30: 90803fcc andi r2,r18,255 +81144e34: 18800c36 bltu r3,r2,81144e68 +81144e38: 10000f1e bne r2,zero,81144e78 +81144e3c: d120bf17 ldw r4,-32004(gp) +81144e40: 11437dc0 call 811437dc +81144e44: 00bfe1c4 movi r2,-121 +81144e48: 88800005 stb r2,0(r17) +81144e4c: 003fe106 br 81144dd4 <__reset+0xfb124dd4> +81144e50: 0025883a mov r18,zero +81144e54: 003fdf06 br 81144dd4 <__reset+0xfb124dd4> +81144e58: 00bfe284 movi r2,-118 +81144e5c: 28800005 stb r2,0(r5) +81144e60: 0025883a mov r18,zero +81144e64: 003fdb06 br 81144dd4 <__reset+0xfb124dd4> +81144e68: 00c000c4 movi r3,3 +81144e6c: 10c01a1e bne r2,r3,81144ed8 +81144e70: 8009883a mov r4,r16 +81144e74: 1144ac00 call 81144ac0 +81144e78: 01400fc4 movi r5,63 +81144e7c: d0e0ad0b ldhu r3,-32076(gp) +81144e80: d0a0b10b ldhu r2,-32060(gp) +81144e84: 81400805 stb r5,32(r16) +81144e88: d160a817 ldw r5,-32096(gp) +81144e8c: d120bf17 ldw r4,-32004(gp) +81144e90: 18ffffc4 addi r3,r3,-1 +81144e94: 10800044 addi r2,r2,1 +81144e98: 80000c45 stb zero,49(r16) +81144e9c: 80000c05 stb zero,48(r16) +81144ea0: 80000715 stw zero,28(r16) +81144ea4: 80000515 stw zero,20(r16) +81144ea8: 80000115 stw zero,4(r16) +81144eac: 80000215 stw zero,8(r16) +81144eb0: 80000845 stb zero,33(r16) +81144eb4: 80000415 stw zero,16(r16) +81144eb8: 81400315 stw r5,12(r16) +81144ebc: d420a815 stw r16,-32096(gp) +81144ec0: d0e0ad0d sth r3,-32076(gp) +81144ec4: d0a0b10d sth r2,-32060(gp) +81144ec8: 04800044 movi r18,1 +81144ecc: 11437dc0 call 811437dc +81144ed0: 88000005 stb zero,0(r17) +81144ed4: 003fbf06 br 81144dd4 <__reset+0xfb124dd4> +81144ed8: d120bf17 ldw r4,-32004(gp) +81144edc: 9825883a mov r18,r19 +81144ee0: 11437dc0 call 811437dc +81144ee4: 00bfe344 movi r2,-115 +81144ee8: 88800005 stb r2,0(r17) +81144eec: 003fb906 br 81144dd4 <__reset+0xfb124dd4> + +81144ef0 : +81144ef0: defff904 addi sp,sp,-28 +81144ef4: dfc00615 stw ra,24(sp) +81144ef8: dd000515 stw r20,20(sp) +81144efc: dcc00415 stw r19,16(sp) +81144f00: dc800315 stw r18,12(sp) +81144f04: dc400215 stw r17,8(sp) +81144f08: dc000115 stw r16,4(sp) +81144f0c: 30002a26 beq r6,zero,81144fb8 +81144f10: 28002b26 beq r5,zero,81144fc0 +81144f14: 20002e26 beq r4,zero,81144fd0 +81144f18: 20c00003 ldbu r3,0(r4) +81144f1c: 00801904 movi r2,100 +81144f20: 18800c26 beq r3,r2,81144f54 +81144f24: 00bfe244 movi r2,-119 +81144f28: 30800005 stb r2,0(r6) +81144f2c: 0025883a mov r18,zero +81144f30: 9005883a mov r2,r18 +81144f34: dfc00617 ldw ra,24(sp) +81144f38: dd000517 ldw r20,20(sp) +81144f3c: dcc00417 ldw r19,16(sp) +81144f40: dc800317 ldw r18,12(sp) +81144f44: dc400217 ldw r17,8(sp) +81144f48: dc000117 ldw r16,4(sp) +81144f4c: dec00704 addi sp,sp,28 +81144f50: f800283a ret +81144f54: d520bb03 ldbu r20,-32020(gp) +81144f58: a0803fcc andi r2,r20,255 +81144f5c: 10000426 beq r2,zero,81144f70 +81144f60: 00800444 movi r2,17 +81144f64: 30800005 stb r2,0(r6) +81144f68: 0025883a mov r18,zero +81144f6c: 003ff006 br 81144f30 <__reset+0xfb124f30> +81144f70: 2023883a mov r17,r4 +81144f74: d120bf17 ldw r4,-32004(gp) +81144f78: 2827883a mov r19,r5 +81144f7c: 3021883a mov r16,r6 +81144f80: 000b883a mov r5,zero +81144f84: d80d883a mov r6,sp +81144f88: 11435a40 call 811435a4 +81144f8c: 8c800c43 ldbu r18,49(r17) +81144f90: 90803fcc andi r2,r18,255 +81144f94: 10001a26 beq r2,zero,81145000 +81144f98: 00c000c4 movi r3,3 +81144f9c: 1880102e bgeu r3,r2,81144fe0 +81144fa0: d120bf17 ldw r4,-32004(gp) +81144fa4: a025883a mov r18,r20 +81144fa8: 11437dc0 call 811437dc +81144fac: 00bfe344 movi r2,-115 +81144fb0: 80800005 stb r2,0(r16) +81144fb4: 003fde06 br 81144f30 <__reset+0xfb124f30> +81144fb8: 0025883a mov r18,zero +81144fbc: 003fdc06 br 81144f30 <__reset+0xfb124f30> +81144fc0: 00bfe204 movi r2,-120 +81144fc4: 30800005 stb r2,0(r6) +81144fc8: 0025883a mov r18,zero +81144fcc: 003fd806 br 81144f30 <__reset+0xfb124f30> +81144fd0: 00bfe284 movi r2,-118 +81144fd4: 30800005 stb r2,0(r6) +81144fd8: 0025883a mov r18,zero +81144fdc: 003fd406 br 81144f30 <__reset+0xfb124f30> +81144fe0: 89400804 addi r5,r17,32 +81144fe4: 9809883a mov r4,r19 +81144fe8: 1140cbc0 call 81140cbc +81144fec: d120bf17 ldw r4,-32004(gp) +81144ff0: 1025883a mov r18,r2 +81144ff4: 11437dc0 call 811437dc +81144ff8: 80000005 stb zero,0(r16) +81144ffc: 003fcc06 br 81144f30 <__reset+0xfb124f30> +81145000: d120bf17 ldw r4,-32004(gp) +81145004: 11437dc0 call 811437dc +81145008: 00bfe1c4 movi r2,-121 +8114500c: 80800005 stb r2,0(r16) +81145010: 003fc706 br 81144f30 <__reset+0xfb124f30> + +81145014 : +81145014: 28002e26 beq r5,zero,811450d0 +81145018: 20002f26 beq r4,zero,811450d8 +8114501c: 20c00003 ldbu r3,0(r4) +81145020: 00801904 movi r2,100 +81145024: 18800426 beq r3,r2,81145038 +81145028: 00bfe244 movi r2,-119 +8114502c: 28800005 stb r2,0(r5) +81145030: 0005883a mov r2,zero +81145034: f800283a ret +81145038: d0a0bb03 ldbu r2,-32020(gp) +8114503c: 10000426 beq r2,zero,81145050 +81145040: 00bfe2c4 movi r2,-117 +81145044: 28800005 stb r2,0(r5) +81145048: 0005883a mov r2,zero +8114504c: f800283a ret +81145050: defffb04 addi sp,sp,-20 +81145054: dc000115 stw r16,4(sp) +81145058: 2021883a mov r16,r4 +8114505c: d120bf17 ldw r4,-32004(gp) +81145060: dc400215 stw r17,8(sp) +81145064: d80d883a mov r6,sp +81145068: 2823883a mov r17,r5 +8114506c: 000b883a mov r5,zero +81145070: dfc00415 stw ra,16(sp) +81145074: dc800315 stw r18,12(sp) +81145078: 11435a40 call 811435a4 +8114507c: 80800c43 ldbu r2,49(r16) +81145080: 00c00044 movi r3,1 +81145084: 10c02926 beq r2,r3,8114512c +81145088: 10002226 beq r2,zero,81145114 +8114508c: 00c00084 movi r3,2 +81145090: 10c01526 beq r2,r3,811450e8 +81145094: 00c000c4 movi r3,3 +81145098: 10c0181e bne r2,r3,811450fc +8114509c: 80800517 ldw r2,20(r16) +811450a0: d120bf17 ldw r4,-32004(gp) +811450a4: d420bd17 ldw r16,-32012(gp) +811450a8: 1421c83a sub r16,r2,r16 +811450ac: 11437dc0 call 811437dc +811450b0: 8005883a mov r2,r16 811450b4: 88000005 stb zero,0(r17) -811450b8: 003fef06 br 81145078 <__reset+0xfb125078> -811450bc: d120bf17 ldw r4,-32004(gp) -811450c0: 114379c0 call 8114379c -811450c4: 00bfe344 movi r2,-115 -811450c8: 88800005 stb r2,0(r17) -811450cc: 0005883a mov r2,zero -811450d0: 003fe906 br 81145078 <__reset+0xfb125078> -811450d4: d120bf17 ldw r4,-32004(gp) -811450d8: 114379c0 call 8114379c -811450dc: 00bfe1c4 movi r2,-121 -811450e0: 88800005 stb r2,0(r17) -811450e4: 0005883a mov r2,zero -811450e8: 003fe306 br 81145078 <__reset+0xfb125078> -811450ec: 80c00c03 ldbu r3,48(r16) -811450f0: 00800084 movi r2,2 -811450f4: 1880081e bne r3,r2,81145118 -811450f8: 84800617 ldw r18,24(r16) -811450fc: 9000011e bne r18,zero,81145104 -81145100: 84800717 ldw r18,28(r16) -81145104: d120bf17 ldw r4,-32004(gp) -81145108: 114379c0 call 8114379c -8114510c: 9005883a mov r2,r18 -81145110: 88000005 stb zero,0(r17) -81145114: 003fd806 br 81145078 <__reset+0xfb125078> -81145118: d120bf17 ldw r4,-32004(gp) -8114511c: 84000617 ldw r16,24(r16) -81145120: 114379c0 call 8114379c -81145124: 8005883a mov r2,r16 -81145128: 88000005 stb zero,0(r17) -8114512c: 003fd206 br 81145078 <__reset+0xfb125078> - -81145130 : -81145130: 28002526 beq r5,zero,811451c8 -81145134: 20002626 beq r4,zero,811451d0 -81145138: 20c00003 ldbu r3,0(r4) -8114513c: 00801904 movi r2,100 -81145140: 18800426 beq r3,r2,81145154 -81145144: 00bfe244 movi r2,-119 -81145148: 28800005 stb r2,0(r5) -8114514c: 0005883a mov r2,zero -81145150: f800283a ret -81145154: d0a0bb03 ldbu r2,-32020(gp) -81145158: 10000426 beq r2,zero,8114516c -8114515c: 00bfe2c4 movi r2,-117 -81145160: 28800005 stb r2,0(r5) -81145164: 0005883a mov r2,zero -81145168: f800283a ret -8114516c: defffc04 addi sp,sp,-16 -81145170: dc400215 stw r17,8(sp) -81145174: 2023883a mov r17,r4 -81145178: d120bf17 ldw r4,-32004(gp) -8114517c: dc000115 stw r16,4(sp) -81145180: d80d883a mov r6,sp -81145184: 2821883a mov r16,r5 -81145188: 000b883a mov r5,zero -8114518c: dfc00315 stw ra,12(sp) -81145190: 11435640 call 81143564 -81145194: 8c400c43 ldbu r17,49(r17) -81145198: 00c000c4 movi r3,3 -8114519c: 88803fcc andi r2,r17,255 -811451a0: 18800f36 bltu r3,r2,811451e0 -811451a4: 80000005 stb zero,0(r16) -811451a8: d120bf17 ldw r4,-32004(gp) -811451ac: 114379c0 call 8114379c -811451b0: 8805883a mov r2,r17 -811451b4: dfc00317 ldw ra,12(sp) -811451b8: dc400217 ldw r17,8(sp) -811451bc: dc000117 ldw r16,4(sp) -811451c0: dec00404 addi sp,sp,16 -811451c4: f800283a ret -811451c8: 0005883a mov r2,zero -811451cc: f800283a ret -811451d0: 00bfe284 movi r2,-118 -811451d4: 28800005 stb r2,0(r5) -811451d8: 0005883a mov r2,zero -811451dc: f800283a ret -811451e0: 00bfe344 movi r2,-115 -811451e4: 80800005 stb r2,0(r16) -811451e8: 003fef06 br 811451a8 <__reset+0xfb1251a8> - -811451ec : -811451ec: defffa04 addi sp,sp,-24 -811451f0: dfc00515 stw ra,20(sp) -811451f4: dcc00415 stw r19,16(sp) -811451f8: dc800315 stw r18,12(sp) -811451fc: dc400215 stw r17,8(sp) -81145200: dc000115 stw r16,4(sp) -81145204: 28002626 beq r5,zero,811452a0 -81145208: 20002726 beq r4,zero,811452a8 -8114520c: 20c00003 ldbu r3,0(r4) -81145210: 00801904 movi r2,100 -81145214: 18800b26 beq r3,r2,81145244 -81145218: 00bfe244 movi r2,-119 -8114521c: 28800005 stb r2,0(r5) -81145220: 0025883a mov r18,zero -81145224: 9005883a mov r2,r18 -81145228: dfc00517 ldw ra,20(sp) -8114522c: dcc00417 ldw r19,16(sp) -81145230: dc800317 ldw r18,12(sp) -81145234: dc400217 ldw r17,8(sp) -81145238: dc000117 ldw r16,4(sp) -8114523c: dec00604 addi sp,sp,24 -81145240: f800283a ret -81145244: d4e0bb03 ldbu r19,-32020(gp) -81145248: 98803fcc andi r2,r19,255 -8114524c: 10000426 beq r2,zero,81145260 -81145250: 00bfe2c4 movi r2,-117 -81145254: 28800005 stb r2,0(r5) -81145258: 0025883a mov r18,zero -8114525c: 003ff106 br 81145224 <__reset+0xfb125224> -81145260: 2021883a mov r16,r4 -81145264: d120bf17 ldw r4,-32004(gp) -81145268: 2823883a mov r17,r5 -8114526c: d80d883a mov r6,sp -81145270: 000b883a mov r5,zero -81145274: 11435640 call 81143564 -81145278: 84800c43 ldbu r18,49(r16) -8114527c: 00c00084 movi r3,2 -81145280: 90803fcc andi r2,r18,255 -81145284: 18800c36 bltu r3,r2,811452b8 -81145288: 10000f1e bne r2,zero,811452c8 -8114528c: d120bf17 ldw r4,-32004(gp) -81145290: 114379c0 call 8114379c -81145294: 00bfe1c4 movi r2,-121 -81145298: 88800005 stb r2,0(r17) -8114529c: 003fe106 br 81145224 <__reset+0xfb125224> -811452a0: 0025883a mov r18,zero -811452a4: 003fdf06 br 81145224 <__reset+0xfb125224> -811452a8: 00bfe284 movi r2,-118 -811452ac: 28800005 stb r2,0(r5) -811452b0: 0025883a mov r18,zero -811452b4: 003fdb06 br 81145224 <__reset+0xfb125224> -811452b8: 00c000c4 movi r3,3 -811452bc: 10c00a1e bne r2,r3,811452e8 -811452c0: 8009883a mov r4,r16 -811452c4: 1144a800 call 81144a80 -811452c8: 000b883a mov r5,zero -811452cc: 8009883a mov r4,r16 -811452d0: 11449ec0 call 811449ec -811452d4: d120bf17 ldw r4,-32004(gp) -811452d8: 04800044 movi r18,1 -811452dc: 114379c0 call 8114379c -811452e0: 88000005 stb zero,0(r17) -811452e4: 003fcf06 br 81145224 <__reset+0xfb125224> -811452e8: d120bf17 ldw r4,-32004(gp) -811452ec: 9825883a mov r18,r19 -811452f0: 114379c0 call 8114379c -811452f4: 00bfe344 movi r2,-115 -811452f8: 88800005 stb r2,0(r17) -811452fc: 003fc906 br 81145224 <__reset+0xfb125224> - -81145300 : -81145300: defff704 addi sp,sp,-36 -81145304: dfc00815 stw ra,32(sp) -81145308: dd800715 stw r22,28(sp) -8114530c: dd400615 stw r21,24(sp) -81145310: dd000515 stw r20,20(sp) -81145314: dcc00415 stw r19,16(sp) -81145318: dc800315 stw r18,12(sp) -8114531c: dc400215 stw r17,8(sp) -81145320: dc000115 stw r16,4(sp) -81145324: 38002b26 beq r7,zero,811453d4 -81145328: 20002c26 beq r4,zero,811453dc -8114532c: 20c00003 ldbu r3,0(r4) -81145330: 00801904 movi r2,100 -81145334: 18800e26 beq r3,r2,81145370 -81145338: 00bfe244 movi r2,-119 -8114533c: 38800005 stb r2,0(r7) -81145340: 0025883a mov r18,zero -81145344: 9005883a mov r2,r18 -81145348: dfc00817 ldw ra,32(sp) -8114534c: dd800717 ldw r22,28(sp) -81145350: dd400617 ldw r21,24(sp) -81145354: dd000517 ldw r20,20(sp) -81145358: dcc00417 ldw r19,16(sp) -8114535c: dc800317 ldw r18,12(sp) -81145360: dc400217 ldw r17,8(sp) -81145364: dc000117 ldw r16,4(sp) -81145368: dec00904 addi sp,sp,36 -8114536c: f800283a ret -81145370: d560bb03 ldbu r21,-32020(gp) -81145374: a8803fcc andi r2,r21,255 -81145378: 10000426 beq r2,zero,8114538c -8114537c: 00bfe2c4 movi r2,-117 -81145380: 38800005 stb r2,0(r7) -81145384: 0025883a mov r18,zero -81145388: 003fee06 br 81145344 <__reset+0xfb125344> -8114538c: 2021883a mov r16,r4 -81145390: d120bf17 ldw r4,-32004(gp) -81145394: 3029883a mov r20,r6 -81145398: 2827883a mov r19,r5 -8114539c: d80d883a mov r6,sp -811453a0: 000b883a mov r5,zero -811453a4: 3823883a mov r17,r7 -811453a8: 11435640 call 81143564 -811453ac: 84800c43 ldbu r18,49(r16) -811453b0: 00800084 movi r2,2 -811453b4: 95803fcc andi r22,r18,255 -811453b8: 15800c36 bltu r2,r22,811453ec -811453bc: b000211e bne r22,zero,81145444 -811453c0: d120bf17 ldw r4,-32004(gp) -811453c4: 114379c0 call 8114379c -811453c8: 00bfe1c4 movi r2,-121 -811453cc: 88800005 stb r2,0(r17) -811453d0: 003fdc06 br 81145344 <__reset+0xfb125344> -811453d4: 0025883a mov r18,zero -811453d8: 003fda06 br 81145344 <__reset+0xfb125344> -811453dc: 00bfe284 movi r2,-118 -811453e0: 38800005 stb r2,0(r7) -811453e4: 0025883a mov r18,zero -811453e8: 003fd606 br 81145344 <__reset+0xfb125344> -811453ec: 008000c4 movi r2,3 -811453f0: b0800e1e bne r22,r2,8114542c -811453f4: 8009883a mov r4,r16 -811453f8: 1144a800 call 81144a80 -811453fc: 99403fcc andi r5,r19,255 -81145400: 88000005 stb zero,0(r17) -81145404: 2d801b26 beq r5,r22,81145474 -81145408: 00800104 movi r2,4 -8114540c: 28801326 beq r5,r2,8114545c -81145410: 28000226 beq r5,zero,8114541c -81145414: 00bfe104 movi r2,-124 -81145418: 88800005 stb r2,0(r17) -8114541c: d120bf17 ldw r4,-32004(gp) -81145420: 04800044 movi r18,1 -81145424: 114379c0 call 8114379c -81145428: 003fc606 br 81145344 <__reset+0xfb125344> -8114542c: d120bf17 ldw r4,-32004(gp) -81145430: a825883a mov r18,r21 -81145434: 114379c0 call 8114379c -81145438: 00bfe344 movi r2,-115 -8114543c: 88800005 stb r2,0(r17) -81145440: 003fc006 br 81145344 <__reset+0xfb125344> -81145444: d120bf17 ldw r4,-32004(gp) -81145448: 04800044 movi r18,1 -8114544c: 114379c0 call 8114379c -81145450: 00bfe384 movi r2,-114 -81145454: 88800005 stb r2,0(r17) -81145458: 003fba06 br 81145344 <__reset+0xfb125344> -8114545c: 80800117 ldw r2,4(r16) -81145460: 10000a26 beq r2,zero,8114548c -81145464: a00b883a mov r5,r20 -81145468: 8009883a mov r4,r16 -8114546c: 103ee83a callr r2 -81145470: 003fea06 br 8114541c <__reset+0xfb12541c> -81145474: 80800117 ldw r2,4(r16) -81145478: 10000426 beq r2,zero,8114548c -8114547c: 81400217 ldw r5,8(r16) -81145480: 8009883a mov r4,r16 -81145484: 103ee83a callr r2 -81145488: 003fe406 br 8114541c <__reset+0xfb12541c> -8114548c: 00bfe3c4 movi r2,-113 -81145490: 88800005 stb r2,0(r17) -81145494: 003fe106 br 8114541c <__reset+0xfb12541c> - -81145498 : -81145498: d120aa17 ldw r4,-32088(gp) -8114549c: 114379c1 jmpi 8114379c - -811454a0 : -811454a0: 01204634 movhi r4,33048 -811454a4: defff904 addi sp,sp,-28 -811454a8: 21157c04 addi r4,r4,22000 -811454ac: 01406804 movi r5,416 -811454b0: dfc00615 stw ra,24(sp) -811454b4: 11407480 call 81140748 -811454b8: 01204634 movhi r4,33048 -811454bc: 21136804 addi r4,r4,19872 -811454c0: 01400404 movi r5,16 -811454c4: 11407480 call 81140748 -811454c8: 00e04634 movhi r3,33048 -811454cc: 18d58844 addi r3,r3,22049 -811454d0: 19fff3c4 addi r7,r3,-49 -811454d4: 02801904 movi r10,100 -811454d8: 02400fc4 movi r9,63 -811454dc: 19bff6c4 addi r6,r3,-37 -811454e0: 197ffbc4 addi r5,r3,-17 -811454e4: 193ffc04 addi r4,r3,-16 -811454e8: 3805883a mov r2,r7 -811454ec: 3a005b04 addi r8,r7,364 -811454f0: 12800005 stb r10,0(r2) -811454f4: 18000005 stb zero,0(r3) -811454f8: 10800d04 addi r2,r2,52 -811454fc: 30800015 stw r2,0(r6) -81145500: 2a400005 stb r9,0(r5) -81145504: 20000005 stb zero,0(r4) -81145508: 18c00d04 addi r3,r3,52 -8114550c: 31800d04 addi r6,r6,52 -81145510: 29400d04 addi r5,r5,52 -81145514: 21000d04 addi r4,r4,52 -81145518: 123ff51e bne r2,r8,811454f0 <__reset+0xfb1254f0> -8114551c: 00c01904 movi r3,100 -81145520: 10c00005 stb r3,0(r2) -81145524: 00800fc4 movi r2,63 -81145528: 38806305 stb r2,396(r7) -8114552c: 38006745 stb zero,413(r7) -81145530: 38005e15 stw zero,376(r7) -81145534: 38006345 stb zero,397(r7) -81145538: 01000044 movi r4,1 -8114553c: 00800204 movi r2,8 -81145540: d1e0a815 stw r7,-32096(gp) -81145544: d020bd15 stw zero,-32012(gp) -81145548: d020ad0d sth zero,-32076(gp) -8114554c: d0a0b10d sth r2,-32060(gp) -81145550: 11433700 call 81143370 -81145554: 0009883a mov r4,zero -81145558: d0a0bf15 stw r2,-32004(gp) -8114555c: 11433700 call 81143370 -81145560: d120bf17 ldw r4,-32004(gp) -81145564: 01604574 movhi r5,33045 -81145568: d9800544 addi r6,sp,21 -8114556c: 297fde04 addi r5,r5,-136 -81145570: d0a0aa15 stw r2,-32088(gp) -81145574: 113fdf40 call 8113fdf4 -81145578: d120aa17 ldw r4,-32088(gp) -8114557c: 01604574 movhi r5,33045 -81145580: d9800544 addi r6,sp,21 -81145584: 297fe304 addi r5,r5,-116 -81145588: 113fdf40 call 8113fdf4 -8114558c: 008000c4 movi r2,3 -81145590: d8800415 stw r2,16(sp) -81145594: 01a04634 movhi r6,33048 -81145598: 00808004 movi r2,512 -8114559c: d8800215 stw r2,8(sp) -811455a0: 319e7004 addi r6,r6,31168 -811455a4: 00bfff54 movui r2,65533 -811455a8: 01204534 movhi r4,33044 -811455ac: d9800115 stw r6,4(sp) -811455b0: 01c00744 movi r7,29 -811455b4: 2112bc04 addi r4,r4,19184 -811455b8: 000b883a mov r5,zero -811455bc: d8000315 stw zero,12(sp) -811455c0: d8800015 stw r2,0(sp) -811455c4: 3181ff04 addi r6,r6,2044 -811455c8: 1143c800 call 81143c80 -811455cc: 01604574 movhi r5,33045 -811455d0: d9800504 addi r6,sp,20 -811455d4: 297fe804 addi r5,r5,-96 -811455d8: 01000744 movi r4,29 -811455dc: 11441c40 call 811441c4 -811455e0: dfc00617 ldw ra,24(sp) -811455e4: dec00704 addi sp,sp,28 -811455e8: f800283a ret - -811455ec : -811455ec: deffff04 addi sp,sp,-4 -811455f0: dfc00015 stw ra,0(sp) -811455f4: 114687c0 call 8114687c -811455f8: 00800044 movi r2,1 -811455fc: 1001703a wrctl status,r2 -81145600: dfc00017 ldw ra,0(sp) -81145604: dec00104 addi sp,sp,4 -81145608: f800283a ret - -8114560c : -8114560c: defffc04 addi sp,sp,-16 -81145610: 01200034 movhi r4,32768 -81145614: 01c0fa04 movi r7,1000 -81145618: dc800215 stw r18,8(sp) -8114561c: 21022004 addi r4,r4,2176 -81145620: 000d883a mov r6,zero -81145624: 000b883a mov r5,zero -81145628: 04a04574 movhi r18,33045 -8114562c: dfc00315 stw ra,12(sp) -81145630: dc400115 stw r17,4(sp) -81145634: dc000015 stw r16,0(sp) -81145638: 9482c204 addi r18,r18,2824 -8114563c: 1145e0c0 call 81145e0c -81145640: 01800384 movi r6,14 -81145644: 9009883a mov r4,r18 -81145648: 000b883a mov r5,zero -8114564c: 11458dc0 call 811458dc -81145650: d4601604 addi r17,gp,-32680 -81145654: 880b883a mov r5,r17 -81145658: 913ff604 addi r4,r18,-40 -8114565c: 04204574 movhi r16,33045 -81145660: 11464800 call 81146480 -81145664: 84028e04 addi r16,r16,2616 -81145668: 8009883a mov r4,r16 -8114566c: 01800344 movi r6,13 -81145670: 000b883a mov r5,zero -81145674: 11460480 call 81146048 -81145678: 880b883a mov r5,r17 -8114567c: 813ff604 addi r4,r16,-40 -81145680: dfc00317 ldw ra,12(sp) -81145684: dc800217 ldw r18,8(sp) -81145688: dc400117 ldw r17,4(sp) -8114568c: dc000017 ldw r16,0(sp) -81145690: dec00404 addi sp,sp,16 -81145694: 11464801 jmpi 81146480 - -81145698 : -81145698: 20800017 ldw r2,0(r4) -8114569c: 21c00217 ldw r7,8(r4) -811456a0: 11000a04 addi r4,r2,40 -811456a4: 1145a441 jmpi 81145a44 - -811456a8 : -811456a8: 20800017 ldw r2,0(r4) -811456ac: 21c00217 ldw r7,8(r4) -811456b0: 11000a04 addi r4,r2,40 -811456b4: 1145bdc1 jmpi 81145bdc - -811456b8 : -811456b8: 20800017 ldw r2,0(r4) -811456bc: 21400217 ldw r5,8(r4) -811456c0: 11000a04 addi r4,r2,40 -811456c4: 114599c1 jmpi 8114599c - -811456c8 : -811456c8: 21000017 ldw r4,0(r4) -811456cc: 21000a04 addi r4,r4,40 -811456d0: 11459d01 jmpi 811459d0 - -811456d4 : -811456d4: 20800017 ldw r2,0(r4) -811456d8: 10800104 addi r2,r2,4 -811456dc: 10c00037 ldwio r3,0(r2) -811456e0: 18c1000c andi r3,r3,1024 -811456e4: 18000c1e bne r3,zero,81145718 -811456e8: 20800917 ldw r2,36(r4) -811456ec: 00e00034 movhi r3,32768 -811456f0: 18ffff04 addi r3,r3,-4 -811456f4: 18800636 bltu r3,r2,81145710 -811456f8: 20c00117 ldw r3,4(r4) -811456fc: 10800044 addi r2,r2,1 -81145700: 20800915 stw r2,36(r4) -81145704: 10c00236 bltu r2,r3,81145710 -81145708: d0a0ab03 ldbu r2,-32084(gp) -8114570c: 1000081e bne r2,zero,81145730 -81145710: d0a0a317 ldw r2,-32116(gp) -81145714: f800283a ret -81145718: 20c00817 ldw r3,32(r4) -8114571c: 18c10014 ori r3,r3,1024 -81145720: 10c00035 stwio r3,0(r2) -81145724: 20000915 stw zero,36(r4) -81145728: d0a0a317 ldw r2,-32116(gp) -8114572c: f800283a ret -81145730: 21000c17 ldw r4,48(r4) -81145734: defffe04 addi sp,sp,-8 -81145738: d80f883a mov r7,sp -8114573c: 01800044 movi r6,1 -81145740: 01400104 movi r5,4 -81145744: dfc00115 stw ra,4(sp) -81145748: 11418ec0 call 811418ec -8114574c: d0a0a317 ldw r2,-32116(gp) -81145750: dfc00117 ldw ra,4(sp) -81145754: dec00204 addi sp,sp,8 -81145758: f800283a ret - -8114575c : -8114575c: defff704 addi sp,sp,-36 -81145760: dd400615 stw r21,24(sp) -81145764: 25400017 ldw r21,0(r4) -81145768: dcc00415 stw r19,16(sp) -8114576c: dc800315 stw r18,12(sp) -81145770: dc400215 stw r17,8(sp) -81145774: dc000115 stw r16,4(sp) -81145778: dfc00815 stw ra,32(sp) -8114577c: dd800715 stw r22,28(sp) -81145780: dd000515 stw r20,20(sp) -81145784: 2021883a mov r16,r4 -81145788: 04bfff84 movi r18,-2 -8114578c: 04ffff44 movi r19,-3 -81145790: ac400104 addi r17,r21,4 -81145794: 8d800037 ldwio r22,0(r17) -81145798: b080c00c andi r2,r22,768 -8114579c: 10003e26 beq r2,zero,81145898 -811457a0: b080400c andi r2,r22,256 -811457a4: 10002426 beq r2,zero,81145838 -811457a8: 80800d17 ldw r2,52(r16) -811457ac: 80c00e17 ldw r3,56(r16) -811457b0: 10800044 addi r2,r2,1 -811457b4: 1081ffcc andi r2,r2,2047 -811457b8: 1880061e bne r3,r2,811457d4 -811457bc: 00001906 br 81145824 -811457c0: 80800d17 ldw r2,52(r16) -811457c4: 80c00e17 ldw r3,56(r16) -811457c8: 10800044 addi r2,r2,1 -811457cc: 1081ffcc andi r2,r2,2047 -811457d0: 10c01226 beq r2,r3,8114581c -811457d4: ad000037 ldwio r20,0(r21) -811457d8: a0a0000c andi r2,r20,32768 -811457dc: 10000f26 beq r2,zero,8114581c -811457e0: 80800d17 ldw r2,52(r16) -811457e4: d0e0ab03 ldbu r3,-32084(gp) -811457e8: 8085883a add r2,r16,r2 -811457ec: 15001105 stb r20,68(r2) -811457f0: 80800d17 ldw r2,52(r16) -811457f4: 10800044 addi r2,r2,1 -811457f8: 1081ffcc andi r2,r2,2047 -811457fc: 80800d15 stw r2,52(r16) -81145800: 183fef26 beq r3,zero,811457c0 <__reset+0xfb1257c0> -81145804: 81000c17 ldw r4,48(r16) -81145808: 01800044 movi r6,1 -8114580c: d80f883a mov r7,sp -81145810: 300b883a mov r5,r6 -81145814: 11418ec0 call 811418ec -81145818: 003fe906 br 811457c0 <__reset+0xfb1257c0> -8114581c: a53fffec andhi r20,r20,65535 -81145820: a0000526 beq r20,zero,81145838 -81145824: 80800817 ldw r2,32(r16) -81145828: 1484703a and r2,r2,r18 -8114582c: 80800815 stw r2,32(r16) -81145830: 88800035 stwio r2,0(r17) -81145834: 88800037 ldwio r2,0(r17) -81145838: b080800c andi r2,r22,512 -8114583c: 103fd526 beq r2,zero,81145794 <__reset+0xfb125794> -81145840: b02cd43a srli r22,r22,16 -81145844: b03fd326 beq r22,zero,81145794 <__reset+0xfb125794> -81145848: 80c01017 ldw r3,64(r16) -8114584c: 80800f17 ldw r2,60(r16) -81145850: 18801b26 beq r3,r2,811458c0 -81145854: 80801017 ldw r2,64(r16) -81145858: 8085883a add r2,r16,r2 -8114585c: 10821107 ldb r2,2116(r2) -81145860: a8800035 stwio r2,0(r21) -81145864: 80801017 ldw r2,64(r16) -81145868: d0e0ab03 ldbu r3,-32084(gp) -8114586c: b5bfffc4 addi r22,r22,-1 -81145870: 10800044 addi r2,r2,1 -81145874: 1081ffcc andi r2,r2,2047 -81145878: 80801015 stw r2,64(r16) -8114587c: 183ff126 beq r3,zero,81145844 <__reset+0xfb125844> -81145880: 81000c17 ldw r4,48(r16) -81145884: d80f883a mov r7,sp -81145888: 01800044 movi r6,1 -8114588c: 01400084 movi r5,2 -81145890: 11418ec0 call 811418ec -81145894: 003feb06 br 81145844 <__reset+0xfb125844> -81145898: dfc00817 ldw ra,32(sp) -8114589c: dd800717 ldw r22,28(sp) -811458a0: dd400617 ldw r21,24(sp) -811458a4: dd000517 ldw r20,20(sp) -811458a8: dcc00417 ldw r19,16(sp) -811458ac: dc800317 ldw r18,12(sp) -811458b0: dc400217 ldw r17,8(sp) -811458b4: dc000117 ldw r16,4(sp) -811458b8: dec00904 addi sp,sp,36 -811458bc: f800283a ret -811458c0: 80800817 ldw r2,32(r16) -811458c4: 80c00017 ldw r3,0(r16) -811458c8: 14c4703a and r2,r2,r19 -811458cc: 80800815 stw r2,32(r16) -811458d0: 18800135 stwio r2,4(r3) -811458d4: 88800037 ldwio r2,0(r17) -811458d8: 003fae06 br 81145794 <__reset+0xfb125794> - -811458dc : -811458dc: defff904 addi sp,sp,-28 -811458e0: dc800415 stw r18,16(sp) -811458e4: dc000215 stw r16,8(sp) -811458e8: 2825883a mov r18,r5 -811458ec: 2021883a mov r16,r4 -811458f0: d9400104 addi r5,sp,4 -811458f4: 0009883a mov r4,zero -811458f8: dfc00615 stw ra,24(sp) -811458fc: dcc00515 stw r19,20(sp) -81145900: dc400315 stw r17,12(sp) -81145904: 3027883a mov r19,r6 -81145908: 04400044 movi r17,1 -8114590c: 11412280 call 81141228 -81145910: 8809883a mov r4,r17 -81145914: 80800c15 stw r2,48(r16) -81145918: 11433700 call 81143370 -8114591c: 80800a15 stw r2,40(r16) -81145920: 8809883a mov r4,r17 -81145924: 11433700 call 81143370 -81145928: 80c00017 ldw r3,0(r16) -8114592c: 80800b15 stw r2,44(r16) -81145930: 84400815 stw r17,32(r16) -81145934: 1c400135 stwio r17,4(r3) -81145938: 01a04534 movhi r6,33044 -8114593c: 3195d704 addi r6,r6,22364 -81145940: 800f883a mov r7,r16 -81145944: 980b883a mov r5,r19 -81145948: 9009883a mov r4,r18 -8114594c: d8000015 stw zero,0(sp) -81145950: 11466a00 call 811466a0 -81145954: d160a317 ldw r5,-32116(gp) -81145958: 01a04534 movhi r6,33044 -8114595c: 3195b504 addi r6,r6,22228 -81145960: 80000915 stw zero,36(r16) -81145964: 800f883a mov r7,r16 -81145968: 81000204 addi r4,r16,8 -8114596c: 11464000 call 81146400 -81145970: 1000030e bge r2,zero,81145980 -81145974: 00a00034 movhi r2,32768 -81145978: 10bfffc4 addi r2,r2,-1 -8114597c: 80800115 stw r2,4(r16) -81145980: dfc00617 ldw ra,24(sp) -81145984: dcc00517 ldw r19,20(sp) -81145988: dc800417 ldw r18,16(sp) -8114598c: dc400317 ldw r17,12(sp) -81145990: dc000217 ldw r16,8(sp) -81145994: dec00704 addi sp,sp,28 -81145998: f800283a ret - -8114599c : -8114599c: 21800f17 ldw r6,60(r4) -811459a0: 2950000c andi r5,r5,16384 -811459a4: 00000406 br 811459b8 -811459a8: 20c00917 ldw r3,36(r4) -811459ac: 20800117 ldw r2,4(r4) -811459b0: 1880032e bgeu r3,r2,811459c0 -811459b4: 2800041e bne r5,zero,811459c8 -811459b8: 20801017 ldw r2,64(r4) -811459bc: 11bffa1e bne r2,r6,811459a8 <__reset+0xfb1259a8> -811459c0: 0005883a mov r2,zero -811459c4: f800283a ret -811459c8: 00bffd44 movi r2,-11 -811459cc: f800283a ret - -811459d0 : -811459d0: 009a8044 movi r2,27137 -811459d4: 28800d26 beq r5,r2,81145a0c -811459d8: 009a8084 movi r2,27138 -811459dc: 2880091e bne r5,r2,81145a04 -811459e0: 21400117 ldw r5,4(r4) -811459e4: 00a00034 movhi r2,32768 -811459e8: 10bfffc4 addi r2,r2,-1 -811459ec: 28800526 beq r5,r2,81145a04 -811459f0: 20c00917 ldw r3,36(r4) -811459f4: 0005883a mov r2,zero -811459f8: 1947803a cmpltu r3,r3,r5 -811459fc: 30c00015 stw r3,0(r6) -81145a00: f800283a ret -81145a04: 00bff9c4 movi r2,-25 -81145a08: f800283a ret -81145a0c: 20c00117 ldw r3,4(r4) -81145a10: 00a00034 movhi r2,32768 -81145a14: 10bfffc4 addi r2,r2,-1 -81145a18: 18bffa26 beq r3,r2,81145a04 <__reset+0xfb125a04> -81145a1c: 30800017 ldw r2,0(r6) -81145a20: 01600034 movhi r5,32768 -81145a24: 297fff04 addi r5,r5,-4 -81145a28: 10ffff84 addi r3,r2,-2 -81145a2c: 28c0022e bgeu r5,r3,81145a38 -81145a30: 00a00034 movhi r2,32768 -81145a34: 10bfff84 addi r2,r2,-2 -81145a38: 20800115 stw r2,4(r4) -81145a3c: 0005883a mov r2,zero +811450b8: dfc00417 ldw ra,16(sp) +811450bc: dc800317 ldw r18,12(sp) +811450c0: dc400217 ldw r17,8(sp) +811450c4: dc000117 ldw r16,4(sp) +811450c8: dec00504 addi sp,sp,20 +811450cc: f800283a ret +811450d0: 0005883a mov r2,zero +811450d4: f800283a ret +811450d8: 00bfe284 movi r2,-118 +811450dc: 28800005 stb r2,0(r5) +811450e0: 0005883a mov r2,zero +811450e4: f800283a ret +811450e8: d120bf17 ldw r4,-32004(gp) +811450ec: 11437dc0 call 811437dc +811450f0: 0005883a mov r2,zero +811450f4: 88000005 stb zero,0(r17) +811450f8: 003fef06 br 811450b8 <__reset+0xfb1250b8> +811450fc: d120bf17 ldw r4,-32004(gp) +81145100: 11437dc0 call 811437dc +81145104: 00bfe344 movi r2,-115 +81145108: 88800005 stb r2,0(r17) +8114510c: 0005883a mov r2,zero +81145110: 003fe906 br 811450b8 <__reset+0xfb1250b8> +81145114: d120bf17 ldw r4,-32004(gp) +81145118: 11437dc0 call 811437dc +8114511c: 00bfe1c4 movi r2,-121 +81145120: 88800005 stb r2,0(r17) +81145124: 0005883a mov r2,zero +81145128: 003fe306 br 811450b8 <__reset+0xfb1250b8> +8114512c: 80c00c03 ldbu r3,48(r16) +81145130: 00800084 movi r2,2 +81145134: 1880081e bne r3,r2,81145158 +81145138: 84800617 ldw r18,24(r16) +8114513c: 9000011e bne r18,zero,81145144 +81145140: 84800717 ldw r18,28(r16) +81145144: d120bf17 ldw r4,-32004(gp) +81145148: 11437dc0 call 811437dc +8114514c: 9005883a mov r2,r18 +81145150: 88000005 stb zero,0(r17) +81145154: 003fd806 br 811450b8 <__reset+0xfb1250b8> +81145158: d120bf17 ldw r4,-32004(gp) +8114515c: 84000617 ldw r16,24(r16) +81145160: 11437dc0 call 811437dc +81145164: 8005883a mov r2,r16 +81145168: 88000005 stb zero,0(r17) +8114516c: 003fd206 br 811450b8 <__reset+0xfb1250b8> + +81145170 : +81145170: 28002526 beq r5,zero,81145208 +81145174: 20002626 beq r4,zero,81145210 +81145178: 20c00003 ldbu r3,0(r4) +8114517c: 00801904 movi r2,100 +81145180: 18800426 beq r3,r2,81145194 +81145184: 00bfe244 movi r2,-119 +81145188: 28800005 stb r2,0(r5) +8114518c: 0005883a mov r2,zero +81145190: f800283a ret +81145194: d0a0bb03 ldbu r2,-32020(gp) +81145198: 10000426 beq r2,zero,811451ac +8114519c: 00bfe2c4 movi r2,-117 +811451a0: 28800005 stb r2,0(r5) +811451a4: 0005883a mov r2,zero +811451a8: f800283a ret +811451ac: defffc04 addi sp,sp,-16 +811451b0: dc400215 stw r17,8(sp) +811451b4: 2023883a mov r17,r4 +811451b8: d120bf17 ldw r4,-32004(gp) +811451bc: dc000115 stw r16,4(sp) +811451c0: d80d883a mov r6,sp +811451c4: 2821883a mov r16,r5 +811451c8: 000b883a mov r5,zero +811451cc: dfc00315 stw ra,12(sp) +811451d0: 11435a40 call 811435a4 +811451d4: 8c400c43 ldbu r17,49(r17) +811451d8: 00c000c4 movi r3,3 +811451dc: 88803fcc andi r2,r17,255 +811451e0: 18800f36 bltu r3,r2,81145220 +811451e4: 80000005 stb zero,0(r16) +811451e8: d120bf17 ldw r4,-32004(gp) +811451ec: 11437dc0 call 811437dc +811451f0: 8805883a mov r2,r17 +811451f4: dfc00317 ldw ra,12(sp) +811451f8: dc400217 ldw r17,8(sp) +811451fc: dc000117 ldw r16,4(sp) +81145200: dec00404 addi sp,sp,16 +81145204: f800283a ret +81145208: 0005883a mov r2,zero +8114520c: f800283a ret +81145210: 00bfe284 movi r2,-118 +81145214: 28800005 stb r2,0(r5) +81145218: 0005883a mov r2,zero +8114521c: f800283a ret +81145220: 00bfe344 movi r2,-115 +81145224: 80800005 stb r2,0(r16) +81145228: 003fef06 br 811451e8 <__reset+0xfb1251e8> + +8114522c : +8114522c: defffa04 addi sp,sp,-24 +81145230: dfc00515 stw ra,20(sp) +81145234: dcc00415 stw r19,16(sp) +81145238: dc800315 stw r18,12(sp) +8114523c: dc400215 stw r17,8(sp) +81145240: dc000115 stw r16,4(sp) +81145244: 28002626 beq r5,zero,811452e0 +81145248: 20002726 beq r4,zero,811452e8 +8114524c: 20c00003 ldbu r3,0(r4) +81145250: 00801904 movi r2,100 +81145254: 18800b26 beq r3,r2,81145284 +81145258: 00bfe244 movi r2,-119 +8114525c: 28800005 stb r2,0(r5) +81145260: 0025883a mov r18,zero +81145264: 9005883a mov r2,r18 +81145268: dfc00517 ldw ra,20(sp) +8114526c: dcc00417 ldw r19,16(sp) +81145270: dc800317 ldw r18,12(sp) +81145274: dc400217 ldw r17,8(sp) +81145278: dc000117 ldw r16,4(sp) +8114527c: dec00604 addi sp,sp,24 +81145280: f800283a ret +81145284: d4e0bb03 ldbu r19,-32020(gp) +81145288: 98803fcc andi r2,r19,255 +8114528c: 10000426 beq r2,zero,811452a0 +81145290: 00bfe2c4 movi r2,-117 +81145294: 28800005 stb r2,0(r5) +81145298: 0025883a mov r18,zero +8114529c: 003ff106 br 81145264 <__reset+0xfb125264> +811452a0: 2021883a mov r16,r4 +811452a4: d120bf17 ldw r4,-32004(gp) +811452a8: 2823883a mov r17,r5 +811452ac: d80d883a mov r6,sp +811452b0: 000b883a mov r5,zero +811452b4: 11435a40 call 811435a4 +811452b8: 84800c43 ldbu r18,49(r16) +811452bc: 00c00084 movi r3,2 +811452c0: 90803fcc andi r2,r18,255 +811452c4: 18800c36 bltu r3,r2,811452f8 +811452c8: 10000f1e bne r2,zero,81145308 +811452cc: d120bf17 ldw r4,-32004(gp) +811452d0: 11437dc0 call 811437dc +811452d4: 00bfe1c4 movi r2,-121 +811452d8: 88800005 stb r2,0(r17) +811452dc: 003fe106 br 81145264 <__reset+0xfb125264> +811452e0: 0025883a mov r18,zero +811452e4: 003fdf06 br 81145264 <__reset+0xfb125264> +811452e8: 00bfe284 movi r2,-118 +811452ec: 28800005 stb r2,0(r5) +811452f0: 0025883a mov r18,zero +811452f4: 003fdb06 br 81145264 <__reset+0xfb125264> +811452f8: 00c000c4 movi r3,3 +811452fc: 10c00a1e bne r2,r3,81145328 +81145300: 8009883a mov r4,r16 +81145304: 1144ac00 call 81144ac0 +81145308: 000b883a mov r5,zero +8114530c: 8009883a mov r4,r16 +81145310: 1144a2c0 call 81144a2c +81145314: d120bf17 ldw r4,-32004(gp) +81145318: 04800044 movi r18,1 +8114531c: 11437dc0 call 811437dc +81145320: 88000005 stb zero,0(r17) +81145324: 003fcf06 br 81145264 <__reset+0xfb125264> +81145328: d120bf17 ldw r4,-32004(gp) +8114532c: 9825883a mov r18,r19 +81145330: 11437dc0 call 811437dc +81145334: 00bfe344 movi r2,-115 +81145338: 88800005 stb r2,0(r17) +8114533c: 003fc906 br 81145264 <__reset+0xfb125264> + +81145340 : +81145340: defff704 addi sp,sp,-36 +81145344: dfc00815 stw ra,32(sp) +81145348: dd800715 stw r22,28(sp) +8114534c: dd400615 stw r21,24(sp) +81145350: dd000515 stw r20,20(sp) +81145354: dcc00415 stw r19,16(sp) +81145358: dc800315 stw r18,12(sp) +8114535c: dc400215 stw r17,8(sp) +81145360: dc000115 stw r16,4(sp) +81145364: 38002b26 beq r7,zero,81145414 +81145368: 20002c26 beq r4,zero,8114541c +8114536c: 20c00003 ldbu r3,0(r4) +81145370: 00801904 movi r2,100 +81145374: 18800e26 beq r3,r2,811453b0 +81145378: 00bfe244 movi r2,-119 +8114537c: 38800005 stb r2,0(r7) +81145380: 0025883a mov r18,zero +81145384: 9005883a mov r2,r18 +81145388: dfc00817 ldw ra,32(sp) +8114538c: dd800717 ldw r22,28(sp) +81145390: dd400617 ldw r21,24(sp) +81145394: dd000517 ldw r20,20(sp) +81145398: dcc00417 ldw r19,16(sp) +8114539c: dc800317 ldw r18,12(sp) +811453a0: dc400217 ldw r17,8(sp) +811453a4: dc000117 ldw r16,4(sp) +811453a8: dec00904 addi sp,sp,36 +811453ac: f800283a ret +811453b0: d560bb03 ldbu r21,-32020(gp) +811453b4: a8803fcc andi r2,r21,255 +811453b8: 10000426 beq r2,zero,811453cc +811453bc: 00bfe2c4 movi r2,-117 +811453c0: 38800005 stb r2,0(r7) +811453c4: 0025883a mov r18,zero +811453c8: 003fee06 br 81145384 <__reset+0xfb125384> +811453cc: 2021883a mov r16,r4 +811453d0: d120bf17 ldw r4,-32004(gp) +811453d4: 3029883a mov r20,r6 +811453d8: 2827883a mov r19,r5 +811453dc: d80d883a mov r6,sp +811453e0: 000b883a mov r5,zero +811453e4: 3823883a mov r17,r7 +811453e8: 11435a40 call 811435a4 +811453ec: 84800c43 ldbu r18,49(r16) +811453f0: 00800084 movi r2,2 +811453f4: 95803fcc andi r22,r18,255 +811453f8: 15800c36 bltu r2,r22,8114542c +811453fc: b000211e bne r22,zero,81145484 +81145400: d120bf17 ldw r4,-32004(gp) +81145404: 11437dc0 call 811437dc +81145408: 00bfe1c4 movi r2,-121 +8114540c: 88800005 stb r2,0(r17) +81145410: 003fdc06 br 81145384 <__reset+0xfb125384> +81145414: 0025883a mov r18,zero +81145418: 003fda06 br 81145384 <__reset+0xfb125384> +8114541c: 00bfe284 movi r2,-118 +81145420: 38800005 stb r2,0(r7) +81145424: 0025883a mov r18,zero +81145428: 003fd606 br 81145384 <__reset+0xfb125384> +8114542c: 008000c4 movi r2,3 +81145430: b0800e1e bne r22,r2,8114546c +81145434: 8009883a mov r4,r16 +81145438: 1144ac00 call 81144ac0 +8114543c: 99403fcc andi r5,r19,255 +81145440: 88000005 stb zero,0(r17) +81145444: 2d801b26 beq r5,r22,811454b4 +81145448: 00800104 movi r2,4 +8114544c: 28801326 beq r5,r2,8114549c +81145450: 28000226 beq r5,zero,8114545c +81145454: 00bfe104 movi r2,-124 +81145458: 88800005 stb r2,0(r17) +8114545c: d120bf17 ldw r4,-32004(gp) +81145460: 04800044 movi r18,1 +81145464: 11437dc0 call 811437dc +81145468: 003fc606 br 81145384 <__reset+0xfb125384> +8114546c: d120bf17 ldw r4,-32004(gp) +81145470: a825883a mov r18,r21 +81145474: 11437dc0 call 811437dc +81145478: 00bfe344 movi r2,-115 +8114547c: 88800005 stb r2,0(r17) +81145480: 003fc006 br 81145384 <__reset+0xfb125384> +81145484: d120bf17 ldw r4,-32004(gp) +81145488: 04800044 movi r18,1 +8114548c: 11437dc0 call 811437dc +81145490: 00bfe384 movi r2,-114 +81145494: 88800005 stb r2,0(r17) +81145498: 003fba06 br 81145384 <__reset+0xfb125384> +8114549c: 80800117 ldw r2,4(r16) +811454a0: 10000a26 beq r2,zero,811454cc +811454a4: a00b883a mov r5,r20 +811454a8: 8009883a mov r4,r16 +811454ac: 103ee83a callr r2 +811454b0: 003fea06 br 8114545c <__reset+0xfb12545c> +811454b4: 80800117 ldw r2,4(r16) +811454b8: 10000426 beq r2,zero,811454cc +811454bc: 81400217 ldw r5,8(r16) +811454c0: 8009883a mov r4,r16 +811454c4: 103ee83a callr r2 +811454c8: 003fe406 br 8114545c <__reset+0xfb12545c> +811454cc: 00bfe3c4 movi r2,-113 +811454d0: 88800005 stb r2,0(r17) +811454d4: 003fe106 br 8114545c <__reset+0xfb12545c> + +811454d8 : +811454d8: d120aa17 ldw r4,-32088(gp) +811454dc: 11437dc1 jmpi 811437dc + +811454e0 : +811454e0: 01204634 movhi r4,33048 +811454e4: defff904 addi sp,sp,-28 +811454e8: 21158d04 addi r4,r4,22068 +811454ec: 01406804 movi r5,416 +811454f0: dfc00615 stw ra,24(sp) +811454f4: 11407880 call 81140788 +811454f8: 01204634 movhi r4,33048 +811454fc: 21137904 addi r4,r4,19940 +81145500: 01400404 movi r5,16 +81145504: 11407880 call 81140788 +81145508: 00e04634 movhi r3,33048 +8114550c: 18d59944 addi r3,r3,22117 +81145510: 19fff3c4 addi r7,r3,-49 +81145514: 02801904 movi r10,100 +81145518: 02400fc4 movi r9,63 +8114551c: 19bff6c4 addi r6,r3,-37 +81145520: 197ffbc4 addi r5,r3,-17 +81145524: 193ffc04 addi r4,r3,-16 +81145528: 3805883a mov r2,r7 +8114552c: 3a005b04 addi r8,r7,364 +81145530: 12800005 stb r10,0(r2) +81145534: 18000005 stb zero,0(r3) +81145538: 10800d04 addi r2,r2,52 +8114553c: 30800015 stw r2,0(r6) +81145540: 2a400005 stb r9,0(r5) +81145544: 20000005 stb zero,0(r4) +81145548: 18c00d04 addi r3,r3,52 +8114554c: 31800d04 addi r6,r6,52 +81145550: 29400d04 addi r5,r5,52 +81145554: 21000d04 addi r4,r4,52 +81145558: 123ff51e bne r2,r8,81145530 <__reset+0xfb125530> +8114555c: 00c01904 movi r3,100 +81145560: 10c00005 stb r3,0(r2) +81145564: 00800fc4 movi r2,63 +81145568: 38806305 stb r2,396(r7) +8114556c: 38006745 stb zero,413(r7) +81145570: 38005e15 stw zero,376(r7) +81145574: 38006345 stb zero,397(r7) +81145578: 01000044 movi r4,1 +8114557c: 00800204 movi r2,8 +81145580: d1e0a815 stw r7,-32096(gp) +81145584: d020bd15 stw zero,-32012(gp) +81145588: d020ad0d sth zero,-32076(gp) +8114558c: d0a0b10d sth r2,-32060(gp) +81145590: 11433b00 call 811433b0 +81145594: 0009883a mov r4,zero +81145598: d0a0bf15 stw r2,-32004(gp) +8114559c: 11433b00 call 811433b0 +811455a0: d120bf17 ldw r4,-32004(gp) +811455a4: 01604574 movhi r5,33045 +811455a8: d9800544 addi r6,sp,21 +811455ac: 297fef04 addi r5,r5,-68 +811455b0: d0a0aa15 stw r2,-32088(gp) +811455b4: 113fe340 call 8113fe34 +811455b8: d120aa17 ldw r4,-32088(gp) +811455bc: 01604574 movhi r5,33045 +811455c0: d9800544 addi r6,sp,21 +811455c4: 297ff404 addi r5,r5,-48 +811455c8: 113fe340 call 8113fe34 +811455cc: 008000c4 movi r2,3 +811455d0: d8800415 stw r2,16(sp) +811455d4: 01a04634 movhi r6,33048 +811455d8: 00808004 movi r2,512 +811455dc: d8800215 stw r2,8(sp) +811455e0: 319e8104 addi r6,r6,31236 +811455e4: 00bfff54 movui r2,65533 +811455e8: 01204534 movhi r4,33044 +811455ec: d9800115 stw r6,4(sp) +811455f0: 01c00744 movi r7,29 +811455f4: 2112cc04 addi r4,r4,19248 +811455f8: 000b883a mov r5,zero +811455fc: d8000315 stw zero,12(sp) +81145600: d8800015 stw r2,0(sp) +81145604: 3181ff04 addi r6,r6,2044 +81145608: 1143cc00 call 81143cc0 +8114560c: 01604574 movhi r5,33045 +81145610: d9800504 addi r6,sp,20 +81145614: 297ff904 addi r5,r5,-28 +81145618: 01000744 movi r4,29 +8114561c: 11442040 call 81144204 +81145620: dfc00617 ldw ra,24(sp) +81145624: dec00704 addi sp,sp,28 +81145628: f800283a ret + +8114562c : +8114562c: deffff04 addi sp,sp,-4 +81145630: dfc00015 stw ra,0(sp) +81145634: 11468bc0 call 811468bc +81145638: 00800044 movi r2,1 +8114563c: 1001703a wrctl status,r2 +81145640: dfc00017 ldw ra,0(sp) +81145644: dec00104 addi sp,sp,4 +81145648: f800283a ret + +8114564c : +8114564c: defffc04 addi sp,sp,-16 +81145650: 01200034 movhi r4,32768 +81145654: 01c0fa04 movi r7,1000 +81145658: dc800215 stw r18,8(sp) +8114565c: 21022004 addi r4,r4,2176 +81145660: 000d883a mov r6,zero +81145664: 000b883a mov r5,zero +81145668: 04a04574 movhi r18,33045 +8114566c: dfc00315 stw ra,12(sp) +81145670: dc400115 stw r17,4(sp) +81145674: dc000015 stw r16,0(sp) +81145678: 9482d304 addi r18,r18,2892 +8114567c: 1145e4c0 call 81145e4c +81145680: 01800384 movi r6,14 +81145684: 9009883a mov r4,r18 +81145688: 000b883a mov r5,zero +8114568c: 114591c0 call 8114591c +81145690: d4601604 addi r17,gp,-32680 +81145694: 880b883a mov r5,r17 +81145698: 913ff604 addi r4,r18,-40 +8114569c: 04204574 movhi r16,33045 +811456a0: 11464c00 call 811464c0 +811456a4: 84029f04 addi r16,r16,2684 +811456a8: 8009883a mov r4,r16 +811456ac: 01800344 movi r6,13 +811456b0: 000b883a mov r5,zero +811456b4: 11460880 call 81146088 +811456b8: 880b883a mov r5,r17 +811456bc: 813ff604 addi r4,r16,-40 +811456c0: dfc00317 ldw ra,12(sp) +811456c4: dc800217 ldw r18,8(sp) +811456c8: dc400117 ldw r17,4(sp) +811456cc: dc000017 ldw r16,0(sp) +811456d0: dec00404 addi sp,sp,16 +811456d4: 11464c01 jmpi 811464c0 + +811456d8 : +811456d8: 20800017 ldw r2,0(r4) +811456dc: 21c00217 ldw r7,8(r4) +811456e0: 11000a04 addi r4,r2,40 +811456e4: 1145a841 jmpi 81145a84 + +811456e8 : +811456e8: 20800017 ldw r2,0(r4) +811456ec: 21c00217 ldw r7,8(r4) +811456f0: 11000a04 addi r4,r2,40 +811456f4: 1145c1c1 jmpi 81145c1c + +811456f8 : +811456f8: 20800017 ldw r2,0(r4) +811456fc: 21400217 ldw r5,8(r4) +81145700: 11000a04 addi r4,r2,40 +81145704: 11459dc1 jmpi 811459dc + +81145708 : +81145708: 21000017 ldw r4,0(r4) +8114570c: 21000a04 addi r4,r4,40 +81145710: 1145a101 jmpi 81145a10 + +81145714 : +81145714: 20800017 ldw r2,0(r4) +81145718: 10800104 addi r2,r2,4 +8114571c: 10c00037 ldwio r3,0(r2) +81145720: 18c1000c andi r3,r3,1024 +81145724: 18000c1e bne r3,zero,81145758 +81145728: 20800917 ldw r2,36(r4) +8114572c: 00e00034 movhi r3,32768 +81145730: 18ffff04 addi r3,r3,-4 +81145734: 18800636 bltu r3,r2,81145750 +81145738: 20c00117 ldw r3,4(r4) +8114573c: 10800044 addi r2,r2,1 +81145740: 20800915 stw r2,36(r4) +81145744: 10c00236 bltu r2,r3,81145750 +81145748: d0a0ab03 ldbu r2,-32084(gp) +8114574c: 1000081e bne r2,zero,81145770 +81145750: d0a0a317 ldw r2,-32116(gp) +81145754: f800283a ret +81145758: 20c00817 ldw r3,32(r4) +8114575c: 18c10014 ori r3,r3,1024 +81145760: 10c00035 stwio r3,0(r2) +81145764: 20000915 stw zero,36(r4) +81145768: d0a0a317 ldw r2,-32116(gp) +8114576c: f800283a ret +81145770: 21000c17 ldw r4,48(r4) +81145774: defffe04 addi sp,sp,-8 +81145778: d80f883a mov r7,sp +8114577c: 01800044 movi r6,1 +81145780: 01400104 movi r5,4 +81145784: dfc00115 stw ra,4(sp) +81145788: 114192c0 call 8114192c +8114578c: d0a0a317 ldw r2,-32116(gp) +81145790: dfc00117 ldw ra,4(sp) +81145794: dec00204 addi sp,sp,8 +81145798: f800283a ret + +8114579c : +8114579c: defff704 addi sp,sp,-36 +811457a0: dd400615 stw r21,24(sp) +811457a4: 25400017 ldw r21,0(r4) +811457a8: dcc00415 stw r19,16(sp) +811457ac: dc800315 stw r18,12(sp) +811457b0: dc400215 stw r17,8(sp) +811457b4: dc000115 stw r16,4(sp) +811457b8: dfc00815 stw ra,32(sp) +811457bc: dd800715 stw r22,28(sp) +811457c0: dd000515 stw r20,20(sp) +811457c4: 2021883a mov r16,r4 +811457c8: 04bfff84 movi r18,-2 +811457cc: 04ffff44 movi r19,-3 +811457d0: ac400104 addi r17,r21,4 +811457d4: 8d800037 ldwio r22,0(r17) +811457d8: b080c00c andi r2,r22,768 +811457dc: 10003e26 beq r2,zero,811458d8 +811457e0: b080400c andi r2,r22,256 +811457e4: 10002426 beq r2,zero,81145878 +811457e8: 80800d17 ldw r2,52(r16) +811457ec: 80c00e17 ldw r3,56(r16) +811457f0: 10800044 addi r2,r2,1 +811457f4: 1081ffcc andi r2,r2,2047 +811457f8: 1880061e bne r3,r2,81145814 +811457fc: 00001906 br 81145864 +81145800: 80800d17 ldw r2,52(r16) +81145804: 80c00e17 ldw r3,56(r16) +81145808: 10800044 addi r2,r2,1 +8114580c: 1081ffcc andi r2,r2,2047 +81145810: 10c01226 beq r2,r3,8114585c +81145814: ad000037 ldwio r20,0(r21) +81145818: a0a0000c andi r2,r20,32768 +8114581c: 10000f26 beq r2,zero,8114585c +81145820: 80800d17 ldw r2,52(r16) +81145824: d0e0ab03 ldbu r3,-32084(gp) +81145828: 8085883a add r2,r16,r2 +8114582c: 15001105 stb r20,68(r2) +81145830: 80800d17 ldw r2,52(r16) +81145834: 10800044 addi r2,r2,1 +81145838: 1081ffcc andi r2,r2,2047 +8114583c: 80800d15 stw r2,52(r16) +81145840: 183fef26 beq r3,zero,81145800 <__reset+0xfb125800> +81145844: 81000c17 ldw r4,48(r16) +81145848: 01800044 movi r6,1 +8114584c: d80f883a mov r7,sp +81145850: 300b883a mov r5,r6 +81145854: 114192c0 call 8114192c +81145858: 003fe906 br 81145800 <__reset+0xfb125800> +8114585c: a53fffec andhi r20,r20,65535 +81145860: a0000526 beq r20,zero,81145878 +81145864: 80800817 ldw r2,32(r16) +81145868: 1484703a and r2,r2,r18 +8114586c: 80800815 stw r2,32(r16) +81145870: 88800035 stwio r2,0(r17) +81145874: 88800037 ldwio r2,0(r17) +81145878: b080800c andi r2,r22,512 +8114587c: 103fd526 beq r2,zero,811457d4 <__reset+0xfb1257d4> +81145880: b02cd43a srli r22,r22,16 +81145884: b03fd326 beq r22,zero,811457d4 <__reset+0xfb1257d4> +81145888: 80c01017 ldw r3,64(r16) +8114588c: 80800f17 ldw r2,60(r16) +81145890: 18801b26 beq r3,r2,81145900 +81145894: 80801017 ldw r2,64(r16) +81145898: 8085883a add r2,r16,r2 +8114589c: 10821107 ldb r2,2116(r2) +811458a0: a8800035 stwio r2,0(r21) +811458a4: 80801017 ldw r2,64(r16) +811458a8: d0e0ab03 ldbu r3,-32084(gp) +811458ac: b5bfffc4 addi r22,r22,-1 +811458b0: 10800044 addi r2,r2,1 +811458b4: 1081ffcc andi r2,r2,2047 +811458b8: 80801015 stw r2,64(r16) +811458bc: 183ff126 beq r3,zero,81145884 <__reset+0xfb125884> +811458c0: 81000c17 ldw r4,48(r16) +811458c4: d80f883a mov r7,sp +811458c8: 01800044 movi r6,1 +811458cc: 01400084 movi r5,2 +811458d0: 114192c0 call 8114192c +811458d4: 003feb06 br 81145884 <__reset+0xfb125884> +811458d8: dfc00817 ldw ra,32(sp) +811458dc: dd800717 ldw r22,28(sp) +811458e0: dd400617 ldw r21,24(sp) +811458e4: dd000517 ldw r20,20(sp) +811458e8: dcc00417 ldw r19,16(sp) +811458ec: dc800317 ldw r18,12(sp) +811458f0: dc400217 ldw r17,8(sp) +811458f4: dc000117 ldw r16,4(sp) +811458f8: dec00904 addi sp,sp,36 +811458fc: f800283a ret +81145900: 80800817 ldw r2,32(r16) +81145904: 80c00017 ldw r3,0(r16) +81145908: 14c4703a and r2,r2,r19 +8114590c: 80800815 stw r2,32(r16) +81145910: 18800135 stwio r2,4(r3) +81145914: 88800037 ldwio r2,0(r17) +81145918: 003fae06 br 811457d4 <__reset+0xfb1257d4> + +8114591c : +8114591c: defff904 addi sp,sp,-28 +81145920: dc800415 stw r18,16(sp) +81145924: dc000215 stw r16,8(sp) +81145928: 2825883a mov r18,r5 +8114592c: 2021883a mov r16,r4 +81145930: d9400104 addi r5,sp,4 +81145934: 0009883a mov r4,zero +81145938: dfc00615 stw ra,24(sp) +8114593c: dcc00515 stw r19,20(sp) +81145940: dc400315 stw r17,12(sp) +81145944: 3027883a mov r19,r6 +81145948: 04400044 movi r17,1 +8114594c: 11412680 call 81141268 +81145950: 8809883a mov r4,r17 +81145954: 80800c15 stw r2,48(r16) +81145958: 11433b00 call 811433b0 +8114595c: 80800a15 stw r2,40(r16) +81145960: 8809883a mov r4,r17 +81145964: 11433b00 call 811433b0 +81145968: 80c00017 ldw r3,0(r16) +8114596c: 80800b15 stw r2,44(r16) +81145970: 84400815 stw r17,32(r16) +81145974: 1c400135 stwio r17,4(r3) +81145978: 01a04534 movhi r6,33044 +8114597c: 3195e704 addi r6,r6,22428 +81145980: 800f883a mov r7,r16 +81145984: 980b883a mov r5,r19 +81145988: 9009883a mov r4,r18 +8114598c: d8000015 stw zero,0(sp) +81145990: 11466e00 call 811466e0 +81145994: d160a317 ldw r5,-32116(gp) +81145998: 01a04534 movhi r6,33044 +8114599c: 3195c504 addi r6,r6,22292 +811459a0: 80000915 stw zero,36(r16) +811459a4: 800f883a mov r7,r16 +811459a8: 81000204 addi r4,r16,8 +811459ac: 11464400 call 81146440 +811459b0: 1000030e bge r2,zero,811459c0 +811459b4: 00a00034 movhi r2,32768 +811459b8: 10bfffc4 addi r2,r2,-1 +811459bc: 80800115 stw r2,4(r16) +811459c0: dfc00617 ldw ra,24(sp) +811459c4: dcc00517 ldw r19,20(sp) +811459c8: dc800417 ldw r18,16(sp) +811459cc: dc400317 ldw r17,12(sp) +811459d0: dc000217 ldw r16,8(sp) +811459d4: dec00704 addi sp,sp,28 +811459d8: f800283a ret + +811459dc : +811459dc: 21800f17 ldw r6,60(r4) +811459e0: 2950000c andi r5,r5,16384 +811459e4: 00000406 br 811459f8 +811459e8: 20c00917 ldw r3,36(r4) +811459ec: 20800117 ldw r2,4(r4) +811459f0: 1880032e bgeu r3,r2,81145a00 +811459f4: 2800041e bne r5,zero,81145a08 +811459f8: 20801017 ldw r2,64(r4) +811459fc: 11bffa1e bne r2,r6,811459e8 <__reset+0xfb1259e8> +81145a00: 0005883a mov r2,zero +81145a04: f800283a ret +81145a08: 00bffd44 movi r2,-11 +81145a0c: f800283a ret + +81145a10 : +81145a10: 009a8044 movi r2,27137 +81145a14: 28800d26 beq r5,r2,81145a4c +81145a18: 009a8084 movi r2,27138 +81145a1c: 2880091e bne r5,r2,81145a44 +81145a20: 21400117 ldw r5,4(r4) +81145a24: 00a00034 movhi r2,32768 +81145a28: 10bfffc4 addi r2,r2,-1 +81145a2c: 28800526 beq r5,r2,81145a44 +81145a30: 20c00917 ldw r3,36(r4) +81145a34: 0005883a mov r2,zero +81145a38: 1947803a cmpltu r3,r3,r5 +81145a3c: 30c00015 stw r3,0(r6) 81145a40: f800283a ret - -81145a44 : -81145a44: defff304 addi sp,sp,-52 -81145a48: df000b15 stw fp,44(sp) -81145a4c: 2039883a mov fp,r4 -81145a50: 21000a17 ldw r4,40(r4) -81145a54: dd400815 stw r21,32(sp) -81145a58: dc800515 stw r18,20(sp) -81145a5c: 282b883a mov r21,r5 -81145a60: 3025883a mov r18,r6 -81145a64: 000b883a mov r5,zero -81145a68: d9800104 addi r6,sp,4 -81145a6c: dc000315 stw r16,12(sp) -81145a70: dfc00c15 stw ra,48(sp) -81145a74: ddc00a15 stw r23,40(sp) -81145a78: dd800915 stw r22,36(sp) -81145a7c: dd000715 stw r20,28(sp) -81145a80: dcc00615 stw r19,24(sp) -81145a84: dc400415 stw r17,16(sp) -81145a88: 3821883a mov r16,r7 -81145a8c: 11435640 call 81143564 -81145a90: 0480500e bge zero,r18,81145bd4 -81145a94: 8410000c andi r16,r16,16384 -81145a98: 05020004 movi r20,2048 -81145a9c: e4c01104 addi r19,fp,68 -81145aa0: dc000215 stw r16,8(sp) -81145aa4: e4000e17 ldw r16,56(fp) -81145aa8: a82f883a mov r23,r21 -81145aac: 00000a06 br 81145ad8 -81145ab0: 9080012e bgeu r18,r2,81145ab8 -81145ab4: 9023883a mov r17,r18 -81145ab8: 8c21883a add r16,r17,r16 -81145abc: 880d883a mov r6,r17 -81145ac0: 8401ffcc andi r16,r16,2047 -81145ac4: 112c6480 call 8112c648 -81145ac8: 9465c83a sub r18,r18,r17 -81145acc: e4000e15 stw r16,56(fp) -81145ad0: bc6f883a add r23,r23,r17 -81145ad4: 0480080e bge zero,r18,81145af8 -81145ad8: e5800d17 ldw r22,52(fp) -81145adc: a405c83a sub r2,r20,r16 -81145ae0: b4000136 bltu r22,r16,81145ae8 -81145ae4: b405c83a sub r2,r22,r16 -81145ae8: 9c0b883a add r5,r19,r16 -81145aec: b809883a mov r4,r23 -81145af0: 1023883a mov r17,r2 -81145af4: 103fee1e bne r2,zero,81145ab0 <__reset+0xfb125ab0> -81145af8: bd401b1e bne r23,r21,81145b68 -81145afc: dc000217 ldw r16,8(sp) -81145b00: 80000c1e bne r16,zero,81145b34 -81145b04: d0a0ab03 ldbu r2,-32084(gp) -81145b08: 00c00044 movi r3,1 -81145b0c: 10c0041e bne r2,r3,81145b20 -81145b10: 00000d06 br 81145b48 -81145b14: e1000917 ldw r4,36(fp) -81145b18: e0800117 ldw r2,4(fp) -81145b1c: 2080022e bgeu r4,r2,81145b28 -81145b20: e0800d17 ldw r2,52(fp) -81145b24: b0bffb26 beq r22,r2,81145b14 <__reset+0xfb125b14> -81145b28: e0800d17 ldw r2,52(fp) -81145b2c: b0800126 beq r22,r2,81145b34 -81145b30: 04bfdc16 blt zero,r18,81145aa4 <__reset+0xfb125aa4> -81145b34: e1000a17 ldw r4,40(fp) -81145b38: 114379c0 call 8114379c -81145b3c: 80002326 beq r16,zero,81145bcc -81145b40: 00bffd44 movi r2,-11 -81145b44: 00001506 br 81145b9c -81145b48: e1000c17 ldw r4,48(fp) -81145b4c: d8800104 addi r2,sp,4 -81145b50: d8800015 stw r2,0(sp) -81145b54: 000f883a mov r7,zero -81145b58: 018020c4 movi r6,131 -81145b5c: 01400144 movi r5,5 -81145b60: 11415a80 call 811415a8 -81145b64: 003ff006 br 81145b28 <__reset+0xfb125b28> -81145b68: e1000a17 ldw r4,40(fp) -81145b6c: 114379c0 call 8114379c -81145b70: 0007303a rdctl r3,status -81145b74: 00bfff84 movi r2,-2 -81145b78: 1884703a and r2,r3,r2 -81145b7c: 1001703a wrctl status,r2 -81145b80: e0800817 ldw r2,32(fp) -81145b84: e1000017 ldw r4,0(fp) -81145b88: 10800054 ori r2,r2,1 -81145b8c: e0800815 stw r2,32(fp) -81145b90: 20800135 stwio r2,4(r4) -81145b94: 1801703a wrctl status,r3 -81145b98: bd45c83a sub r2,r23,r21 -81145b9c: dfc00c17 ldw ra,48(sp) -81145ba0: df000b17 ldw fp,44(sp) -81145ba4: ddc00a17 ldw r23,40(sp) -81145ba8: dd800917 ldw r22,36(sp) -81145bac: dd400817 ldw r21,32(sp) -81145bb0: dd000717 ldw r20,28(sp) -81145bb4: dcc00617 ldw r19,24(sp) -81145bb8: dc800517 ldw r18,20(sp) -81145bbc: dc400417 ldw r17,16(sp) -81145bc0: dc000317 ldw r16,12(sp) -81145bc4: dec00d04 addi sp,sp,52 -81145bc8: f800283a ret -81145bcc: 00bffec4 movi r2,-5 -81145bd0: 003ff206 br 81145b9c <__reset+0xfb125b9c> -81145bd4: 8410000c andi r16,r16,16384 -81145bd8: 003fd606 br 81145b34 <__reset+0xfb125b34> - -81145bdc : -81145bdc: defff204 addi sp,sp,-56 -81145be0: dc000415 stw r16,16(sp) -81145be4: 2021883a mov r16,r4 -81145be8: 21000b17 ldw r4,44(r4) -81145bec: dd800a15 stw r22,40(sp) -81145bf0: d9400215 stw r5,8(sp) -81145bf4: 302d883a mov r22,r6 -81145bf8: 000b883a mov r5,zero -81145bfc: d9800104 addi r6,sp,4 -81145c00: df000c15 stw fp,48(sp) -81145c04: dfc00d15 stw ra,52(sp) -81145c08: ddc00b15 stw r23,44(sp) -81145c0c: dd400915 stw r21,36(sp) -81145c10: dd000815 stw r20,32(sp) -81145c14: dcc00715 stw r19,28(sp) -81145c18: dc800615 stw r18,24(sp) -81145c1c: dc400515 stw r17,20(sp) -81145c20: d9c00315 stw r7,12(sp) -81145c24: 11435640 call 81143564 -81145c28: df000217 ldw fp,8(sp) -81145c2c: 0580310e bge zero,r22,81145cf4 -81145c30: d8c00317 ldw r3,12(sp) -81145c34: 04ffff84 movi r19,-2 -81145c38: 05000044 movi r20,1 -81145c3c: 1c90000c andi r18,r3,16384 -81145c40: 84421104 addi r17,r16,2116 -81145c44: 85400f17 ldw r21,60(r16) -81145c48: 81001017 ldw r4,64(r16) -81145c4c: a900452e bgeu r21,r4,81145d64 -81145c50: 20ffffc4 addi r3,r4,-1 -81145c54: 1d47c83a sub r3,r3,r21 -81145c58: 1800191e bne r3,zero,81145cc0 -81145c5c: 0007303a rdctl r3,status -81145c60: 1cc4703a and r2,r3,r19 -81145c64: 1001703a wrctl status,r2 -81145c68: 80800817 ldw r2,32(r16) -81145c6c: 81400017 ldw r5,0(r16) -81145c70: 10800094 ori r2,r2,2 -81145c74: 80800815 stw r2,32(r16) -81145c78: 28800135 stwio r2,4(r5) -81145c7c: 1801703a wrctl status,r3 -81145c80: 9000491e bne r18,zero,81145da8 -81145c84: d0a0ab03 ldbu r2,-32084(gp) -81145c88: 15003d26 beq r2,r20,81145d80 -81145c8c: 80c00917 ldw r3,36(r16) -81145c90: 00000206 br 81145c9c -81145c94: 80800117 ldw r2,4(r16) -81145c98: 1880022e bgeu r3,r2,81145ca4 -81145c9c: 80801017 ldw r2,64(r16) -81145ca0: 20bffc26 beq r4,r2,81145c94 <__reset+0xfb125c94> -81145ca4: 183fe726 beq r3,zero,81145c44 <__reset+0xfb125c44> -81145ca8: 81000b17 ldw r4,44(r16) -81145cac: 114379c0 call 8114379c -81145cb0: d8c00217 ldw r3,8(sp) -81145cb4: 1f001d1e bne r3,fp,81145d2c -81145cb8: 00bffec4 movi r2,-5 -81145cbc: 00001d06 br 81145d34 -81145cc0: 182f883a mov r23,r3 -81145cc4: b0c0012e bgeu r22,r3,81145ccc -81145cc8: b02f883a mov r23,r22 -81145ccc: e00b883a mov r5,fp -81145cd0: b80d883a mov r6,r23 -81145cd4: 8d49883a add r4,r17,r21 -81145cd8: 112c6480 call 8112c648 -81145cdc: adcf883a add r7,r21,r23 -81145ce0: 39c1ffcc andi r7,r7,2047 -81145ce4: b5edc83a sub r22,r22,r23 -81145ce8: 81c00f15 stw r7,60(r16) -81145cec: e5f9883a add fp,fp,r23 -81145cf0: 05bfd416 blt zero,r22,81145c44 <__reset+0xfb125c44> -81145cf4: 0007303a rdctl r3,status -81145cf8: 00bfff84 movi r2,-2 -81145cfc: 1884703a and r2,r3,r2 -81145d00: 1001703a wrctl status,r2 -81145d04: 80800817 ldw r2,32(r16) -81145d08: 81000017 ldw r4,0(r16) -81145d0c: 10800094 ori r2,r2,2 -81145d10: 80800815 stw r2,32(r16) -81145d14: 20800135 stwio r2,4(r4) -81145d18: 1801703a wrctl status,r3 -81145d1c: 81000b17 ldw r4,44(r16) -81145d20: 114379c0 call 8114379c -81145d24: d8c00217 ldw r3,8(sp) -81145d28: e0c02526 beq fp,r3,81145dc0 -81145d2c: d8c00217 ldw r3,8(sp) -81145d30: e0c5c83a sub r2,fp,r3 -81145d34: dfc00d17 ldw ra,52(sp) -81145d38: df000c17 ldw fp,48(sp) -81145d3c: ddc00b17 ldw r23,44(sp) -81145d40: dd800a17 ldw r22,40(sp) -81145d44: dd400917 ldw r21,36(sp) -81145d48: dd000817 ldw r20,32(sp) -81145d4c: dcc00717 ldw r19,28(sp) -81145d50: dc800617 ldw r18,24(sp) -81145d54: dc400517 ldw r17,20(sp) -81145d58: dc000417 ldw r16,16(sp) -81145d5c: dec00e04 addi sp,sp,56 -81145d60: f800283a ret -81145d64: 20000326 beq r4,zero,81145d74 -81145d68: 00820004 movi r2,2048 -81145d6c: 1547c83a sub r3,r2,r21 -81145d70: 003fb906 br 81145c58 <__reset+0xfb125c58> -81145d74: 0081ffc4 movi r2,2047 -81145d78: 1547c83a sub r3,r2,r21 -81145d7c: 003fb606 br 81145c58 <__reset+0xfb125c58> -81145d80: 81000c17 ldw r4,48(r16) -81145d84: d8c00104 addi r3,sp,4 -81145d88: d8c00015 stw r3,0(sp) -81145d8c: 000f883a mov r7,zero -81145d90: 018020c4 movi r6,131 -81145d94: 01400184 movi r5,6 -81145d98: 11415a80 call 811415a8 -81145d9c: 80c00917 ldw r3,36(r16) -81145da0: 183fa826 beq r3,zero,81145c44 <__reset+0xfb125c44> -81145da4: 003fc006 br 81145ca8 <__reset+0xfb125ca8> -81145da8: 81000b17 ldw r4,44(r16) -81145dac: 114379c0 call 8114379c -81145db0: d8c00217 ldw r3,8(sp) -81145db4: 1f3fdd1e bne r3,fp,81145d2c <__reset+0xfb125d2c> -81145db8: 00bffd44 movi r2,-11 -81145dbc: 003fdd06 br 81145d34 <__reset+0xfb125d34> -81145dc0: d8c00317 ldw r3,12(sp) -81145dc4: 1f10000c andi fp,r3,16384 -81145dc8: e03fbb26 beq fp,zero,81145cb8 <__reset+0xfb125cb8> -81145dcc: 003ffa06 br 81145db8 <__reset+0xfb125db8> - -81145dd0 : -81145dd0: defffe04 addi sp,sp,-8 -81145dd4: dfc00115 stw ra,4(sp) -81145dd8: dc000015 stw r16,0(sp) -81145ddc: 20000035 stwio zero,0(r4) -81145de0: 20800137 ldwio r2,4(r4) -81145de4: 0021303a rdctl r16,status -81145de8: 00bfff84 movi r2,-2 -81145dec: 8084703a and r2,r16,r2 -81145df0: 1001703a wrctl status,r2 -81145df4: 113f8d00 call 8113f8d0 -81145df8: 8001703a wrctl status,r16 -81145dfc: dfc00117 ldw ra,4(sp) -81145e00: dc000017 ldw r16,0(sp) -81145e04: dec00204 addi sp,sp,8 -81145e08: f800283a ret - -81145e0c : -81145e0c: d0e0a317 ldw r3,-32116(gp) +81145a44: 00bff9c4 movi r2,-25 +81145a48: f800283a ret +81145a4c: 20c00117 ldw r3,4(r4) +81145a50: 00a00034 movhi r2,32768 +81145a54: 10bfffc4 addi r2,r2,-1 +81145a58: 18bffa26 beq r3,r2,81145a44 <__reset+0xfb125a44> +81145a5c: 30800017 ldw r2,0(r6) +81145a60: 01600034 movhi r5,32768 +81145a64: 297fff04 addi r5,r5,-4 +81145a68: 10ffff84 addi r3,r2,-2 +81145a6c: 28c0022e bgeu r5,r3,81145a78 +81145a70: 00a00034 movhi r2,32768 +81145a74: 10bfff84 addi r2,r2,-2 +81145a78: 20800115 stw r2,4(r4) +81145a7c: 0005883a mov r2,zero +81145a80: f800283a ret + +81145a84 : +81145a84: defff304 addi sp,sp,-52 +81145a88: df000b15 stw fp,44(sp) +81145a8c: 2039883a mov fp,r4 +81145a90: 21000a17 ldw r4,40(r4) +81145a94: dd400815 stw r21,32(sp) +81145a98: dc800515 stw r18,20(sp) +81145a9c: 282b883a mov r21,r5 +81145aa0: 3025883a mov r18,r6 +81145aa4: 000b883a mov r5,zero +81145aa8: d9800104 addi r6,sp,4 +81145aac: dc000315 stw r16,12(sp) +81145ab0: dfc00c15 stw ra,48(sp) +81145ab4: ddc00a15 stw r23,40(sp) +81145ab8: dd800915 stw r22,36(sp) +81145abc: dd000715 stw r20,28(sp) +81145ac0: dcc00615 stw r19,24(sp) +81145ac4: dc400415 stw r17,16(sp) +81145ac8: 3821883a mov r16,r7 +81145acc: 11435a40 call 811435a4 +81145ad0: 0480500e bge zero,r18,81145c14 +81145ad4: 8410000c andi r16,r16,16384 +81145ad8: 05020004 movi r20,2048 +81145adc: e4c01104 addi r19,fp,68 +81145ae0: dc000215 stw r16,8(sp) +81145ae4: e4000e17 ldw r16,56(fp) +81145ae8: a82f883a mov r23,r21 +81145aec: 00000a06 br 81145b18 +81145af0: 9080012e bgeu r18,r2,81145af8 +81145af4: 9023883a mov r17,r18 +81145af8: 8c21883a add r16,r17,r16 +81145afc: 880d883a mov r6,r17 +81145b00: 8401ffcc andi r16,r16,2047 +81145b04: 112c6880 call 8112c688 +81145b08: 9465c83a sub r18,r18,r17 +81145b0c: e4000e15 stw r16,56(fp) +81145b10: bc6f883a add r23,r23,r17 +81145b14: 0480080e bge zero,r18,81145b38 +81145b18: e5800d17 ldw r22,52(fp) +81145b1c: a405c83a sub r2,r20,r16 +81145b20: b4000136 bltu r22,r16,81145b28 +81145b24: b405c83a sub r2,r22,r16 +81145b28: 9c0b883a add r5,r19,r16 +81145b2c: b809883a mov r4,r23 +81145b30: 1023883a mov r17,r2 +81145b34: 103fee1e bne r2,zero,81145af0 <__reset+0xfb125af0> +81145b38: bd401b1e bne r23,r21,81145ba8 +81145b3c: dc000217 ldw r16,8(sp) +81145b40: 80000c1e bne r16,zero,81145b74 +81145b44: d0a0ab03 ldbu r2,-32084(gp) +81145b48: 00c00044 movi r3,1 +81145b4c: 10c0041e bne r2,r3,81145b60 +81145b50: 00000d06 br 81145b88 +81145b54: e1000917 ldw r4,36(fp) +81145b58: e0800117 ldw r2,4(fp) +81145b5c: 2080022e bgeu r4,r2,81145b68 +81145b60: e0800d17 ldw r2,52(fp) +81145b64: b0bffb26 beq r22,r2,81145b54 <__reset+0xfb125b54> +81145b68: e0800d17 ldw r2,52(fp) +81145b6c: b0800126 beq r22,r2,81145b74 +81145b70: 04bfdc16 blt zero,r18,81145ae4 <__reset+0xfb125ae4> +81145b74: e1000a17 ldw r4,40(fp) +81145b78: 11437dc0 call 811437dc +81145b7c: 80002326 beq r16,zero,81145c0c +81145b80: 00bffd44 movi r2,-11 +81145b84: 00001506 br 81145bdc +81145b88: e1000c17 ldw r4,48(fp) +81145b8c: d8800104 addi r2,sp,4 +81145b90: d8800015 stw r2,0(sp) +81145b94: 000f883a mov r7,zero +81145b98: 018020c4 movi r6,131 +81145b9c: 01400144 movi r5,5 +81145ba0: 11415e80 call 811415e8 +81145ba4: 003ff006 br 81145b68 <__reset+0xfb125b68> +81145ba8: e1000a17 ldw r4,40(fp) +81145bac: 11437dc0 call 811437dc +81145bb0: 0007303a rdctl r3,status +81145bb4: 00bfff84 movi r2,-2 +81145bb8: 1884703a and r2,r3,r2 +81145bbc: 1001703a wrctl status,r2 +81145bc0: e0800817 ldw r2,32(fp) +81145bc4: e1000017 ldw r4,0(fp) +81145bc8: 10800054 ori r2,r2,1 +81145bcc: e0800815 stw r2,32(fp) +81145bd0: 20800135 stwio r2,4(r4) +81145bd4: 1801703a wrctl status,r3 +81145bd8: bd45c83a sub r2,r23,r21 +81145bdc: dfc00c17 ldw ra,48(sp) +81145be0: df000b17 ldw fp,44(sp) +81145be4: ddc00a17 ldw r23,40(sp) +81145be8: dd800917 ldw r22,36(sp) +81145bec: dd400817 ldw r21,32(sp) +81145bf0: dd000717 ldw r20,28(sp) +81145bf4: dcc00617 ldw r19,24(sp) +81145bf8: dc800517 ldw r18,20(sp) +81145bfc: dc400417 ldw r17,16(sp) +81145c00: dc000317 ldw r16,12(sp) +81145c04: dec00d04 addi sp,sp,52 +81145c08: f800283a ret +81145c0c: 00bffec4 movi r2,-5 +81145c10: 003ff206 br 81145bdc <__reset+0xfb125bdc> +81145c14: 8410000c andi r16,r16,16384 +81145c18: 003fd606 br 81145b74 <__reset+0xfb125b74> + +81145c1c : +81145c1c: defff204 addi sp,sp,-56 +81145c20: dc000415 stw r16,16(sp) +81145c24: 2021883a mov r16,r4 +81145c28: 21000b17 ldw r4,44(r4) +81145c2c: dd800a15 stw r22,40(sp) +81145c30: d9400215 stw r5,8(sp) +81145c34: 302d883a mov r22,r6 +81145c38: 000b883a mov r5,zero +81145c3c: d9800104 addi r6,sp,4 +81145c40: df000c15 stw fp,48(sp) +81145c44: dfc00d15 stw ra,52(sp) +81145c48: ddc00b15 stw r23,44(sp) +81145c4c: dd400915 stw r21,36(sp) +81145c50: dd000815 stw r20,32(sp) +81145c54: dcc00715 stw r19,28(sp) +81145c58: dc800615 stw r18,24(sp) +81145c5c: dc400515 stw r17,20(sp) +81145c60: d9c00315 stw r7,12(sp) +81145c64: 11435a40 call 811435a4 +81145c68: df000217 ldw fp,8(sp) +81145c6c: 0580310e bge zero,r22,81145d34 +81145c70: d8c00317 ldw r3,12(sp) +81145c74: 04ffff84 movi r19,-2 +81145c78: 05000044 movi r20,1 +81145c7c: 1c90000c andi r18,r3,16384 +81145c80: 84421104 addi r17,r16,2116 +81145c84: 85400f17 ldw r21,60(r16) +81145c88: 81001017 ldw r4,64(r16) +81145c8c: a900452e bgeu r21,r4,81145da4 +81145c90: 20ffffc4 addi r3,r4,-1 +81145c94: 1d47c83a sub r3,r3,r21 +81145c98: 1800191e bne r3,zero,81145d00 +81145c9c: 0007303a rdctl r3,status +81145ca0: 1cc4703a and r2,r3,r19 +81145ca4: 1001703a wrctl status,r2 +81145ca8: 80800817 ldw r2,32(r16) +81145cac: 81400017 ldw r5,0(r16) +81145cb0: 10800094 ori r2,r2,2 +81145cb4: 80800815 stw r2,32(r16) +81145cb8: 28800135 stwio r2,4(r5) +81145cbc: 1801703a wrctl status,r3 +81145cc0: 9000491e bne r18,zero,81145de8 +81145cc4: d0a0ab03 ldbu r2,-32084(gp) +81145cc8: 15003d26 beq r2,r20,81145dc0 +81145ccc: 80c00917 ldw r3,36(r16) +81145cd0: 00000206 br 81145cdc +81145cd4: 80800117 ldw r2,4(r16) +81145cd8: 1880022e bgeu r3,r2,81145ce4 +81145cdc: 80801017 ldw r2,64(r16) +81145ce0: 20bffc26 beq r4,r2,81145cd4 <__reset+0xfb125cd4> +81145ce4: 183fe726 beq r3,zero,81145c84 <__reset+0xfb125c84> +81145ce8: 81000b17 ldw r4,44(r16) +81145cec: 11437dc0 call 811437dc +81145cf0: d8c00217 ldw r3,8(sp) +81145cf4: 1f001d1e bne r3,fp,81145d6c +81145cf8: 00bffec4 movi r2,-5 +81145cfc: 00001d06 br 81145d74 +81145d00: 182f883a mov r23,r3 +81145d04: b0c0012e bgeu r22,r3,81145d0c +81145d08: b02f883a mov r23,r22 +81145d0c: e00b883a mov r5,fp +81145d10: b80d883a mov r6,r23 +81145d14: 8d49883a add r4,r17,r21 +81145d18: 112c6880 call 8112c688 +81145d1c: adcf883a add r7,r21,r23 +81145d20: 39c1ffcc andi r7,r7,2047 +81145d24: b5edc83a sub r22,r22,r23 +81145d28: 81c00f15 stw r7,60(r16) +81145d2c: e5f9883a add fp,fp,r23 +81145d30: 05bfd416 blt zero,r22,81145c84 <__reset+0xfb125c84> +81145d34: 0007303a rdctl r3,status +81145d38: 00bfff84 movi r2,-2 +81145d3c: 1884703a and r2,r3,r2 +81145d40: 1001703a wrctl status,r2 +81145d44: 80800817 ldw r2,32(r16) +81145d48: 81000017 ldw r4,0(r16) +81145d4c: 10800094 ori r2,r2,2 +81145d50: 80800815 stw r2,32(r16) +81145d54: 20800135 stwio r2,4(r4) +81145d58: 1801703a wrctl status,r3 +81145d5c: 81000b17 ldw r4,44(r16) +81145d60: 11437dc0 call 811437dc +81145d64: d8c00217 ldw r3,8(sp) +81145d68: e0c02526 beq fp,r3,81145e00 +81145d6c: d8c00217 ldw r3,8(sp) +81145d70: e0c5c83a sub r2,fp,r3 +81145d74: dfc00d17 ldw ra,52(sp) +81145d78: df000c17 ldw fp,48(sp) +81145d7c: ddc00b17 ldw r23,44(sp) +81145d80: dd800a17 ldw r22,40(sp) +81145d84: dd400917 ldw r21,36(sp) +81145d88: dd000817 ldw r20,32(sp) +81145d8c: dcc00717 ldw r19,28(sp) +81145d90: dc800617 ldw r18,24(sp) +81145d94: dc400517 ldw r17,20(sp) +81145d98: dc000417 ldw r16,16(sp) +81145d9c: dec00e04 addi sp,sp,56 +81145da0: f800283a ret +81145da4: 20000326 beq r4,zero,81145db4 +81145da8: 00820004 movi r2,2048 +81145dac: 1547c83a sub r3,r2,r21 +81145db0: 003fb906 br 81145c98 <__reset+0xfb125c98> +81145db4: 0081ffc4 movi r2,2047 +81145db8: 1547c83a sub r3,r2,r21 +81145dbc: 003fb606 br 81145c98 <__reset+0xfb125c98> +81145dc0: 81000c17 ldw r4,48(r16) +81145dc4: d8c00104 addi r3,sp,4 +81145dc8: d8c00015 stw r3,0(sp) +81145dcc: 000f883a mov r7,zero +81145dd0: 018020c4 movi r6,131 +81145dd4: 01400184 movi r5,6 +81145dd8: 11415e80 call 811415e8 +81145ddc: 80c00917 ldw r3,36(r16) +81145de0: 183fa826 beq r3,zero,81145c84 <__reset+0xfb125c84> +81145de4: 003fc006 br 81145ce8 <__reset+0xfb125ce8> +81145de8: 81000b17 ldw r4,44(r16) +81145dec: 11437dc0 call 811437dc +81145df0: d8c00217 ldw r3,8(sp) +81145df4: 1f3fdd1e bne r3,fp,81145d6c <__reset+0xfb125d6c> +81145df8: 00bffd44 movi r2,-11 +81145dfc: 003fdd06 br 81145d74 <__reset+0xfb125d74> +81145e00: d8c00317 ldw r3,12(sp) +81145e04: 1f10000c andi fp,r3,16384 +81145e08: e03fbb26 beq fp,zero,81145cf8 <__reset+0xfb125cf8> +81145e0c: 003ffa06 br 81145df8 <__reset+0xfb125df8> + +81145e10 : 81145e10: defffe04 addi sp,sp,-8 81145e14: dfc00115 stw ra,4(sp) -81145e18: 2005883a mov r2,r4 -81145e1c: 2809883a mov r4,r5 -81145e20: 300b883a mov r5,r6 -81145e24: 1800011e bne r3,zero,81145e2c -81145e28: d1e0a315 stw r7,-32116(gp) -81145e2c: 00c001c4 movi r3,7 -81145e30: 10c00135 stwio r3,4(r2) -81145e34: 01a04534 movhi r6,33044 -81145e38: 31977404 addi r6,r6,24016 -81145e3c: d8000015 stw zero,0(sp) -81145e40: 100f883a mov r7,r2 -81145e44: 11466a00 call 811466a0 -81145e48: dfc00117 ldw ra,4(sp) -81145e4c: dec00204 addi sp,sp,8 -81145e50: f800283a ret - -81145e54 : -81145e54: 20800017 ldw r2,0(r4) -81145e58: 21c00217 ldw r7,8(r4) -81145e5c: 11000a04 addi r4,r2,40 -81145e60: 11461181 jmpi 81146118 - -81145e64 : -81145e64: 20800017 ldw r2,0(r4) -81145e68: 21c00217 ldw r7,8(r4) -81145e6c: 11000a04 addi r4,r2,40 -81145e70: 11462981 jmpi 81146298 - -81145e74 : -81145e74: 20800017 ldw r2,0(r4) -81145e78: 21400217 ldw r5,8(r4) -81145e7c: 11000a04 addi r4,r2,40 -81145e80: 11460f01 jmpi 811460f0 - -81145e84 : -81145e84: 20800017 ldw r2,0(r4) -81145e88: defffc04 addi sp,sp,-16 -81145e8c: dc000115 stw r16,4(sp) -81145e90: 10800204 addi r2,r2,8 -81145e94: 2021883a mov r16,r4 -81145e98: dfc00315 stw ra,12(sp) -81145e9c: dc400215 stw r17,8(sp) -81145ea0: 14400037 ldwio r17,0(r2) -81145ea4: 10000035 stwio zero,0(r2) -81145ea8: 10800037 ldwio r2,0(r2) -81145eac: 8880200c andi r2,r17,128 -81145eb0: 10002a1e bne r2,zero,81145f5c -81145eb4: 8881100c andi r2,r17,1088 -81145eb8: 1000051e bne r2,zero,81145ed0 -81145ebc: dfc00317 ldw ra,12(sp) -81145ec0: dc400217 ldw r17,8(sp) -81145ec4: dc000117 ldw r16,4(sp) -81145ec8: dec00404 addi sp,sp,16 -81145ecc: f800283a ret -81145ed0: 80c00417 ldw r3,16(r16) -81145ed4: 80800517 ldw r2,20(r16) -81145ed8: 18804b26 beq r3,r2,81146008 -81145edc: 80800617 ldw r2,24(r16) -81145ee0: 1080008c andi r2,r2,2 -81145ee4: 1000381e bne r2,zero,81145fc8 -81145ee8: 80c00417 ldw r3,16(r16) -81145eec: 80800517 ldw r2,20(r16) -81145ef0: 10800044 addi r2,r2,1 -81145ef4: 10800fcc andi r2,r2,63 -81145ef8: 18803b26 beq r3,r2,81145fe8 -81145efc: 80800417 ldw r2,16(r16) -81145f00: 80c00017 ldw r3,0(r16) -81145f04: 8085883a add r2,r16,r2 -81145f08: 10801a04 addi r2,r2,104 -81145f0c: 10800003 ldbu r2,0(r2) -81145f10: 10803fcc andi r2,r2,255 -81145f14: 18800135 stwio r2,4(r3) -81145f18: 80c00417 ldw r3,16(r16) -81145f1c: 80800117 ldw r2,4(r16) -81145f20: 81000017 ldw r4,0(r16) -81145f24: 18c00044 addi r3,r3,1 -81145f28: 80c00415 stw r3,16(r16) -81145f2c: 10801014 ori r2,r2,64 -81145f30: 18c00fcc andi r3,r3,63 -81145f34: 80c00415 stw r3,16(r16) -81145f38: 80800115 stw r2,4(r16) -81145f3c: 81400417 ldw r5,16(r16) -81145f40: 80c00517 ldw r3,20(r16) -81145f44: 28c0031e bne r5,r3,81145f54 -81145f48: 00feefc4 movi r3,-1089 -81145f4c: 10c4703a and r2,r2,r3 -81145f50: 80800115 stw r2,4(r16) -81145f54: 20800335 stwio r2,12(r4) -81145f58: 003fd806 br 81145ebc <__reset+0xfb125ebc> -81145f5c: 888000cc andi r2,r17,3 -81145f60: 103fd41e bne r2,zero,81145eb4 <__reset+0xfb125eb4> -81145f64: 20c00317 ldw r3,12(r4) -81145f68: 20800217 ldw r2,8(r4) -81145f6c: 18802926 beq r3,r2,81146014 -81145f70: 80800317 ldw r2,12(r16) -81145f74: 81000017 ldw r4,0(r16) -81145f78: 80c00317 ldw r3,12(r16) -81145f7c: 10800044 addi r2,r2,1 -81145f80: 10800fcc andi r2,r2,63 -81145f84: 21000037 ldwio r4,0(r4) -81145f88: 80c7883a add r3,r16,r3 -81145f8c: 18c00a04 addi r3,r3,40 -81145f90: 19000005 stb r4,0(r3) -81145f94: 80800315 stw r2,12(r16) -81145f98: 80800317 ldw r2,12(r16) -81145f9c: 80c00217 ldw r3,8(r16) -81145fa0: 10800044 addi r2,r2,1 -81145fa4: 10800fcc andi r2,r2,63 -81145fa8: 10ffc21e bne r2,r3,81145eb4 <__reset+0xfb125eb4> -81145fac: 81000117 ldw r4,4(r16) -81145fb0: 80c00017 ldw r3,0(r16) -81145fb4: 00bfdfc4 movi r2,-129 -81145fb8: 2084703a and r2,r4,r2 -81145fbc: 80800115 stw r2,4(r16) -81145fc0: 18800335 stwio r2,12(r3) -81145fc4: 003fbb06 br 81145eb4 <__reset+0xfb125eb4> -81145fc8: 8c42000c andi r17,r17,2048 -81145fcc: 883fc61e bne r17,zero,81145ee8 <__reset+0xfb125ee8> -81145fd0: 81000017 ldw r4,0(r16) -81145fd4: 20800237 ldwio r2,8(r4) -81145fd8: 1082000c andi r2,r2,2048 -81145fdc: 10001526 beq r2,zero,81146034 -81145fe0: 80800117 ldw r2,4(r16) -81145fe4: 003fd506 br 81145f3c <__reset+0xfb125f3c> -81145fe8: d0a0ab03 ldbu r2,-32084(gp) -81145fec: 103fc326 beq r2,zero,81145efc <__reset+0xfb125efc> -81145ff0: 81000717 ldw r4,28(r16) -81145ff4: d80f883a mov r7,sp -81145ff8: 01800044 movi r6,1 -81145ffc: 01400084 movi r5,2 -81146000: 11418ec0 call 811418ec -81146004: 003fbd06 br 81145efc <__reset+0xfb125efc> -81146008: 80800117 ldw r2,4(r16) -8114600c: 81000017 ldw r4,0(r16) -81146010: 003fca06 br 81145f3c <__reset+0xfb125f3c> -81146014: d0a0ab03 ldbu r2,-32084(gp) -81146018: 103fd526 beq r2,zero,81145f70 <__reset+0xfb125f70> -8114601c: 21000717 ldw r4,28(r4) -81146020: 01800044 movi r6,1 -81146024: d80f883a mov r7,sp -81146028: 300b883a mov r5,r6 -8114602c: 11418ec0 call 811418ec -81146030: 003fcf06 br 81145f70 <__reset+0xfb125f70> -81146034: 80c00117 ldw r3,4(r16) -81146038: 00bfefc4 movi r2,-65 -8114603c: 1884703a and r2,r3,r2 -81146040: 80800115 stw r2,4(r16) +81145e18: dc000015 stw r16,0(sp) +81145e1c: 20000035 stwio zero,0(r4) +81145e20: 20800137 ldwio r2,4(r4) +81145e24: 0021303a rdctl r16,status +81145e28: 00bfff84 movi r2,-2 +81145e2c: 8084703a and r2,r16,r2 +81145e30: 1001703a wrctl status,r2 +81145e34: 113f9100 call 8113f910 +81145e38: 8001703a wrctl status,r16 +81145e3c: dfc00117 ldw ra,4(sp) +81145e40: dc000017 ldw r16,0(sp) +81145e44: dec00204 addi sp,sp,8 +81145e48: f800283a ret + +81145e4c : +81145e4c: d0e0a317 ldw r3,-32116(gp) +81145e50: defffe04 addi sp,sp,-8 +81145e54: dfc00115 stw ra,4(sp) +81145e58: 2005883a mov r2,r4 +81145e5c: 2809883a mov r4,r5 +81145e60: 300b883a mov r5,r6 +81145e64: 1800011e bne r3,zero,81145e6c +81145e68: d1e0a315 stw r7,-32116(gp) +81145e6c: 00c001c4 movi r3,7 +81145e70: 10c00135 stwio r3,4(r2) +81145e74: 01a04534 movhi r6,33044 +81145e78: 31978404 addi r6,r6,24080 +81145e7c: d8000015 stw zero,0(sp) +81145e80: 100f883a mov r7,r2 +81145e84: 11466e00 call 811466e0 +81145e88: dfc00117 ldw ra,4(sp) +81145e8c: dec00204 addi sp,sp,8 +81145e90: f800283a ret + +81145e94 : +81145e94: 20800017 ldw r2,0(r4) +81145e98: 21c00217 ldw r7,8(r4) +81145e9c: 11000a04 addi r4,r2,40 +81145ea0: 11461581 jmpi 81146158 + +81145ea4 : +81145ea4: 20800017 ldw r2,0(r4) +81145ea8: 21c00217 ldw r7,8(r4) +81145eac: 11000a04 addi r4,r2,40 +81145eb0: 11462d81 jmpi 811462d8 + +81145eb4 : +81145eb4: 20800017 ldw r2,0(r4) +81145eb8: 21400217 ldw r5,8(r4) +81145ebc: 11000a04 addi r4,r2,40 +81145ec0: 11461301 jmpi 81146130 + +81145ec4 : +81145ec4: 20800017 ldw r2,0(r4) +81145ec8: defffc04 addi sp,sp,-16 +81145ecc: dc000115 stw r16,4(sp) +81145ed0: 10800204 addi r2,r2,8 +81145ed4: 2021883a mov r16,r4 +81145ed8: dfc00315 stw ra,12(sp) +81145edc: dc400215 stw r17,8(sp) +81145ee0: 14400037 ldwio r17,0(r2) +81145ee4: 10000035 stwio zero,0(r2) +81145ee8: 10800037 ldwio r2,0(r2) +81145eec: 8880200c andi r2,r17,128 +81145ef0: 10002a1e bne r2,zero,81145f9c +81145ef4: 8881100c andi r2,r17,1088 +81145ef8: 1000051e bne r2,zero,81145f10 +81145efc: dfc00317 ldw ra,12(sp) +81145f00: dc400217 ldw r17,8(sp) +81145f04: dc000117 ldw r16,4(sp) +81145f08: dec00404 addi sp,sp,16 +81145f0c: f800283a ret +81145f10: 80c00417 ldw r3,16(r16) +81145f14: 80800517 ldw r2,20(r16) +81145f18: 18804b26 beq r3,r2,81146048 +81145f1c: 80800617 ldw r2,24(r16) +81145f20: 1080008c andi r2,r2,2 +81145f24: 1000381e bne r2,zero,81146008 +81145f28: 80c00417 ldw r3,16(r16) +81145f2c: 80800517 ldw r2,20(r16) +81145f30: 10800044 addi r2,r2,1 +81145f34: 10800fcc andi r2,r2,63 +81145f38: 18803b26 beq r3,r2,81146028 +81145f3c: 80800417 ldw r2,16(r16) +81145f40: 80c00017 ldw r3,0(r16) +81145f44: 8085883a add r2,r16,r2 +81145f48: 10801a04 addi r2,r2,104 +81145f4c: 10800003 ldbu r2,0(r2) +81145f50: 10803fcc andi r2,r2,255 +81145f54: 18800135 stwio r2,4(r3) +81145f58: 80c00417 ldw r3,16(r16) +81145f5c: 80800117 ldw r2,4(r16) +81145f60: 81000017 ldw r4,0(r16) +81145f64: 18c00044 addi r3,r3,1 +81145f68: 80c00415 stw r3,16(r16) +81145f6c: 10801014 ori r2,r2,64 +81145f70: 18c00fcc andi r3,r3,63 +81145f74: 80c00415 stw r3,16(r16) +81145f78: 80800115 stw r2,4(r16) +81145f7c: 81400417 ldw r5,16(r16) +81145f80: 80c00517 ldw r3,20(r16) +81145f84: 28c0031e bne r5,r3,81145f94 +81145f88: 00feefc4 movi r3,-1089 +81145f8c: 10c4703a and r2,r2,r3 +81145f90: 80800115 stw r2,4(r16) +81145f94: 20800335 stwio r2,12(r4) +81145f98: 003fd806 br 81145efc <__reset+0xfb125efc> +81145f9c: 888000cc andi r2,r17,3 +81145fa0: 103fd41e bne r2,zero,81145ef4 <__reset+0xfb125ef4> +81145fa4: 20c00317 ldw r3,12(r4) +81145fa8: 20800217 ldw r2,8(r4) +81145fac: 18802926 beq r3,r2,81146054 +81145fb0: 80800317 ldw r2,12(r16) +81145fb4: 81000017 ldw r4,0(r16) +81145fb8: 80c00317 ldw r3,12(r16) +81145fbc: 10800044 addi r2,r2,1 +81145fc0: 10800fcc andi r2,r2,63 +81145fc4: 21000037 ldwio r4,0(r4) +81145fc8: 80c7883a add r3,r16,r3 +81145fcc: 18c00a04 addi r3,r3,40 +81145fd0: 19000005 stb r4,0(r3) +81145fd4: 80800315 stw r2,12(r16) +81145fd8: 80800317 ldw r2,12(r16) +81145fdc: 80c00217 ldw r3,8(r16) +81145fe0: 10800044 addi r2,r2,1 +81145fe4: 10800fcc andi r2,r2,63 +81145fe8: 10ffc21e bne r2,r3,81145ef4 <__reset+0xfb125ef4> +81145fec: 81000117 ldw r4,4(r16) +81145ff0: 80c00017 ldw r3,0(r16) +81145ff4: 00bfdfc4 movi r2,-129 +81145ff8: 2084703a and r2,r4,r2 +81145ffc: 80800115 stw r2,4(r16) +81146000: 18800335 stwio r2,12(r3) +81146004: 003fbb06 br 81145ef4 <__reset+0xfb125ef4> +81146008: 8c42000c andi r17,r17,2048 +8114600c: 883fc61e bne r17,zero,81145f28 <__reset+0xfb125f28> +81146010: 81000017 ldw r4,0(r16) +81146014: 20800237 ldwio r2,8(r4) +81146018: 1082000c andi r2,r2,2048 +8114601c: 10001526 beq r2,zero,81146074 +81146020: 80800117 ldw r2,4(r16) +81146024: 003fd506 br 81145f7c <__reset+0xfb125f7c> +81146028: d0a0ab03 ldbu r2,-32084(gp) +8114602c: 103fc326 beq r2,zero,81145f3c <__reset+0xfb125f3c> +81146030: 81000717 ldw r4,28(r16) +81146034: d80f883a mov r7,sp +81146038: 01800044 movi r6,1 +8114603c: 01400084 movi r5,2 +81146040: 114192c0 call 8114192c 81146044: 003fbd06 br 81145f3c <__reset+0xfb125f3c> - -81146048 : -81146048: defff904 addi sp,sp,-28 -8114604c: dc800415 stw r18,16(sp) -81146050: dc000215 stw r16,8(sp) -81146054: 2825883a mov r18,r5 -81146058: 2021883a mov r16,r4 -8114605c: d9400104 addi r5,sp,4 -81146060: 0009883a mov r4,zero -81146064: dcc00515 stw r19,20(sp) -81146068: dc400315 stw r17,12(sp) -8114606c: dfc00615 stw ra,24(sp) -81146070: 3027883a mov r19,r6 -81146074: 84400017 ldw r17,0(r16) -81146078: 11412280 call 81141228 -8114607c: d8c00103 ldbu r3,4(sp) -81146080: 80800715 stw r2,28(r16) -81146084: 18000726 beq r3,zero,811460a4 -81146088: dfc00617 ldw ra,24(sp) -8114608c: dcc00517 ldw r19,20(sp) -81146090: dc800417 ldw r18,16(sp) -81146094: dc400317 ldw r17,12(sp) -81146098: dc000217 ldw r16,8(sp) -8114609c: dec00704 addi sp,sp,28 -811460a0: f800283a ret -811460a4: 01000044 movi r4,1 -811460a8: 11433700 call 81143370 -811460ac: 80800815 stw r2,32(r16) -811460b0: 103ff526 beq r2,zero,81146088 <__reset+0xfb126088> -811460b4: 01000044 movi r4,1 -811460b8: 11433700 call 81143370 -811460bc: 80800915 stw r2,36(r16) -811460c0: 103ff126 beq r2,zero,81146088 <__reset+0xfb126088> -811460c4: 00832004 movi r2,3200 -811460c8: 80800115 stw r2,4(r16) -811460cc: 88800335 stwio r2,12(r17) -811460d0: 01a04534 movhi r6,33044 -811460d4: 3197a104 addi r6,r6,24196 -811460d8: d8000015 stw zero,0(sp) -811460dc: 800f883a mov r7,r16 -811460e0: 980b883a mov r5,r19 -811460e4: 9009883a mov r4,r18 -811460e8: 11466a00 call 811466a0 -811460ec: 003fe606 br 81146088 <__reset+0xfb126088> - -811460f0 : -811460f0: 2950000c andi r5,r5,16384 -811460f4: 00000106 br 811460fc -811460f8: 2800051e bne r5,zero,81146110 -811460fc: 20c00417 ldw r3,16(r4) -81146100: 20800517 ldw r2,20(r4) -81146104: 18bffc1e bne r3,r2,811460f8 <__reset+0xfb1260f8> -81146108: 0005883a mov r2,zero -8114610c: f800283a ret -81146110: 00bffd44 movi r2,-11 -81146114: f800283a ret - -81146118 : -81146118: defff704 addi sp,sp,-36 -8114611c: dc000215 stw r16,8(sp) -81146120: 2021883a mov r16,r4 -81146124: 21000817 ldw r4,32(r4) -81146128: dd000615 stw r20,24(sp) -8114612c: dcc00515 stw r19,20(sp) -81146130: 2829883a mov r20,r5 -81146134: 3027883a mov r19,r6 -81146138: 000b883a mov r5,zero -8114613c: d9800104 addi r6,sp,4 -81146140: dd400715 stw r21,28(sp) -81146144: dc800415 stw r18,16(sp) -81146148: dc400315 stw r17,12(sp) -8114614c: dfc00815 stw ra,32(sp) -81146150: 3c90000c andi r18,r7,16384 -81146154: 0023883a mov r17,zero -81146158: 11435640 call 81143564 -8114615c: 057fff84 movi r21,-2 -81146160: 8cc00f0e bge r17,r19,811461a0 -81146164: 80c00217 ldw r3,8(r16) -81146168: 80800317 ldw r2,12(r16) -8114616c: 18800c26 beq r3,r2,811461a0 -81146170: 80800217 ldw r2,8(r16) -81146174: a5000044 addi r20,r20,1 -81146178: 8c400044 addi r17,r17,1 -8114617c: 8085883a add r2,r16,r2 -81146180: 10800a04 addi r2,r2,40 -81146184: 10800003 ldbu r2,0(r2) -81146188: a0bfffc5 stb r2,-1(r20) -8114618c: 80800217 ldw r2,8(r16) -81146190: 10800044 addi r2,r2,1 -81146194: 10800fcc andi r2,r2,63 -81146198: 80800215 stw r2,8(r16) -8114619c: 8cfff116 blt r17,r19,81146164 <__reset+0xfb126164> -811461a0: 8800041e bne r17,zero,811461b4 +81146048: 80800117 ldw r2,4(r16) +8114604c: 81000017 ldw r4,0(r16) +81146050: 003fca06 br 81145f7c <__reset+0xfb125f7c> +81146054: d0a0ab03 ldbu r2,-32084(gp) +81146058: 103fd526 beq r2,zero,81145fb0 <__reset+0xfb125fb0> +8114605c: 21000717 ldw r4,28(r4) +81146060: 01800044 movi r6,1 +81146064: d80f883a mov r7,sp +81146068: 300b883a mov r5,r6 +8114606c: 114192c0 call 8114192c +81146070: 003fcf06 br 81145fb0 <__reset+0xfb125fb0> +81146074: 80c00117 ldw r3,4(r16) +81146078: 00bfefc4 movi r2,-65 +8114607c: 1884703a and r2,r3,r2 +81146080: 80800115 stw r2,4(r16) +81146084: 003fbd06 br 81145f7c <__reset+0xfb125f7c> + +81146088 : +81146088: defff904 addi sp,sp,-28 +8114608c: dc800415 stw r18,16(sp) +81146090: dc000215 stw r16,8(sp) +81146094: 2825883a mov r18,r5 +81146098: 2021883a mov r16,r4 +8114609c: d9400104 addi r5,sp,4 +811460a0: 0009883a mov r4,zero +811460a4: dcc00515 stw r19,20(sp) +811460a8: dc400315 stw r17,12(sp) +811460ac: dfc00615 stw ra,24(sp) +811460b0: 3027883a mov r19,r6 +811460b4: 84400017 ldw r17,0(r16) +811460b8: 11412680 call 81141268 +811460bc: d8c00103 ldbu r3,4(sp) +811460c0: 80800715 stw r2,28(r16) +811460c4: 18000726 beq r3,zero,811460e4 +811460c8: dfc00617 ldw ra,24(sp) +811460cc: dcc00517 ldw r19,20(sp) +811460d0: dc800417 ldw r18,16(sp) +811460d4: dc400317 ldw r17,12(sp) +811460d8: dc000217 ldw r16,8(sp) +811460dc: dec00704 addi sp,sp,28 +811460e0: f800283a ret +811460e4: 01000044 movi r4,1 +811460e8: 11433b00 call 811433b0 +811460ec: 80800815 stw r2,32(r16) +811460f0: 103ff526 beq r2,zero,811460c8 <__reset+0xfb1260c8> +811460f4: 01000044 movi r4,1 +811460f8: 11433b00 call 811433b0 +811460fc: 80800915 stw r2,36(r16) +81146100: 103ff126 beq r2,zero,811460c8 <__reset+0xfb1260c8> +81146104: 00832004 movi r2,3200 +81146108: 80800115 stw r2,4(r16) +8114610c: 88800335 stwio r2,12(r17) +81146110: 01a04534 movhi r6,33044 +81146114: 3197b104 addi r6,r6,24260 +81146118: d8000015 stw zero,0(sp) +8114611c: 800f883a mov r7,r16 +81146120: 980b883a mov r5,r19 +81146124: 9009883a mov r4,r18 +81146128: 11466e00 call 811466e0 +8114612c: 003fe606 br 811460c8 <__reset+0xfb1260c8> + +81146130 : +81146130: 2950000c andi r5,r5,16384 +81146134: 00000106 br 8114613c +81146138: 2800051e bne r5,zero,81146150 +8114613c: 20c00417 ldw r3,16(r4) +81146140: 20800517 ldw r2,20(r4) +81146144: 18bffc1e bne r3,r2,81146138 <__reset+0xfb126138> +81146148: 0005883a mov r2,zero +8114614c: f800283a ret +81146150: 00bffd44 movi r2,-11 +81146154: f800283a ret + +81146158 : +81146158: defff704 addi sp,sp,-36 +8114615c: dc000215 stw r16,8(sp) +81146160: 2021883a mov r16,r4 +81146164: 21000817 ldw r4,32(r4) +81146168: dd000615 stw r20,24(sp) +8114616c: dcc00515 stw r19,20(sp) +81146170: 2829883a mov r20,r5 +81146174: 3027883a mov r19,r6 +81146178: 000b883a mov r5,zero +8114617c: d9800104 addi r6,sp,4 +81146180: dd400715 stw r21,28(sp) +81146184: dc800415 stw r18,16(sp) +81146188: dc400315 stw r17,12(sp) +8114618c: dfc00815 stw ra,32(sp) +81146190: 3c90000c andi r18,r7,16384 +81146194: 0023883a mov r17,zero +81146198: 11435a40 call 811435a4 +8114619c: 057fff84 movi r21,-2 +811461a0: 8cc00f0e bge r17,r19,811461e0 811461a4: 80c00217 ldw r3,8(r16) 811461a8: 80800317 ldw r2,12(r16) -811461ac: 18801a26 beq r3,r2,81146218 -811461b0: 983feb1e bne r19,zero,81146160 <__reset+0xfb126160> -811461b4: 0025883a mov r18,zero -811461b8: 81000817 ldw r4,32(r16) -811461bc: 114379c0 call 8114379c -811461c0: 0007303a rdctl r3,status -811461c4: 00bfff84 movi r2,-2 -811461c8: 1884703a and r2,r3,r2 -811461cc: 1001703a wrctl status,r2 -811461d0: 80800117 ldw r2,4(r16) -811461d4: 81000017 ldw r4,0(r16) -811461d8: 10802014 ori r2,r2,128 -811461dc: 80800115 stw r2,4(r16) -811461e0: 20800335 stwio r2,12(r4) -811461e4: 1801703a wrctl status,r3 -811461e8: 94803fcc andi r18,r18,255 -811461ec: 9000281e bne r18,zero,81146290 -811461f0: 8805883a mov r2,r17 -811461f4: dfc00817 ldw ra,32(sp) -811461f8: dd400717 ldw r21,28(sp) -811461fc: dd000617 ldw r20,24(sp) -81146200: dcc00517 ldw r19,20(sp) -81146204: dc800417 ldw r18,16(sp) -81146208: dc400317 ldw r17,12(sp) -8114620c: dc000217 ldw r16,8(sp) -81146210: dec00904 addi sp,sp,36 -81146214: f800283a ret -81146218: 9000141e bne r18,zero,8114626c -8114621c: 0007303a rdctl r3,status -81146220: 1d44703a and r2,r3,r21 -81146224: 1001703a wrctl status,r2 -81146228: 80800117 ldw r2,4(r16) -8114622c: 81000017 ldw r4,0(r16) -81146230: 10802014 ori r2,r2,128 -81146234: 80800115 stw r2,4(r16) -81146238: 20800335 stwio r2,12(r4) -8114623c: 1801703a wrctl status,r3 -81146240: d0a0ab03 ldbu r2,-32084(gp) -81146244: 103fda26 beq r2,zero,811461b0 <__reset+0xfb1261b0> -81146248: 81000717 ldw r4,28(r16) -8114624c: d8800104 addi r2,sp,4 -81146250: d8800015 stw r2,0(sp) -81146254: 000f883a mov r7,zero -81146258: 018020c4 movi r6,131 -8114625c: 01400044 movi r5,1 -81146260: 11415a80 call 811415a8 -81146264: 983fbe1e bne r19,zero,81146160 <__reset+0xfb126160> -81146268: 003fd206 br 811461b4 <__reset+0xfb1261b4> -8114626c: d0a01a17 ldw r2,-32664(gp) -81146270: 10000526 beq r2,zero,81146288 -81146274: 103ee83a callr r2 -81146278: 00c002c4 movi r3,11 -8114627c: 10c00015 stw r3,0(r2) -81146280: 04800044 movi r18,1 -81146284: 003fcc06 br 811461b8 <__reset+0xfb1261b8> -81146288: d0a09604 addi r2,gp,-32168 -8114628c: 003ffa06 br 81146278 <__reset+0xfb126278> -81146290: 00bffd44 movi r2,-11 -81146294: 003fd706 br 811461f4 <__reset+0xfb1261f4> - -81146298 : -81146298: defff604 addi sp,sp,-40 -8114629c: dc000215 stw r16,8(sp) -811462a0: 2021883a mov r16,r4 -811462a4: 21000917 ldw r4,36(r4) -811462a8: dd400715 stw r21,28(sp) -811462ac: dd000615 stw r20,24(sp) -811462b0: 282b883a mov r21,r5 -811462b4: 3029883a mov r20,r6 -811462b8: 000b883a mov r5,zero -811462bc: d9800104 addi r6,sp,4 -811462c0: dc800415 stw r18,16(sp) -811462c4: dfc00915 stw ra,36(sp) -811462c8: dd800815 stw r22,32(sp) -811462cc: dcc00515 stw r19,20(sp) -811462d0: dc400315 stw r17,12(sp) -811462d4: 3c90000c andi r18,r7,16384 -811462d8: 11435640 call 81143564 -811462dc: a0002826 beq r20,zero,81146380 -811462e0: a02d883a mov r22,r20 -811462e4: 04ffff84 movi r19,-2 -811462e8: 00000906 br 81146310 -811462ec: 80800517 ldw r2,20(r16) -811462f0: a8c00003 ldbu r3,0(r21) -811462f4: b5bfffc4 addi r22,r22,-1 -811462f8: 8085883a add r2,r16,r2 -811462fc: 10801a04 addi r2,r2,104 -81146300: 10c00005 stb r3,0(r2) -81146304: 84400515 stw r17,20(r16) -81146308: ad400044 addi r21,r21,1 -8114630c: b0001c26 beq r22,zero,81146380 -81146310: 84400517 ldw r17,20(r16) -81146314: 80800417 ldw r2,16(r16) -81146318: 8c400044 addi r17,r17,1 -8114631c: 8c400fcc andi r17,r17,63 -81146320: 88bff21e bne r17,r2,811462ec <__reset+0xfb1262ec> -81146324: 90002e1e bne r18,zero,811463e0 -81146328: 0007303a rdctl r3,status -8114632c: 1cc4703a and r2,r3,r19 -81146330: 1001703a wrctl status,r2 -81146334: 80800117 ldw r2,4(r16) -81146338: 81000017 ldw r4,0(r16) -8114633c: 10811014 ori r2,r2,1088 -81146340: 80800115 stw r2,4(r16) -81146344: 20800335 stwio r2,12(r4) -81146348: 1801703a wrctl status,r3 -8114634c: 00000206 br 81146358 -81146350: 80800417 ldw r2,16(r16) -81146354: 88bfe51e bne r17,r2,811462ec <__reset+0xfb1262ec> -81146358: d0a0ab03 ldbu r2,-32084(gp) -8114635c: 103ffc26 beq r2,zero,81146350 <__reset+0xfb126350> -81146360: 81000717 ldw r4,28(r16) -81146364: d8800104 addi r2,sp,4 -81146368: d8800015 stw r2,0(sp) -8114636c: 000f883a mov r7,zero -81146370: 018020c4 movi r6,131 -81146374: 01400084 movi r5,2 -81146378: 11415a80 call 811415a8 -8114637c: 003ff406 br 81146350 <__reset+0xfb126350> -81146380: 002d883a mov r22,zero -81146384: 81000917 ldw r4,36(r16) -81146388: 114379c0 call 8114379c -8114638c: 0007303a rdctl r3,status -81146390: 00bfff84 movi r2,-2 -81146394: 1884703a and r2,r3,r2 -81146398: 1001703a wrctl status,r2 -8114639c: 80800117 ldw r2,4(r16) -811463a0: 81000017 ldw r4,0(r16) -811463a4: 10811014 ori r2,r2,1088 -811463a8: 80800115 stw r2,4(r16) -811463ac: 20800335 stwio r2,12(r4) -811463b0: 1801703a wrctl status,r3 -811463b4: a585c83a sub r2,r20,r22 -811463b8: dfc00917 ldw ra,36(sp) -811463bc: dd800817 ldw r22,32(sp) -811463c0: dd400717 ldw r21,28(sp) -811463c4: dd000617 ldw r20,24(sp) -811463c8: dcc00517 ldw r19,20(sp) -811463cc: dc800417 ldw r18,16(sp) -811463d0: dc400317 ldw r17,12(sp) -811463d4: dc000217 ldw r16,8(sp) -811463d8: dec00a04 addi sp,sp,40 -811463dc: f800283a ret -811463e0: d0a01a17 ldw r2,-32664(gp) -811463e4: 10000426 beq r2,zero,811463f8 -811463e8: 103ee83a callr r2 -811463ec: 00c002c4 movi r3,11 -811463f0: 10c00015 stw r3,0(r2) -811463f4: 003fe306 br 81146384 <__reset+0xfb126384> -811463f8: d0a09604 addi r2,gp,-32168 -811463fc: 003ffb06 br 811463ec <__reset+0xfb1263ec> - -81146400 : -81146400: d0a0a317 ldw r2,-32116(gp) -81146404: 10001a26 beq r2,zero,81146470 -81146408: 20001b26 beq r4,zero,81146478 -8114640c: 21800315 stw r6,12(r4) -81146410: 21c00515 stw r7,20(r4) -81146414: 0007303a rdctl r3,status -81146418: 00bfff84 movi r2,-2 -8114641c: 1884703a and r2,r3,r2 -81146420: 1001703a wrctl status,r2 -81146424: d0a0a217 ldw r2,-32120(gp) -81146428: 11800044 addi r6,r2,1 -8114642c: 314b883a add r5,r6,r5 -81146430: 21400215 stw r5,8(r4) -81146434: 28800b36 bltu r5,r2,81146464 -81146438: 20000405 stb zero,16(r4) -8114643c: d0a01d04 addi r2,gp,-32652 -81146440: 20800115 stw r2,4(r4) -81146444: d0a01d17 ldw r2,-32652(gp) -81146448: 20800015 stw r2,0(r4) -8114644c: d0a01d17 ldw r2,-32652(gp) -81146450: d1201d15 stw r4,-32652(gp) -81146454: 11000115 stw r4,4(r2) -81146458: 1801703a wrctl status,r3 -8114645c: 0005883a mov r2,zero -81146460: f800283a ret -81146464: 00800044 movi r2,1 -81146468: 20800405 stb r2,16(r4) -8114646c: 003ff306 br 8114643c <__reset+0xfb12643c> -81146470: 00bfde84 movi r2,-134 -81146474: f800283a ret -81146478: 00bffa84 movi r2,-22 -8114647c: f800283a ret - -81146480 : -81146480: 20000a26 beq r4,zero,811464ac -81146484: 20800217 ldw r2,8(r4) -81146488: 10000826 beq r2,zero,811464ac -8114648c: 28c00017 ldw r3,0(r5) -81146490: 21400115 stw r5,4(r4) -81146494: 0005883a mov r2,zero -81146498: 20c00015 stw r3,0(r4) -8114649c: 28c00017 ldw r3,0(r5) -811464a0: 19000115 stw r4,4(r3) -811464a4: 29000015 stw r4,0(r5) -811464a8: f800283a ret -811464ac: d0a01a17 ldw r2,-32664(gp) -811464b0: 10000926 beq r2,zero,811464d8 -811464b4: deffff04 addi sp,sp,-4 -811464b8: dfc00015 stw ra,0(sp) -811464bc: 103ee83a callr r2 -811464c0: 00c00584 movi r3,22 -811464c4: 10c00015 stw r3,0(r2) -811464c8: 00bffa84 movi r2,-22 -811464cc: dfc00017 ldw ra,0(sp) -811464d0: dec00104 addi sp,sp,4 -811464d4: f800283a ret -811464d8: d0a09604 addi r2,gp,-32168 -811464dc: 00c00584 movi r3,22 -811464e0: 10c00015 stw r3,0(r2) -811464e4: 00bffa84 movi r2,-22 +811461ac: 18800c26 beq r3,r2,811461e0 +811461b0: 80800217 ldw r2,8(r16) +811461b4: a5000044 addi r20,r20,1 +811461b8: 8c400044 addi r17,r17,1 +811461bc: 8085883a add r2,r16,r2 +811461c0: 10800a04 addi r2,r2,40 +811461c4: 10800003 ldbu r2,0(r2) +811461c8: a0bfffc5 stb r2,-1(r20) +811461cc: 80800217 ldw r2,8(r16) +811461d0: 10800044 addi r2,r2,1 +811461d4: 10800fcc andi r2,r2,63 +811461d8: 80800215 stw r2,8(r16) +811461dc: 8cfff116 blt r17,r19,811461a4 <__reset+0xfb1261a4> +811461e0: 8800041e bne r17,zero,811461f4 +811461e4: 80c00217 ldw r3,8(r16) +811461e8: 80800317 ldw r2,12(r16) +811461ec: 18801a26 beq r3,r2,81146258 +811461f0: 983feb1e bne r19,zero,811461a0 <__reset+0xfb1261a0> +811461f4: 0025883a mov r18,zero +811461f8: 81000817 ldw r4,32(r16) +811461fc: 11437dc0 call 811437dc +81146200: 0007303a rdctl r3,status +81146204: 00bfff84 movi r2,-2 +81146208: 1884703a and r2,r3,r2 +8114620c: 1001703a wrctl status,r2 +81146210: 80800117 ldw r2,4(r16) +81146214: 81000017 ldw r4,0(r16) +81146218: 10802014 ori r2,r2,128 +8114621c: 80800115 stw r2,4(r16) +81146220: 20800335 stwio r2,12(r4) +81146224: 1801703a wrctl status,r3 +81146228: 94803fcc andi r18,r18,255 +8114622c: 9000281e bne r18,zero,811462d0 +81146230: 8805883a mov r2,r17 +81146234: dfc00817 ldw ra,32(sp) +81146238: dd400717 ldw r21,28(sp) +8114623c: dd000617 ldw r20,24(sp) +81146240: dcc00517 ldw r19,20(sp) +81146244: dc800417 ldw r18,16(sp) +81146248: dc400317 ldw r17,12(sp) +8114624c: dc000217 ldw r16,8(sp) +81146250: dec00904 addi sp,sp,36 +81146254: f800283a ret +81146258: 9000141e bne r18,zero,811462ac +8114625c: 0007303a rdctl r3,status +81146260: 1d44703a and r2,r3,r21 +81146264: 1001703a wrctl status,r2 +81146268: 80800117 ldw r2,4(r16) +8114626c: 81000017 ldw r4,0(r16) +81146270: 10802014 ori r2,r2,128 +81146274: 80800115 stw r2,4(r16) +81146278: 20800335 stwio r2,12(r4) +8114627c: 1801703a wrctl status,r3 +81146280: d0a0ab03 ldbu r2,-32084(gp) +81146284: 103fda26 beq r2,zero,811461f0 <__reset+0xfb1261f0> +81146288: 81000717 ldw r4,28(r16) +8114628c: d8800104 addi r2,sp,4 +81146290: d8800015 stw r2,0(sp) +81146294: 000f883a mov r7,zero +81146298: 018020c4 movi r6,131 +8114629c: 01400044 movi r5,1 +811462a0: 11415e80 call 811415e8 +811462a4: 983fbe1e bne r19,zero,811461a0 <__reset+0xfb1261a0> +811462a8: 003fd206 br 811461f4 <__reset+0xfb1261f4> +811462ac: d0a01a17 ldw r2,-32664(gp) +811462b0: 10000526 beq r2,zero,811462c8 +811462b4: 103ee83a callr r2 +811462b8: 00c002c4 movi r3,11 +811462bc: 10c00015 stw r3,0(r2) +811462c0: 04800044 movi r18,1 +811462c4: 003fcc06 br 811461f8 <__reset+0xfb1261f8> +811462c8: d0a09604 addi r2,gp,-32168 +811462cc: 003ffa06 br 811462b8 <__reset+0xfb1262b8> +811462d0: 00bffd44 movi r2,-11 +811462d4: 003fd706 br 81146234 <__reset+0xfb126234> + +811462d8 : +811462d8: defff604 addi sp,sp,-40 +811462dc: dc000215 stw r16,8(sp) +811462e0: 2021883a mov r16,r4 +811462e4: 21000917 ldw r4,36(r4) +811462e8: dd400715 stw r21,28(sp) +811462ec: dd000615 stw r20,24(sp) +811462f0: 282b883a mov r21,r5 +811462f4: 3029883a mov r20,r6 +811462f8: 000b883a mov r5,zero +811462fc: d9800104 addi r6,sp,4 +81146300: dc800415 stw r18,16(sp) +81146304: dfc00915 stw ra,36(sp) +81146308: dd800815 stw r22,32(sp) +8114630c: dcc00515 stw r19,20(sp) +81146310: dc400315 stw r17,12(sp) +81146314: 3c90000c andi r18,r7,16384 +81146318: 11435a40 call 811435a4 +8114631c: a0002826 beq r20,zero,811463c0 +81146320: a02d883a mov r22,r20 +81146324: 04ffff84 movi r19,-2 +81146328: 00000906 br 81146350 +8114632c: 80800517 ldw r2,20(r16) +81146330: a8c00003 ldbu r3,0(r21) +81146334: b5bfffc4 addi r22,r22,-1 +81146338: 8085883a add r2,r16,r2 +8114633c: 10801a04 addi r2,r2,104 +81146340: 10c00005 stb r3,0(r2) +81146344: 84400515 stw r17,20(r16) +81146348: ad400044 addi r21,r21,1 +8114634c: b0001c26 beq r22,zero,811463c0 +81146350: 84400517 ldw r17,20(r16) +81146354: 80800417 ldw r2,16(r16) +81146358: 8c400044 addi r17,r17,1 +8114635c: 8c400fcc andi r17,r17,63 +81146360: 88bff21e bne r17,r2,8114632c <__reset+0xfb12632c> +81146364: 90002e1e bne r18,zero,81146420 +81146368: 0007303a rdctl r3,status +8114636c: 1cc4703a and r2,r3,r19 +81146370: 1001703a wrctl status,r2 +81146374: 80800117 ldw r2,4(r16) +81146378: 81000017 ldw r4,0(r16) +8114637c: 10811014 ori r2,r2,1088 +81146380: 80800115 stw r2,4(r16) +81146384: 20800335 stwio r2,12(r4) +81146388: 1801703a wrctl status,r3 +8114638c: 00000206 br 81146398 +81146390: 80800417 ldw r2,16(r16) +81146394: 88bfe51e bne r17,r2,8114632c <__reset+0xfb12632c> +81146398: d0a0ab03 ldbu r2,-32084(gp) +8114639c: 103ffc26 beq r2,zero,81146390 <__reset+0xfb126390> +811463a0: 81000717 ldw r4,28(r16) +811463a4: d8800104 addi r2,sp,4 +811463a8: d8800015 stw r2,0(sp) +811463ac: 000f883a mov r7,zero +811463b0: 018020c4 movi r6,131 +811463b4: 01400084 movi r5,2 +811463b8: 11415e80 call 811415e8 +811463bc: 003ff406 br 81146390 <__reset+0xfb126390> +811463c0: 002d883a mov r22,zero +811463c4: 81000917 ldw r4,36(r16) +811463c8: 11437dc0 call 811437dc +811463cc: 0007303a rdctl r3,status +811463d0: 00bfff84 movi r2,-2 +811463d4: 1884703a and r2,r3,r2 +811463d8: 1001703a wrctl status,r2 +811463dc: 80800117 ldw r2,4(r16) +811463e0: 81000017 ldw r4,0(r16) +811463e4: 10811014 ori r2,r2,1088 +811463e8: 80800115 stw r2,4(r16) +811463ec: 20800335 stwio r2,12(r4) +811463f0: 1801703a wrctl status,r3 +811463f4: a585c83a sub r2,r20,r22 +811463f8: dfc00917 ldw ra,36(sp) +811463fc: dd800817 ldw r22,32(sp) +81146400: dd400717 ldw r21,28(sp) +81146404: dd000617 ldw r20,24(sp) +81146408: dcc00517 ldw r19,20(sp) +8114640c: dc800417 ldw r18,16(sp) +81146410: dc400317 ldw r17,12(sp) +81146414: dc000217 ldw r16,8(sp) +81146418: dec00a04 addi sp,sp,40 +8114641c: f800283a ret +81146420: d0a01a17 ldw r2,-32664(gp) +81146424: 10000426 beq r2,zero,81146438 +81146428: 103ee83a callr r2 +8114642c: 00c002c4 movi r3,11 +81146430: 10c00015 stw r3,0(r2) +81146434: 003fe306 br 811463c4 <__reset+0xfb1263c4> +81146438: d0a09604 addi r2,gp,-32168 +8114643c: 003ffb06 br 8114642c <__reset+0xfb12642c> + +81146440 : +81146440: d0a0a317 ldw r2,-32116(gp) +81146444: 10001a26 beq r2,zero,811464b0 +81146448: 20001b26 beq r4,zero,811464b8 +8114644c: 21800315 stw r6,12(r4) +81146450: 21c00515 stw r7,20(r4) +81146454: 0007303a rdctl r3,status +81146458: 00bfff84 movi r2,-2 +8114645c: 1884703a and r2,r3,r2 +81146460: 1001703a wrctl status,r2 +81146464: d0a0a217 ldw r2,-32120(gp) +81146468: 11800044 addi r6,r2,1 +8114646c: 314b883a add r5,r6,r5 +81146470: 21400215 stw r5,8(r4) +81146474: 28800b36 bltu r5,r2,811464a4 +81146478: 20000405 stb zero,16(r4) +8114647c: d0a01d04 addi r2,gp,-32652 +81146480: 20800115 stw r2,4(r4) +81146484: d0a01d17 ldw r2,-32652(gp) +81146488: 20800015 stw r2,0(r4) +8114648c: d0a01d17 ldw r2,-32652(gp) +81146490: d1201d15 stw r4,-32652(gp) +81146494: 11000115 stw r4,4(r2) +81146498: 1801703a wrctl status,r3 +8114649c: 0005883a mov r2,zero +811464a0: f800283a ret +811464a4: 00800044 movi r2,1 +811464a8: 20800405 stb r2,16(r4) +811464ac: 003ff306 br 8114647c <__reset+0xfb12647c> +811464b0: 00bfde84 movi r2,-134 +811464b4: f800283a ret +811464b8: 00bffa84 movi r2,-22 +811464bc: f800283a ret + +811464c0 : +811464c0: 20000a26 beq r4,zero,811464ec +811464c4: 20800217 ldw r2,8(r4) +811464c8: 10000826 beq r2,zero,811464ec +811464cc: 28c00017 ldw r3,0(r5) +811464d0: 21400115 stw r5,4(r4) +811464d4: 0005883a mov r2,zero +811464d8: 20c00015 stw r3,0(r4) +811464dc: 28c00017 ldw r3,0(r5) +811464e0: 19000115 stw r4,4(r3) +811464e4: 29000015 stw r4,0(r5) 811464e8: f800283a ret - -811464ec : -811464ec: defffb04 addi sp,sp,-20 -811464f0: dc000015 stw r16,0(sp) -811464f4: 2c000017 ldw r16,0(r5) -811464f8: dc400115 stw r17,4(sp) -811464fc: 2823883a mov r17,r5 -81146500: dcc00315 stw r19,12(sp) -81146504: dfc00415 stw ra,16(sp) -81146508: dc800215 stw r18,8(sp) -8114650c: 2027883a mov r19,r4 -81146510: 112d86c0 call 8112d86c -81146514: 8c000b26 beq r17,r16,81146544 -81146518: 14800044 addi r18,r2,1 -8114651c: 00000206 br 81146528 -81146520: 84000017 ldw r16,0(r16) -81146524: 8c000726 beq r17,r16,81146544 -81146528: 81000217 ldw r4,8(r16) -8114652c: 900d883a mov r6,r18 -81146530: 980b883a mov r5,r19 -81146534: 1146b200 call 81146b20 -81146538: 103ff91e bne r2,zero,81146520 <__reset+0xfb126520> -8114653c: 8005883a mov r2,r16 -81146540: 00000106 br 81146548 -81146544: 0005883a mov r2,zero -81146548: dfc00417 ldw ra,16(sp) -8114654c: dcc00317 ldw r19,12(sp) -81146550: dc800217 ldw r18,8(sp) -81146554: dc400117 ldw r17,4(sp) -81146558: dc000017 ldw r16,0(sp) -8114655c: dec00504 addi sp,sp,20 -81146560: f800283a ret - -81146564 : -81146564: defffa04 addi sp,sp,-24 -81146568: dc000015 stw r16,0(sp) -8114656c: d4201817 ldw r16,-32672(gp) -81146570: dd000415 stw r20,16(sp) -81146574: dfc00515 stw ra,20(sp) -81146578: dcc00315 stw r19,12(sp) -8114657c: dc800215 stw r18,8(sp) -81146580: dc400115 stw r17,4(sp) -81146584: d5201804 addi r20,gp,-32672 -81146588: 85001626 beq r16,r20,811465e4 -8114658c: 2025883a mov r18,r4 -81146590: 04c00bc4 movi r19,47 -81146594: 00000806 br 811465b8 -81146598: 9185883a add r2,r18,r6 -8114659c: 10800007 ldb r2,0(r2) -811465a0: 14c00126 beq r2,r19,811465a8 -811465a4: 1000021e bne r2,zero,811465b0 -811465a8: 1146b200 call 81146b20 -811465ac: 10001626 beq r2,zero,81146608 -811465b0: 84000017 ldw r16,0(r16) -811465b4: 85000b26 beq r16,r20,811465e4 -811465b8: 84400217 ldw r17,8(r16) -811465bc: 8809883a mov r4,r17 -811465c0: 112d86c0 call 8112d86c -811465c4: 100d883a mov r6,r2 -811465c8: 8885883a add r2,r17,r2 -811465cc: 10bfffc7 ldb r2,-1(r2) -811465d0: 900b883a mov r5,r18 -811465d4: 8809883a mov r4,r17 -811465d8: 14ffef1e bne r2,r19,81146598 <__reset+0xfb126598> -811465dc: 31bfffc4 addi r6,r6,-1 -811465e0: 003fed06 br 81146598 <__reset+0xfb126598> -811465e4: 0005883a mov r2,zero -811465e8: dfc00517 ldw ra,20(sp) -811465ec: dd000417 ldw r20,16(sp) -811465f0: dcc00317 ldw r19,12(sp) -811465f4: dc800217 ldw r18,8(sp) -811465f8: dc400117 ldw r17,4(sp) -811465fc: dc000017 ldw r16,0(sp) -81146600: dec00604 addi sp,sp,24 -81146604: f800283a ret -81146608: 8005883a mov r2,r16 -8114660c: 003ff606 br 811465e8 <__reset+0xfb1265e8> - -81146610 : -81146610: defffc04 addi sp,sp,-16 -81146614: dc400215 stw r17,8(sp) -81146618: 2023883a mov r17,r4 -8114661c: d1209d17 ldw r4,-32140(gp) -81146620: 000b883a mov r5,zero -81146624: d80d883a mov r6,sp -81146628: dc000115 stw r16,4(sp) -8114662c: dfc00315 stw ra,12(sp) -81146630: 11435640 call 81143564 -81146634: 01604574 movhi r5,33045 -81146638: 29421a04 addi r5,r5,2152 -8114663c: 0021883a mov r16,zero -81146640: 01000804 movi r4,32 -81146644: 2805883a mov r2,r5 -81146648: 00000206 br 81146654 -8114664c: 84000044 addi r16,r16,1 -81146650: 81001126 beq r16,r4,81146698 -81146654: 10c00017 ldw r3,0(r2) -81146658: 10800304 addi r2,r2,12 -8114665c: 183ffb1e bne r3,zero,8114664c <__reset+0xfb12664c> -81146660: 80800324 muli r2,r16,12 -81146664: 288b883a add r5,r5,r2 -81146668: d0a01517 ldw r2,-32684(gp) -8114666c: 2c400015 stw r17,0(r5) -81146670: 1400010e bge r2,r16,81146678 -81146674: d4201515 stw r16,-32684(gp) -81146678: d1209d17 ldw r4,-32140(gp) -8114667c: 114379c0 call 8114379c -81146680: 8005883a mov r2,r16 -81146684: dfc00317 ldw ra,12(sp) -81146688: dc400217 ldw r17,8(sp) -8114668c: dc000117 ldw r16,4(sp) -81146690: dec00404 addi sp,sp,16 -81146694: f800283a ret -81146698: 043ffa04 movi r16,-24 -8114669c: 003ff606 br 81146678 <__reset+0xfb126678> - -811466a0 : -811466a0: 114672c1 jmpi 8114672c - -811466a4 : -811466a4: 0009303a rdctl r4,status -811466a8: 00bfff84 movi r2,-2 -811466ac: 2084703a and r2,r4,r2 -811466b0: 1001703a wrctl status,r2 -811466b4: 00c00044 movi r3,1 -811466b8: d0a09e17 ldw r2,-32136(gp) -811466bc: 194a983a sll r5,r3,r5 -811466c0: 288ab03a or r5,r5,r2 -811466c4: d1609e15 stw r5,-32136(gp) -811466c8: d0a09e17 ldw r2,-32136(gp) -811466cc: 100170fa wrctl ienable,r2 -811466d0: 2001703a wrctl status,r4 -811466d4: 0005883a mov r2,zero -811466d8: f800283a ret - -811466dc : -811466dc: 0009303a rdctl r4,status -811466e0: 00bfff84 movi r2,-2 -811466e4: 2084703a and r2,r4,r2 -811466e8: 1001703a wrctl status,r2 -811466ec: 00ffff84 movi r3,-2 -811466f0: d0a09e17 ldw r2,-32136(gp) -811466f4: 194a183a rol r5,r3,r5 -811466f8: 288a703a and r5,r5,r2 -811466fc: d1609e15 stw r5,-32136(gp) -81146700: d0a09e17 ldw r2,-32136(gp) -81146704: 100170fa wrctl ienable,r2 -81146708: 2001703a wrctl status,r4 -8114670c: 0005883a mov r2,zero -81146710: f800283a ret - -81146714 : -81146714: 000730fa rdctl r3,ienable -81146718: 00800044 movi r2,1 -8114671c: 1144983a sll r2,r2,r5 -81146720: 10c4703a and r2,r2,r3 -81146724: 1004c03a cmpne r2,r2,zero -81146728: f800283a ret - -8114672c : -8114672c: 00c007c4 movi r3,31 -81146730: 19401616 blt r3,r5,8114678c -81146734: defffe04 addi sp,sp,-8 -81146738: dfc00115 stw ra,4(sp) -8114673c: dc000015 stw r16,0(sp) -81146740: 0021303a rdctl r16,status -81146744: 00ffff84 movi r3,-2 -81146748: 80c6703a and r3,r16,r3 -8114674c: 1801703a wrctl status,r3 -81146750: 280490fa slli r2,r5,3 -81146754: 00e04674 movhi r3,33049 -81146758: 18efc104 addi r3,r3,-16636 -8114675c: 1885883a add r2,r3,r2 -81146760: 11800015 stw r6,0(r2) -81146764: 11c00115 stw r7,4(r2) -81146768: 30000626 beq r6,zero,81146784 -8114676c: 11466a40 call 811466a4 -81146770: 8001703a wrctl status,r16 -81146774: dfc00117 ldw ra,4(sp) -81146778: dc000017 ldw r16,0(sp) -8114677c: dec00204 addi sp,sp,8 -81146780: f800283a ret -81146784: 11466dc0 call 811466dc -81146788: 003ff906 br 81146770 <__reset+0xfb126770> -8114678c: 00bffa84 movi r2,-22 -81146790: f800283a ret - -81146794 : -81146794: defffe04 addi sp,sp,-8 -81146798: 2805883a mov r2,r5 -8114679c: dc000015 stw r16,0(sp) -811467a0: 300b883a mov r5,r6 -811467a4: 2021883a mov r16,r4 -811467a8: 01807fc4 movi r6,511 -811467ac: 1009883a mov r4,r2 -811467b0: dfc00115 stw ra,4(sp) -811467b4: 113f5ec0 call 8113f5ec -811467b8: 10000f16 blt r2,zero,811467f8 -811467bc: 10c00324 muli r3,r2,12 -811467c0: 1009883a mov r4,r2 -811467c4: 00a04574 movhi r2,33045 -811467c8: 10821a04 addi r2,r2,2152 -811467cc: 10c5883a add r2,r2,r3 -811467d0: 11400017 ldw r5,0(r2) -811467d4: 10c00217 ldw r3,8(r2) -811467d8: 81400015 stw r5,0(r16) -811467dc: 10800117 ldw r2,4(r2) -811467e0: 80c00215 stw r3,8(r16) -811467e4: 80800115 stw r2,4(r16) -811467e8: dfc00117 ldw ra,4(sp) -811467ec: dc000017 ldw r16,0(sp) -811467f0: dec00204 addi sp,sp,8 -811467f4: 113f82c1 jmpi 8113f82c -811467f8: dfc00117 ldw ra,4(sp) -811467fc: dc000017 ldw r16,0(sp) -81146800: dec00204 addi sp,sp,8 -81146804: f800283a ret - -81146808 : -81146808: defffb04 addi sp,sp,-20 -8114680c: dc000015 stw r16,0(sp) -81146810: 04204574 movhi r16,33045 -81146814: 84021d04 addi r16,r16,2164 -81146818: dc400115 stw r17,4(sp) -8114681c: 04400044 movi r17,1 -81146820: dcc00315 stw r19,12(sp) -81146824: dc800215 stw r18,8(sp) -81146828: 2827883a mov r19,r5 -8114682c: 3025883a mov r18,r6 -81146830: 200b883a mov r5,r4 -81146834: 880d883a mov r6,r17 -81146838: 8009883a mov r4,r16 -8114683c: dfc00415 stw ra,16(sp) -81146840: 11467940 call 81146794 -81146844: 813ffd04 addi r4,r16,-12 -81146848: 980b883a mov r5,r19 -8114684c: 000d883a mov r6,zero -81146850: 11467940 call 81146794 -81146854: 880d883a mov r6,r17 -81146858: 900b883a mov r5,r18 -8114685c: 81000304 addi r4,r16,12 -81146860: dfc00417 ldw ra,16(sp) -81146864: dcc00317 ldw r19,12(sp) -81146868: dc800217 ldw r18,8(sp) -8114686c: dc400117 ldw r17,4(sp) -81146870: dc000017 ldw r16,0(sp) -81146874: dec00504 addi sp,sp,20 -81146878: 11467941 jmpi 81146794 - -8114687c : -8114687c: 000170fa wrctl ienable,zero -81146880: f800283a ret - -81146884 : +811464ec: d0a01a17 ldw r2,-32664(gp) +811464f0: 10000926 beq r2,zero,81146518 +811464f4: deffff04 addi sp,sp,-4 +811464f8: dfc00015 stw ra,0(sp) +811464fc: 103ee83a callr r2 +81146500: 00c00584 movi r3,22 +81146504: 10c00015 stw r3,0(r2) +81146508: 00bffa84 movi r2,-22 +8114650c: dfc00017 ldw ra,0(sp) +81146510: dec00104 addi sp,sp,4 +81146514: f800283a ret +81146518: d0a09604 addi r2,gp,-32168 +8114651c: 00c00584 movi r3,22 +81146520: 10c00015 stw r3,0(r2) +81146524: 00bffa84 movi r2,-22 +81146528: f800283a ret + +8114652c : +8114652c: defffb04 addi sp,sp,-20 +81146530: dc000015 stw r16,0(sp) +81146534: 2c000017 ldw r16,0(r5) +81146538: dc400115 stw r17,4(sp) +8114653c: 2823883a mov r17,r5 +81146540: dcc00315 stw r19,12(sp) +81146544: dfc00415 stw ra,16(sp) +81146548: dc800215 stw r18,8(sp) +8114654c: 2027883a mov r19,r4 +81146550: 112d8ac0 call 8112d8ac +81146554: 8c000b26 beq r17,r16,81146584 +81146558: 14800044 addi r18,r2,1 +8114655c: 00000206 br 81146568 +81146560: 84000017 ldw r16,0(r16) +81146564: 8c000726 beq r17,r16,81146584 +81146568: 81000217 ldw r4,8(r16) +8114656c: 900d883a mov r6,r18 +81146570: 980b883a mov r5,r19 +81146574: 1146b600 call 81146b60 +81146578: 103ff91e bne r2,zero,81146560 <__reset+0xfb126560> +8114657c: 8005883a mov r2,r16 +81146580: 00000106 br 81146588 +81146584: 0005883a mov r2,zero +81146588: dfc00417 ldw ra,16(sp) +8114658c: dcc00317 ldw r19,12(sp) +81146590: dc800217 ldw r18,8(sp) +81146594: dc400117 ldw r17,4(sp) +81146598: dc000017 ldw r16,0(sp) +8114659c: dec00504 addi sp,sp,20 +811465a0: f800283a ret + +811465a4 : +811465a4: defffa04 addi sp,sp,-24 +811465a8: dc000015 stw r16,0(sp) +811465ac: d4201817 ldw r16,-32672(gp) +811465b0: dd000415 stw r20,16(sp) +811465b4: dfc00515 stw ra,20(sp) +811465b8: dcc00315 stw r19,12(sp) +811465bc: dc800215 stw r18,8(sp) +811465c0: dc400115 stw r17,4(sp) +811465c4: d5201804 addi r20,gp,-32672 +811465c8: 85001626 beq r16,r20,81146624 +811465cc: 2025883a mov r18,r4 +811465d0: 04c00bc4 movi r19,47 +811465d4: 00000806 br 811465f8 +811465d8: 9185883a add r2,r18,r6 +811465dc: 10800007 ldb r2,0(r2) +811465e0: 14c00126 beq r2,r19,811465e8 +811465e4: 1000021e bne r2,zero,811465f0 +811465e8: 1146b600 call 81146b60 +811465ec: 10001626 beq r2,zero,81146648 +811465f0: 84000017 ldw r16,0(r16) +811465f4: 85000b26 beq r16,r20,81146624 +811465f8: 84400217 ldw r17,8(r16) +811465fc: 8809883a mov r4,r17 +81146600: 112d8ac0 call 8112d8ac +81146604: 100d883a mov r6,r2 +81146608: 8885883a add r2,r17,r2 +8114660c: 10bfffc7 ldb r2,-1(r2) +81146610: 900b883a mov r5,r18 +81146614: 8809883a mov r4,r17 +81146618: 14ffef1e bne r2,r19,811465d8 <__reset+0xfb1265d8> +8114661c: 31bfffc4 addi r6,r6,-1 +81146620: 003fed06 br 811465d8 <__reset+0xfb1265d8> +81146624: 0005883a mov r2,zero +81146628: dfc00517 ldw ra,20(sp) +8114662c: dd000417 ldw r20,16(sp) +81146630: dcc00317 ldw r19,12(sp) +81146634: dc800217 ldw r18,8(sp) +81146638: dc400117 ldw r17,4(sp) +8114663c: dc000017 ldw r16,0(sp) +81146640: dec00604 addi sp,sp,24 +81146644: f800283a ret +81146648: 8005883a mov r2,r16 +8114664c: 003ff606 br 81146628 <__reset+0xfb126628> + +81146650 : +81146650: defffc04 addi sp,sp,-16 +81146654: dc400215 stw r17,8(sp) +81146658: 2023883a mov r17,r4 +8114665c: d1209d17 ldw r4,-32140(gp) +81146660: 000b883a mov r5,zero +81146664: d80d883a mov r6,sp +81146668: dc000115 stw r16,4(sp) +8114666c: dfc00315 stw ra,12(sp) +81146670: 11435a40 call 811435a4 +81146674: 01604574 movhi r5,33045 +81146678: 29422b04 addi r5,r5,2220 +8114667c: 0021883a mov r16,zero +81146680: 01000804 movi r4,32 +81146684: 2805883a mov r2,r5 +81146688: 00000206 br 81146694 +8114668c: 84000044 addi r16,r16,1 +81146690: 81001126 beq r16,r4,811466d8 +81146694: 10c00017 ldw r3,0(r2) +81146698: 10800304 addi r2,r2,12 +8114669c: 183ffb1e bne r3,zero,8114668c <__reset+0xfb12668c> +811466a0: 80800324 muli r2,r16,12 +811466a4: 288b883a add r5,r5,r2 +811466a8: d0a01517 ldw r2,-32684(gp) +811466ac: 2c400015 stw r17,0(r5) +811466b0: 1400010e bge r2,r16,811466b8 +811466b4: d4201515 stw r16,-32684(gp) +811466b8: d1209d17 ldw r4,-32140(gp) +811466bc: 11437dc0 call 811437dc +811466c0: 8005883a mov r2,r16 +811466c4: dfc00317 ldw ra,12(sp) +811466c8: dc400217 ldw r17,8(sp) +811466cc: dc000117 ldw r16,4(sp) +811466d0: dec00404 addi sp,sp,16 +811466d4: f800283a ret +811466d8: 043ffa04 movi r16,-24 +811466dc: 003ff606 br 811466b8 <__reset+0xfb1266b8> + +811466e0 : +811466e0: 114676c1 jmpi 8114676c + +811466e4 : +811466e4: 0009303a rdctl r4,status +811466e8: 00bfff84 movi r2,-2 +811466ec: 2084703a and r2,r4,r2 +811466f0: 1001703a wrctl status,r2 +811466f4: 00c00044 movi r3,1 +811466f8: d0a09e17 ldw r2,-32136(gp) +811466fc: 194a983a sll r5,r3,r5 +81146700: 288ab03a or r5,r5,r2 +81146704: d1609e15 stw r5,-32136(gp) +81146708: d0a09e17 ldw r2,-32136(gp) +8114670c: 100170fa wrctl ienable,r2 +81146710: 2001703a wrctl status,r4 +81146714: 0005883a mov r2,zero +81146718: f800283a ret + +8114671c : +8114671c: 0009303a rdctl r4,status +81146720: 00bfff84 movi r2,-2 +81146724: 2084703a and r2,r4,r2 +81146728: 1001703a wrctl status,r2 +8114672c: 00ffff84 movi r3,-2 +81146730: d0a09e17 ldw r2,-32136(gp) +81146734: 194a183a rol r5,r3,r5 +81146738: 288a703a and r5,r5,r2 +8114673c: d1609e15 stw r5,-32136(gp) +81146740: d0a09e17 ldw r2,-32136(gp) +81146744: 100170fa wrctl ienable,r2 +81146748: 2001703a wrctl status,r4 +8114674c: 0005883a mov r2,zero +81146750: f800283a ret + +81146754 : +81146754: 000730fa rdctl r3,ienable +81146758: 00800044 movi r2,1 +8114675c: 1144983a sll r2,r2,r5 +81146760: 10c4703a and r2,r2,r3 +81146764: 1004c03a cmpne r2,r2,zero +81146768: f800283a ret + +8114676c : +8114676c: 00c007c4 movi r3,31 +81146770: 19401616 blt r3,r5,811467cc +81146774: defffe04 addi sp,sp,-8 +81146778: dfc00115 stw ra,4(sp) +8114677c: dc000015 stw r16,0(sp) +81146780: 0021303a rdctl r16,status +81146784: 00ffff84 movi r3,-2 +81146788: 80c6703a and r3,r16,r3 +8114678c: 1801703a wrctl status,r3 +81146790: 280490fa slli r2,r5,3 +81146794: 00e04674 movhi r3,33049 +81146798: 18efd204 addi r3,r3,-16568 +8114679c: 1885883a add r2,r3,r2 +811467a0: 11800015 stw r6,0(r2) +811467a4: 11c00115 stw r7,4(r2) +811467a8: 30000626 beq r6,zero,811467c4 +811467ac: 11466e40 call 811466e4 +811467b0: 8001703a wrctl status,r16 +811467b4: dfc00117 ldw ra,4(sp) +811467b8: dc000017 ldw r16,0(sp) +811467bc: dec00204 addi sp,sp,8 +811467c0: f800283a ret +811467c4: 114671c0 call 8114671c +811467c8: 003ff906 br 811467b0 <__reset+0xfb1267b0> +811467cc: 00bffa84 movi r2,-22 +811467d0: f800283a ret + +811467d4 : +811467d4: defffe04 addi sp,sp,-8 +811467d8: 2805883a mov r2,r5 +811467dc: dc000015 stw r16,0(sp) +811467e0: 300b883a mov r5,r6 +811467e4: 2021883a mov r16,r4 +811467e8: 01807fc4 movi r6,511 +811467ec: 1009883a mov r4,r2 +811467f0: dfc00115 stw ra,4(sp) +811467f4: 113f62c0 call 8113f62c +811467f8: 10000f16 blt r2,zero,81146838 +811467fc: 10c00324 muli r3,r2,12 +81146800: 1009883a mov r4,r2 +81146804: 00a04574 movhi r2,33045 +81146808: 10822b04 addi r2,r2,2220 +8114680c: 10c5883a add r2,r2,r3 +81146810: 11400017 ldw r5,0(r2) +81146814: 10c00217 ldw r3,8(r2) +81146818: 81400015 stw r5,0(r16) +8114681c: 10800117 ldw r2,4(r2) +81146820: 80c00215 stw r3,8(r16) +81146824: 80800115 stw r2,4(r16) +81146828: dfc00117 ldw ra,4(sp) +8114682c: dc000017 ldw r16,0(sp) +81146830: dec00204 addi sp,sp,8 +81146834: 113f86c1 jmpi 8113f86c +81146838: dfc00117 ldw ra,4(sp) +8114683c: dc000017 ldw r16,0(sp) +81146840: dec00204 addi sp,sp,8 +81146844: f800283a ret + +81146848 : +81146848: defffb04 addi sp,sp,-20 +8114684c: dc000015 stw r16,0(sp) +81146850: 04204574 movhi r16,33045 +81146854: 84022e04 addi r16,r16,2232 +81146858: dc400115 stw r17,4(sp) +8114685c: 04400044 movi r17,1 +81146860: dcc00315 stw r19,12(sp) +81146864: dc800215 stw r18,8(sp) +81146868: 2827883a mov r19,r5 +8114686c: 3025883a mov r18,r6 +81146870: 200b883a mov r5,r4 +81146874: 880d883a mov r6,r17 +81146878: 8009883a mov r4,r16 +8114687c: dfc00415 stw ra,16(sp) +81146880: 11467d40 call 811467d4 +81146884: 813ffd04 addi r4,r16,-12 +81146888: 980b883a mov r5,r19 +8114688c: 000d883a mov r6,zero +81146890: 11467d40 call 811467d4 +81146894: 880d883a mov r6,r17 +81146898: 900b883a mov r5,r18 +8114689c: 81000304 addi r4,r16,12 +811468a0: dfc00417 ldw ra,16(sp) +811468a4: dcc00317 ldw r19,12(sp) +811468a8: dc800217 ldw r18,8(sp) +811468ac: dc400117 ldw r17,4(sp) +811468b0: dc000017 ldw r16,0(sp) +811468b4: dec00504 addi sp,sp,20 +811468b8: 11467d41 jmpi 811467d4 + +811468bc : +811468bc: 000170fa wrctl ienable,zero +811468c0: f800283a ret + +811468c4 : /* * Save the remaining registers to the stack. */ addi sp, sp, -44 -81146884: defff504 addi sp,sp,-44 +811468c4: defff504 addi sp,sp,-44 bltu sp, et, .Lstack_overflow #endif #if OS_THREAD_SAFE_NEWLIB ldw r3, %gprel(_impure_ptr)(gp) /* load the pointer */ -81146888: d0e00f17 ldw r3,-32708(gp) +811468c8: d0e00f17 ldw r3,-32708(gp) #endif /* OS_THREAD_SAFE_NEWLIB */ ldw r4, %gprel(OSTCBCur)(gp) -8114688c: d120bc17 ldw r4,-32016(gp) +811468cc: d120bc17 ldw r4,-32016(gp) stw ra, 0(sp) -81146890: dfc00015 stw ra,0(sp) +811468d0: dfc00015 stw ra,0(sp) stw fp, 4(sp) -81146894: df000115 stw fp,4(sp) +811468d4: df000115 stw fp,4(sp) stw r23, 8(sp) -81146898: ddc00215 stw r23,8(sp) +811468d8: ddc00215 stw r23,8(sp) stw r22, 12(sp) -8114689c: dd800315 stw r22,12(sp) +811468dc: dd800315 stw r22,12(sp) stw r21, 16(sp) -811468a0: dd400415 stw r21,16(sp) +811468e0: dd400415 stw r21,16(sp) stw r20, 20(sp) -811468a4: dd000515 stw r20,20(sp) +811468e4: dd000515 stw r20,20(sp) stw r19, 24(sp) -811468a8: dcc00615 stw r19,24(sp) +811468e8: dcc00615 stw r19,24(sp) stw r18, 28(sp) -811468ac: dc800715 stw r18,28(sp) +811468ec: dc800715 stw r18,28(sp) stw r17, 32(sp) -811468b0: dc400815 stw r17,32(sp) +811468f0: dc400815 stw r17,32(sp) stw r16, 36(sp) -811468b4: dc000915 stw r16,36(sp) +811468f4: dc000915 stw r16,36(sp) * store the current value of _impure_ptr so it can be restored * later; _impure_ptr is asigned on a per task basis. It is used * by Newlib to achieve reentrancy. */ stw r3, 40(sp) /* save the impure pointer */ -811468b8: d8c00a15 stw r3,40(sp) +811468f8: d8c00a15 stw r3,40(sp) /* * Save the current tasks stack pointer into the current tasks OS_TCB. * i.e. OSTCBCur->OSTCBStkPtr = sp; */ stw sp, (r4) /* save the stack pointer (OSTCBStkPtr */ -811468bc: 26c00015 stw sp,0(r4) +811468fc: 26c00015 stw sp,0(r4) /* * Call the user definable OSTaskSWHook() */ call OSTaskSwHook -811468c0: 1146a340 call 81146a34 +81146900: 1146a740 call 81146a74 /* * OSTCBCur = OSTCBHighRdy; * OSPrioCur = OSPrioHighRdy; */ ldw r4, %gprel(OSTCBHighRdy)(gp) -811468c4: d120b617 ldw r4,-32040(gp) +81146904: d120b617 ldw r4,-32040(gp) ldb r5, %gprel(OSPrioHighRdy)(gp) -811468c8: d160ad87 ldb r5,-32074(gp) +81146908: d160ad87 ldb r5,-32074(gp) stw r4, %gprel(OSTCBCur)(gp) /* set the current task to be the new task */ -811468cc: d120bc15 stw r4,-32016(gp) +8114690c: d120bc15 stw r4,-32016(gp) stb r5, %gprel(OSPrioCur)(gp) /* store the new task's priority as the current */ -811468d0: d160adc5 stb r5,-32073(gp) +81146910: d160adc5 stb r5,-32073(gp) /* * Set the stack pointer to point to the new task's stack */ ldw sp, (r4) /* the stack pointer is the first entry in the OS_TCB structure */ -811468d4: 26c00017 ldw sp,0(r4) +81146914: 26c00017 ldw sp,0(r4) /* * restore the value of _impure_ptr ; _impure_ptr is asigned on a * per task basis. It is used by Newlib to achieve reentrancy. */ ldw r3, 40(sp) /* load the new impure pointer */ -811468d8: d8c00a17 ldw r3,40(sp) +81146918: d8c00a17 ldw r3,40(sp) /* * Restore the saved registers for the new task. */ ldw ra, 0(sp) -811468dc: dfc00017 ldw ra,0(sp) +8114691c: dfc00017 ldw ra,0(sp) ldw fp, 4(sp) -811468e0: df000117 ldw fp,4(sp) +81146920: df000117 ldw fp,4(sp) ldw r23, 8(sp) -811468e4: ddc00217 ldw r23,8(sp) +81146924: ddc00217 ldw r23,8(sp) ldw r22, 12(sp) -811468e8: dd800317 ldw r22,12(sp) +81146928: dd800317 ldw r22,12(sp) ldw r21, 16(sp) -811468ec: dd400417 ldw r21,16(sp) +8114692c: dd400417 ldw r21,16(sp) ldw r20, 20(sp) -811468f0: dd000517 ldw r20,20(sp) +81146930: dd000517 ldw r20,20(sp) ldw r19, 24(sp) -811468f4: dcc00617 ldw r19,24(sp) +81146934: dcc00617 ldw r19,24(sp) ldw r18, 28(sp) -811468f8: dc800717 ldw r18,28(sp) +81146938: dc800717 ldw r18,28(sp) ldw r17, 32(sp) -811468fc: dc400817 ldw r17,32(sp) +8114693c: dc400817 ldw r17,32(sp) ldw r16, 36(sp) -81146900: dc000917 ldw r16,36(sp) +81146940: dc000917 ldw r16,36(sp) #if OS_THREAD_SAFE_NEWLIB stw r3, %gprel(_impure_ptr)(gp) /* update _impure_ptr */ -81146904: d0e00f15 stw r3,-32708(gp) +81146944: d0e00f15 stw r3,-32708(gp) stw et, %gprel(alt_stack_limit_value)(gp) #endif addi sp, sp, 44 -81146908: dec00b04 addi sp,sp,44 +81146948: dec00b04 addi sp,sp,44 /* * resume execution of the new task. */ ret -8114690c: f800283a ret +8114694c: f800283a ret -81146910 : +81146950 : /* * disable interrupts so that the scheduler doesn't run while * we're initialising this task. */ rdctl r18, status -81146910: 0025303a rdctl r18,status +81146950: 0025303a rdctl r18,status subi r17, zero, 2 /* r17 = 0xfffffffe */ -81146914: 047fff84 movi r17,-2 +81146954: 047fff84 movi r17,-2 and r18, r18, r17 -81146918: 9464703a and r18,r18,r17 +81146958: 9464703a and r18,r18,r17 wrctl status, r18 -8114691c: 9001703a wrctl status,r18 +8114695c: 9001703a wrctl status,r18 /* * Call the user definable OSTaskSWHook() */ call OSTaskSwHook -81146920: 1146a340 call 81146a34 +81146960: 1146a740 call 81146a74 /* * set OSRunning = TRUE. */ movi r18, 1 /* set r18 to the value 'TRUE' */ -81146924: 04800044 movi r18,1 +81146964: 04800044 movi r18,1 stb r18, %gprel(OSRunning)(gp) /* save this to OSRunning */ -81146928: d4a0ab05 stb r18,-32084(gp) +81146968: d4a0ab05 stb r18,-32084(gp) /* * start execution of the new task. */ br 9b -8114692c: 003fe506 br 811468c4 <__reset+0xfb1268c4> +8114696c: 003fe506 br 81146904 <__reset+0xfb126904> -81146930 : +81146970 : OSStartTsk: /* This instruction is never executed. Its here to make the * backtrace work right */ movi sp, 0 -81146930: 06c00004 movi sp,0 +81146970: 06c00004 movi sp,0 /* Enable interrupts */ rdctl r2, status -81146934: 0005303a rdctl r2,status +81146974: 0005303a rdctl r2,status ori r2, r2, 0x1 -81146938: 10800054 ori r2,r2,1 +81146978: 10800054 ori r2,r2,1 wrctl status, r2 -8114693c: 1001703a wrctl status,r2 +8114697c: 1001703a wrctl status,r2 ldw r2, 4(sp) -81146940: d8800117 ldw r2,4(sp) +81146980: d8800117 ldw r2,4(sp) ldw r4, 0(sp) -81146944: d9000017 ldw r4,0(sp) +81146984: d9000017 ldw r4,0(sp) addi sp, sp, 8 -81146948: dec00204 addi sp,sp,8 +81146988: dec00204 addi sp,sp,8 callr r2 -8114694c: 103ee83a callr r2 +8114698c: 103ee83a callr r2 nop -81146950: 0001883a nop - -81146954 : -81146954: defffb04 addi sp,sp,-20 -81146958: dc400115 stw r17,4(sp) -8114695c: 047fff04 movi r17,-4 -81146960: 3462703a and r17,r6,r17 -81146964: dc000015 stw r16,0(sp) -81146968: 8c3ef704 addi r16,r17,-1060 -8114696c: dcc00315 stw r19,12(sp) -81146970: dc800215 stw r18,8(sp) -81146974: 2027883a mov r19,r4 -81146978: 2825883a mov r18,r5 -8114697c: 8009883a mov r4,r16 -81146980: 01810904 movi r6,1060 -81146984: 000b883a mov r5,zero -81146988: dfc00415 stw ra,16(sp) -8114698c: 112c7900 call 8112c790 -81146990: 88bfe604 addi r2,r17,-104 -81146994: 80800315 stw r2,12(r16) -81146998: 00a04574 movhi r2,33045 -8114699c: 10beab04 addi r2,r2,-1364 -811469a0: 80800d15 stw r2,52(r16) -811469a4: 00800044 movi r2,1 -811469a8: 80802915 stw r2,164(r16) -811469ac: 008cc384 movi r2,13070 -811469b0: 80802b0d sth r2,172(r16) -811469b4: 00aaf344 movi r2,-21555 -811469b8: 80802b8d sth r2,174(r16) -811469bc: 00848d04 movi r2,4660 -811469c0: 80802c0d sth r2,176(r16) -811469c4: 00b99b44 movi r2,-6547 -811469c8: 80802c8d sth r2,178(r16) -811469cc: 00b7bb04 movi r2,-8468 -811469d0: 80802d0d sth r2,180(r16) -811469d4: 00800144 movi r2,5 -811469d8: 80802d8d sth r2,182(r16) -811469dc: 008002c4 movi r2,11 -811469e0: 80802e0d sth r2,184(r16) -811469e4: 00a04534 movhi r2,33044 -811469e8: 893fb204 addi r4,r17,-312 -811469ec: 88ffcc04 addi r3,r17,-208 -811469f0: 109a4d04 addi r2,r2,26932 -811469f4: 81000115 stw r4,4(r16) -811469f8: 80c00215 stw r3,8(r16) -811469fc: 84ffff15 stw r19,-4(r16) -81146a00: 84bffe15 stw r18,-8(r16) -81146a04: 843ffd15 stw r16,-12(r16) -81146a08: 80bff315 stw r2,-52(r16) -81146a0c: 88beea04 addi r2,r17,-1112 -81146a10: dfc00417 ldw ra,16(sp) -81146a14: dcc00317 ldw r19,12(sp) -81146a18: dc800217 ldw r18,8(sp) -81146a1c: dc400117 ldw r17,4(sp) -81146a20: dc000017 ldw r16,0(sp) -81146a24: dec00504 addi sp,sp,20 -81146a28: f800283a ret - -81146a2c : -81146a2c: f800283a ret - -81146a30 : -81146a30: f800283a ret - -81146a34 : -81146a34: f800283a ret - -81146a38 : -81146a38: f800283a ret - -81146a3c : -81146a3c: d0a0c30b ldhu r2,-31988(gp) -81146a40: 01007cc4 movi r4,499 -81146a44: 10800044 addi r2,r2,1 -81146a48: 10ffffcc andi r3,r2,65535 -81146a4c: 20c00236 bltu r4,r3,81146a58 -81146a50: d0a0c30d sth r2,-31988(gp) -81146a54: f800283a ret -81146a58: d020c30d sth zero,-31988(gp) -81146a5c: 11454981 jmpi 81145498 - -81146a60 : -81146a60: d020c30d sth zero,-31988(gp) -81146a64: f800283a ret - -81146a68 : +81146990: 0001883a nop + +81146994 : +81146994: defffb04 addi sp,sp,-20 +81146998: dc400115 stw r17,4(sp) +8114699c: 047fff04 movi r17,-4 +811469a0: 3462703a and r17,r6,r17 +811469a4: dc000015 stw r16,0(sp) +811469a8: 8c3ef704 addi r16,r17,-1060 +811469ac: dcc00315 stw r19,12(sp) +811469b0: dc800215 stw r18,8(sp) +811469b4: 2027883a mov r19,r4 +811469b8: 2825883a mov r18,r5 +811469bc: 8009883a mov r4,r16 +811469c0: 01810904 movi r6,1060 +811469c4: 000b883a mov r5,zero +811469c8: dfc00415 stw ra,16(sp) +811469cc: 112c7d00 call 8112c7d0 +811469d0: 88bfe604 addi r2,r17,-104 +811469d4: 80800315 stw r2,12(r16) +811469d8: 00a04574 movhi r2,33045 +811469dc: 10bebc04 addi r2,r2,-1296 +811469e0: 80800d15 stw r2,52(r16) +811469e4: 00800044 movi r2,1 +811469e8: 80802915 stw r2,164(r16) +811469ec: 008cc384 movi r2,13070 +811469f0: 80802b0d sth r2,172(r16) +811469f4: 00aaf344 movi r2,-21555 +811469f8: 80802b8d sth r2,174(r16) +811469fc: 00848d04 movi r2,4660 +81146a00: 80802c0d sth r2,176(r16) +81146a04: 00b99b44 movi r2,-6547 +81146a08: 80802c8d sth r2,178(r16) +81146a0c: 00b7bb04 movi r2,-8468 +81146a10: 80802d0d sth r2,180(r16) +81146a14: 00800144 movi r2,5 +81146a18: 80802d8d sth r2,182(r16) +81146a1c: 008002c4 movi r2,11 +81146a20: 80802e0d sth r2,184(r16) +81146a24: 00a04534 movhi r2,33044 +81146a28: 893fb204 addi r4,r17,-312 +81146a2c: 88ffcc04 addi r3,r17,-208 +81146a30: 109a5d04 addi r2,r2,26996 +81146a34: 81000115 stw r4,4(r16) +81146a38: 80c00215 stw r3,8(r16) +81146a3c: 84ffff15 stw r19,-4(r16) +81146a40: 84bffe15 stw r18,-8(r16) +81146a44: 843ffd15 stw r16,-12(r16) +81146a48: 80bff315 stw r2,-52(r16) +81146a4c: 88beea04 addi r2,r17,-1112 +81146a50: dfc00417 ldw ra,16(sp) +81146a54: dcc00317 ldw r19,12(sp) +81146a58: dc800217 ldw r18,8(sp) +81146a5c: dc400117 ldw r17,4(sp) +81146a60: dc000017 ldw r16,0(sp) +81146a64: dec00504 addi sp,sp,20 81146a68: f800283a ret -81146a6c : +81146a6c : 81146a6c: f800283a ret -81146a70 : +81146a70 : 81146a70: f800283a ret -81146a74 : -81146a74: 213ffe84 addi r4,r4,-6 -81146a78: 008003c4 movi r2,15 -81146a7c: 11001636 bltu r2,r4,81146ad8 -81146a80: 200890ba slli r4,r4,2 -81146a84: 00a04534 movhi r2,33044 -81146a88: 109aa604 addi r2,r2,27288 -81146a8c: 2089883a add r4,r4,r2 -81146a90: 20800017 ldw r2,0(r4) -81146a94: 1000683a jmp r2 -81146a98: 81146ae0 cmpeqi r4,r16,20907 -81146a9c: 81146ae0 cmpeqi r4,r16,20907 -81146aa0: 81146ad8 cmpnei r4,r16,20907 -81146aa4: 81146ad8 cmpnei r4,r16,20907 -81146aa8: 81146ad8 cmpnei r4,r16,20907 -81146aac: 81146ae0 cmpeqi r4,r16,20907 -81146ab0: 81146ad8 cmpnei r4,r16,20907 -81146ab4: 81146ad8 cmpnei r4,r16,20907 -81146ab8: 81146ae0 cmpeqi r4,r16,20907 -81146abc: 81146ae0 cmpeqi r4,r16,20907 -81146ac0: 81146ad8 cmpnei r4,r16,20907 -81146ac4: 81146ae0 cmpeqi r4,r16,20907 -81146ac8: 81146ad8 cmpnei r4,r16,20907 -81146acc: 81146ad8 cmpnei r4,r16,20907 -81146ad0: 81146ad8 cmpnei r4,r16,20907 -81146ad4: 81146ae0 cmpeqi r4,r16,20907 -81146ad8: 0005883a mov r2,zero -81146adc: f800283a ret -81146ae0: 00800044 movi r2,1 -81146ae4: f800283a ret - -81146ae8 : -81146ae8: defffe04 addi sp,sp,-8 -81146aec: 000b883a mov r5,zero -81146af0: dc000015 stw r16,0(sp) -81146af4: dfc00115 stw ra,4(sp) -81146af8: 2021883a mov r16,r4 -81146afc: 1146b9c0 call 81146b9c <__call_exitprocs> -81146b00: 00a04574 movhi r2,33045 -81146b04: 1086e104 addi r2,r2,7044 -81146b08: 11000017 ldw r4,0(r2) -81146b0c: 20800f17 ldw r2,60(r4) -81146b10: 10000126 beq r2,zero,81146b18 -81146b14: 103ee83a callr r2 -81146b18: 8009883a mov r4,r16 -81146b1c: 1146d1c0 call 81146d1c <_exit> - -81146b20 : -81146b20: 01c000c4 movi r7,3 -81146b24: 3980192e bgeu r7,r6,81146b8c -81146b28: 2144b03a or r2,r4,r5 -81146b2c: 11c4703a and r2,r2,r7 -81146b30: 10000f26 beq r2,zero,81146b70 -81146b34: 20800003 ldbu r2,0(r4) -81146b38: 28c00003 ldbu r3,0(r5) -81146b3c: 10c0151e bne r2,r3,81146b94 -81146b40: 31bfff84 addi r6,r6,-2 -81146b44: 01ffffc4 movi r7,-1 -81146b48: 00000406 br 81146b5c -81146b4c: 20800003 ldbu r2,0(r4) -81146b50: 28c00003 ldbu r3,0(r5) -81146b54: 31bfffc4 addi r6,r6,-1 -81146b58: 10c00e1e bne r2,r3,81146b94 -81146b5c: 21000044 addi r4,r4,1 -81146b60: 29400044 addi r5,r5,1 -81146b64: 31fff91e bne r6,r7,81146b4c <__reset+0xfb126b4c> -81146b68: 0005883a mov r2,zero -81146b6c: f800283a ret -81146b70: 20c00017 ldw r3,0(r4) -81146b74: 28800017 ldw r2,0(r5) -81146b78: 18bfee1e bne r3,r2,81146b34 <__reset+0xfb126b34> -81146b7c: 31bfff04 addi r6,r6,-4 -81146b80: 21000104 addi r4,r4,4 -81146b84: 29400104 addi r5,r5,4 -81146b88: 39bff936 bltu r7,r6,81146b70 <__reset+0xfb126b70> -81146b8c: 303fe91e bne r6,zero,81146b34 <__reset+0xfb126b34> -81146b90: 003ff506 br 81146b68 <__reset+0xfb126b68> -81146b94: 10c5c83a sub r2,r2,r3 -81146b98: f800283a ret - -81146b9c <__call_exitprocs>: -81146b9c: defff504 addi sp,sp,-44 -81146ba0: df000915 stw fp,36(sp) -81146ba4: dd400615 stw r21,24(sp) -81146ba8: dc800315 stw r18,12(sp) -81146bac: dfc00a15 stw ra,40(sp) -81146bb0: ddc00815 stw r23,32(sp) -81146bb4: dd800715 stw r22,28(sp) -81146bb8: dd000515 stw r20,20(sp) -81146bbc: dcc00415 stw r19,16(sp) -81146bc0: dc400215 stw r17,8(sp) -81146bc4: dc000115 stw r16,4(sp) -81146bc8: d9000015 stw r4,0(sp) -81146bcc: 2839883a mov fp,r5 -81146bd0: 04800044 movi r18,1 -81146bd4: 057fffc4 movi r21,-1 -81146bd8: 00a04574 movhi r2,33045 -81146bdc: 1086e104 addi r2,r2,7044 -81146be0: 12000017 ldw r8,0(r2) -81146be4: 45005217 ldw r20,328(r8) -81146be8: 44c05204 addi r19,r8,328 -81146bec: a0001c26 beq r20,zero,81146c60 <__call_exitprocs+0xc4> -81146bf0: a0800117 ldw r2,4(r20) -81146bf4: 15ffffc4 addi r23,r2,-1 -81146bf8: b8000d16 blt r23,zero,81146c30 <__call_exitprocs+0x94> -81146bfc: 14000044 addi r16,r2,1 -81146c00: 8421883a add r16,r16,r16 -81146c04: 8421883a add r16,r16,r16 -81146c08: 84402004 addi r17,r16,128 -81146c0c: a463883a add r17,r20,r17 -81146c10: a421883a add r16,r20,r16 -81146c14: e0001e26 beq fp,zero,81146c90 <__call_exitprocs+0xf4> -81146c18: 80804017 ldw r2,256(r16) -81146c1c: e0801c26 beq fp,r2,81146c90 <__call_exitprocs+0xf4> -81146c20: bdffffc4 addi r23,r23,-1 -81146c24: 843fff04 addi r16,r16,-4 -81146c28: 8c7fff04 addi r17,r17,-4 -81146c2c: bd7ff91e bne r23,r21,81146c14 <__reset+0xfb126c14> -81146c30: 00800034 movhi r2,0 -81146c34: 10800004 addi r2,r2,0 -81146c38: 10000926 beq r2,zero,81146c60 <__call_exitprocs+0xc4> -81146c3c: a0800117 ldw r2,4(r20) -81146c40: 1000301e bne r2,zero,81146d04 <__call_exitprocs+0x168> -81146c44: a0800017 ldw r2,0(r20) -81146c48: 10003226 beq r2,zero,81146d14 <__call_exitprocs+0x178> -81146c4c: a009883a mov r4,r20 -81146c50: 98800015 stw r2,0(r19) -81146c54: 00000000 call 80000000 -81146c58: 9d000017 ldw r20,0(r19) -81146c5c: a03fe41e bne r20,zero,81146bf0 <__reset+0xfb126bf0> -81146c60: dfc00a17 ldw ra,40(sp) -81146c64: df000917 ldw fp,36(sp) -81146c68: ddc00817 ldw r23,32(sp) -81146c6c: dd800717 ldw r22,28(sp) -81146c70: dd400617 ldw r21,24(sp) -81146c74: dd000517 ldw r20,20(sp) -81146c78: dcc00417 ldw r19,16(sp) -81146c7c: dc800317 ldw r18,12(sp) -81146c80: dc400217 ldw r17,8(sp) -81146c84: dc000117 ldw r16,4(sp) -81146c88: dec00b04 addi sp,sp,44 -81146c8c: f800283a ret -81146c90: a0800117 ldw r2,4(r20) -81146c94: 80c00017 ldw r3,0(r16) -81146c98: 10bfffc4 addi r2,r2,-1 -81146c9c: 15c01426 beq r2,r23,81146cf0 <__call_exitprocs+0x154> -81146ca0: 80000015 stw zero,0(r16) -81146ca4: 183fde26 beq r3,zero,81146c20 <__reset+0xfb126c20> -81146ca8: 95c8983a sll r4,r18,r23 -81146cac: a0806217 ldw r2,392(r20) -81146cb0: a5800117 ldw r22,4(r20) -81146cb4: 2084703a and r2,r4,r2 -81146cb8: 10000b26 beq r2,zero,81146ce8 <__call_exitprocs+0x14c> -81146cbc: a0806317 ldw r2,396(r20) -81146cc0: 2088703a and r4,r4,r2 -81146cc4: 20000c1e bne r4,zero,81146cf8 <__call_exitprocs+0x15c> -81146cc8: 89400017 ldw r5,0(r17) -81146ccc: d9000017 ldw r4,0(sp) -81146cd0: 183ee83a callr r3 -81146cd4: a0800117 ldw r2,4(r20) -81146cd8: 15bfbf1e bne r2,r22,81146bd8 <__reset+0xfb126bd8> -81146cdc: 98800017 ldw r2,0(r19) -81146ce0: 153fcf26 beq r2,r20,81146c20 <__reset+0xfb126c20> -81146ce4: 003fbc06 br 81146bd8 <__reset+0xfb126bd8> -81146ce8: 183ee83a callr r3 -81146cec: 003ff906 br 81146cd4 <__reset+0xfb126cd4> -81146cf0: a5c00115 stw r23,4(r20) -81146cf4: 003feb06 br 81146ca4 <__reset+0xfb126ca4> -81146cf8: 89000017 ldw r4,0(r17) -81146cfc: 183ee83a callr r3 -81146d00: 003ff406 br 81146cd4 <__reset+0xfb126cd4> -81146d04: a0800017 ldw r2,0(r20) -81146d08: a027883a mov r19,r20 -81146d0c: 1029883a mov r20,r2 -81146d10: 003fb606 br 81146bec <__reset+0xfb126bec> -81146d14: 0005883a mov r2,zero -81146d18: 003ffb06 br 81146d08 <__reset+0xfb126d08> - -81146d1c <_exit>: -81146d1c: d020ab05 stb zero,-32084(gp) -81146d20: 20000226 beq r4,zero,81146d2c <_exit+0x10> -81146d24: 002af070 cmpltui zero,zero,43969 -81146d28: 003fff06 br 81146d28 <__reset+0xfb126d28> -81146d2c: 002af0b0 cmpltui zero,zero,43970 -81146d30: 003ffd06 br 81146d28 <__reset+0xfb126d28> +81146a74 : +81146a74: f800283a ret + +81146a78 : +81146a78: f800283a ret + +81146a7c : +81146a7c: d0a0c30b ldhu r2,-31988(gp) +81146a80: 01007cc4 movi r4,499 +81146a84: 10800044 addi r2,r2,1 +81146a88: 10ffffcc andi r3,r2,65535 +81146a8c: 20c00236 bltu r4,r3,81146a98 +81146a90: d0a0c30d sth r2,-31988(gp) +81146a94: f800283a ret +81146a98: d020c30d sth zero,-31988(gp) +81146a9c: 11454d81 jmpi 811454d8 + +81146aa0 : +81146aa0: d020c30d sth zero,-31988(gp) +81146aa4: f800283a ret + +81146aa8 : +81146aa8: f800283a ret + +81146aac : +81146aac: f800283a ret + +81146ab0 : +81146ab0: f800283a ret + +81146ab4 : +81146ab4: 213ffe84 addi r4,r4,-6 +81146ab8: 008003c4 movi r2,15 +81146abc: 11001636 bltu r2,r4,81146b18 +81146ac0: 200890ba slli r4,r4,2 +81146ac4: 00a04534 movhi r2,33044 +81146ac8: 109ab604 addi r2,r2,27352 +81146acc: 2089883a add r4,r4,r2 +81146ad0: 20800017 ldw r2,0(r4) +81146ad4: 1000683a jmp r2 +81146ad8: 81146b20 cmpeqi r4,r16,20908 +81146adc: 81146b20 cmpeqi r4,r16,20908 +81146ae0: 81146b18 cmpnei r4,r16,20908 +81146ae4: 81146b18 cmpnei r4,r16,20908 +81146ae8: 81146b18 cmpnei r4,r16,20908 +81146aec: 81146b20 cmpeqi r4,r16,20908 +81146af0: 81146b18 cmpnei r4,r16,20908 +81146af4: 81146b18 cmpnei r4,r16,20908 +81146af8: 81146b20 cmpeqi r4,r16,20908 +81146afc: 81146b20 cmpeqi r4,r16,20908 +81146b00: 81146b18 cmpnei r4,r16,20908 +81146b04: 81146b20 cmpeqi r4,r16,20908 +81146b08: 81146b18 cmpnei r4,r16,20908 +81146b0c: 81146b18 cmpnei r4,r16,20908 +81146b10: 81146b18 cmpnei r4,r16,20908 +81146b14: 81146b20 cmpeqi r4,r16,20908 +81146b18: 0005883a mov r2,zero +81146b1c: f800283a ret +81146b20: 00800044 movi r2,1 +81146b24: f800283a ret + +81146b28 : +81146b28: defffe04 addi sp,sp,-8 +81146b2c: 000b883a mov r5,zero +81146b30: dc000015 stw r16,0(sp) +81146b34: dfc00115 stw ra,4(sp) +81146b38: 2021883a mov r16,r4 +81146b3c: 1146bdc0 call 81146bdc <__call_exitprocs> +81146b40: 00a04574 movhi r2,33045 +81146b44: 1086f204 addi r2,r2,7112 +81146b48: 11000017 ldw r4,0(r2) +81146b4c: 20800f17 ldw r2,60(r4) +81146b50: 10000126 beq r2,zero,81146b58 +81146b54: 103ee83a callr r2 +81146b58: 8009883a mov r4,r16 +81146b5c: 1146d5c0 call 81146d5c <_exit> + +81146b60 : +81146b60: 01c000c4 movi r7,3 +81146b64: 3980192e bgeu r7,r6,81146bcc +81146b68: 2144b03a or r2,r4,r5 +81146b6c: 11c4703a and r2,r2,r7 +81146b70: 10000f26 beq r2,zero,81146bb0 +81146b74: 20800003 ldbu r2,0(r4) +81146b78: 28c00003 ldbu r3,0(r5) +81146b7c: 10c0151e bne r2,r3,81146bd4 +81146b80: 31bfff84 addi r6,r6,-2 +81146b84: 01ffffc4 movi r7,-1 +81146b88: 00000406 br 81146b9c +81146b8c: 20800003 ldbu r2,0(r4) +81146b90: 28c00003 ldbu r3,0(r5) +81146b94: 31bfffc4 addi r6,r6,-1 +81146b98: 10c00e1e bne r2,r3,81146bd4 +81146b9c: 21000044 addi r4,r4,1 +81146ba0: 29400044 addi r5,r5,1 +81146ba4: 31fff91e bne r6,r7,81146b8c <__reset+0xfb126b8c> +81146ba8: 0005883a mov r2,zero +81146bac: f800283a ret +81146bb0: 20c00017 ldw r3,0(r4) +81146bb4: 28800017 ldw r2,0(r5) +81146bb8: 18bfee1e bne r3,r2,81146b74 <__reset+0xfb126b74> +81146bbc: 31bfff04 addi r6,r6,-4 +81146bc0: 21000104 addi r4,r4,4 +81146bc4: 29400104 addi r5,r5,4 +81146bc8: 39bff936 bltu r7,r6,81146bb0 <__reset+0xfb126bb0> +81146bcc: 303fe91e bne r6,zero,81146b74 <__reset+0xfb126b74> +81146bd0: 003ff506 br 81146ba8 <__reset+0xfb126ba8> +81146bd4: 10c5c83a sub r2,r2,r3 +81146bd8: f800283a ret + +81146bdc <__call_exitprocs>: +81146bdc: defff504 addi sp,sp,-44 +81146be0: df000915 stw fp,36(sp) +81146be4: dd400615 stw r21,24(sp) +81146be8: dc800315 stw r18,12(sp) +81146bec: dfc00a15 stw ra,40(sp) +81146bf0: ddc00815 stw r23,32(sp) +81146bf4: dd800715 stw r22,28(sp) +81146bf8: dd000515 stw r20,20(sp) +81146bfc: dcc00415 stw r19,16(sp) +81146c00: dc400215 stw r17,8(sp) +81146c04: dc000115 stw r16,4(sp) +81146c08: d9000015 stw r4,0(sp) +81146c0c: 2839883a mov fp,r5 +81146c10: 04800044 movi r18,1 +81146c14: 057fffc4 movi r21,-1 +81146c18: 00a04574 movhi r2,33045 +81146c1c: 1086f204 addi r2,r2,7112 +81146c20: 12000017 ldw r8,0(r2) +81146c24: 45005217 ldw r20,328(r8) +81146c28: 44c05204 addi r19,r8,328 +81146c2c: a0001c26 beq r20,zero,81146ca0 <__call_exitprocs+0xc4> +81146c30: a0800117 ldw r2,4(r20) +81146c34: 15ffffc4 addi r23,r2,-1 +81146c38: b8000d16 blt r23,zero,81146c70 <__call_exitprocs+0x94> +81146c3c: 14000044 addi r16,r2,1 +81146c40: 8421883a add r16,r16,r16 +81146c44: 8421883a add r16,r16,r16 +81146c48: 84402004 addi r17,r16,128 +81146c4c: a463883a add r17,r20,r17 +81146c50: a421883a add r16,r20,r16 +81146c54: e0001e26 beq fp,zero,81146cd0 <__call_exitprocs+0xf4> +81146c58: 80804017 ldw r2,256(r16) +81146c5c: e0801c26 beq fp,r2,81146cd0 <__call_exitprocs+0xf4> +81146c60: bdffffc4 addi r23,r23,-1 +81146c64: 843fff04 addi r16,r16,-4 +81146c68: 8c7fff04 addi r17,r17,-4 +81146c6c: bd7ff91e bne r23,r21,81146c54 <__reset+0xfb126c54> +81146c70: 00800034 movhi r2,0 +81146c74: 10800004 addi r2,r2,0 +81146c78: 10000926 beq r2,zero,81146ca0 <__call_exitprocs+0xc4> +81146c7c: a0800117 ldw r2,4(r20) +81146c80: 1000301e bne r2,zero,81146d44 <__call_exitprocs+0x168> +81146c84: a0800017 ldw r2,0(r20) +81146c88: 10003226 beq r2,zero,81146d54 <__call_exitprocs+0x178> +81146c8c: a009883a mov r4,r20 +81146c90: 98800015 stw r2,0(r19) +81146c94: 00000000 call 80000000 +81146c98: 9d000017 ldw r20,0(r19) +81146c9c: a03fe41e bne r20,zero,81146c30 <__reset+0xfb126c30> +81146ca0: dfc00a17 ldw ra,40(sp) +81146ca4: df000917 ldw fp,36(sp) +81146ca8: ddc00817 ldw r23,32(sp) +81146cac: dd800717 ldw r22,28(sp) +81146cb0: dd400617 ldw r21,24(sp) +81146cb4: dd000517 ldw r20,20(sp) +81146cb8: dcc00417 ldw r19,16(sp) +81146cbc: dc800317 ldw r18,12(sp) +81146cc0: dc400217 ldw r17,8(sp) +81146cc4: dc000117 ldw r16,4(sp) +81146cc8: dec00b04 addi sp,sp,44 +81146ccc: f800283a ret +81146cd0: a0800117 ldw r2,4(r20) +81146cd4: 80c00017 ldw r3,0(r16) +81146cd8: 10bfffc4 addi r2,r2,-1 +81146cdc: 15c01426 beq r2,r23,81146d30 <__call_exitprocs+0x154> +81146ce0: 80000015 stw zero,0(r16) +81146ce4: 183fde26 beq r3,zero,81146c60 <__reset+0xfb126c60> +81146ce8: 95c8983a sll r4,r18,r23 +81146cec: a0806217 ldw r2,392(r20) +81146cf0: a5800117 ldw r22,4(r20) +81146cf4: 2084703a and r2,r4,r2 +81146cf8: 10000b26 beq r2,zero,81146d28 <__call_exitprocs+0x14c> +81146cfc: a0806317 ldw r2,396(r20) +81146d00: 2088703a and r4,r4,r2 +81146d04: 20000c1e bne r4,zero,81146d38 <__call_exitprocs+0x15c> +81146d08: 89400017 ldw r5,0(r17) +81146d0c: d9000017 ldw r4,0(sp) +81146d10: 183ee83a callr r3 +81146d14: a0800117 ldw r2,4(r20) +81146d18: 15bfbf1e bne r2,r22,81146c18 <__reset+0xfb126c18> +81146d1c: 98800017 ldw r2,0(r19) +81146d20: 153fcf26 beq r2,r20,81146c60 <__reset+0xfb126c60> +81146d24: 003fbc06 br 81146c18 <__reset+0xfb126c18> +81146d28: 183ee83a callr r3 +81146d2c: 003ff906 br 81146d14 <__reset+0xfb126d14> +81146d30: a5c00115 stw r23,4(r20) +81146d34: 003feb06 br 81146ce4 <__reset+0xfb126ce4> +81146d38: 89000017 ldw r4,0(r17) +81146d3c: 183ee83a callr r3 +81146d40: 003ff406 br 81146d14 <__reset+0xfb126d14> +81146d44: a0800017 ldw r2,0(r20) +81146d48: a027883a mov r19,r20 +81146d4c: 1029883a mov r20,r2 +81146d50: 003fb606 br 81146c2c <__reset+0xfb126c2c> +81146d54: 0005883a mov r2,zero +81146d58: 003ffb06 br 81146d48 <__reset+0xfb126d48> + +81146d5c <_exit>: +81146d5c: d020ab05 stb zero,-32084(gp) +81146d60: 20000226 beq r4,zero,81146d6c <_exit+0x10> +81146d64: 002af070 cmpltui zero,zero,43969 +81146d68: 003fff06 br 81146d68 <__reset+0xfb126d68> +81146d6c: 002af0b0 cmpltui zero,zero,43970 +81146d70: 003ffd06 br 81146d68 <__reset+0xfb126d68> diff --git a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART/simucam_definitions.h b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART/simucam_definitions.h index 0a2b41b1..2983914d 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART/simucam_definitions.h +++ b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART/simucam_definitions.h @@ -23,7 +23,7 @@ /* HW and FW release version */ #define SIMUCAM_RELEASE "L6" -#define SIMUCAM_HW_VERSION "0.9" +#define SIMUCAM_HW_VERSION "0.10" #define SIMUCAM_FW_VERSION "0.0" #define N_OF_NFEE 6 /* ONLY for tests */ diff --git a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/.settings/language.settings.xml b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/.settings/language.settings.xml index 7e29c980..03122e16 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/.settings/language.settings.xml +++ b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/.settings/language.settings.xml @@ -4,7 +4,7 @@ - + diff --git a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/alt_sys_init.c b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/alt_sys_init.c index 86fb3660..fc7cb13e 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/alt_sys_init.c +++ b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/alt_sys_init.c @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_gen2_0' in SOPC Builder design 'MebX_Qsys_Project' * SOPC Builder design path: ../../MebX_Qsys_Project.sopcinfo * - * Generated: Tue Jan 31 01:41:06 BRST 2023 + * Generated: Sun Apr 09 21:15:32 BRT 2023 */ /* diff --git a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/linker.h b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/linker.h index 150d0534..1c23be47 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/linker.h +++ b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/linker.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_gen2_0' in SOPC Builder design 'MebX_Qsys_Project' * SOPC Builder design path: ../../MebX_Qsys_Project.sopcinfo * - * Generated: Tue Jan 31 01:41:06 BRST 2023 + * Generated: Sun Apr 09 21:15:32 BRT 2023 */ /* diff --git a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/linker.x b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/linker.x index dd71923d..83cb6652 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/linker.x +++ b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/linker.x @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_gen2_0' in SOPC Builder design 'MebX_Qsys_Project' * SOPC Builder design path: ../../MebX_Qsys_Project.sopcinfo * - * Generated: Tue Jan 31 01:41:06 BRST 2023 + * Generated: Sun Apr 09 21:15:32 BRT 2023 */ /* diff --git a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/memory.gdb b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/memory.gdb index 0f819de1..1dd04f83 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/memory.gdb +++ b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/memory.gdb @@ -3,7 +3,7 @@ # Machine generated for CPU 'nios2_gen2_0' in SOPC Builder design 'MebX_Qsys_Project' # SOPC Builder design path: ../../MebX_Qsys_Project.sopcinfo # -# Generated: Tue Jan 31 01:41:06 BRST 2023 +# Generated: Sun Apr 09 21:15:32 BRT 2023 # DO NOT MODIFY THIS FILE # diff --git a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/public.mk b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/public.mk index 0801c6a5..2d5146cf 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/public.mk +++ b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/public.mk @@ -157,9 +157,9 @@ SOPC_SYSID_FLAG += --sidp=0x8121af40 ELF_PATCH_FLAG += --sidp 0x8121af40 # The SOPC Timestamp -# setting SOPC_TIMESTAMP is 1635423393 -SOPC_SYSID_FLAG += --timestamp=1635423393 -ELF_PATCH_FLAG += --timestamp 1635423393 +# setting SOPC_TIMESTAMP is 1681054324 +SOPC_SYSID_FLAG += --timestamp=1681054324 +ELF_PATCH_FLAG += --timestamp 1681054324 # Enable JTAG UART driver to recover when host is inactive causing buffer to # full without returning error. Printf will not fail with this recovery. none diff --git a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/settings.bsp b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/settings.bsp index 805241a4..03cdd0d0 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/settings.bsp +++ b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/settings.bsp @@ -2,8 +2,8 @@ ucosii 18.1 - Feb 2, 2023 5:10:05 AM - 1675321805695 + Apr 9, 2023 9:15:31 PM + 1681085731823 .\ settings.bsp ..\..\MebX_Qsys_Project.sopcinfo @@ -1918,6 +1918,12 @@ 16 + + pio_rmap_echoing_module_reset + 0x80000C00 - 0x80000C0F + 16 + + rmap_mem_nfee_scom_0 0x81000000 - 0x81003FFF diff --git a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/summary.html b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/summary.html index 48c09831..6c684b80 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/summary.html +++ b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/summary.html @@ -22,10 +22,10 @@

BSP Description

BSP Version:18.1 -BSP Generated On:Feb 2, 2023 5:10:05 AM +BSP Generated On:Apr 9, 2023 9:15:31 PM -BSP Generated Timestamp:1675321805695 +BSP Generated Timestamp:1681085731823 BSP Generated Location:.\ @@ -101,6 +101,9 @@

Nios II Memory Map

rmap_mem_nfee_scom_00x81000000 - 0x81003FFF16384  +pio_rmap_echoing_module_reset0x80000C00 - 0x80000C0F16  + + pio_iso_logic_signal_enable0x80000B10 - 0x80000B1F16  diff --git a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/system.h b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/system.h index de66d8e2..bf2245ca 100644 --- a/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/system.h +++ b/G3U_HW_V02_2GB/Qsys_Project/software/Simucam_R0_UART_bsp/system.h @@ -4,7 +4,7 @@ * Machine generated for CPU 'nios2_gen2_0' in SOPC Builder design 'MebX_Qsys_Project' * SOPC Builder design path: ../../MebX_Qsys_Project.sopcinfo * - * Generated: Tue Jan 31 01:41:06 BRST 2023 + * Generated: Sun Apr 09 21:15:32 BRT 2023 */ /* @@ -904,6 +904,33 @@ #define PIO_ISO_LOGIC_SIGNAL_ENABLE_TYPE "altera_avalon_pio" +/* + * pio_rmap_echoing_module_reset configuration + * + */ + +#define ALT_MODULE_CLASS_pio_rmap_echoing_module_reset altera_avalon_pio +#define PIO_RMAP_ECHOING_MODULE_RESET_BASE 0x80000c00 +#define PIO_RMAP_ECHOING_MODULE_RESET_BIT_CLEARING_EDGE_REGISTER 0 +#define PIO_RMAP_ECHOING_MODULE_RESET_BIT_MODIFYING_OUTPUT_REGISTER 0 +#define PIO_RMAP_ECHOING_MODULE_RESET_CAPTURE 0 +#define PIO_RMAP_ECHOING_MODULE_RESET_DATA_WIDTH 1 +#define PIO_RMAP_ECHOING_MODULE_RESET_DO_TEST_BENCH_WIRING 0 +#define PIO_RMAP_ECHOING_MODULE_RESET_DRIVEN_SIM_VALUE 0 +#define PIO_RMAP_ECHOING_MODULE_RESET_EDGE_TYPE "NONE" +#define PIO_RMAP_ECHOING_MODULE_RESET_FREQ 50000000 +#define PIO_RMAP_ECHOING_MODULE_RESET_HAS_IN 0 +#define PIO_RMAP_ECHOING_MODULE_RESET_HAS_OUT 1 +#define PIO_RMAP_ECHOING_MODULE_RESET_HAS_TRI 0 +#define PIO_RMAP_ECHOING_MODULE_RESET_IRQ -1 +#define PIO_RMAP_ECHOING_MODULE_RESET_IRQ_INTERRUPT_CONTROLLER_ID -1 +#define PIO_RMAP_ECHOING_MODULE_RESET_IRQ_TYPE "NONE" +#define PIO_RMAP_ECHOING_MODULE_RESET_NAME "/dev/pio_rmap_echoing_module_reset" +#define PIO_RMAP_ECHOING_MODULE_RESET_RESET_VALUE 0 +#define PIO_RMAP_ECHOING_MODULE_RESET_SPAN 16 +#define PIO_RMAP_ECHOING_MODULE_RESET_TYPE "altera_avalon_pio" + + /* * rmap_mem_nfee_comm_1 configuration * @@ -1094,7 +1121,7 @@ #define SYSID_QSYS_IRQ_INTERRUPT_CONTROLLER_ID -1 #define SYSID_QSYS_NAME "/dev/sysid_qsys" #define SYSID_QSYS_SPAN 8 -#define SYSID_QSYS_TIMESTAMP 1635423393 +#define SYSID_QSYS_TIMESTAMP 1681054324 #define SYSID_QSYS_TYPE "altera_avalon_sysid_qsys" diff --git a/G3U_HW_V02_2GB/Quartus_Project/MebX_Qsys_Project_m1_ddr2_memory_p0_summary.csv b/G3U_HW_V02_2GB/Quartus_Project/MebX_Qsys_Project_m1_ddr2_memory_p0_summary.csv index e261245d..9d3cf640 100644 --- a/G3U_HW_V02_2GB/Quartus_Project/MebX_Qsys_Project_m1_ddr2_memory_p0_summary.csv +++ b/G3U_HW_V02_2GB/Quartus_Project/MebX_Qsys_Project_m1_ddr2_memory_p0_summary.csv @@ -2,28 +2,28 @@ Core: MebX_Qsys_Project_m1_ddr2_memory_p0 - Instance: SOPC_INST|m1_ddr2_memory Path, Setup Margin, Hold Margin "Address Command (Slow 900mV 85C Model)",0.194,0.587 "Bus Turnaround Time (Slow 900mV 85C Model)",5.444,-- -"Core (Slow 900mV 85C Model)",0.304,-0.029 -"Core Recovery/Removal (Slow 900mV 85C Model)",0.637,0.515 +"Core (Slow 900mV 85C Model)",0.372,-0.029 +"Core Recovery/Removal (Slow 900mV 85C Model)",0.624,0.518 "Read Capture (Slow 900mV 85C Model)",0.15,0.102 -"Read Resync (Slow 900mV 85C Model)",2.67,5.224 -"Write (Slow 900mV 85C Model)",-0.086,-0.086 +"Read Resync (Slow 900mV 85C Model)",2.691,5.347 +"Write (Slow 900mV 85C Model)",-0.085,-0.085 "Write Leveling tDQSS (Slow 900mV 85C Model)",0.392,0.392 "Write Leveling tDSS/tDSH (Slow 900mV 85C Model)",0.42,0.42 "Address Command (Slow 900mV 0C Model)",0.181,0.643 "Bus Turnaround Time (Slow 900mV 0C Model)",5.47,-- -"Core (Slow 900mV 0C Model)",0.525,0.032 -"Core Recovery/Removal (Slow 900mV 0C Model)",0.907,0.497 +"Core (Slow 900mV 0C Model)",0.58,0.033 +"Core Recovery/Removal (Slow 900mV 0C Model)",0.777,0.498 "Read Capture (Slow 900mV 0C Model)",0.152,0.104 -"Read Resync (Slow 900mV 0C Model)",2.752,5.288 +"Read Resync (Slow 900mV 0C Model)",2.739,5.373 "Write (Slow 900mV 0C Model)",-0.054,-0.054 "Write Leveling tDQSS (Slow 900mV 0C Model)",0.392,0.392 "Write Leveling tDSS/tDSH (Slow 900mV 0C Model)",0.42,0.42 -"Address Command (Fast 900mV 0C Model)",0.136,0.828 -"Bus Turnaround Time (Fast 900mV 0C Model)",5.515,-- -"Core (Fast 900mV 0C Model)",0.644,0.13 -"Core Recovery/Removal (Fast 900mV 0C Model)",2.464,0.268 +"Address Command (Fast 900mV 0C Model)",0.136,0.827 +"Bus Turnaround Time (Fast 900mV 0C Model)",5.514,-- +"Core (Fast 900mV 0C Model)",0.632,0.141 +"Core Recovery/Removal (Fast 900mV 0C Model)",2.415,0.268 "Read Capture (Fast 900mV 0C Model)",0.182,0.134 -"Read Resync (Fast 900mV 0C Model)",3.192,5.774 -"Write (Fast 900mV 0C Model)",-0.072,-0.072 +"Read Resync (Fast 900mV 0C Model)",3.244,5.882 +"Write (Fast 900mV 0C Model)",-0.07,-0.07 "Write Leveling tDQSS (Fast 900mV 0C Model)",0.392,0.392 "Write Leveling tDSS/tDSH (Fast 900mV 0C Model)",0.42,0.42 diff --git a/G3U_HW_V02_2GB/Quartus_Project/MebX_Qsys_Project_m2_ddr2_memory_p0_summary.csv b/G3U_HW_V02_2GB/Quartus_Project/MebX_Qsys_Project_m2_ddr2_memory_p0_summary.csv index 5ce27539..e8a5f50a 100644 --- a/G3U_HW_V02_2GB/Quartus_Project/MebX_Qsys_Project_m2_ddr2_memory_p0_summary.csv +++ b/G3U_HW_V02_2GB/Quartus_Project/MebX_Qsys_Project_m2_ddr2_memory_p0_summary.csv @@ -1,29 +1,29 @@ Core: MebX_Qsys_Project_m2_ddr2_memory_p0 - Instance: SOPC_INST|m2_ddr2_memory Path, Setup Margin, Hold Margin "Address Command (Slow 900mV 85C Model)",0.177,0.574 -"Bus Turnaround Time (Slow 900mV 85C Model)",5.429,-- -"Core (Slow 900mV 85C Model)",-0.039,0.145 -"Core Recovery/Removal (Slow 900mV 85C Model)",0.499,0.502 +"Bus Turnaround Time (Slow 900mV 85C Model)",5.428,-- +"Core (Slow 900mV 85C Model)",0.184,0.094 +"Core Recovery/Removal (Slow 900mV 85C Model)",0.699,0.474 "Read Capture (Slow 900mV 85C Model)",0.149,0.101 -"Read Resync (Slow 900mV 85C Model)",2.892,5.338 -"Write (Slow 900mV 85C Model)",-0.086,-0.086 +"Read Resync (Slow 900mV 85C Model)",2.735,5.344 +"Write (Slow 900mV 85C Model)",-0.085,-0.085 "Write Leveling tDQSS (Slow 900mV 85C Model)",0.392,0.392 "Write Leveling tDSS/tDSH (Slow 900mV 85C Model)",0.42,0.42 -"Address Command (Slow 900mV 0C Model)",0.16,0.646 +"Address Command (Slow 900mV 0C Model)",0.159,0.647 "Bus Turnaround Time (Slow 900mV 0C Model)",5.465,-- -"Core (Slow 900mV 0C Model)",0.159,0.272 -"Core Recovery/Removal (Slow 900mV 0C Model)",0.755,0.483 +"Core (Slow 900mV 0C Model)",0.379,0.23 +"Core Recovery/Removal (Slow 900mV 0C Model)",0.847,0.46 "Read Capture (Slow 900mV 0C Model)",0.152,0.104 -"Read Resync (Slow 900mV 0C Model)",2.984,5.394 -"Write (Slow 900mV 0C Model)",-0.058,-0.058 +"Read Resync (Slow 900mV 0C Model)",2.847,5.362 +"Write (Slow 900mV 0C Model)",-0.057,-0.057 "Write Leveling tDQSS (Slow 900mV 0C Model)",0.392,0.392 "Write Leveling tDSS/tDSH (Slow 900mV 0C Model)",0.42,0.42 "Address Command (Fast 900mV 0C Model)",0.128,0.831 -"Bus Turnaround Time (Fast 900mV 0C Model)",5.518,-- -"Core (Fast 900mV 0C Model)",0.569,0.138 -"Core Recovery/Removal (Fast 900mV 0C Model)",2.233,0.276 +"Bus Turnaround Time (Fast 900mV 0C Model)",5.519,-- +"Core (Fast 900mV 0C Model)",0.662,0.107 +"Core Recovery/Removal (Fast 900mV 0C Model)",2.138,0.262 "Read Capture (Fast 900mV 0C Model)",0.182,0.134 -"Read Resync (Fast 900mV 0C Model)",3.338,5.823 +"Read Resync (Fast 900mV 0C Model)",3.269,5.832 "Write (Fast 900mV 0C Model)",-0.075,-0.075 "Write Leveling tDQSS (Fast 900mV 0C Model)",0.392,0.392 "Write Leveling tDSS/tDSH (Fast 900mV 0C Model)",0.42,0.42 diff --git a/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.done b/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.done index 355893f4..fc5d17b3 100644 --- a/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.done +++ b/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.done @@ -1 +1 @@ -Thu Feb 02 04:02:02 2023 +Sun Apr 09 16:15:03 2023 diff --git a/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.fit.summary b/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.fit.summary index 38860030..947f4d50 100644 --- a/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.fit.summary +++ b/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.fit.summary @@ -1,15 +1,15 @@ -Fitter Status : Successful - Thu Feb 02 03:50:06 2023 +Fitter Status : Successful - Sun Apr 09 16:03:14 2023 Quartus Prime Version : 18.1.0 Build 625 09/12/2018 SJ Standard Edition Revision Name : MebX_Quartus_Project_DE4_530 Top-level Entity Name : MebX_TopLevel Family : Stratix IV Device : EP4SGX530KH40C2 Timing Models : Final -Logic utilization : 53 % - Combinational ALUTs : 154,436 / 424,960 ( 36 % ) +Logic utilization : 56 % + Combinational ALUTs : 164,997 / 424,960 ( 39 % ) Memory ALUTs : 9,032 / 212,480 ( 4 % ) - Dedicated logic registers : 145,621 / 424,960 ( 34 % ) -Total registers : 146961 + Dedicated logic registers : 146,963 / 424,960 ( 35 % ) +Total registers : 148303 Total pins : 493 / 888 ( 56 % ) Total virtual pins : 0 Total block memory bits : 14,813,480 / 21,233,664 ( 70 % ) diff --git a/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.jdi b/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.jdi index 3c3b4e5a..f5398452 100644 --- a/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.jdi +++ b/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.jdi @@ -1,6 +1,6 @@ - + @@ -139,7 +139,7 @@ - + diff --git a/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.map.summary b/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.map.summary index 6de03483..cfbab27b 100644 --- a/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.map.summary +++ b/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.map.summary @@ -1,13 +1,13 @@ -Analysis & Synthesis Status : Successful - Thu Feb 02 01:10:10 2023 +Analysis & Synthesis Status : Successful - Sun Apr 09 13:33:42 2023 Quartus Prime Version : 18.1.0 Build 625 09/12/2018 SJ Standard Edition Revision Name : MebX_Quartus_Project_DE4_530 Top-level Entity Name : MebX_TopLevel Family : Stratix IV Logic utilization : N/A - Combinational ALUTs : 150,996 + Combinational ALUTs : 161,784 Memory ALUTs : 7,242 - Dedicated logic registers : 140,853 -Total registers : 142141 + Dedicated logic registers : 142,295 +Total registers : 143583 Total pins : 493 Total virtual pins : 0 Total block memory bits : 14,919,222 diff --git a/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.sld b/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.sld index 4f0abb13..59a52551 100644 --- a/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.sld +++ b/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.sld @@ -2,7 +2,7 @@ - + diff --git a/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.sof b/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.sof index 35478702..3beb6513 100644 Binary files a/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.sof and b/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.sof differ diff --git a/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.sta.summary b/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.sta.summary index 5b68a7ea..9d986c75 100644 --- a/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.sta.summary +++ b/G3U_HW_V02_2GB/Quartus_Project/output_files/MebX_Quartus_Project_DE4_530.sta.summary @@ -3,8 +3,12 @@ Timing Analyzer Summary ------------------------------------------------------------ Type : Slow 900mV 85C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' -Slack : -8.169 -TNS : -233.633 +Slack : -6.724 +TNS : -206.338 + +Type : Slow 900mV 85C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' +Slack : -6.021 +TNS : -8324.038 Type : Slow 900mV 85C Model Setup 'M2_DDR2_clk[1]' Slack : 0.177 @@ -15,55 +19,51 @@ Slack : 0.194 TNS : 0.000 Type : Slow 900mV 85C Model Setup 'M1_DDR2_clk[0]' -Slack : 0.196 +Slack : 0.197 TNS : 0.000 Type : Slow 900mV 85C Model Setup 'M2_DDR2_clk[0]' -Slack : 0.199 +Slack : 0.200 TNS : 0.000 Type : Slow 900mV 85C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' -Slack : 0.304 -TNS : 0.000 - -Type : Slow 900mV 85C Model Setup 'umft601a_clk_100mhz' -Slack : 0.445 -TNS : 0.000 - -Type : Slow 900mV 85C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' -Slack : 0.527 +Slack : 0.372 TNS : 0.000 Type : Slow 900mV 85C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 0.532 +Slack : 0.469 TNS : 0.000 Type : Slow 900mV 85C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_write_clk' -Slack : 0.671 +Slack : 0.670 TNS : 0.000 -Type : Slow 900mV 85C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 0.784 +Type : Slow 900mV 85C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' +Slack : 0.751 TNS : 0.000 -Type : Slow 900mV 85C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 1.051 +Type : Slow 900mV 85C Model Setup 'umft601a_clk_100mhz' +Slack : 0.768 TNS : 0.000 Type : Slow 900mV 85C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_write_clk' Slack : 1.100 TNS : 0.000 -Type : Slow 900mV 85C Model Setup 'SOPC_INST|m2_ddr2_memory|MebX_Qsys_Project_m2_ddr2_memory_p0_leveling_clk' -Slack : 1.334 +Type : Slow 900mV 85C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' +Slack : 1.238 TNS : 0.000 Type : Slow 900mV 85C Model Setup 'osc_bank_4_50mhz' -Slack : 1.359 +Slack : 1.303 TNS : 0.000 -Type : Slow 900mV 85C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' -Slack : 1.695 +Type : Slow 900mV 85C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' +Slack : 1.309 +TNS : 0.000 + +Type : Slow 900mV 85C Model Setup 'SOPC_INST|m2_ddr2_memory|MebX_Qsys_Project_m2_ddr2_memory_p0_leveling_clk' +Slack : 1.333 TNS : 0.000 Type : Slow 900mV 85C Model Setup 'SOPC_INST|m1_ddr2_memory|MebX_Qsys_Project_m1_ddr2_memory_p0_leveling_clk' @@ -71,79 +71,79 @@ Slack : 1.763 TNS : 0.000 Type : Slow 900mV 85C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 1.940 +Slack : 2.699 TNS : 0.000 Type : Slow 900mV 85C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' -Slack : 4.503 +Slack : 4.894 TNS : 0.000 Type : Slow 900mV 85C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' -Slack : 5.071 +Slack : 5.479 TNS : 0.000 Type : Slow 900mV 85C Model Setup 'altera_reserved_tck' -Slack : 46.181 +Slack : 46.241 TNS : 0.000 Type : Slow 900mV 85C Model Hold 'SOPC_INST|m1_ddr2_memory|MebX_Qsys_Project_m1_ddr2_memory_p0_leveling_clk' Slack : -0.029 TNS : -0.916 -Type : Slow 900mV 85C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' -Slack : 0.218 +Type : Slow 900mV 85C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' +Slack : 0.209 TNS : 0.000 Type : Slow 900mV 85C Model Hold 'osc_bank_4_50mhz' -Slack : 0.221 +Slack : 0.222 TNS : 0.000 -Type : Slow 900mV 85C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' -Slack : 0.227 +Type : Slow 900mV 85C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' +Slack : 0.234 TNS : 0.000 -Type : Slow 900mV 85C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 0.241 +Type : Slow 900mV 85C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' +Slack : 0.260 TNS : 0.000 -Type : Slow 900mV 85C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' -Slack : 0.273 +Type : Slow 900mV 85C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' +Slack : 0.261 TNS : 0.000 -Type : Slow 900mV 85C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 0.275 +Type : Slow 900mV 85C Model Hold 'umft601a_clk_100mhz' +Slack : 0.263 TNS : 0.000 -Type : Slow 900mV 85C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' -Slack : 0.275 +Type : Slow 900mV 85C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' +Slack : 0.266 TNS : 0.000 -Type : Slow 900mV 85C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' -Slack : 0.275 +Type : Slow 900mV 85C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' +Slack : 0.272 TNS : 0.000 Type : Slow 900mV 85C Model Hold 'altera_reserved_tck' -Slack : 0.275 +Slack : 0.276 TNS : 0.000 -Type : Slow 900mV 85C Model Hold 'umft601a_clk_100mhz' -Slack : 0.275 +Type : Slow 900mV 85C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' +Slack : 0.282 TNS : 0.000 -Type : Slow 900mV 85C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 0.276 +Type : Slow 900mV 85C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' +Slack : 0.290 TNS : 0.000 -Type : Slow 900mV 85C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' -Slack : 0.276 +Type : Slow 900mV 85C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' +Slack : 0.293 TNS : 0.000 -Type : Slow 900mV 85C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 0.283 +Type : Slow 900mV 85C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' +Slack : 0.299 TNS : 0.000 Type : Slow 900mV 85C Model Hold 'SOPC_INST|m2_ddr2_memory|MebX_Qsys_Project_m2_ddr2_memory_p0_leveling_clk' -Slack : 0.396 +Slack : 0.367 TNS : 0.000 Type : Slow 900mV 85C Model Hold 'M2_DDR2_clk[0]' @@ -167,111 +167,111 @@ Slack : 0.976 TNS : 0.000 Type : Slow 900mV 85C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_write_clk' -Slack : 1.401 -TNS : 0.000 - -Type : Slow 900mV 85C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' -Slack : 0.244 +Slack : 1.370 TNS : 0.000 Type : Slow 900mV 85C Model Recovery 'umft601a_clk_100mhz' -Slack : 0.413 +Slack : 0.099 TNS : 0.000 -Type : Slow 900mV 85C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' +Type : Slow 900mV 85C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' Slack : 0.624 TNS : 0.000 -Type : Slow 900mV 85C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' -Slack : 0.637 +Type : Slow 900mV 85C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' +Slack : 0.699 TNS : 0.000 -Type : Slow 900mV 85C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 0.825 +Type : Slow 900mV 85C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' +Slack : 1.007 +TNS : 0.000 + +Type : Slow 900mV 85C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' +Slack : 1.374 TNS : 0.000 Type : Slow 900mV 85C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 1.568 +Slack : 1.705 TNS : 0.000 Type : Slow 900mV 85C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 5.674 +Slack : 3.146 TNS : 0.000 -Type : Slow 900mV 85C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' -Slack : 6.335 +Type : Slow 900mV 85C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' +Slack : 6.570 TNS : 0.000 -Type : Slow 900mV 85C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' -Slack : 6.679 +Type : Slow 900mV 85C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' +Slack : 7.050 TNS : 0.000 Type : Slow 900mV 85C Model Recovery 'osc_bank_4_50mhz' -Slack : 14.941 +Slack : 14.945 TNS : 0.000 -Type : Slow 900mV 85C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' -Slack : 16.350 +Type : Slow 900mV 85C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' +Slack : 16.552 TNS : 0.000 -Type : Slow 900mV 85C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' -Slack : 16.877 +Type : Slow 900mV 85C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' +Slack : 17.641 TNS : 0.000 Type : Slow 900mV 85C Model Recovery 'altera_reserved_tck' -Slack : 45.564 +Slack : 48.531 TNS : 0.000 Type : Slow 900mV 85C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' -Slack : 0.454 +Slack : 0.462 TNS : 0.000 Type : Slow 900mV 85C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' Slack : 0.463 TNS : 0.000 -Type : Slow 900mV 85C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' -Slack : 0.502 +Type : Slow 900mV 85C Model Removal 'umft601a_clk_100mhz' +Slack : 0.463 TNS : 0.000 -Type : Slow 900mV 85C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' -Slack : 0.515 +Type : Slow 900mV 85C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' +Slack : 0.474 TNS : 0.000 -Type : Slow 900mV 85C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' -Slack : 0.544 +Type : Slow 900mV 85C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' +Slack : 0.488 TNS : 0.000 -Type : Slow 900mV 85C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' -Slack : 0.718 +Type : Slow 900mV 85C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' +Slack : 0.528 TNS : 0.000 -Type : Slow 900mV 85C Model Removal 'altera_reserved_tck' -Slack : 0.718 +Type : Slow 900mV 85C Model Removal 'osc_bank_4_50mhz' +Slack : 0.528 TNS : 0.000 Type : Slow 900mV 85C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' -Slack : 0.885 +Slack : 0.600 TNS : 0.000 -Type : Slow 900mV 85C Model Removal 'osc_bank_4_50mhz' -Slack : 0.920 +Type : Slow 900mV 85C Model Removal 'altera_reserved_tck' +Slack : 0.638 TNS : 0.000 -Type : Slow 900mV 85C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 0.990 +Type : Slow 900mV 85C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' +Slack : 0.651 TNS : 0.000 Type : Slow 900mV 85C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 0.991 +Slack : 0.868 TNS : 0.000 Type : Slow 900mV 85C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 1.136 +Slack : 0.942 TNS : 0.000 -Type : Slow 900mV 85C Model Removal 'umft601a_clk_100mhz' -Slack : 2.050 +Type : Slow 900mV 85C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' +Slack : 1.333 TNS : 0.000 Type : Slow 900mV 85C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|pll0|pll_write_clk' @@ -282,20 +282,20 @@ Type : Slow 900mV 85C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|pll0| Slack : 0.467 TNS : 0.000 -Type : Slow 900mV 85C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|MebX_Qsys_Project_m1_ddr2_memory_p0_leveling_clk' +Type : Slow 900mV 85C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|MebX_Qsys_Project_m2_ddr2_memory_p0_leveling_clk' Slack : 0.612 TNS : 0.000 -Type : Slow 900mV 85C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|MebX_Qsys_Project_m2_ddr2_memory_p0_leveling_clk' -Slack : 0.612 +Type : Slow 900mV 85C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|MebX_Qsys_Project_m1_ddr2_memory_p0_leveling_clk' +Slack : 0.614 TNS : 0.000 Type : Slow 900mV 85C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|pll0|pll_mem_clk' -Slack : 0.633 +Slack : 0.632 TNS : 0.000 Type : Slow 900mV 85C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|pll0|pll_mem_clk' -Slack : 0.634 +Slack : 0.635 TNS : 0.000 Type : Slow 900mV 85C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' @@ -307,31 +307,31 @@ Slack : 0.820 TNS : 0.000 Type : Slow 900mV 85C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 1.882 +Slack : 1.881 TNS : 0.000 Type : Slow 900mV 85C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 1.882 +Slack : 1.883 TNS : 0.000 Type : Slow 900mV 85C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 4.206 +Slack : 4.200 TNS : 0.000 -Type : Slow 900mV 85C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' -Slack : 4.229 +Type : Slow 900mV 85C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' +Slack : 4.236 TNS : 0.000 -Type : Slow 900mV 85C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' -Slack : 4.231 +Type : Slow 900mV 85C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' +Slack : 4.240 TNS : 0.000 Type : Slow 900mV 85C Model Minimum Pulse Width 'umft601a_clk_100mhz' -Slack : 4.252 +Slack : 4.249 TNS : 0.000 Type : Slow 900mV 85C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 4.281 +Slack : 4.280 TNS : 0.000 Type : Slow 900mV 85C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' @@ -343,7 +343,7 @@ Slack : 6.667 TNS : 0.000 Type : Slow 900mV 85C Model Minimum Pulse Width 'osc_bank_4_50mhz' -Slack : 8.970 +Slack : 8.967 TNS : 0.000 Type : Slow 900mV 85C Model Minimum Pulse Width 'osc_bank_3_50mhz' @@ -351,15 +351,19 @@ Slack : 9.744 TNS : 0.000 Type : Slow 900mV 85C Model Minimum Pulse Width 'altera_reserved_tck' -Slack : 49.330 +Slack : 49.331 TNS : 0.000 Type : Slow 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' -Slack : -7.762 -TNS : -219.984 +Slack : -6.326 +TNS : -193.832 + +Type : Slow 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' +Slack : -5.423 +TNS : -7295.559 Type : Slow 900mV 0C Model Setup 'M2_DDR2_clk[1]' -Slack : 0.160 +Slack : 0.159 TNS : 0.000 Type : Slow 900mV 0C Model Setup 'M2_DDR2_clk[0]' @@ -375,138 +379,134 @@ Slack : 0.184 TNS : 0.000 Type : Slow 900mV 0C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' -Slack : 0.525 -TNS : 0.000 - -Type : Slow 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_write_clk' -Slack : 0.692 +Slack : 0.580 TNS : 0.000 Type : Slow 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 0.704 +Slack : 0.662 TNS : 0.000 -Type : Slow 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' -Slack : 0.781 +Type : Slow 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_write_clk' +Slack : 0.693 TNS : 0.000 -Type : Slow 900mV 0C Model Setup 'umft601a_clk_100mhz' -Slack : 0.963 +Type : Slow 900mV 0C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' +Slack : 0.928 TNS : 0.000 Type : Slow 900mV 0C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_write_clk' Slack : 1.077 TNS : 0.000 -Type : Slow 900mV 0C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 1.187 +Type : Slow 900mV 0C Model Setup 'umft601a_clk_100mhz' +Slack : 1.249 TNS : 0.000 -Type : Slow 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 1.252 +Type : Slow 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|MebX_Qsys_Project_m2_ddr2_memory_p0_leveling_clk' +Slack : 1.355 TNS : 0.000 -Type : Slow 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|MebX_Qsys_Project_m2_ddr2_memory_p0_leveling_clk' -Slack : 1.354 +Type : Slow 900mV 0C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' +Slack : 1.455 TNS : 0.000 Type : Slow 900mV 0C Model Setup 'osc_bank_4_50mhz' -Slack : 1.573 +Slack : 1.507 TNS : 0.000 -Type : Slow 900mV 0C Model Setup 'SOPC_INST|m1_ddr2_memory|MebX_Qsys_Project_m1_ddr2_memory_p0_leveling_clk' -Slack : 1.739 +Type : Slow 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' +Slack : 1.510 TNS : 0.000 -Type : Slow 900mV 0C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' -Slack : 1.858 +Type : Slow 900mV 0C Model Setup 'SOPC_INST|m1_ddr2_memory|MebX_Qsys_Project_m1_ddr2_memory_p0_leveling_clk' +Slack : 1.739 TNS : 0.000 Type : Slow 900mV 0C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 2.385 +Slack : 2.973 TNS : 0.000 Type : Slow 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' -Slack : 4.802 +Slack : 5.196 TNS : 0.000 Type : Slow 900mV 0C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' -Slack : 5.293 +Slack : 5.695 TNS : 0.000 Type : Slow 900mV 0C Model Setup 'altera_reserved_tck' -Slack : 46.313 +Slack : 46.386 TNS : 0.000 Type : Slow 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|MebX_Qsys_Project_m1_ddr2_memory_p0_leveling_clk' -Slack : 0.032 +Slack : 0.033 TNS : 0.000 -Type : Slow 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' -Slack : 0.213 +Type : Slow 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' +Slack : 0.192 TNS : 0.000 Type : Slow 900mV 0C Model Hold 'osc_bank_4_50mhz' -Slack : 0.217 +Slack : 0.218 TNS : 0.000 -Type : Slow 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' -Slack : 0.223 +Type : Slow 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' +Slack : 0.230 TNS : 0.000 -Type : Slow 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 0.223 +Type : Slow 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' +Slack : 0.251 TNS : 0.000 Type : Slow 900mV 0C Model Hold 'umft601a_clk_100mhz' -Slack : 0.264 -TNS : 0.000 - -Type : Slow 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' -Slack : 0.267 +Slack : 0.255 TNS : 0.000 -Type : Slow 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' -Slack : 0.268 +Type : Slow 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' +Slack : 0.256 TNS : 0.000 -Type : Slow 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 0.271 +Type : Slow 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' +Slack : 0.263 TNS : 0.000 Type : Slow 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' -Slack : 0.272 +Slack : 0.267 TNS : 0.000 -Type : Slow 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 0.275 +Type : Slow 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' +Slack : 0.276 TNS : 0.000 -Type : Slow 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' -Slack : 0.275 +Type : Slow 900mV 0C Model Hold 'altera_reserved_tck' +Slack : 0.276 TNS : 0.000 Type : Slow 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 0.276 +Slack : 0.282 TNS : 0.000 -Type : Slow 900mV 0C Model Hold 'altera_reserved_tck' -Slack : 0.276 +Type : Slow 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' +Slack : 0.284 +TNS : 0.000 + +Type : Slow 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' +Slack : 0.297 TNS : 0.000 Type : Slow 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|MebX_Qsys_Project_m2_ddr2_memory_p0_leveling_clk' -Slack : 0.416 +Slack : 0.388 TNS : 0.000 Type : Slow 900mV 0C Model Hold 'M1_DDR2_clk[0]' Slack : 0.643 TNS : 0.000 -Type : Slow 900mV 0C Model Hold 'M2_DDR2_clk[0]' -Slack : 0.646 +Type : Slow 900mV 0C Model Hold 'M1_DDR2_clk[1]' +Slack : 0.647 TNS : 0.000 -Type : Slow 900mV 0C Model Hold 'M1_DDR2_clk[1]' +Type : Slow 900mV 0C Model Hold 'M2_DDR2_clk[0]' Slack : 0.647 TNS : 0.000 @@ -519,111 +519,111 @@ Slack : 1.028 TNS : 0.000 Type : Slow 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_write_clk' -Slack : 1.412 +Slack : 1.385 TNS : 0.000 -Type : Slow 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' -Slack : 0.458 +Type : Slow 900mV 0C Model Recovery 'umft601a_clk_100mhz' +Slack : 0.694 TNS : 0.000 -Type : Slow 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 0.825 +Type : Slow 900mV 0C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' +Slack : 0.777 TNS : 0.000 -Type : Slow 900mV 0C Model Recovery 'umft601a_clk_100mhz' -Slack : 0.891 +Type : Slow 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' +Slack : 0.847 TNS : 0.000 -Type : Slow 900mV 0C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' -Slack : 0.907 +Type : Slow 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' +Slack : 1.230 TNS : 0.000 -Type : Slow 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 0.996 +Type : Slow 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' +Slack : 1.589 TNS : 0.000 Type : Slow 900mV 0C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 1.586 +Slack : 1.737 TNS : 0.000 Type : Slow 900mV 0C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 5.942 +Slack : 3.584 TNS : 0.000 -Type : Slow 900mV 0C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' -Slack : 6.485 +Type : Slow 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' +Slack : 6.747 TNS : 0.000 -Type : Slow 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' -Slack : 6.884 +Type : Slow 900mV 0C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' +Slack : 7.216 TNS : 0.000 Type : Slow 900mV 0C Model Recovery 'osc_bank_4_50mhz' -Slack : 15.208 +Slack : 15.190 TNS : 0.000 -Type : Slow 900mV 0C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' -Slack : 16.493 +Type : Slow 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' +Slack : 16.734 TNS : 0.000 -Type : Slow 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' -Slack : 17.051 +Type : Slow 900mV 0C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' +Slack : 17.680 TNS : 0.000 Type : Slow 900mV 0C Model Recovery 'altera_reserved_tck' -Slack : 45.746 +Slack : 48.552 +TNS : 0.000 + +Type : Slow 900mV 0C Model Removal 'umft601a_clk_100mhz' +Slack : 0.445 TNS : 0.000 Type : Slow 900mV 0C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' -Slack : 0.434 +Slack : 0.450 TNS : 0.000 Type : Slow 900mV 0C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 0.451 +Slack : 0.450 TNS : 0.000 -Type : Slow 900mV 0C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' -Slack : 0.483 +Type : Slow 900mV 0C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' +Slack : 0.460 TNS : 0.000 Type : Slow 900mV 0C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' -Slack : 0.497 -TNS : 0.000 - -Type : Slow 900mV 0C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' -Slack : 0.520 +Slack : 0.473 TNS : 0.000 -Type : Slow 900mV 0C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' -Slack : 0.678 +Type : Slow 900mV 0C Model Removal 'osc_bank_4_50mhz' +Slack : 0.508 TNS : 0.000 -Type : Slow 900mV 0C Model Removal 'altera_reserved_tck' -Slack : 0.690 +Type : Slow 900mV 0C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' +Slack : 0.509 TNS : 0.000 Type : Slow 900mV 0C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' -Slack : 0.849 +Slack : 0.574 TNS : 0.000 -Type : Slow 900mV 0C Model Removal 'osc_bank_4_50mhz' -Slack : 0.887 +Type : Slow 900mV 0C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' +Slack : 0.612 TNS : 0.000 -Type : Slow 900mV 0C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 0.933 +Type : Slow 900mV 0C Model Removal 'altera_reserved_tck' +Slack : 0.618 TNS : 0.000 -Type : Slow 900mV 0C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 0.964 +Type : Slow 900mV 0C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' +Slack : 0.856 TNS : 0.000 Type : Slow 900mV 0C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 1.106 +Slack : 0.924 TNS : 0.000 -Type : Slow 900mV 0C Model Removal 'umft601a_clk_100mhz' -Slack : 1.886 +Type : Slow 900mV 0C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' +Slack : 1.271 TNS : 0.000 Type : Slow 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|pll0|pll_write_clk' @@ -631,7 +631,7 @@ Slack : 0.473 TNS : 0.000 Type : Slow 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|pll0|pll_write_clk' -Slack : 0.473 +Slack : 0.474 TNS : 0.000 Type : Slow 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|MebX_Qsys_Project_m2_ddr2_memory_p0_leveling_clk' @@ -658,28 +658,28 @@ Type : Slow 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|pll0|p Slack : 0.820 TNS : 0.000 -Type : Slow 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' +Type : Slow 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' Slack : 1.897 TNS : 0.000 -Type : Slow 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 1.899 +Type : Slow 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' +Slack : 1.897 TNS : 0.000 Type : Slow 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 4.204 +Slack : 4.207 TNS : 0.000 -Type : Slow 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' -Slack : 4.211 +Type : Slow 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' +Slack : 4.219 TNS : 0.000 -Type : Slow 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' -Slack : 4.217 +Type : Slow 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' +Slack : 4.221 TNS : 0.000 Type : Slow 900mV 0C Model Minimum Pulse Width 'umft601a_clk_100mhz' -Slack : 4.253 +Slack : 4.248 TNS : 0.000 Type : Slow 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' @@ -703,12 +703,12 @@ Slack : 9.746 TNS : 0.000 Type : Slow 900mV 0C Model Minimum Pulse Width 'altera_reserved_tck' -Slack : 49.382 +Slack : 49.383 TNS : 0.000 Type : Fast 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' -Slack : -4.681 -TNS : -132.296 +Slack : -3.818 +TNS : -116.508 Type : Fast 900mV 0C Model Setup 'M2_DDR2_clk[0]' Slack : 0.128 @@ -723,7 +723,7 @@ Slack : 0.136 TNS : 0.000 Type : Fast 900mV 0C Model Setup 'M1_DDR2_clk[0]' -Slack : 0.137 +Slack : 0.138 TNS : 0.000 Type : Fast 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_write_clk' @@ -731,7 +731,11 @@ Slack : 0.668 TNS : 0.000 Type : Fast 900mV 0C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_write_clk' -Slack : 0.933 +Slack : 0.934 +TNS : 0.000 + +Type : Fast 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' +Slack : 1.105 TNS : 0.000 Type : Fast 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|MebX_Qsys_Project_m2_ddr2_memory_p0_leveling_clk' @@ -739,107 +743,103 @@ Slack : 1.315 TNS : 0.000 Type : Fast 900mV 0C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' -Slack : 1.351 +Slack : 1.326 TNS : 0.000 Type : Fast 900mV 0C Model Setup 'SOPC_INST|m1_ddr2_memory|MebX_Qsys_Project_m1_ddr2_memory_p0_leveling_clk' -Slack : 1.580 -TNS : 0.000 - -Type : Fast 900mV 0C Model Setup 'umft601a_clk_100mhz' -Slack : 1.822 +Slack : 1.581 TNS : 0.000 Type : Fast 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 1.950 +Slack : 1.853 TNS : 0.000 Type : Fast 900mV 0C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 2.199 +Slack : 1.964 TNS : 0.000 -Type : Fast 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' -Slack : 2.463 +Type : Fast 900mV 0C Model Setup 'umft601a_clk_100mhz' +Slack : 2.249 TNS : 0.000 Type : Fast 900mV 0C Model Setup 'osc_bank_4_50mhz' -Slack : 2.755 +Slack : 2.733 TNS : 0.000 -Type : Fast 900mV 0C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' -Slack : 3.177 +Type : Fast 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' +Slack : 2.827 TNS : 0.000 -Type : Fast 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 3.469 +Type : Fast 900mV 0C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' +Slack : 2.888 TNS : 0.000 Type : Fast 900mV 0C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 5.218 +Slack : 5.611 TNS : 0.000 Type : Fast 900mV 0C Model Setup 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' -Slack : 6.967 +Slack : 7.148 TNS : 0.000 Type : Fast 900mV 0C Model Setup 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' -Slack : 7.361 +Slack : 7.587 TNS : 0.000 Type : Fast 900mV 0C Model Setup 'altera_reserved_tck' -Slack : 48.124 +Slack : 48.164 +TNS : 0.000 + +Type : Fast 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' +Slack : 0.107 TNS : 0.000 Type : Fast 900mV 0C Model Hold 'osc_bank_4_50mhz' Slack : 0.108 TNS : 0.000 -Type : Fast 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' -Slack : 0.123 +Type : Fast 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' +Slack : 0.130 TNS : 0.000 -Type : Fast 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' -Slack : 0.130 +Type : Fast 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' +Slack : 0.139 TNS : 0.000 Type : Fast 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' -Slack : 0.132 +Slack : 0.141 TNS : 0.000 -Type : Fast 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 0.138 +Type : Fast 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' +Slack : 0.142 TNS : 0.000 Type : Fast 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' -Slack : 0.138 +Slack : 0.142 TNS : 0.000 -Type : Fast 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' -Slack : 0.142 +Type : Fast 900mV 0C Model Hold 'umft601a_clk_100mhz' +Slack : 0.144 TNS : 0.000 Type : Fast 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' Slack : 0.146 TNS : 0.000 -Type : Fast 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 0.148 -TNS : 0.000 - -Type : Fast 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' +Type : Fast 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' Slack : 0.150 TNS : 0.000 -Type : Fast 900mV 0C Model Hold 'umft601a_clk_100mhz' -Slack : 0.151 -TNS : 0.000 - -Type : Fast 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' +Type : Fast 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' Slack : 0.152 TNS : 0.000 Type : Fast 900mV 0C Model Hold 'altera_reserved_tck' -Slack : 0.152 +Slack : 0.153 +TNS : 0.000 + +Type : Fast 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' +Slack : 0.156 TNS : 0.000 Type : Fast 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|MebX_Qsys_Project_m1_ddr2_memory_p0_leveling_clk' @@ -847,11 +847,11 @@ Slack : 0.441 TNS : 0.000 Type : Fast 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|MebX_Qsys_Project_m2_ddr2_memory_p0_leveling_clk' -Slack : 0.704 +Slack : 0.686 TNS : 0.000 Type : Fast 900mV 0C Model Hold 'M1_DDR2_clk[0]' -Slack : 0.828 +Slack : 0.827 TNS : 0.000 Type : Fast 900mV 0C Model Hold 'M1_DDR2_clk[1]' @@ -863,7 +863,7 @@ Slack : 0.831 TNS : 0.000 Type : Fast 900mV 0C Model Hold 'M2_DDR2_clk[1]' -Slack : 0.832 +Slack : 0.831 TNS : 0.000 Type : Fast 900mV 0C Model Hold 'SOPC_INST|m1_ddr2_memory|pll0|pll_write_clk' @@ -871,111 +871,111 @@ Slack : 1.289 TNS : 0.000 Type : Fast 900mV 0C Model Hold 'SOPC_INST|m2_ddr2_memory|pll0|pll_write_clk' -Slack : 1.552 -TNS : 0.000 - -Type : Fast 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' -Slack : 2.119 +Slack : 1.534 TNS : 0.000 Type : Fast 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 2.233 +Slack : 2.138 TNS : 0.000 -Type : Fast 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 2.362 +Type : Fast 900mV 0C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' +Slack : 2.415 TNS : 0.000 -Type : Fast 900mV 0C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' -Slack : 2.464 +Type : Fast 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' +Slack : 2.568 TNS : 0.000 Type : Fast 900mV 0C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 2.548 +Slack : 2.655 +TNS : 0.000 + +Type : Fast 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' +Slack : 2.805 TNS : 0.000 Type : Fast 900mV 0C Model Recovery 'umft601a_clk_100mhz' -Slack : 4.013 +Slack : 3.648 TNS : 0.000 Type : Fast 900mV 0C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 7.482 +Slack : 5.879 TNS : 0.000 -Type : Fast 900mV 0C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' -Slack : 7.920 +Type : Fast 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' +Slack : 8.023 TNS : 0.000 -Type : Fast 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' -Slack : 8.093 +Type : Fast 900mV 0C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' +Slack : 8.308 TNS : 0.000 Type : Fast 900mV 0C Model Recovery 'osc_bank_4_50mhz' -Slack : 16.878 +Slack : 16.938 TNS : 0.000 -Type : Fast 900mV 0C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' -Slack : 17.925 +Type : Fast 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' +Slack : 18.134 TNS : 0.000 -Type : Fast 900mV 0C Model Recovery 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' -Slack : 18.378 +Type : Fast 900mV 0C Model Recovery 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' +Slack : 18.711 TNS : 0.000 Type : Fast 900mV 0C Model Recovery 'altera_reserved_tck' -Slack : 47.763 +Slack : 49.512 +TNS : 0.000 + +Type : Fast 900mV 0C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' +Slack : 0.255 TNS : 0.000 Type : Fast 900mV 0C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' Slack : 0.255 TNS : 0.000 -Type : Fast 900mV 0C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_clk' -Slack : 0.257 +Type : Fast 900mV 0C Model Removal 'umft601a_clk_100mhz' +Slack : 0.255 +TNS : 0.000 + +Type : Fast 900mV 0C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' +Slack : 0.262 TNS : 0.000 Type : Fast 900mV 0C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_clk' Slack : 0.268 TNS : 0.000 -Type : Fast 900mV 0C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' -Slack : 0.276 +Type : Fast 900mV 0C Model Removal 'osc_bank_4_50mhz' +Slack : 0.277 TNS : 0.000 -Type : Fast 900mV 0C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' -Slack : 0.282 +Type : Fast 900mV 0C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_config_clk' +Slack : 0.294 TNS : 0.000 Type : Fast 900mV 0C Model Removal 'altera_reserved_tck' -Slack : 0.375 -TNS : 0.000 - -Type : Fast 900mV 0C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' -Slack : 0.380 +Slack : 0.335 TNS : 0.000 Type : Fast 900mV 0C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_config_clk' -Slack : 0.507 +Slack : 0.346 TNS : 0.000 -Type : Fast 900mV 0C Model Removal 'osc_bank_4_50mhz' -Slack : 0.515 +Type : Fast 900mV 0C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' +Slack : 0.366 TNS : 0.000 Type : Fast 900mV 0C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 0.549 -TNS : 0.000 - -Type : Fast 900mV 0C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 0.556 +Slack : 0.497 TNS : 0.000 Type : Fast 900mV 0C Model Removal 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 0.611 +Slack : 0.527 TNS : 0.000 -Type : Fast 900mV 0C Model Removal 'umft601a_clk_100mhz' -Slack : 1.236 +Type : Fast 900mV 0C Model Removal 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' +Slack : 0.758 TNS : 0.000 Type : Fast 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|pll0|pll_write_clk' @@ -983,7 +983,7 @@ Slack : 0.505 TNS : 0.000 Type : Fast 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|pll0|pll_write_clk' -Slack : 0.506 +Slack : 0.505 TNS : 0.000 Type : Fast 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|MebX_Qsys_Project_m2_ddr2_memory_p0_leveling_clk' @@ -1011,7 +1011,7 @@ Slack : 1.154 TNS : 0.000 Type : Fast 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|pll0|pll_addr_cmd_clk' -Slack : 2.086 +Slack : 2.085 TNS : 0.000 Type : Fast 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|pll0|pll_addr_cmd_clk' @@ -1019,19 +1019,19 @@ Slack : 2.086 TNS : 0.000 Type : Fast 900mV 0C Model Minimum Pulse Width 'umft601a_clk_100mhz' -Slack : 4.188 +Slack : 4.187 TNS : 0.000 Type : Fast 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|pll0|pll_afi_half_clk' -Slack : 4.213 +Slack : 4.210 TNS : 0.000 Type : Fast 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|pll0|pll_avl_clk' -Slack : 4.256 +Slack : 4.257 TNS : 0.000 Type : Fast 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m2_ddr2_memory|pll0|pll_avl_clk' -Slack : 4.261 +Slack : 4.262 TNS : 0.000 Type : Fast 900mV 0C Model Minimum Pulse Width 'SOPC_INST|m1_ddr2_memory|pll0|pll_afi_half_clk' @@ -1047,7 +1047,7 @@ Slack : 6.667 TNS : 0.000 Type : Fast 900mV 0C Model Minimum Pulse Width 'osc_bank_4_50mhz' -Slack : 9.113 +Slack : 9.112 TNS : 0.000 Type : Fast 900mV 0C Model Minimum Pulse Width 'osc_bank_3_50mhz' @@ -1055,7 +1055,7 @@ Slack : 9.880 TNS : 0.000 Type : Fast 900mV 0C Model Minimum Pulse Width 'altera_reserved_tck' -Slack : 49.248 +Slack : 49.250 TNS : 0.000 ------------------------------------------------------------ diff --git a/G3U_HW_V02_2GB/Software_Project/Firmware/driver/comm/rmap/rmap.c b/G3U_HW_V02_2GB/Software_Project/Firmware/driver/comm/rmap/rmap.c index 69d3cc20..b08b1d0d 100644 --- a/G3U_HW_V02_2GB/Software_Project/Firmware/driver/comm/rmap/rmap.c +++ b/G3U_HW_V02_2GB/Software_Project/Firmware/driver/comm/rmap/rmap.c @@ -1421,6 +1421,12 @@ bool bRmapGetRmapMemHkArea(TRmapChannel *pxRmapCh) { return bStatus; } +void vRmapResetEchoingModule(alt_u32 uliWaitTimeUs) { + IOWR_ALTERA_AVALON_PIO_DATA(PIO_RMAP_ECHOING_MODULE_RESET_BASE, 0x00000001); + usleep(uliWaitTimeUs); + IOWR_ALTERA_AVALON_PIO_DATA(PIO_RMAP_ECHOING_MODULE_RESET_BASE, 0x00000000); +} + bool bRmapInitCh(TRmapChannel *pxRmapCh, alt_u8 ucCommCh) { bool bStatus = FALSE; bool bValidCh = FALSE; diff --git a/G3U_HW_V02_2GB/Software_Project/Firmware/driver/comm/rmap/rmap.h b/G3U_HW_V02_2GB/Software_Project/Firmware/driver/comm/rmap/rmap.h index 40caeaa1..6256c06b 100644 --- a/G3U_HW_V02_2GB/Software_Project/Firmware/driver/comm/rmap/rmap.h +++ b/G3U_HW_V02_2GB/Software_Project/Firmware/driver/comm/rmap/rmap.h @@ -120,6 +120,8 @@ bool bRmapGetRmapMemHkArea(TRmapChannel *pxRmapCh); bool bRmapSetEchoingMode(TRmapChannel *pxRmapCh); bool bRmapGetEchoingMode(TRmapChannel *pxRmapCh); +void vRmapResetEchoingModule(alt_u32 uliWaitTimeUs); + bool bRmapInitCh(TRmapChannel *pxRmapCh, alt_u8 ucCommCh); alt_u32 uliRmapReadReg(alt_u32 *puliAddr, alt_u32 uliOffset); diff --git a/G3U_HW_V02_2GB/Software_Project/Firmware/rtos/sim_meb_task.c b/G3U_HW_V02_2GB/Software_Project/Firmware/rtos/sim_meb_task.c index 3739665b..e2048b43 100644 --- a/G3U_HW_V02_2GB/Software_Project/Firmware/rtos/sim_meb_task.c +++ b/G3U_HW_V02_2GB/Software_Project/Firmware/rtos/sim_meb_task.c @@ -57,11 +57,15 @@ void vSimMebTask(void *task_data) { case sMebToRun: + /* Enable the Isolation and LVDS driver boards*/ bEnableIsoDrivers(); bEnableLvdsBoard(); usleep(100000); bEnableIsoLogic(); + /* Reset RMAP Echoing */ + vRmapResetEchoingModule(100000); + pxMebC->ucActualDDR = 1; pxMebC->ucNextDDR = 0; diff --git a/Program_Flash_DE4/L6_0_9.sof b/Program_Flash_DE4/L6_0_10.sof similarity index 68% rename from Program_Flash_DE4/L6_0_9.sof rename to Program_Flash_DE4/L6_0_10.sof index 35478702..3beb6513 100644 Binary files a/Program_Flash_DE4/L6_0_9.sof and b/Program_Flash_DE4/L6_0_10.sof differ diff --git a/Program_Flash_DE4/L6_0_10_0_0.7z b/Program_Flash_DE4/L6_0_10_0_0.7z new file mode 100644 index 00000000..4ac5d2c0 Binary files /dev/null and b/Program_Flash_DE4/L6_0_10_0_0.7z differ diff --git a/Program_Flash_DE4/L6_0_10_0_0.elf b/Program_Flash_DE4/L6_0_10_0_0.elf new file mode 100644 index 00000000..e076bddf Binary files /dev/null and b/Program_Flash_DE4/L6_0_10_0_0.elf differ diff --git a/Program_Flash_DE4/L6_0_9_0_0.elf b/Program_Flash_DE4/L6_0_9_0_0.elf deleted file mode 100644 index 552c6c5b..00000000 Binary files a/Program_Flash_DE4/L6_0_9_0_0.elf and /dev/null differ