Skip to content

Commit 0b5a2c1

Browse files
committed
Modifications in SpaceWire Channel for future revisions
1 parent 7476104 commit 0b5a2c1

37 files changed

+4874
-4973
lines changed

.gitignore

+1
Original file line numberDiff line numberDiff line change
@@ -5,6 +5,7 @@
55
*.o
66
*.ko
77
*.obj
8+
*.objdump
89
#*.elf
910

1011
# Linker output

FPGA_Developments/SpaceWire_Channel/Development/SpaceWire_Channel/SPW_CODEC/spacewire_light_codec_err/spwerr.vhd

+15-6
Original file line numberDiff line numberDiff line change
@@ -89,7 +89,7 @@ architecture spwerr_arch of spwerr is
8989
begin
9090

9191
-- Combinatorial process
92-
process(r, err_usr_i, err_link_i) is
92+
process(r, rst, err_usr_i, err_link_i) is
9393
variable v : regs_type;
9494
begin
9595
v := r;
@@ -319,16 +319,25 @@ begin
319319
end if;
320320
end if;
321321

322+
-- Reset
323+
if rst = '1' then
324+
v := regs_reset;
325+
err_usr_o.err_stat_o <= stby;
326+
err_link_o.err_disc_o <= '0';
327+
err_link_o.err_par_o <= '0';
328+
err_link_o.err_esc_o <= '0';
329+
err_link_o.err_credit_o <= '0';
330+
err_link_o.err_ch_seq_o <= '0';
331+
end if;
332+
322333
-- Update future state regs.
323334
rin <= v;
324335
end process;
325336

326-
-- Sequential process - rst, update regs.
327-
process(clk, rst) is
337+
-- Sequential process - update regs.
338+
process(clk) is
328339
begin
329-
if (rst = '1') then
330-
r <= regs_reset;
331-
elsif rising_edge(clk) then
340+
if rising_edge(clk) then
332341
r <= rin;
333342
end if;
334343
end process;

0 commit comments

Comments
 (0)